chr1 181383 181384 chr1:181384:G:C rs1258951683 G C . EBF1_EBF_1 28 0 - 0 0 . chr1 181392 181393 chr1:181393:G:C rs1255535955 G C . EBF1_EBF_1 19 0 - 0 0 . chr1 181412 181413 chr1:181413:A:T rs1480963775 A T . EBF1_EBF_1 -1 0 - 0 0 . chr1 778705 778706 chr1:778706:A:G rs375490433 A G . EBF1_EBF_1 -10 0 + 0 0 . chr1 778720 778721 chr1:778721:C:T rs544624554 C T . EBF1_EBF_1 5 1 + 4.488863825589475 -0.8329233899511959 CGTCACAGGGGACT chr1 778737 778738 chr1:778738:C:T rs574903527 C T . EBF1_EBF_1 22 0 + 0 0 . chr1 877595 877596 chr1:877596:G:A rs28520213 G A g EBF1_EBF_1 18 0 - 0 0 . chr1 894800 894801 chr1:894801:A:G rs28444699 A G - EBF1_EBF_1 -11 0 + 0 0 . chr1 913357 913358 chr1:913358:C:T rs3829741 C T g EBF1_EBF_1 7 1 - 8.906784476932721 10.219242882221545 GCTCCCCGGGGACA chr1 925627 925628 chr1:925628:G:C rs28521172 G C g EBF1_EBF_1 13 1 - 7.118925909582717 5.630854888227302 GGCCCCGAGGGAAC chr1 925632 925633 chr1:925633:C:T rs913087969 C T c EBF1_EBF_1 8 1 - 7.118925909582717 2.892635820696455 GGCCCCGAGGGAAC chr1 931989 931990 chr1:931990:T:C rs111442183 T C t EBF1_EBF_1 30 0 - 0 0 . chr1 932037 932038 chr1:932038:C:T rs111274533 C T c EBF1_EBF_1 -18 0 - 0 0 . chr1 938013 938014 chr1:938014:A:G rs1110051 A G g EBF1_EBF_1 2 1 - 5.1720085528959885 3.2831851414538367 ACTCCCCTGTGACC chr1 938177 938178 chr1:938178:G:T rs1110052 G T g EBF1_EBF_1 25 0 - 0 0 . chr1 941527 941528 chr1:941528:G:A rs112520258 G A g EBF1_EBF_1 3 1 - 4.897418199808752 -1.5079805417445635 CTCCTCCAGGGAAC chr1 945298 945299 chr1:945299:T:C rs193104858 T C t EBF1_EBF_1 -11 0 - 0 0 . chr1 961095 961096 chr1:961096:A:G rs28393498 A G G EBF1_EBF_1 6 1 + 6.191469979337122 4.024675548062647 CGCCCCAGGGGAGC chr1 966226 966227 chr1:966227:C:G rs13302996 C G g EBF1_EBF_1 8 1 - 6.226412138978431 0.9077532780637445 GGCCCCCCGGGACA chr1 966243 966244 chr1:966244:C:T rs78969914 C T g EBF1_EBF_1 -9 0 - 0 0 . chr1 966688 966689 chr1:966689:T:C rs116147894 T C t EBF1_EBF_1 -6 0 + 0 0 . chr1 966707 966708 chr1:966708:G:A rs201730138 G A g EBF1_EBF_1 13 1 + 5.17034120219438 6.284666774263819 TGTCCCCAGAGAGG chr1 966727 966728 chr1:966728:C:T rs62639981 C T t EBF1_EBF_1 33 0 + 0 0 . chr1 967864 967865 chr1:967865:A:G rs28690976 A G N EBF1_EBF_1 -7 0 - 0 0 . chr1 971891 971892 chr1:971892:G:A rs182232337 G A g EBF1_EBF_1 -17 0 + 0 0 . chr1 984300 984301 chr1:984301:C:T rs554480907 C T g EBF1_EBF_1 -8 0 - 0 0 . chr1 984301 984302 chr1:984302:G:A rs577430264 G A c EBF1_EBF_1 -9 0 - 0 0 . chr1 994918 994919 chr1:994919:G:A rs116623724 G A N EBF1_EBF_1 23 0 + 0 0 . chr1 996167 996168 chr1:996168:G:T rs2799062 G T g EBF1_EBF_1 1 1 - 4.972552115064959 4.9016249377128736 CCTCCCCAGCGATT chr1 996187 996188 chr1:996188:G:A rs182626687 G A g EBF1_EBF_1 -19 0 - 0 0 . chr1 997952 997953 chr1:997953:G:A rs376671041 G A a EBF1_EBF_1 1 1 - 3.8119289821254227 4.70736242252417 GCCCCCCTGGGCCC chr1 1002051 1002052 chr1:1002052:A:G rs111758243 A G a EBF1_EBF_1 6 1 + 7.819594558857972 5.652800127583495 CATCCCAGGGGAGC chr1 1008087 1008088 chr1:1008088:T:C rs3121567 T C - EBF1_EBF_1 29 0 - 0 0 . chr1 1013672 1013673 chr1:1013673:C:G rs28491407 C G c EBF1_EBF_1 -9 0 - 0 0 . chr1 1019591 1019592 chr1:1019592:G:C rs993808245 G C g EBF1_EBF_1 -11 0 - 0 0 . chr1 1021086 1021087 chr1:1021087:A:G rs151190822 A G N EBF1_EBF_1 -11 0 + 0 0 . chr1 1023850 1023851 chr1:1023851:G:A rs4039721 G A - EBF1_EBF_1 8 1 - 5.274329470592836 3.7015555425303264 GCTCCCCACGGACC chr1 1027632 1027633 chr1:1027633:C:T rs9442389 C T - EBF1_EBF_1 9 1 - 8.892564328304099 6.2376250628564955 CGCCCCAAGGGACC chr1 1028605 1028606 chr1:1028606:G:A rs58399787 G A - EBF1_EBF_1 -19 0 + 0 0 . chr1 1034834 1034835 chr1:1034835:G:C rs9442364 G C g EBF1_EBF_1 22 0 - 0 0 . chr1 1058200 1058201 chr1:1058201:G:A rs72900475 G A - EBF1_EBF_1 -10 0 - 0 0 . chr1 1065513 1065514 chr1:1065514:A:T rs114006445 A T a EBF1_EBF_1 -6 0 - 0 0 . chr1 1067006 1067007 chr1:1067007:A:G rs74048003 A G N EBF1_EBF_1 6 1 - 7.192500160967269 6.891214032105511 CCTCCCTTGGGGAC chr1 1069565 1069566 chr1:1069566:G:A rs4073175 G A c EBF1_EBF_1 -6 0 - 0 0 . chr1 1069576 1069577 chr1:1069577:G:A rs4073176 G A g EBF1_EBF_1 -17 0 - 0 0 . chr1 1070791 1070792 chr1:1070792:G:A rs112797925 G A - EBF1_EBF_1 -17 0 - 0 0 . chr1 1073247 1073248 chr1:1073248:C:T rs140108519 C T g EBF1_EBF_1 7 1 - 4.031533770507358 5.343992175796183 AGCCCCCGGGGCTC chr1 1084874 1084875 chr1:1084875:C:T rs181799866 C T C EBF1_EBF_1 -20 0 - 0 0 . chr1 1085607 1085608 chr1:1085608:C:T rs541534076 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 1085868 1085869 chr1:1085869:G:A rs61520960 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 1087733 1087734 chr1:1087734:G:A rs61766340 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 1087764 1087765 chr1:1087765:G:A rs3737727 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 1110664 1110665 chr1:1110665:C:T rs528195897 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 1110692 1110693 chr1:1110693:C:A rs11590188 C A T EBF1_EBF_1 21 0 + 0 0 . chr1 1115542 1115543 chr1:1115543:T:C rs866721249 T C N EBF1_EBF_1 1 1 + 6.5816844808847454 5.686251040486 CTCCCCCAGAGACC chr1 1115682 1115683 chr1:1115683:C:A rs575055657 C A N EBF1_EBF_1 1 1 + 10.230013717375753 10.159086540023667 CCTCCCCAGGGACC chr1 1115742 1115743 chr1:1115743:C:G rs1215037664 C G - EBF1_EBF_1 -6 0 + 0 0 . chr1 1116111 1116112 chr1:1116112:C:G chr1:1116112:C:G C G c EBF1_EBF_1 -3 0 + 0 0 . chr1 1116143 1116144 chr1:1116144:G:T rs373646544 G T g EBF1_EBF_1 29 0 + 0 0 . chr1 1118490 1118491 chr1:1118491:T:C rs6683091 T C - EBF1_EBF_1 13 1 - 9.363065764810374 8.248740192740936 CTTCCCCAGGGAGA chr1 1118831 1118832 chr1:1118832:C:T rs59525363 C T - EBF1_EBF_1 -16 0 + 0 0 . chr1 1118834 1118835 chr1:1118835:A:G rs58087574 A G - EBF1_EBF_1 -13 0 + 0 0 . chr1 1124974 1124975 chr1:1124975:G:C rs12567855 G C C EBF1_EBF_1 16 0 + 0 0 . chr1 1129806 1129807 chr1:1129807:C:T rs183534682 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 1130716 1130717 chr1:1130717:G:A rs61766345 G A G EBF1_EBF_1 8 1 + 5.029027890732226 0.8027378018459643 GCTCCCTCGGGCCT chr1 1134398 1134399 chr1:1134399:G:T rs77251959 G T G EBF1_EBF_1 29 0 + 0 0 . chr1 1135060 1135061 chr1:1135061:C:T rs9442379 C T C EBF1_EBF_1 6 1 + 5.707764119355423 6.0090502482171795 ATCCCCCGGGGTCA chr1 1135086 1135087 chr1:1135087:G:A rs139475585 G A G EBF1_EBF_1 32 0 + 0 0 . chr1 1138953 1138954 chr1:1138954:G:A rs113923786 G A g EBF1_EBF_1 -7 0 + 0 0 . chr1 1149234 1149235 chr1:1149235:T:G rs116667486 T G G EBF1_EBF_1 -7 0 + 0 0 . chr1 1150798 1150799 chr1:1150799:T:C rs61766352 T C C EBF1_EBF_1 15 0 + 0 0 . chr1 1157703 1157704 chr1:1157704:C:T rs751475349 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 1157706 1157707 chr1:1157707:A:G rs565007175 A G A EBF1_EBF_1 -18 0 - 0 0 . chr1 1157968 1157969 chr1:1157969:G:A rs536359826 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 1158403 1158404 chr1:1158404:G:A rs115569263 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 1161910 1161911 chr1:1161911:C:A rs61768478 C A C EBF1_EBF_1 -12 0 - 0 0 . chr1 1162751 1162752 chr1:1162752:G:A rs144950849 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 1166749 1166750 chr1:1166750:C:T rs374643282 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 1168161 1168162 chr1:1168162:T:C rs7518873 T C C EBF1_EBF_1 -3 0 + 0 0 . chr1 1170731 1170732 chr1:1170732:A:G rs6670693 A G A EBF1_EBF_1 -16 0 - 0 0 . chr1 1171189 1171190 chr1:1171190:C:A rs748317436 C A C EBF1_EBF_1 25 0 - 0 0 . chr1 1171931 1171932 chr1:1171932:G:A rs61768481 G A g EBF1_EBF_1 20 0 + 0 0 . chr1 1173995 1173996 chr1:1173996:G:A rs5010604 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 1182463 1182464 chr1:1182464:G:A rs577751055 G A G EBF1_EBF_1 24 0 - 0 0 . chr1 1185155 1185156 chr1:1185156:G:A rs77950429 G A G EBF1_EBF_1 0 1 - 5.699503326478775 5.981705387209352 CGCCCCGAGGGATC chr1 1197860 1197861 chr1:1197861:C:T rs529766121 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 1198121 1198122 chr1:1198122:C:T rs113723849 C T C EBF1_EBF_1 24 0 - 0 0 . chr1 1198124 1198125 chr1:1198125:C:G rs554114200 C G C EBF1_EBF_1 21 0 - 0 0 . chr1 1198125 1198126 chr1:1198126:T:C rs577366389 T C T EBF1_EBF_1 20 0 - 0 0 . chr1 1201273 1201274 chr1:1201274:T:C rs573954213 T C N EBF1_EBF_1 -18 0 - 0 0 . chr1 1206873 1206874 chr1:1206874:C:G rs11466674 C G C EBF1_EBF_1 -12 0 - 0 0 . chr1 1208741 1208742 chr1:1208742:T:C rs57356573 T C t EBF1_EBF_1 21 0 + 0 0 . chr1 1212041 1212042 chr1:1212042:C:T rs17568 C T C EBF1_EBF_1 10 1 - 7.18042514126427 1.8623966819973037 AGCCCCAGGAGACC chr1 1212389 1212390 chr1:1212390:G:A rs9661697 G A g EBF1_EBF_1 23 0 + 0 0 . chr1 1230090 1230091 chr1:1230091:T:C rs77819818 T C C EBF1_EBF_1 26 0 + 0 0 . chr1 1235601 1235602 chr1:1235602:C:A rs77994686 C A C EBF1_EBF_1 16 0 - 0 0 . chr1 1237774 1237775 chr1:1237775:G:A rs965300683 G A G EBF1_EBF_1 -9 0 + 0 0 . chr1 1238882 1238883 chr1:1238883:G:A rs80242057 G A G EBF1_EBF_1 28 0 - 0 0 . chr1 1238901 1238902 chr1:1238902:T:C rs4970364 T C C EBF1_EBF_1 9 1 - 5.904418941813294 8.559358207260898 GCCCCCTTGAGAAC chr1 1242093 1242094 chr1:1242094:A:G rs2297863 A G A EBF1_EBF_1 7 1 + 4.008859956050168 2.6964015507613412 CCTCCCGAGGGCCC chr1 1248016 1248017 chr1:1248017:C:T rs78446752 C T C EBF1_EBF_1 -13 0 + 0 0 . chr1 1259423 1259424 chr1:1259424:T:C rs11804831 T C C EBF1_EBF_1 7 1 + 4.612797337746715 2.7952925914640914 CCTCCCTTGGGCTC chr1 1283274 1283275 chr1:1283275:C:T rs114027205 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 1285573 1285574 chr1:1285574:G:A rs12751100 G A A EBF1_EBF_1 -13 0 + 0 0 . chr1 1286531 1286532 chr1:1286532:T:C rs6660742 T C T EBF1_EBF_1 -14 0 - 0 0 . chr1 1291583 1291584 chr1:1291584:C:A chr1:1291584:C:A C A C EBF1_EBF_1 -1 0 + 0 0 . chr1 1291590 1291591 chr1:1291591:T:C rs75670559 T C T EBF1_EBF_1 6 1 + 8.800787182111536 8.49950105324978 AGCCCCTTGAGACT chr1 1292569 1292570 chr1:1292570:C:T rs116175905 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 1293783 1293784 chr1:1293784:G:T rs61766186 G T G EBF1_EBF_1 -13 0 + 0 0 . chr1 1299173 1299174 chr1:1299174:A:C rs116119245 A C A EBF1_EBF_1 12 1 + 5.357074099240555 5.326892700819229 AACCCCACGGGGAA chr1 1302157 1302158 chr1:1302158:G:A rs2296476 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 1305491 1305492 chr1:1305492:G:A rs983892040 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 1306148 1306149 chr1:1306149:A:G rs1536168 A G G EBF1_EBF_1 -2 0 - 0 0 . chr1 1306182 1306183 chr1:1306183:A:G rs74045418 A G A EBF1_EBF_1 -20 0 + 0 0 . chr1 1308164 1308165 chr1:1308165:T:G chr1:1308165:T:G T G - EBF1_EBF_1 -2 0 - 0 0 . chr1 1309011 1309012 chr1:1309012:G:A rs529790938 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 1346226 1346227 chr1:1346227:G:A rs542729298 G A G EBF1_EBF_1 28 0 - 0 0 . chr1 1347468 1347469 chr1:1347469:G:A rs144159354 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 1347910 1347911 chr1:1347911:T:C rs577063305 T C T EBF1_EBF_1 7 1 + 4.1843154708520585 2.3668107245694343 GCCCCCCTGAGAGC chr1 1349745 1349746 chr1:1349746:C:G rs1013774665 C G C EBF1_EBF_1 -13 0 - 0 0 . chr1 1352541 1352542 chr1:1352542:G:A rs3766185 G A G EBF1_EBF_1 -1 0 + 0 0 . chr1 1353442 1353443 chr1:1353443:A:G rs2296471 A G A EBF1_EBF_1 2 1 - 4.963625586801063 3.074802175358913 TCTCCCAGGGGCTT chr1 1353457 1353458 chr1:1353458:C:T rs111489086 C T C EBF1_EBF_1 -13 0 - 0 0 . chr1 1356601 1356602 chr1:1356602:A:C rs371435119 A C A EBF1_EBF_1 12 1 - 5.554788624755736 5.192419251628435 AGCCCCCGGGGGTC chr1 1358201 1358202 chr1:1358202:G:A rs556604236 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 1359089 1359090 chr1:1359090:G:T rs141483437 G T G EBF1_EBF_1 -5 0 + 0 0 . chr1 1359235 1359236 chr1:1359236:C:T rs565919101 C T C EBF1_EBF_1 9 1 - 8.347293385356458 5.692354119908855 AGCCCCAGGGGACG chr1 1360301 1360302 chr1:1360302:A:G rs35705386 A G N EBF1_EBF_1 6 1 + 7.589070073739912 5.422275642465438 ACCCCCATGGGGCC chr1 1374787 1374788 chr1:1374788:G:A rs187632027 G A G EBF1_EBF_1 3 1 - 10.116714091419961 3.711315349866645 GCTCCCCTGGGACC chr1 1374800 1374801 chr1:1374801:A:G rs112533928 A G G EBF1_EBF_1 -10 0 - 0 0 . chr1 1375400 1375401 chr1:1375401:C:T rs559763575 C T C EBF1_EBF_1 33 0 - 0 0 . chr1 1375437 1375438 chr1:1375438:G:A rs747274321 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 1375440 1375441 chr1:1375441:C:T rs139341512 C T C EBF1_EBF_1 -7 0 - 0 0 . chr1 1375443 1375444 chr1:1375444:G:C rs150041542 G C G EBF1_EBF_1 -10 0 - 0 0 . chr1 1375493 1375494 chr1:1375494:C:G rs569285350 C G C EBF1_EBF_1 3 1 + 5.53094230475368 -0.29682214336153556 ATTCCCAACGGGCC chr1 1387697 1387698 chr1:1387698:A:G rs12729599 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 1399543 1399544 chr1:1399544:C:T rs535876038 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 1399921 1399922 chr1:1399922:C:T rs1240707 C T C EBF1_EBF_1 10 1 - 8.46400304908784 3.1459745898208737 TCTCCCCAGGGGCT chr1 1422279 1422280 chr1:1422280:A:G rs112321355 A G G EBF1_EBF_1 -18 0 - 0 0 . chr1 1422611 1422612 chr1:1422612:C:T rs3737716 C T C EBF1_EBF_1 32 0 + 0 0 . chr1 1422612 1422613 chr1:1422613:G:T rs113336054 G T C EBF1_EBF_1 33 0 + 0 0 . chr1 1422827 1422828 chr1:1422828:G:T rs1695857 G T G EBF1_EBF_1 -20 0 + 0 0 . chr1 1422840 1422841 chr1:1422841:G:A rs185028092 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 1426579 1426580 chr1:1426580:G:A rs111226832 G A G EBF1_EBF_1 9 1 + 6.815605109022758 4.160665843575154 TCACCCAAGGGACA chr1 1430536 1430537 chr1:1430537:C:T rs747112817 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 1432150 1432151 chr1:1432151:G:A rs183668423 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 1454841 1454842 chr1:1454842:G:A rs140136291 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 1454847 1454848 chr1:1454848:T:C rs1623400 T C C EBF1_EBF_1 23 0 + 0 0 . chr1 1457032 1457033 chr1:1457033:A:C rs35334427 A C A EBF1_EBF_1 1 1 - 5.640732197305185 4.935070411475658 ATCCCCACGGGGTC chr1 1511742 1511743 chr1:1511743:G:C rs539585440 G C - EBF1_EBF_1 -18 0 + 0 0 . chr1 1511787 1511788 chr1:1511788:T:C rs535454927 T C - EBF1_EBF_1 27 0 + 0 0 . chr1 1511944 1511945 chr1:1511945:G:A rs6690515 G A - EBF1_EBF_1 29 0 - 0 0 . chr1 1511987 1511988 chr1:1511988:G:A rs77993535 G A - EBF1_EBF_1 -14 0 - 0 0 . chr1 1513922 1513923 chr1:1513923:G:C rs9439456 G C - EBF1_EBF_1 -18 0 - 0 0 . chr1 1534217 1534218 chr1:1534218:C:T rs9439464 C T G EBF1_EBF_1 -15 0 + 0 0 . chr1 1539490 1539491 chr1:1539491:G:C rs9439465 G C G EBF1_EBF_1 -18 0 + 0 0 . chr1 1554547 1554548 chr1:1554548:T:C rs7366884 T C T EBF1_EBF_1 6 1 + 9.8938514863301 9.592565357468342 ACTCCCTAGGGGCC chr1 1565560 1565561 chr1:1565561:A:G rs6603791 A G G EBF1_EBF_1 15 0 + 0 0 . chr1 1575024 1575025 chr1:1575025:C:T rs185232777 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 1575027 1575028 chr1:1575028:G:T rs116304796 G T G EBF1_EBF_1 20 0 - 0 0 . chr1 1578183 1578184 chr1:1578184:C:T rs74890761 C T C EBF1_EBF_1 4 1 + 6.262910536863426 1.893523591918502 GGTCCCCTGAGAGC chr1 1594130 1594131 chr1:1594131:T:C rs28662991 T C C EBF1_EBF_1 19 0 + 0 0 . chr1 1624579 1624580 chr1:1624580:C:T rs181138846 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 1632137 1632138 chr1:1632138:G:C rs573586500 G C G EBF1_EBF_1 -4 0 + 0 0 . chr1 1721335 1721336 chr1:1721336:G:A rs74045995 G A - EBF1_EBF_1 -15 0 - 0 0 . chr1 1738294 1738295 chr1:1738295:G:T rs61777512 G T - EBF1_EBF_1 21 0 - 0 0 . chr1 1738308 1738309 chr1:1738309:A:G rs4648615 A G - EBF1_EBF_1 7 1 - 4.0017006366946415 2.184195890412017 GGCCCCCTGGGCCC chr1 1755712 1755713 chr1:1755713:G:A rs4648815 G A A EBF1_EBF_1 0 1 + 4.031882035115967 5.924165904680802 GGCCCCCTGGGCAC chr1 1758900 1758901 chr1:1758901:C:T rs775310348 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 1763106 1763107 chr1:1763107:C:T rs143436968 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 1861562 1861563 chr1:1861563:C:T rs538485913 C T C EBF1_EBF_1 32 0 - 0 0 . chr1 1861563 1861564 chr1:1861564:A:G rs1889678 A G G EBF1_EBF_1 31 0 - 0 0 . chr1 1861593 1861594 chr1:1861594:T:C rs922962505 T C T EBF1_EBF_1 1 1 - 5.376276776145792 5.636975608067094 AACCCCCTGAGGAT chr1 1907198 1907199 chr1:1907199:A:G rs28672079 A G A EBF1_EBF_1 15 0 + 0 0 . chr1 1914404 1914405 chr1:1914405:G:A rs79737638 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 1914917 1914918 chr1:1914918:G:A rs2748988 G A T EBF1_EBF_1 -19 0 + 0 0 . chr1 1918483 1918484 chr1:1918484:C:A rs72634869 C A C EBF1_EBF_1 12 1 - 7.894155441694222 8.256524814821523 ATTCCCCAGGGTGC chr1 1919951 1919952 chr1:1919952:G:C rs1016744901 G C G EBF1_EBF_1 -13 0 - 0 0 . chr1 1922481 1922482 chr1:1922482:T:C rs28692556 T C C EBF1_EBF_1 6 1 + 8.212369833747827 7.911083704886071 ACTCCCTTGGGTCC chr1 1923325 1923326 chr1:1923326:G:A rs188186459 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 1923327 1923328 chr1:1923328:G:A rs74862224 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 1928118 1928119 chr1:1928119:T:C rs28670388 T C T EBF1_EBF_1 7 1 - 6.311929373112974 4.99947096782415 CCTCCCAAGGGTTT chr1 1928283 1928284 chr1:1928284:T:G rs34969620 T G - EBF1_EBF_1 7 1 - 6.311929373112974 4.018150386742795 CCTCCCAAGGGTTT chr1 1928701 1928702 chr1:1928702:C:T rs28531873 C T C EBF1_EBF_1 5 1 + 5.110645483528498 -0.21114173201217112 AGCCCCCCAGGACT chr1 1930142 1930143 chr1:1930143:C:T rs2803295 C T C EBF1_EBF_1 9 1 - 7.504837062216041 4.849897796768437 TCTCCCATGGGGCC chr1 1947188 1947189 chr1:1947189:C:T rs2803341 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 1955609 1955610 chr1:1955610:T:C rs200281905 T C T EBF1_EBF_1 7 1 + 5.337777779435807 3.5202730331531837 CCTCCCCTGGGCCC chr1 1960160 1960161 chr1:1960161:C:T rs117348255 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 1960608 1960609 chr1:1960609:G:C rs2803292 G C G EBF1_EBF_1 26 0 + 0 0 . chr1 1973932 1973933 chr1:1973933:C:T rs114281645 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 2021921 2021922 chr1:2021922:G:A rs75134466 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 2031975 2031976 chr1:2031976:A:G rs17715203 A G G EBF1_EBF_1 -7 0 + 0 0 . chr1 2052173 2052174 chr1:2052174:C:T rs4648796 C T C EBF1_EBF_1 5 1 + 4.947399820981787 -0.3743873945588827 ACACCCGAGGGGCT chr1 2070416 2070417 chr1:2070417:A:G rs2803303 A G G EBF1_EBF_1 11 1 - 5.476751318691848 5.158703876938183 AACCCCAGGGGTCC chr1 2073693 2073694 chr1:2073694:G:A rs1409006819 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 2074365 2074366 chr1:2074366:C:G rs28694018 C G C EBF1_EBF_1 18 0 - 0 0 . chr1 2079860 2079861 chr1:2079861:C:T rs13303213 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 2092816 2092817 chr1:2092817:A:G rs2459995 A G G EBF1_EBF_1 -20 0 - 0 0 . chr1 2094099 2094100 chr1:2094100:G:A rs12026498 G A A EBF1_EBF_1 12 1 + 8.595462485119718 10.014279827219195 CATCCCTTGGGAGC chr1 2101669 2101670 chr1:2101670:C:T rs3128330 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 2104789 2104790 chr1:2104790:G:A rs147095363 G A G EBF1_EBF_1 14 0 + 0 0 . chr1 2105800 2105801 chr1:2105801:T:C rs2102856 T C T EBF1_EBF_1 -4 0 - 0 0 . chr1 2108656 2108657 chr1:2108657:T:C rs6666117 T C t EBF1_EBF_1 -18 0 + 0 0 . chr1 2110021 2110022 chr1:2110022:C:T rs12059660 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 2113179 2113180 chr1:2113180:A:G rs140061398 A G A EBF1_EBF_1 -15 0 - 0 0 . chr1 2125820 2125821 chr1:2125821:G:A rs142820954 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 2132401 2132402 chr1:2132402:C:G rs72925854 C G C EBF1_EBF_1 8 1 - 4.508716797673552 -0.8099420632411354 TCCCCCTAGGGCCC chr1 2144746 2144747 chr1:2144747:C:T rs532656822 C T . EBF1_EBF_1 5 1 + 6.6892285036425365 1.3674412881018658 TCCCCCCTGGGAAG chr1 2150202 2150203 chr1:2150203:C:A rs3107126 C A C EBF1_EBF_1 -10 0 + 0 0 . chr1 2160382 2160383 chr1:2160383:G:A rs12134873 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 2160600 2160601 chr1:2160601:T:C chr1:2160601:T:C T C T EBF1_EBF_1 -6 0 - 0 0 . chr1 2179640 2179641 chr1:2179641:C:T rs116005884 C T . EBF1_EBF_1 27 0 - 0 0 . chr1 2181225 2181226 chr1:2181226:G:A rs262691 G A . EBF1_EBF_1 -18 0 - 0 0 . chr1 2184847 2184848 chr1:2184848:C:T rs45459595 C T . EBF1_EBF_1 -16 0 + 0 0 . chr1 2194593 2194594 chr1:2194594:C:A rs1367137969 C A C EBF1_EBF_1 6 1 + 5.574925480807616 5.936527848608893 CCTCCCCAGGGGGA chr1 2195306 2195307 chr1:2195307:G:A rs142447004 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 2195417 2195418 chr1:2195418:G:A rs959850892 G A G EBF1_EBF_1 10 1 + 4.28253045109473 -1.0354980081722367 TGCCCCATGGGCCC chr1 2204378 2204379 chr1:2204379:G:A rs1016689327 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 2213479 2213480 chr1:2213480:C:G rs576479531 C G C EBF1_EBF_1 10 1 - 5.336785848567983 -1.5540165387614917 AGCCCCCAGCGACA chr1 2215526 2215527 chr1:2215527:T:C rs7512482 T C T EBF1_EBF_1 27 0 - 0 0 . chr1 2233180 2233181 chr1:2233181:C:T rs150564009 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 2235369 2235370 chr1:2235370:C:T rs76875252 C T C EBF1_EBF_1 0 1 + 5.593207993221637 5.8754100539522165 CATCCCCGGGGTCT chr1 2250946 2250947 chr1:2250947:T:C rs537935287 T C T EBF1_EBF_1 13 1 - 7.11821077510771 6.003885203038271 GCTCCCCTGAGAAA chr1 2252314 2252315 chr1:2252315:C:G rs10910036 C G C EBF1_EBF_1 -10 0 + 0 0 . chr1 2253548 2253549 chr1:2253549:A:G rs55642209 A G G EBF1_EBF_1 21 0 - 0 0 . chr1 2271447 2271448 chr1:2271448:G:A rs903909 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 2292205 2292206 chr1:2292206:C:T rs884941 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 2293718 2293719 chr1:2293719:T:A rs6702400 T A T EBF1_EBF_1 23 0 - 0 0 . chr1 2300526 2300527 chr1:2300527:G:C rs55710545 G C G EBF1_EBF_1 25 0 + 0 0 . chr1 2301481 2301482 chr1:2301482:A:G rs575085745 A G A EBF1_EBF_1 22 0 - 0 0 . chr1 2302811 2302812 chr1:2302812:A:G rs1496555 A G G EBF1_EBF_1 18 0 - 0 0 . chr1 2305712 2305713 chr1:2305713:T:G rs75635289 T G G EBF1_EBF_1 -4 0 + 0 0 . chr1 2316618 2316619 chr1:2316619:C:T rs61762080 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 2319177 2319178 chr1:2319178:C:T rs61746618 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 2326423 2326424 chr1:2326424:C:T rs2645092 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 2334529 2334530 chr1:2334530:T:G rs2843146 T G G EBF1_EBF_1 6 1 - 7.59635879078731 7.234756422986033 TCCCCCAAGGGAGC chr1 2344633 2344634 chr1:2344634:T:C rs113406729 T C T EBF1_EBF_1 30 0 - 0 0 . chr1 2346137 2346138 chr1:2346138:C:G rs372026253 C G - EBF1_EBF_1 22 0 + 0 0 . chr1 2347208 2347209 chr1:2347209:A:G rs2843133 A G G EBF1_EBF_1 17 0 - 0 0 . chr1 2347211 2347212 chr1:2347212:C:T rs2173048 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 2347479 2347480 chr1:2347480:C:T rs140047628 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 2349947 2349948 chr1:2349948:C:T rs1297819144 C T C EBF1_EBF_1 27 0 - 0 0 . chr1 2350933 2350934 chr1:2350934:C:T rs72642166 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 2350942 2350943 chr1:2350943:C:T rs2254895 C T C EBF1_EBF_1 1 1 + 4.638364457319854 5.5337978977186 TCTCCCCAGGGCAG chr1 2357810 2357811 chr1:2357811:C:G rs903912 C G C EBF1_EBF_1 30 0 + 0 0 . chr1 2357811 2357812 chr1:2357812:T:C rs903913 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 2359220 2359221 chr1:2359221:T:C rs2645088 T C C EBF1_EBF_1 29 0 - 0 0 . chr1 2381503 2381504 chr1:2381504:C:G rs12033172 C G c EBF1_EBF_1 30 0 + 0 0 . chr1 2382100 2382101 chr1:2382101:A:G rs2843132 A G G EBF1_EBF_1 -6 0 - 0 0 . chr1 2388090 2388091 chr1:2388091:G:T rs2645061 G T G EBF1_EBF_1 1 1 + 6.185025832259018 6.890687618088545 GGTCCCCAGGGCTT chr1 2391286 2391287 chr1:2391287:G:A rs71630976 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 2403416 2403417 chr1:2403417:C:T rs41315648 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 2429213 2429214 chr1:2429214:C:T rs11578875 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 2429380 2429381 chr1:2429381:C:G rs11578895 C G C EBF1_EBF_1 5 1 + 7.353306752342189 0.44842559970702034 CCTCCCCAGGGAGG chr1 2442991 2442992 chr1:2442992:G:A rs71511345 G A G EBF1_EBF_1 5 1 - 7.979403991615627 2.657616776074957 AGACCCTGGGGACC chr1 2450128 2450129 chr1:2450129:A:G rs867810 A G G EBF1_EBF_1 29 0 + 0 0 . chr1 2452668 2452669 chr1:2452669:T:C rs10797426 T C C EBF1_EBF_1 7 1 - 7.130519849408678 5.818061444119853 TGTCCCCAGGGCCT chr1 2452669 2452670 chr1:2452670:G:A rs568408163 G A G EBF1_EBF_1 6 1 - 7.130519849408678 7.431805978270436 TGTCCCCAGGGCCT chr1 2460142 2460143 chr1:2460143:G:A rs116385750 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 2464012 2464013 chr1:2464013:C:T rs12040359 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 2486490 2486491 chr1:2486491:G:C rs114871065 G C G EBF1_EBF_1 0 1 - 6.910410249178579 7.273384863310345 CCTCCCAAGAGATC chr1 2495900 2495901 chr1:2495901:T:C rs3762442 T C T EBF1_EBF_1 24 0 + 0 0 . chr1 2501604 2501605 chr1:2501605:G:A rs147319326 G A G EBF1_EBF_1 -17 0 - 0 0 . chr1 2512786 2512787 chr1:2512787:C:T rs744112 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 2512974 2512975 chr1:2512975:G:A rs7535528 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 2527035 2527036 chr1:2527036:C:T rs190468085 C T . EBF1_EBF_1 -20 0 + 0 0 . chr1 2531395 2531396 chr1:2531396:A:G rs10910087 A G . EBF1_EBF_1 33 0 - 0 0 . chr1 2533655 2533656 chr1:2533656:C:T rs11585747 C T . EBF1_EBF_1 8 1 - 4.248310473208911 0.022020384322649092 ACCCCCACGGGCCC chr1 2534472 2534473 chr1:2534473:C:T rs6661956 C T . EBF1_EBF_1 -15 0 + 0 0 . chr1 2540930 2540931 chr1:2540931:C:T rs140280658 C T . EBF1_EBF_1 -8 0 - 0 0 . chr1 2548034 2548035 chr1:2548035:G:T rs2494617 G T . EBF1_EBF_1 5 1 - 8.416785455380143 1.5119043027449743 CTCCCCCTGGGAAA chr1 2551830 2551831 chr1:2551831:T:C rs3748825 T C . EBF1_EBF_1 16 0 + 0 0 . chr1 2556223 2556224 chr1:2556224:C:A rs2227312 C A . EBF1_EBF_1 8 1 - 3.4759322846881555 -3.41550050428904 GCTCCCCGGGGCGC chr1 2556672 2556673 chr1:2556673:T:C rs11573967 T C . EBF1_EBF_1 -19 0 + 0 0 . chr1 2556713 2556714 chr1:2556714:A:G rs4870 A G . EBF1_EBF_1 22 0 + 0 0 . chr1 2562009 2562010 chr1:2562010:A:G rs977267085 A G . EBF1_EBF_1 1 1 - 6.642118842029364 5.746685401630618 TTCCCCCAGGGAGG chr1 2564851 2564852 chr1:2564852:C:A rs111221469 C A . EBF1_EBF_1 30 0 + 0 0 . chr1 2565209 2565210 chr1:2565210:C:G rs7544646 C G . EBF1_EBF_1 24 0 - 0 0 . chr1 2565213 2565214 chr1:2565214:A:G rs7515633 A G . EBF1_EBF_1 20 0 - 0 0 . chr1 2581776 2581777 chr1:2581777:C:T rs734999 C T . EBF1_EBF_1 22 0 + 0 0 . chr1 2585666 2585667 chr1:2585667:C:T rs76560790 C T . EBF1_EBF_1 6 1 + 5.409962959656013 5.71124908851777 ACCCCCCCGGGGCC chr1 2589087 2589088 chr1:2589088:T:C rs6670198 T C C EBF1_EBF_1 15 0 - 0 0 . chr1 2589380 2589381 chr1:2589381:G:A rs149857424 G A G EBF1_EBF_1 0 1 + 8.779264702348307 10.67154857191314 GGCCCCATGGGACC chr1 2593712 2593713 chr1:2593713:T:C rs142405151 T C T EBF1_EBF_1 32 0 + 0 0 . chr1 2597657 2597658 chr1:2597658:T:C rs2843403 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 2604318 2604319 chr1:2604319:A:G rs4648652 A G G EBF1_EBF_1 22 0 - 0 0 . chr1 2632641 2632642 chr1:2632642:G:T rs116497823 G T G EBF1_EBF_1 -16 0 - 0 0 . chr1 2643147 2643148 chr1:2643148:G:A rs146547786 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 2643174 2643175 chr1:2643175:T:G rs557731453 T G T EBF1_EBF_1 7 1 + 4.938096997684805 4.101912832483537 ACTCCCCTGAGCCC chr1 2653107 2653108 chr1:2653108:C:T rs373550866 C T - EBF1_EBF_1 24 0 + 0 0 . chr1 2684252 2684253 chr1:2684253:G:A rs55820325 G A - EBF1_EBF_1 19 0 + 0 0 . chr1 2688219 2688220 chr1:2688220:C:A rs551993976 C A - EBF1_EBF_1 13 1 + 8.256956808464507 7.8832113591785316 ACCCCCAGGGGAGC chr1 2698502 2698503 chr1:2698503:G:A rs77151883 G A - EBF1_EBF_1 18 0 + 0 0 . chr1 2752048 2752049 chr1:2752049:C:A rs1414381638 C A - EBF1_EBF_1 1 1 + 4.600085004218519 4.5291578268664345 ACCCCCAGGCGAAC chr1 2752060 2752061 chr1:2752061:C:A rs1246473127 C A - EBF1_EBF_1 13 1 + 4.600085004218519 4.226339554932543 ACCCCCAGGCGAAC chr1 2752278 2752279 chr1:2752279:A:C rs1385169346 A C - EBF1_EBF_1 -10 0 + 0 0 . chr1 2785806 2785807 chr1:2785807:G:A rs10910011 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 2786029 2786030 chr1:2786030:G:A rs140362845 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 2786901 2786902 chr1:2786902:C:T rs74047781 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 2786920 2786921 chr1:2786921:G:C rs59309066 G C G EBF1_EBF_1 2 1 - 5.867642662640781 1.008140383882462 CTCCCCCTGGGGCC chr1 2789590 2789591 chr1:2789591:T:A rs370237500 T A T EBF1_EBF_1 2 1 + 4.655442338187894 0.9709747505890176 CATCCCACGGGCCT chr1 2811238 2811239 chr1:2811239:C:T rs10909880 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 2814968 2814969 chr1:2814969:T:A rs139569880 T A t EBF1_EBF_1 6 1 - 5.136923964701742 5.076607725762221 CTTCCCATGGGCAG chr1 2833048 2833049 chr1:2833049:G:A rs10797389 G A g EBF1_EBF_1 23 0 - 0 0 . chr1 2854760 2854761 chr1:2854761:C:G rs67868939 C G G EBF1_EBF_1 -9 0 + 0 0 . chr1 2862831 2862832 chr1:2862832:C:G rs78057964 C G C EBF1_EBF_1 -1 0 - 0 0 . chr1 2868050 2868051 chr1:2868051:A:G rs7546616 A G A EBF1_EBF_1 33 0 - 0 0 . chr1 2868100 2868101 chr1:2868101:C:T rs189218158 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 2876666 2876667 chr1:2876667:A:G rs12063033 A G G EBF1_EBF_1 22 0 - 0 0 . chr1 2882420 2882421 chr1:2882421:A:G rs114982359 A G A EBF1_EBF_1 29 0 + 0 0 . chr1 2906376 2906377 chr1:2906377:C:T rs376586660 C T C EBF1_EBF_1 4 1 + 3.6955656412563123 -0.6738213036886116 GTCCCCCAGGGCCG chr1 2911727 2911728 chr1:2911728:C:T rs114116333 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 2923786 2923787 chr1:2923787:A:G rs1078464 A G G EBF1_EBF_1 -17 0 + 0 0 . chr1 2923799 2923800 chr1:2923800:T:C rs1078463 T C C EBF1_EBF_1 -4 0 + 0 0 . chr1 2924359 2924360 chr1:2924360:C:G rs4648353 C G G EBF1_EBF_1 29 0 + 0 0 . chr1 2946549 2946550 chr1:2946550:T:C rs4648442 T C C EBF1_EBF_1 -14 0 + 0 0 . chr1 2972481 2972482 chr1:2972482:C:T rs71634305 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 2973141 2973142 chr1:2973142:T:C rs192552261 T C T EBF1_EBF_1 6 1 - 6.310273297894869 4.143478866620395 CCTCCCAAGGGGGC chr1 2973303 2973304 chr1:2973304:G:A rs115162032 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 2980816 2980817 chr1:2980817:G:A rs566897103 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 2982923 2982924 chr1:2982924:T:C rs12046140 T C C EBF1_EBF_1 28 0 - 0 0 . chr1 2988416 2988417 chr1:2988417:G:A rs927348927 G A G EBF1_EBF_1 13 1 + 4.449956260564635 5.564281832634074 TCTCCCCTGGGTCG chr1 2988423 2988424 chr1:2988424:T:C rs148454990 T C T EBF1_EBF_1 20 0 + 0 0 . chr1 3000895 3000896 chr1:3000896:C:G rs10797374 C G C EBF1_EBF_1 -17 0 - 0 0 . chr1 3000898 3000899 chr1:3000899:C:T rs10797375 C T T EBF1_EBF_1 -20 0 - 0 0 . chr1 3004881 3004882 chr1:3004882:G:A chr1:3004882:G:A G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 3004881 3004882 chr1:3004882:G:C rs72629472 G C G EBF1_EBF_1 -18 0 + 0 0 . chr1 3017288 3017289 chr1:3017289:C:A rs111931079 C A C EBF1_EBF_1 -13 0 + 0 0 . chr1 3022424 3022425 chr1:3022425:G:A rs3795263 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 3028106 3028107 chr1:3028107:T:A rs57893585 T A T EBF1_EBF_1 -18 0 - 0 0 . chr1 3028843 3028844 chr1:3028844:C:A rs115462379 C A C EBF1_EBF_1 12 1 - 5.756530777679721 6.118900150807023 GACCCCCAGGGAGG chr1 3029217 3029218 chr1:3029218:A:C rs371549660 A C - EBF1_EBF_1 11 1 + 6.194310683870324 1.7783489860179338 GACCCCAGGGGACG chr1 3053718 3053719 chr1:3053719:A:T rs56298939 A T A EBF1_EBF_1 12 1 + 4.242862770633485 3.186414801661309 GCTCCCCTGGGCAG chr1 3062330 3062331 chr1:3062331:C:T rs41315258 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 3065674 3065675 chr1:3065675:A:G rs561538269 A G A EBF1_EBF_1 26 0 - 0 0 . chr1 3066298 3066299 chr1:3066299:G:A rs75889327 G A G EBF1_EBF_1 8 1 + 8.431143303079542 4.204853214193281 TTCCCCACGGGACT chr1 3072978 3072979 chr1:3072979:T:G rs1572656 T G T EBF1_EBF_1 11 1 - 5.087061400111137 0.6710997022587478 TGTCCCCAAGGAGC chr1 3079777 3079778 chr1:3079778:T:C rs2742688 T C T EBF1_EBF_1 -16 0 - 0 0 . chr1 3082459 3082460 chr1:3082460:G:C rs11578424 G C G EBF1_EBF_1 -11 0 + 0 0 . chr1 3082503 3082504 chr1:3082504:T:G rs2981886 T G G EBF1_EBF_1 33 0 + 0 0 . chr1 3095809 3095810 chr1:3095810:C:G rs4648362 C G C EBF1_EBF_1 9 1 - 7.244934259353877 2.1692451130084143 AGCCCCCAGGGCAT chr1 3097829 3097830 chr1:3097830:A:G rs2142570 A G G EBF1_EBF_1 -7 0 - 0 0 . chr1 3099522 3099523 chr1:3099523:C:T rs75724617 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 3100593 3100594 chr1:3100594:G:A rs72630937 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 3106150 3106151 chr1:3106151:T:C rs2993496 T C T EBF1_EBF_1 32 0 - 0 0 . chr1 3116000 3116001 chr1:3116001:G:C rs2981865 G C G EBF1_EBF_1 -9 0 + 0 0 . chr1 3119512 3119513 chr1:3119513:C:T rs72846097 C T C EBF1_EBF_1 4 1 + 5.755925536675255 1.3865385917303312 CACCCCCAGGGATG chr1 3132797 3132798 chr1:3132798:C:T rs12024620 C T C EBF1_EBF_1 6 1 + 12.912741350767792 13.214027479629548 ATTCCCCGGGGACT chr1 3132816 3132817 chr1:3132817:G:C rs12021948 G C G EBF1_EBF_1 25 0 + 0 0 . chr1 3142349 3142350 chr1:3142350:G:A rs12120884 G A G EBF1_EBF_1 7 1 - 4.2409963082524875 6.05850105453511 GCACCCCCGGGACA chr1 3142356 3142357 chr1:3142357:C:T rs12123885 C T C EBF1_EBF_1 0 1 - 4.2409963082524875 6.133280177817321 GCACCCCCGGGACA chr1 3172808 3172809 chr1:3172809:G:A rs78246987 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 3190562 3190563 chr1:3190563:T:G rs550877718 T G T EBF1_EBF_1 29 0 - 0 0 . chr1 3197807 3197808 chr1:3197808:G:A rs72630991 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 3203589 3203590 chr1:3203590:C:G rs10909898 C G C EBF1_EBF_1 -1 0 - 0 0 . chr1 3204931 3204932 chr1:3204932:G:A rs777176707 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 3211503 3211504 chr1:3211504:G:A rs77386799 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 3218418 3218419 chr1:3218419:C:T rs6681876 C T c EBF1_EBF_1 16 0 + 0 0 . chr1 3226819 3226820 chr1:3226820:G:T rs2651888 G T T EBF1_EBF_1 -8 0 - 0 0 . chr1 3240720 3240721 chr1:3240721:G:A rs2455113 G A G EBF1_EBF_1 10 1 + 3.847112815018387 -1.4709156442485796 GCCCCCCTGGGGCG chr1 3243509 3243510 chr1:3243510:C:T rs2455145 C T C EBF1_EBF_1 0 1 - 6.803219233855611 8.695503103420444 GGTCCCAGGAGACA chr1 3247982 3247983 chr1:3247983:C:T rs58814261 C T G EBF1_EBF_1 -10 0 + 0 0 . chr1 3261851 3261852 chr1:3261852:A:G rs2455103 A G G EBF1_EBF_1 -18 0 - 0 0 . chr1 3262285 3262286 chr1:3262286:A:G rs2455104 A G A EBF1_EBF_1 -12 0 + 0 0 . chr1 3263593 3263594 chr1:3263594:T:G rs2455107 T G G EBF1_EBF_1 -4 0 - 0 0 . chr1 3269755 3269756 chr1:3269756:G:A rs36020806 G A - EBF1_EBF_1 28 0 - 0 0 . chr1 3269790 3269791 chr1:3269791:T:C rs34534631 T C - EBF1_EBF_1 -7 0 - 0 0 . chr1 3270044 3270045 chr1:3270045:T:A rs35838176 T A a EBF1_EBF_1 -18 0 + 0 0 . chr1 3271940 3271941 chr1:3271941:C:T rs2455124 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 3271956 3271957 chr1:3271957:C:T rs761654009 C T C EBF1_EBF_1 5 1 + 6.702921503982351 1.381134288441681 ATTGCCCTGGGACA chr1 3276733 3276734 chr1:3276734:T:C rs35617169 T C C EBF1_EBF_1 -15 0 + 0 0 . chr1 3294893 3294894 chr1:3294894:A:C rs4648377 A C C EBF1_EBF_1 17 0 - 0 0 . chr1 3305272 3305273 chr1:3305273:C:T rs10909923 C T c EBF1_EBF_1 16 0 - 0 0 . chr1 3307199 3307200 chr1:3307200:A:G rs4648473 A G G EBF1_EBF_1 -11 0 - 0 0 . chr1 3313694 3313695 chr1:3313695:C:T rs569935580 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 3313702 3313703 chr1:3313703:C:T rs112301226 C T C EBF1_EBF_1 32 0 + 0 0 . chr1 3328025 3328026 chr1:3328026:G:A rs115602064 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 3346938 3346939 chr1:3346939:C:T rs2500258 C T C EBF1_EBF_1 19 0 - 0 0 . chr1 3349238 3349239 chr1:3349239:T:C rs72849616 T C C EBF1_EBF_1 -10 0 + 0 0 . chr1 3351195 3351196 chr1:3351196:C:T rs538129821 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 3351839 3351840 chr1:3351840:C:T rs142746427 C T T EBF1_EBF_1 -4 0 + 0 0 . chr1 3362759 3362760 chr1:3362760:A:G rs7524171 A G A EBF1_EBF_1 -11 0 + 0 0 . chr1 3389744 3389745 chr1:3389745:C:T rs74711221 C T C EBF1_EBF_1 0 1 - 6.549413122381766 8.4416969919466 GCTCCCCAGAGAGC chr1 3394186 3394187 chr1:3394187:A:G rs922891255 A G A EBF1_EBF_1 -15 0 + 0 0 . chr1 3395559 3395560 chr1:3395560:G:A rs72633316 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 3395871 3395872 chr1:3395872:C:T rs10909937 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 3399448 3399449 chr1:3399449:C:G rs7551733 C G C EBF1_EBF_1 -13 0 - 0 0 . chr1 3403499 3403500 chr1:3403500:A:G rs6669407 A G G EBF1_EBF_1 -16 0 + 0 0 . chr1 3403911 3403912 chr1:3403912:G:A rs6657675 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 3424102 3424103 chr1:3424103:A:G rs2483234 A G G EBF1_EBF_1 -15 0 + 0 0 . chr1 3427495 3427496 chr1:3427496:G:T rs56196310 G T T EBF1_EBF_1 1 1 + 7.655006938664261 8.360668724493788 AGCCCCCTGAGACC chr1 3428639 3428640 chr1:3428640:G:A rs755172435 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 3428651 3428652 chr1:3428652:T:C rs1572039 T C T EBF1_EBF_1 -20 0 - 0 0 . chr1 3432513 3432514 chr1:3432514:G:A rs2483244 G A A EBF1_EBF_1 7 1 - 8.48810412053967 10.305608866822293 CTTCCCCCGGGAAA chr1 3455390 3455391 chr1:3455391:G:A rs76910657 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 3455416 3455417 chr1:3455417:G:C rs55858614 G C G EBF1_EBF_1 10 1 + 5.9231126496370825 -0.967689737692392 TCTCCCCTGAGAAG chr1 3460264 3460265 chr1:3460265:G:T rs115067715 G T G EBF1_EBF_1 -14 0 + 0 0 . chr1 3460723 3460724 chr1:3460724:G:A rs12568681 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 3462820 3462821 chr1:3462821:A:C rs2493255 A C A EBF1_EBF_1 -7 0 - 0 0 . chr1 3464924 3464925 chr1:3464925:C:T rs11578350 C T C EBF1_EBF_1 2 1 - 5.248529189299631 8.312387291901222 ACGCCCATGGGACA chr1 3464925 3464926 chr1:3464926:G:A rs2493252 G A G EBF1_EBF_1 1 1 - 5.248529189299631 6.143962629698376 ACGCCCATGGGACA chr1 3465133 3465134 chr1:3465134:G:A rs12562167 G A A EBF1_EBF_1 -3 0 - 0 0 . chr1 3467268 3467269 chr1:3467269:A:G rs539031903 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 3480497 3480498 chr1:3480498:G:A rs56309807 G A G EBF1_EBF_1 7 1 + 6.794167392316699 8.106625797605524 GTTCCCCGAGGACT chr1 3489632 3489633 chr1:3489633:C:T rs76972514 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 3495401 3495402 chr1:3495402:T:C rs2821034 T C C EBF1_EBF_1 -20 0 + 0 0 . chr1 3501983 3501984 chr1:3501984:C:A rs542097769 C A C EBF1_EBF_1 2 1 + 7.470613078044436 5.674968901887708 TTCCCCCAGGGGCT chr1 3502000 3502001 chr1:3502001:T:C rs2821030 T C C EBF1_EBF_1 19 0 + 0 0 . chr1 3521628 3521629 chr1:3521629:G:A rs11587384 G A G EBF1_EBF_1 16 0 + 0 0 . chr1 3529697 3529698 chr1:3529698:G:A rs759256755 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 3529732 3529733 chr1:3529733:T:A rs117361977 T A t EBF1_EBF_1 24 0 + 0 0 . chr1 3529946 3529947 chr1:3529947:C:T rs4648520 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 3530430 3530431 chr1:3530431:C:T rs11811714 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 3530711 3530712 chr1:3530712:G:A rs4648393 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 3530713 3530714 chr1:3530714:G:A rs75413213 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 3532302 3532303 chr1:3532303:C:A rs55762450 C A C EBF1_EBF_1 3 1 + 5.604142892341579 -1.302031137124228 AGTCCCCAGAGCCC chr1 3537242 3537243 chr1:3537243:C:A rs66681094 C A C EBF1_EBF_1 21 0 - 0 0 . chr1 3543078 3543079 chr1:3543079:C:T rs78031257 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 3543086 3543087 chr1:3543087:C:T rs74558816 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 3548352 3548353 chr1:3548353:C:T rs79336837 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 3548387 3548388 chr1:3548388:G:T rs532227254 G T G EBF1_EBF_1 28 0 + 0 0 . chr1 3554304 3554305 chr1:3554305:T:G rs1557089 T G G EBF1_EBF_1 13 1 - 6.042447634397511 6.416193083683487 ATCCCCCAGCGACA chr1 3565371 3565372 chr1:3565372:C:A rs78000012 C A C EBF1_EBF_1 5 1 + 4.133828369809214 -2.7710527828259552 AACCCCCCGGGTCC chr1 3573061 3573062 chr1:3573062:C:G rs7533029 C G - EBF1_EBF_1 -12 0 - 0 0 . chr1 3573586 3573587 chr1:3573587:A:G rs6424084 A G G EBF1_EBF_1 -11 0 - 0 0 . chr1 3574907 3574908 chr1:3574908:A:G rs2153708 A G A EBF1_EBF_1 11 1 + 7.209820110876289 4.317113267272278 CCTCCCAAAGGACT chr1 3578155 3578156 chr1:3578156:G:A rs2794346 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 3580660 3580661 chr1:3580661:G:A rs2821049 G A a EBF1_EBF_1 -4 0 + 0 0 . chr1 3598727 3598728 chr1:3598728:C:G rs77696155 C G c EBF1_EBF_1 4 1 + 3.154704475959411 -2.4710606251901335 CCCCCCCCGGGGCC chr1 3601850 3601851 chr1:3601851:G:A rs12410528 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 3606229 3606230 chr1:3606230:G:A rs116611577 G A G EBF1_EBF_1 10 1 + 5.414533930764599 0.09650547149763329 CCTCTCCTGGGAAC chr1 3609749 3609750 chr1:3609750:A:G rs150153798 A G A EBF1_EBF_1 -11 0 + 0 0 . chr1 3611972 3611973 chr1:3611973:C:A rs57902798 C A C EBF1_EBF_1 20 0 - 0 0 . chr1 3617958 3617959 chr1:3617959:A:G rs4648532 A G A EBF1_EBF_1 19 0 - 0 0 . chr1 3621262 3621263 chr1:3621263:G:C rs544148600 G C G EBF1_EBF_1 -4 0 - 0 0 . chr1 3624878 3624879 chr1:3624879:A:G chr1:3624879:A:G A G A EBF1_EBF_1 -20 0 + 0 0 . chr1 3624889 3624890 chr1:3624890:A:G chr1:3624890:A:G A G A EBF1_EBF_1 -9 0 + 0 0 . chr1 3630472 3630473 chr1:3630473:G:C rs34946124 G C g EBF1_EBF_1 -16 0 + 0 0 . chr1 3630487 3630488 chr1:3630488:C:T rs75430370 C T C EBF1_EBF_1 -1 0 + 0 0 . chr1 3650043 3650044 chr1:3650044:G:T rs779333894 G T G EBF1_EBF_1 8 1 + 4.630906386278014 -2.260526402699181 AAACCCGCGGGACC chr1 3657854 3657855 chr1:3657855:T:A rs9662739 T A T EBF1_EBF_1 16 0 - 0 0 . chr1 3657855 3657856 chr1:3657856:C:A rs9662052 C A C EBF1_EBF_1 15 0 - 0 0 . chr1 3680659 3680660 chr1:3680660:G:A rs3765706 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 3683854 3683855 chr1:3683855:A:G rs3765709 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 3683868 3683869 chr1:3683869:A:C rs3765710 A C A EBF1_EBF_1 8 1 + 5.273871445611132 4.181502673582707 ACCCCCAAAGGAAG chr1 3688532 3688533 chr1:3688533:G:A rs1885872 G A a EBF1_EBF_1 6 1 - 5.16648171636473 5.467767845226488 ACTCACCGGGGATT chr1 3691662 3691663 chr1:3691663:A:G rs34868661 A G A EBF1_EBF_1 -9 0 - 0 0 . chr1 3697356 3697357 chr1:3697357:A:G rs35837097 A G G EBF1_EBF_1 29 0 + 0 0 . chr1 3700036 3700037 chr1:3700037:C:T rs3765736 C T C EBF1_EBF_1 10 1 - 9.320255094301302 4.002226635034337 CTTCCCGAGGGACC chr1 3713027 3713028 chr1:3713028:A:G rs3765758 A G G EBF1_EBF_1 31 0 - 0 0 . chr1 3719661 3719662 chr1:3719662:T:C rs747828 T C C EBF1_EBF_1 -9 0 - 0 0 . chr1 3729649 3729650 chr1:3729650:T:C rs1885866 T C C EBF1_EBF_1 22 0 + 0 0 . chr1 3732314 3732315 chr1:3732315:G:A rs4648553 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 3734753 3734754 chr1:3734754:A:G rs1181867 A G G EBF1_EBF_1 -19 0 + 0 0 . chr1 3742075 3742076 chr1:3742076:A:G rs1252151420 A G A EBF1_EBF_1 14 0 + 0 0 . chr1 3747135 3747136 chr1:3747136:G:A rs116606145 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 3755591 3755592 chr1:3755592:C:A rs4648555 C A C EBF1_EBF_1 17 0 + 0 0 . chr1 3762574 3762575 chr1:3762575:T:C rs1181879 T C t EBF1_EBF_1 -1 0 - 0 0 . chr1 3762581 3762582 chr1:3762582:G:A rs4648556 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 3772262 3772263 chr1:3772263:G:C rs150437326 G C G EBF1_EBF_1 18 0 - 0 0 . chr1 3772284 3772285 chr1:3772285:A:G rs115228421 A G G EBF1_EBF_1 -4 0 - 0 0 . chr1 3776608 3776609 chr1:3776609:C:T rs35568319 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 3793614 3793615 chr1:3793615:G:A rs9424285 G A G EBF1_EBF_1 8 1 + 5.1329518642712095 0.9066617753849484 CTTCCCCAGTGACT chr1 3801504 3801505 chr1:3801505:C:T rs6703539 C T C EBF1_EBF_1 6 1 + 7.580601290178874 7.881887419040632 CTCCCCCGGGGAAA chr1 3803758 3803759 chr1:3803759:C:A rs10909797 C A C EBF1_EBF_1 -3 0 - 0 0 . chr1 3803767 3803768 chr1:3803768:G:C rs12126299 G C C EBF1_EBF_1 -12 0 - 0 0 . chr1 3821649 3821650 chr1:3821650:T:C rs4648413 T C T EBF1_EBF_1 23 0 + 0 0 . chr1 3821767 3821768 chr1:3821768:A:G rs4648414 A G G EBF1_EBF_1 11 1 + 9.095948686287974 6.203241842683964 GCCCCCAAGGGAAC chr1 3825538 3825539 chr1:3825539:C:T rs9424309 C T T EBF1_EBF_1 8 1 - 5.195918159805434 0.9696280709191716 CTCCCCTCGGGGCT chr1 3827696 3827697 chr1:3827697:C:A rs7545274 C A C EBF1_EBF_1 28 0 - 0 0 . chr1 3827741 3827742 chr1:3827742:T:C rs12727043 T C T EBF1_EBF_1 -17 0 - 0 0 . chr1 3831948 3831949 chr1:3831949:G:T rs7535133 G T G EBF1_EBF_1 0 1 - 8.334011128906674 10.589269612603271 CTTCCCTGGAGAAT chr1 3869959 3869960 chr1:3869960:C:T rs10909812 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 3881430 3881431 chr1:3881431:C:T rs4073324 C T c EBF1_EBF_1 -17 0 + 0 0 . chr1 3908960 3908961 chr1:3908961:C:T rs35383955 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 3912189 3912190 chr1:3912190:G:A rs35620403 G A G EBF1_EBF_1 0 1 + 5.406944590257184 7.299228459822017 GCTCCCAAGAGGCC chr1 3912595 3912596 chr1:3912596:C:T rs34825671 C T C EBF1_EBF_1 1 1 + 5.722508630967967 6.617942071366713 TCTCCCCAGGGCCA chr1 3914667 3914668 chr1:3914668:A:G rs35241263 A G G EBF1_EBF_1 -4 0 - 0 0 . chr1 3914675 3914676 chr1:3914676:C:T rs114272920 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 3914722 3914723 chr1:3914723:G:A rs549051185 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 3945100 3945101 chr1:3945101:A:G rs10915614 A G A EBF1_EBF_1 6 1 - 8.103584966739971 7.8022988378782125 CACCCCTGGGGACT chr1 3945117 3945118 chr1:3945118:G:A rs72641002 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 3946727 3946728 chr1:3946728:C:T rs7551771 C T T EBF1_EBF_1 33 0 - 0 0 . chr1 3956873 3956874 chr1:3956874:C:T rs4654493 C T T EBF1_EBF_1 -17 0 - 0 0 . chr1 3967050 3967051 chr1:3967051:C:T rs72850857 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 3988613 3988614 chr1:3988614:A:G rs4654544 A G g EBF1_EBF_1 -19 0 - 0 0 . chr1 3995169 3995170 chr1:3995170:T:C rs12040165 T C t EBF1_EBF_1 12 1 - 5.128551015551546 3.709733673452068 GCCCCCAGGAGAAC chr1 3997427 3997428 chr1:3997428:A:G rs12135298 A G A EBF1_EBF_1 13 1 - 4.582686780137267 3.7381926655517477 TCCCCCTCGGGGCT chr1 4000200 4000201 chr1:4000201:G:A rs79626672 G A A EBF1_EBF_1 27 0 - 0 0 . chr1 4000873 4000874 chr1:4000874:G:A rs57178507 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 4001336 4001337 chr1:4001337:A:C rs528602657 A C A EBF1_EBF_1 -1 0 + 0 0 . chr1 4001367 4001368 chr1:4001368:C:T rs12743513 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 4002371 4002372 chr1:4002372:C:T rs72629511 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 4002978 4002979 chr1:4002979:G:A rs4654449 G A A EBF1_EBF_1 9 1 + 4.207430668811794 1.5524914033641894 TCCCCCCAGGGCCC chr1 4005287 4005288 chr1:4005288:C:T rs12722968 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 4005295 4005296 chr1:4005296:C:T rs12028658 C T C EBF1_EBF_1 7 1 - 6.078049110518716 7.39050751580754 GTTCCCCGGGGTCC chr1 4021666 4021667 chr1:4021667:C:A rs181294643 C A C EBF1_EBF_1 3 1 + 4.356162396589276 -2.55001163287653 CCTCCCCAGGGCAG chr1 4023820 4023821 chr1:4023821:C:T rs75632185 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 4033388 4033389 chr1:4033389:C:T rs12041356 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 4048155 4048156 chr1:4048156:G:A rs529376766 G A G EBF1_EBF_1 12 1 - 6.238724821787857 5.212458251237007 GGCCCCGTGGGACA chr1 4048177 4048178 chr1:4048178:C:T rs147266011 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 4049485 4049486 chr1:4049486:C:T rs61768777 C T C EBF1_EBF_1 9 1 - 5.0903556758760775 2.435416410428474 GGTCCCCACGGACA chr1 4079969 4079970 chr1:4079970:C:A rs4436359 C A C EBF1_EBF_1 2 1 + 5.056801879182476 3.2611577030257486 AGCCCCCAGAGGTT chr1 4082574 4082575 chr1:4082575:C:T rs72849803 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 4082596 4082597 chr1:4082597:G:A rs12145850 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 4088915 4088916 chr1:4088916:G:A rs114447451 G A G EBF1_EBF_1 10 1 + 7.753308882577823 2.4352804233108563 GCCCCCAGGGGACC chr1 4090724 4090725 chr1:4090725:C:T rs12065003 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 4095780 4095781 chr1:4095781:A:G rs56329102 A G a EBF1_EBF_1 6 1 + 6.341457043953784 4.174662612679309 GTTCCCAGAGGAAC chr1 4095859 4095860 chr1:4095860:C:T rs58004045 C T C EBF1_EBF_1 7 1 + 6.394865087465206 8.212369833747827 ACTCCCTCGGGTCC chr1 4096057 4096058 chr1:4096058:C:G rs16840731 C G G EBF1_EBF_1 -16 0 + 0 0 . chr1 4104861 4104862 chr1:4104862:G:A rs61768818 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 4105682 4105683 chr1:4105683:C:T rs10399613 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 4110018 4110019 chr1:4110019:G:A rs115631479 G A G EBF1_EBF_1 13 1 - 5.969584814945489 6.814078929531007 CTTCCCAAGAGGAC chr1 4113397 4113398 chr1:4113398:C:G rs16840788 C G C EBF1_EBF_1 16 0 + 0 0 . chr1 4127891 4127892 chr1:4127892:T:C rs4654487 T C C EBF1_EBF_1 25 0 - 0 0 . chr1 4138640 4138641 chr1:4138641:T:C rs4654490 T C C EBF1_EBF_1 27 0 - 0 0 . chr1 4141288 4141289 chr1:4141289:G:A rs16840838 G A G EBF1_EBF_1 32 0 + 0 0 . chr1 4170989 4170990 chr1:4170990:G:A rs12067542 G A A EBF1_EBF_1 -20 0 + 0 0 . chr1 4170991 4170992 chr1:4170992:A:G rs12073595 A G G EBF1_EBF_1 -18 0 + 0 0 . chr1 4211915 4211916 chr1:4211916:G:A rs10915472 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 4267473 4267474 chr1:4267474:T:C rs74049130 T C T EBF1_EBF_1 -12 0 + 0 0 . chr1 4281373 4281374 chr1:4281374:C:T rs17416446 C T C EBF1_EBF_1 7 1 + 4.886352130810429 6.7038568770930524 AGACCCCCGAGACT chr1 4298465 4298466 chr1:4298466:A:G rs61769410 A G A EBF1_EBF_1 19 0 + 0 0 . chr1 4298746 4298747 chr1:4298747:G:C rs10753377 G C C EBF1_EBF_1 -19 0 - 0 0 . chr1 4305253 4305254 chr1:4305254:G:A rs72631061 G A G EBF1_EBF_1 12 1 - 9.461618957425896 8.435352386875048 AGCCCCAGGGGACA chr1 4307749 4307750 chr1:4307750:C:T rs17448695 C T T EBF1_EBF_1 29 0 - 0 0 . chr1 4319897 4319898 chr1:4319898:A:T rs351598 A T A EBF1_EBF_1 31 0 - 0 0 . chr1 4344578 4344579 chr1:4344579:C:A rs670174 C A A EBF1_EBF_1 25 0 + 0 0 . chr1 4356706 4356707 chr1:4356707:A:G rs349397 A G G EBF1_EBF_1 -9 0 - 0 0 . chr1 4395065 4395066 chr1:4395066:G:T rs55703746 G T G EBF1_EBF_1 14 0 - 0 0 . chr1 4399235 4399236 chr1:4399236:A:G rs349398 A G G EBF1_EBF_1 13 1 + 5.256020774945648 4.141695202876209 AGTCCCCAGGTGAA chr1 4400419 4400420 chr1:4400420:C:G rs349399 C G G EBF1_EBF_1 30 0 + 0 0 . chr1 4402936 4402937 chr1:4402937:C:G rs12046613 C G C EBF1_EBF_1 24 0 + 0 0 . chr1 4433627 4433628 chr1:4433628:C:T rs1565452 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 4441093 4441094 chr1:4441094:C:T rs6674190 C T T EBF1_EBF_1 29 0 - 0 0 . chr1 4454392 4454393 chr1:4454393:G:A rs11584026 G A g EBF1_EBF_1 -14 0 + 0 0 . chr1 4488392 4488393 chr1:4488393:A:G rs705687 A G G EBF1_EBF_1 1 1 + 7.110596125116228 7.37129495703753 AATCCTAAGGGAAA chr1 4506454 4506455 chr1:4506455:A:G rs4654441 A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 4508781 4508782 chr1:4508782:T:C rs780570 T C c EBF1_EBF_1 23 0 + 0 0 . chr1 4510921 4510922 chr1:4510922:C:T rs4654552 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 4513625 4513626 chr1:4513626:C:A rs1710419 C A C EBF1_EBF_1 33 0 + 0 0 . chr1 4517540 4517541 chr1:4517541:C:T rs12406566 C T C EBF1_EBF_1 9 1 - 10.456793181017527 7.801853915569926 TTTCCCAGGGGACC chr1 4517566 4517567 chr1:4517567:G:T rs12033789 G T G EBF1_EBF_1 -17 0 - 0 0 . chr1 4517567 4517568 chr1:4517568:C:T rs12036603 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 4527030 4527031 chr1:4527031:C:T rs35008308 C T C EBF1_EBF_1 9 1 - 3.7380995748121695 1.083160309364565 GCTCCCAGGGGCCG chr1 4554761 4554762 chr1:4554762:C:T rs241257 C T T EBF1_EBF_1 -2 0 - 0 0 . chr1 4584559 4584560 chr1:4584560:G:T rs77312244 G T G EBF1_EBF_1 6 1 - 10.409014536790762 10.770616904592039 GGTCCCCAGGGACA chr1 4588768 4588769 chr1:4588769:C:T rs549918 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 4588801 4588802 chr1:4588802:C:T rs549150 C T T EBF1_EBF_1 30 0 + 0 0 . chr1 4596988 4596989 chr1:4596989:T:C rs526695 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 4597135 4597136 chr1:4597136:C:T rs524982 C T C EBF1_EBF_1 4 1 + 11.074507831961272 6.705120887016349 CCTCCCCAGGGACT chr1 4651240 4651241 chr1:4651241:C:T rs12405862 C T C EBF1_EBF_1 13 1 + 6.36424094525669 7.208735059842208 GTTCCCCAGGGTTC chr1 4666471 4666472 chr1:4666472:G:A rs12565906 G A G EBF1_EBF_1 3 1 - 9.231852059559147 2.8264533180058304 ACTCCCCAGGGTCT chr1 4666491 4666492 chr1:4666492:G:C rs72857936 G C G EBF1_EBF_1 -17 0 - 0 0 . chr1 4698260 4698261 chr1:4698261:C:G rs6661745 C G C EBF1_EBF_1 -12 0 + 0 0 . chr1 4698266 4698267 chr1:4698267:C:T rs12121882 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 4700351 4700352 chr1:4700352:T:A rs113855348 T A T EBF1_EBF_1 -19 0 - 0 0 . chr1 4706142 4706143 chr1:4706143:G:A rs192182878 G A G EBF1_EBF_1 6 1 - 5.586698663599414 5.887984792461172 CTTCCCCGAGGACC chr1 4706678 4706679 chr1:4706679:C:T rs35923204 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 4712656 4712657 chr1:4712657:G:A rs242056 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 4738237 4738238 chr1:4738238:C:T rs12136473 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 4743053 4743054 chr1:4743054:G:A rs61766965 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 4770203 4770204 chr1:4770204:C:T rs383231 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 4770724 4770725 chr1:4770725:A:G rs395467 A G G EBF1_EBF_1 -10 0 + 0 0 . chr1 4773313 4773314 chr1:4773314:T:G rs10915598 T G T EBF1_EBF_1 28 0 + 0 0 . chr1 4831675 4831676 chr1:4831676:C:T rs2025378 C T C EBF1_EBF_1 0 1 - 7.263541452091044 9.155825321655877 GTCCCCTCGGGAAA chr1 4838412 4838413 chr1:4838413:T:C rs79287601 T C T EBF1_EBF_1 -7 0 - 0 0 . chr1 4909852 4909853 chr1:4909853:G:A rs10737415 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 4909881 4909882 chr1:4909882:T:C rs10753394 T C T EBF1_EBF_1 -7 0 - 0 0 . chr1 4911762 4911763 chr1:4911763:G:A rs10915667 G A G EBF1_EBF_1 3 1 - 6.228846646928791 -0.17655209462452492 CCTCTCCTGGGACT chr1 4931357 4931358 chr1:4931358:A:G rs10753396 A G G EBF1_EBF_1 24 0 - 0 0 . chr1 4932523 4932524 chr1:4932524:A:G rs191741292 A G G EBF1_EBF_1 -6 0 - 0 0 . chr1 4932620 4932621 chr1:4932621:C:T rs6675977 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 4961730 4961731 chr1:4961731:A:G rs72634191 A G G EBF1_EBF_1 11 1 + 10.774077362714722 7.881370519110712 AGCCCCAAGGGACA chr1 4963328 4963329 chr1:4963329:A:G rs72634198 A G G EBF1_EBF_1 2 1 + 6.994886728509391 3.9310286259078 CTACCCCTGGGAAC chr1 4963351 4963352 chr1:4963352:A:G rs72634199 A G G EBF1_EBF_1 25 0 + 0 0 . chr1 4972960 4972961 chr1:4972961:C:T rs11585724 C T C EBF1_EBF_1 5 1 + 5.956995784954988 0.6352085694143175 ATCCCCGTGGGTCT chr1 4972978 4972979 chr1:4972979:G:C rs56016205 G C G EBF1_EBF_1 23 0 + 0 0 . chr1 4976441 4976442 chr1:4976442:G:T rs12126016 G T G EBF1_EBF_1 29 0 + 0 0 . chr1 4977751 4977752 chr1:4977752:A:G rs6666330 A G A EBF1_EBF_1 1 1 - 6.560610418009004 5.66517697761026 ATTCCCCCGGTAAA chr1 4980690 4980691 chr1:4980691:A:G rs72635334 A G G EBF1_EBF_1 -6 0 - 0 0 . chr1 4983673 4983674 chr1:4983674:C:A rs1563791 C A C EBF1_EBF_1 -6 0 + 0 0 . chr1 4984439 4984440 chr1:4984440:A:G rs12131010 A G G EBF1_EBF_1 17 0 - 0 0 . chr1 4991649 4991650 chr1:4991650:C:T rs6683624 C T C EBF1_EBF_1 2 1 + 4.823906549117457 6.712729960559607 AGCCCCCAGTGAAT chr1 5010346 5010347 chr1:5010347:A:G rs10915312 A G G EBF1_EBF_1 -9 0 + 0 0 . chr1 5045262 5045263 chr1:5045263:G:A rs145096750 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 5242987 5242988 chr1:5242988:C:T rs2945616 C T T EBF1_EBF_1 -14 0 + 0 0 . chr1 5244022 5244023 chr1:5244023:T:C rs473685 T C C EBF1_EBF_1 18 0 - 0 0 . chr1 5250197 5250198 chr1:5250198:T:C rs4465222 T C C EBF1_EBF_1 -13 0 - 0 0 . chr1 5267255 5267256 chr1:5267256:T:C rs79689786 T C T EBF1_EBF_1 2 1 + 5.260642676861303 3.371819265419152 CTTCCCAAGAGCCT chr1 5267277 5267278 chr1:5267278:T:G rs631837 T G T EBF1_EBF_1 24 0 + 0 0 . chr1 5269011 5269012 chr1:5269012:T:C rs75756953 T C T EBF1_EBF_1 -5 0 + 0 0 . chr1 5269120 5269121 chr1:5269121:A:G rs552154 A G G EBF1_EBF_1 -15 0 + 0 0 . chr1 5269154 5269155 chr1:5269155:A:G rs694316 A G G EBF1_EBF_1 19 0 + 0 0 . chr1 5269158 5269159 chr1:5269159:A:C rs574063 A C C EBF1_EBF_1 23 0 + 0 0 . chr1 5279470 5279471 chr1:5279471:A:G rs66495077 A G A EBF1_EBF_1 29 0 - 0 0 . chr1 5288398 5288399 chr1:5288399:G:C rs4622076 G C C EBF1_EBF_1 -6 0 - 0 0 . chr1 5288407 5288408 chr1:5288408:A:C rs4529718 A C A EBF1_EBF_1 -15 0 - 0 0 . chr1 5297110 5297111 chr1:5297111:A:C rs9439550 A C C EBF1_EBF_1 27 0 - 0 0 . chr1 5336056 5336057 chr1:5336057:A:G rs79967748 A G A EBF1_EBF_1 7 1 - 6.838463234284156 5.020958488001533 AGTCCCTTGGAAAA chr1 5386700 5386701 chr1:5386701:G:T rs36196981 G T G EBF1_EBF_1 24 0 + 0 0 . chr1 5405066 5405067 chr1:5405067:A:G rs61763342 A G A EBF1_EBF_1 11 1 + 7.8022988378782125 4.9095919942742015 CACCCCCGGGGACT chr1 5414842 5414843 chr1:5414843:A:G rs113332998 A G G EBF1_EBF_1 7 1 + 6.73235648131191 5.4198980760230855 ATCCCCCAGGGCAA chr1 5419800 5419801 chr1:5419801:C:A rs3924260 C A C EBF1_EBF_1 5 1 + 5.926453815593673 -0.978427337041496 ACTCCCTGGAGGCC chr1 5491378 5491379 chr1:5491379:C:A rs11260699 C A C EBF1_EBF_1 -13 0 + 0 0 . chr1 5495840 5495841 chr1:5495841:T:C rs10907220 T C C EBF1_EBF_1 -14 0 - 0 0 . chr1 5496006 5496007 chr1:5496007:A:G rs2071959 A G A EBF1_EBF_1 -20 0 - 0 0 . chr1 5496889 5496890 chr1:5496890:A:T rs1034455 A T T EBF1_EBF_1 -17 0 - 0 0 . chr1 5505474 5505475 chr1:5505475:G:A rs11260631 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 5510020 5510021 chr1:5510021:C:G rs560753465 C G C EBF1_EBF_1 6 1 + 4.870266042615677 3.0650739791424786 GGTCCCCGAGGACA chr1 5510082 5510083 chr1:5510083:C:T chr1:5510083:C:T C T C EBF1_EBF_1 7 1 - 7.751967942886926 9.06442634817575 AACCCCTGGGGAGA chr1 5510087 5510088 chr1:5510088:G:T rs1209952211 G T G EBF1_EBF_1 2 1 - 7.751967942886926 5.956323766730199 AACCCCTGGGGAGA chr1 5535873 5535874 chr1:5535874:G:A rs12085938 G A G EBF1_EBF_1 8 1 - 4.886113657793592 3.3133397297310836 CTTCCCAGCGGAAC chr1 5552895 5552896 chr1:5552896:C:T rs1418632 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 5585368 5585369 chr1:5585369:A:G rs4845803 A G G EBF1_EBF_1 -19 0 + 0 0 . chr1 5585382 5585383 chr1:5585383:G:A rs4845804 G A A EBF1_EBF_1 -5 0 + 0 0 . chr1 5616850 5616851 chr1:5616851:G:A rs145993525 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 5632095 5632096 chr1:5632096:C:T rs4845808 C T C EBF1_EBF_1 -10 0 + 0 0 . chr1 5649772 5649773 chr1:5649773:G:A rs709184 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 5652318 5652319 chr1:5652319:A:G rs57435943 A G A EBF1_EBF_1 -2 0 - 0 0 . chr1 5657491 5657492 chr1:5657492:C:A rs1763319 C A A EBF1_EBF_1 14 0 - 0 0 . chr1 5657521 5657522 chr1:5657522:A:T rs1763320 A T A EBF1_EBF_1 -16 0 - 0 0 . chr1 5687427 5687428 chr1:5687428:A:G rs770707 A G G EBF1_EBF_1 -18 0 + 0 0 . chr1 5690312 5690313 chr1:5690313:A:T rs77181634 A T A EBF1_EBF_1 16 0 - 0 0 . chr1 5691718 5691719 chr1:5691719:C:T rs2842268 C T T EBF1_EBF_1 -1 0 - 0 0 . chr1 5705086 5705087 chr1:5705087:C:T rs2842265 C T T EBF1_EBF_1 12 1 + 5.383958973408585 4.357692402857735 TGTCCCCAGGAACC chr1 5720222 5720223 chr1:5720223:C:T rs1540713 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 5724940 5724941 chr1:5724941:C:T rs2649082 C T C EBF1_EBF_1 10 1 - 5.075838657994834 -0.24218980127213113 AGCCCCAGGGGCAA chr1 5724955 5724956 chr1:5724956:G:A rs79395100 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 5733927 5733928 chr1:5733928:C:T rs72851641 C T T EBF1_EBF_1 -18 0 - 0 0 . chr1 5742253 5742254 chr1:5742254:T:C rs74049455 T C T EBF1_EBF_1 30 0 - 0 0 . chr1 5742259 5742260 chr1:5742260:C:T rs12049339 C T C EBF1_EBF_1 24 0 - 0 0 . chr1 5746493 5746494 chr1:5746494:C:T rs924380 C T T EBF1_EBF_1 -18 0 + 0 0 . chr1 5770754 5770755 chr1:5770755:C:A rs4412595 C A A EBF1_EBF_1 31 0 + 0 0 . chr1 5773899 5773900 chr1:5773900:C:A rs113395916 C A C EBF1_EBF_1 -7 0 + 0 0 . chr1 5798305 5798306 chr1:5798306:A:G rs7532649 A G G EBF1_EBF_1 19 0 + 0 0 . chr1 5798368 5798369 chr1:5798369:C:T rs75883988 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 5819970 5819971 chr1:5819971:A:G rs74051308 A G A EBF1_EBF_1 -3 0 + 0 0 . chr1 5833431 5833432 chr1:5833432:T:C rs11121042 T C T EBF1_EBF_1 -4 0 + 0 0 . chr1 5835133 5835134 chr1:5835134:G:A rs12041519 G A G EBF1_EBF_1 3 1 - 8.437530377717925 2.0321316361646087 ACTCCCCTGGGCCT chr1 5844832 5844833 chr1:5844833:G:C rs74051382 G C G EBF1_EBF_1 20 0 + 0 0 . chr1 5844844 5844845 chr1:5844845:C:G rs1765721 C G G EBF1_EBF_1 32 0 + 0 0 . chr1 5856397 5856398 chr1:5856398:T:C rs12095710 T C C EBF1_EBF_1 -19 0 + 0 0 . chr1 5865310 5865311 chr1:5865311:G:A rs1287634 G A . EBF1_EBF_1 4 1 - 4.685732204444745 0.31634525949982156 AGTCCTCCGGGACA chr1 5867108 5867109 chr1:5867109:G:A rs113445782 G A . EBF1_EBF_1 9 1 + 4.7412342183093585 2.086294952861755 ATTCCCACCGGAGC chr1 5886382 5886383 chr1:5886383:G:A rs12735231 G A A EBF1_EBF_1 20 0 - 0 0 . chr1 5887878 5887879 chr1:5887879:C:T rs116686413 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 5890090 5890091 chr1:5890091:A:G rs494611 A G A EBF1_EBF_1 21 0 - 0 0 . chr1 5893726 5893727 chr1:5893727:G:A rs11122107 G A G EBF1_EBF_1 7 1 + 7.580601290178874 8.8930596954677 CTCCCCCGGGGAAA chr1 5893750 5893751 chr1:5893751:G:A rs142664843 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 5904930 5904931 chr1:5904931:T:C rs3737234 T C C EBF1_EBF_1 -17 0 - 0 0 . chr1 5908141 5908142 chr1:5908142:G:T rs4908569 G T G EBF1_EBF_1 10 1 + 10.5410261925414 6.358274006314138 ATCCCCAGGGGACC chr1 5921983 5921984 chr1:5921984:G:A rs7549324 G A G EBF1_EBF_1 9 1 + 7.79267705799185 5.137737792544247 AATGCCAAGGGACT chr1 5934273 5934274 chr1:5934274:C:T rs12118405 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 5937199 5937200 chr1:5937200:G:C rs116109223 G C G EBF1_EBF_1 16 0 - 0 0 . chr1 5937260 5937261 chr1:5937261:C:A rs114412074 C A C EBF1_EBF_1 -8 0 - 0 0 . chr1 5948698 5948699 chr1:5948699:C:T rs11120873 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 5951122 5951123 chr1:5951123:A:G rs6658969 A G A EBF1_EBF_1 23 0 - 0 0 . chr1 5977303 5977304 chr1:5977304:G:A rs11587341 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 5978636 5978637 chr1:5978637:C:G rs114368032 C G C EBF1_EBF_1 12 1 + 6.80828183586283 5.419645892184678 AGTCCCTTGGAACA chr1 5999376 5999377 chr1:5999377:A:G rs1295099 A G A EBF1_EBF_1 -20 0 - 0 0 . chr1 6001587 6001588 chr1:6001588:A:G rs481762 A G G EBF1_EBF_1 -11 0 - 0 0 . chr1 6002736 6002737 chr1:6002737:G:A rs560251 G A A EBF1_EBF_1 -12 0 - 0 0 . chr1 6027142 6027143 chr1:6027143:T:A rs12037728 T A T EBF1_EBF_1 -4 0 + 0 0 . chr1 6052952 6052953 chr1:6052953:G:A rs74650514 G A G EBF1_EBF_1 9 1 + 8.265595826353604 5.6106565609059995 AGACCCTAGGGATC chr1 6055300 6055301 chr1:6055301:C:T rs114203928 C T C EBF1_EBF_1 12 1 - 6.893381070885203 8.312198412984682 ATCCCCAAGGGCGT chr1 6071945 6071946 chr1:6071946:T:C rs3789546 T C C EBF1_EBF_1 0 1 - 4.757974897761248 2.8656910281964145 AGTCCCCAGGCATC chr1 6072249 6072250 chr1:6072250:T:C rs3789545 T C C EBF1_EBF_1 -17 0 + 0 0 . chr1 6084853 6084854 chr1:6084854:A:G rs3789531 A G A EBF1_EBF_1 28 0 - 0 0 . chr1 6085304 6085305 chr1:6085305:A:G rs2294936 A G A EBF1_EBF_1 -19 0 - 0 0 . chr1 6096854 6096855 chr1:6096855:G:A rs2235792 G A G EBF1_EBF_1 6 1 - 6.1710726580839275 6.472358786945685 TCTCCCCGGAGACA chr1 6102684 6102685 chr1:6102685:G:C rs577106229 G C G EBF1_EBF_1 7 1 - 4.894714669494514 5.876035250575869 AGTCCCCCGGGTGC chr1 6125471 6125472 chr1:6125472:G:A rs2273037 G A G EBF1_EBF_1 8 1 + 6.429785760982236 2.203495672095975 ATACCCCAGGGGCA chr1 6127634 6127635 chr1:6127635:G:C rs1207440401 G C G EBF1_EBF_1 30 0 + 0 0 . chr1 6130154 6130155 chr1:6130155:C:T rs9434662 C T C EBF1_EBF_1 24 0 - 0 0 . chr1 6130550 6130551 chr1:6130551:A:G rs1883764 A G G EBF1_EBF_1 24 0 + 0 0 . chr1 6134963 6134964 chr1:6134964:C:T rs2746067 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 6135000 6135001 chr1:6135001:C:A chr1:6135001:C:A C A C EBF1_EBF_1 32 0 + 0 0 . chr1 6137834 6137835 chr1:6137835:G:C rs2250248 G C G EBF1_EBF_1 10 1 + 9.116687113980724 2.2258847266512487 TATCCCAGGGGACA chr1 6148640 6148641 chr1:6148641:C:G rs112493430 C G C EBF1_EBF_1 10 1 - 5.394899588391088 -1.4959027989383866 CTCCCCTAGAGACG chr1 6148794 6148795 chr1:6148795:G:A rs564615141 G A G EBF1_EBF_1 12 1 + 6.367830130404781 7.786647472504258 CTTCCCCTGGGGGC chr1 6150909 6150910 chr1:6150910:G:A rs12564469 G A G EBF1_EBF_1 5 1 - 5.497267819837505 0.1754806042968351 CTCCCCGTGGGAAG chr1 6153102 6153103 chr1:6153103:G:T rs6679857 G T G EBF1_EBF_1 -19 0 - 0 0 . chr1 6160973 6160974 chr1:6160974:G:C chr1:6160974:G:C G C c EBF1_EBF_1 9 1 + 5.668316564021067 0.5926274176756033 GATCCCCGGGGCAT chr1 6199200 6199201 chr1:6199201:G:A rs565500963 G A G EBF1_EBF_1 9 1 + 5.037184452474707 2.382245187027104 GCTCCCCAGGGTAG chr1 6218264 6218265 chr1:6218265:T:C rs200702720 T C T EBF1_EBF_1 12 1 + 7.581288498868785 8.607555069419636 GCTCCCTTGAGATT chr1 6219057 6219058 chr1:6219058:T:C rs2092412 T C C EBF1_EBF_1 1 1 - 8.141055209426503 8.401754041347806 CATCCCCAGGGGAT chr1 6243322 6243323 chr1:6243323:C:T rs72632572 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 6245140 6245141 chr1:6245141:A:C rs188463518 A C C EBF1_EBF_1 -7 0 - 0 0 . chr1 6245142 6245143 chr1:6245143:C:A rs1182630612 C A C EBF1_EBF_1 -9 0 - 0 0 . chr1 6245496 6245497 chr1:6245497:A:G rs74925124 A G G EBF1_EBF_1 -12 0 - 0 0 . chr1 6260359 6260360 chr1:6260360:C:A rs1425516488 C A C EBF1_EBF_1 2 1 + 8.00103464317207 6.205390467015342 AACCCCCTGGGGCT chr1 6260588 6260589 chr1:6260589:G:C rs61760840 G C C EBF1_EBF_1 13 1 + 5.830682243475722 7.318753264831137 CGTCCCCTGAGAAG chr1 6260647 6260648 chr1:6260648:A:G rs61760841 A G G EBF1_EBF_1 6 1 - 5.324567734209067 5.023281605347309 CTTCCCTGGGGCCA chr1 6262832 6262833 chr1:6262833:C:A rs147555883 C A C EBF1_EBF_1 9 1 - 4.893377380457143 -1.9436120276316664 CCTCCCAGGGGCAC chr1 6262836 6262837 chr1:6262837:G:A rs755863222 G A G EBF1_EBF_1 5 1 - 4.893377380457143 -0.428409835083527 CCTCCCAGGGGCAC chr1 6276516 6276517 chr1:6276517:C:T rs183439493 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 6276540 6276541 chr1:6276541:G:C rs74049521 G C G EBF1_EBF_1 6 1 - 7.553979574507629 5.748787511034432 CTTCCCCAGGGCCT chr1 6276996 6276997 chr1:6276997:C:A rs144604168 C A C EBF1_EBF_1 4 1 + 5.117951562841723 -0.706608060611744 CCCCCCCAGGGTAT chr1 6278404 6278405 chr1:6278405:A:G rs7547091 A G A EBF1_EBF_1 16 0 - 0 0 . chr1 6287279 6287280 chr1:6287280:G:A rs6692407 G A G EBF1_EBF_1 9 1 - 5.626298286330086 3.8649980245867397 TGTCCCCAGCGACC chr1 6322145 6322146 chr1:6322146:A:G rs3789489 A G G EBF1_EBF_1 -20 0 - 0 0 . chr1 6333384 6333385 chr1:6333385:A:G rs2235693 A G G EBF1_EBF_1 9 1 + 7.763060954834117 10.41800022028172 GCTCCCTTGAGACC chr1 6358551 6358552 chr1:6358552:G:C rs2076477 G C G EBF1_EBF_1 15 0 + 0 0 . chr1 6363374 6363375 chr1:6363375:G:A rs937411653 G A G EBF1_EBF_1 3 1 - 7.936542901314869 1.531144159761551 ACTCCCCGGGGGAA chr1 6363377 6363378 chr1:6363378:T:C rs142072985 T C T EBF1_EBF_1 0 1 - 7.936542901314869 6.044259031750036 ACTCCCCGGGGGAA chr1 6393683 6393684 chr1:6393684:C:A rs58125749 C A C EBF1_EBF_1 3 1 + 7.523138757792137 0.6169647283263293 AGCCCCGAGGGAAG chr1 6423259 6423260 chr1:6423260:C:T rs11803273 C T C EBF1_EBF_1 10 1 - 6.182271894021325 0.8642434347543593 CCTCCCCTGGGCCT chr1 6427479 6427480 chr1:6427480:C:T rs1392655180 C T C EBF1_EBF_1 7 1 - 7.3109339613753574 8.623392366664183 TCCCCCCGGGGACC chr1 6431188 6431189 chr1:6431189:C:T rs61780707 C T C EBF1_EBF_1 13 1 + 5.206944649283704 6.051438763869222 CTTCCCCTGGGCTC chr1 6435511 6435512 chr1:6435512:G:C rs74049571 G C - EBF1_EBF_1 27 0 + 0 0 . chr1 6461089 6461090 chr1:6461090:A:C rs2986758 A C C EBF1_EBF_1 1 1 + 7.423348849899592 7.4942760272516775 AATCCCTCGAGAAA chr1 6474720 6474721 chr1:6474721:G:A rs2986751 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 6488761 6488762 chr1:6488762:A:G rs112792818 A G A EBF1_EBF_1 13 1 - 9.685871888283122 8.841377773697603 CCTCCCCAGGGAGT chr1 6489458 6489459 chr1:6489459:A:G rs6663122 A G A EBF1_EBF_1 -13 0 + 0 0 . chr1 6502430 6502431 chr1:6502431:A:C rs11121972 A C C EBF1_EBF_1 28 0 + 0 0 . chr1 6534712 6534713 chr1:6534713:A:G rs12401795 A G G EBF1_EBF_1 30 0 - 0 0 . chr1 6589167 6589168 chr1:6589168:T:G rs2229330 T G T EBF1_EBF_1 -20 0 + 0 0 . chr1 6591667 6591668 chr1:6591668:C:T rs1556036 C T c EBF1_EBF_1 18 0 + 0 0 . chr1 6599811 6599812 chr1:6599812:A:G rs6678681 A G G EBF1_EBF_1 -15 0 - 0 0 . chr1 6613541 6613542 chr1:6613542:A:G rs911998361 A G A EBF1_EBF_1 32 0 - 0 0 . chr1 6613580 6613581 chr1:6613581:C:T rs184671140 C T C EBF1_EBF_1 -7 0 - 0 0 . chr1 6614241 6614242 chr1:6614242:G:A rs187815498 G A G EBF1_EBF_1 12 1 + 5.021749990017039 6.440567332116516 GCCCCCCCGGGAGC chr1 6614457 6614458 chr1:6614458:C:G rs534615055 C G C EBF1_EBF_1 0 1 + 5.730432533858582 6.093407147990348 CGCCCCCGGGGACG chr1 6614569 6614570 chr1:6614570:C:G rs535673813 C G - EBF1_EBF_1 -2 0 + 0 0 . chr1 6614594 6614595 chr1:6614595:C:T rs956752109 C T C EBF1_EBF_1 23 0 + 0 0 . chr1 6703076 6703077 chr1:6703077:A:G rs278017 A G A EBF1_EBF_1 14 0 - 0 0 . chr1 6784360 6784361 chr1:6784361:G:A rs1223576057 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 6907498 6907499 chr1:6907499:C:A rs12132296 C A A EBF1_EBF_1 -5 0 - 0 0 . chr1 6922573 6922574 chr1:6922574:A:G rs71631807 A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 6959871 6959872 chr1:6959872:C:T rs61780894 C T C EBF1_EBF_1 8 1 - 4.262449595287752 0.036159506401489586 CTGCCCATGGGACC chr1 6982776 6982777 chr1:6982777:G:A rs10128029 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 7056663 7056664 chr1:7056664:T:C rs7552444 T C C EBF1_EBF_1 -11 0 + 0 0 . chr1 7061837 7061838 chr1:7061838:C:T rs11588586 C T C EBF1_EBF_1 8 1 - 10.603680982908067 6.377390894021805 AGCCCCAAGGGAGT chr1 7095616 7095617 chr1:7095617:C:A rs10864278 C A C EBF1_EBF_1 -14 0 - 0 0 . chr1 7097199 7097200 chr1:7097200:C:T rs144714277 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 7106970 7106971 chr1:7106971:G:T rs6694886 G T G EBF1_EBF_1 5 1 - 5.809063783640236 -1.0958173689949322 TCTCCCCAGAGGCT chr1 7110794 7110795 chr1:7110795:C:T rs115583680 C T C EBF1_EBF_1 11 1 + 7.214752860932551 7.532800302686215 AGCCCCCAGGGCCT chr1 7225530 7225531 chr1:7225531:T:G rs1010751 T G G EBF1_EBF_1 28 0 + 0 0 . chr1 7231141 7231142 chr1:7231142:C:T rs74053606 C T C EBF1_EBF_1 7 1 - 8.131211095721632 9.443669501010454 ATCCCCCGGGGGCT chr1 7266614 7266615 chr1:7266615:A:G rs11120892 A G G EBF1_EBF_1 -3 0 + 0 0 . chr1 7292267 7292268 chr1:7292268:A:C rs6577435 A C C EBF1_EBF_1 -5 0 - 0 0 . chr1 7302931 7302932 chr1:7302932:A:G rs12410983 A G A EBF1_EBF_1 23 0 - 0 0 . chr1 7314081 7314082 chr1:7314082:G:C rs6577438 G C G EBF1_EBF_1 14 0 + 0 0 . chr1 7331674 7331675 chr1:7331675:C:G rs6698915 C G C EBF1_EBF_1 4 1 + 6.854159709366207 1.2283946082166628 ACCCCCTAGAGAGC chr1 7350121 7350122 chr1:7350122:G:T rs763173 G T G EBF1_EBF_1 -17 0 + 0 0 . chr1 7366065 7366066 chr1:7366066:G:A rs845267 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 7420964 7420965 chr1:7420965:G:T rs78089603 G T G EBF1_EBF_1 18 0 - 0 0 . chr1 7425309 7425310 chr1:7425310:G:A rs11120922 G A A EBF1_EBF_1 24 0 - 0 0 . chr1 7426850 7426851 chr1:7426851:G:A rs7556586 G A G EBF1_EBF_1 17 0 - 0 0 . chr1 7427688 7427689 chr1:7427689:A:G rs9661064 A G G EBF1_EBF_1 -20 0 + 0 0 . chr1 7455034 7455035 chr1:7455035:G:A rs61772214 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 7457484 7457485 chr1:7457485:C:T rs74055731 C T C EBF1_EBF_1 -13 0 - 0 0 . chr1 7457948 7457949 chr1:7457949:C:T rs1857161 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 7461179 7461180 chr1:7461180:C:G rs1254407065 C G C EBF1_EBF_1 8 1 - 7.154298792756624 1.83563993184194 ATTCCCCAGAGCCT chr1 7470894 7470895 chr1:7470895:A:G rs6677160 A G A EBF1_EBF_1 -8 0 + 0 0 . chr1 7470918 7470919 chr1:7470919:C:T rs76973100 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 7473604 7473605 chr1:7473605:A:G rs72642864 A G C EBF1_EBF_1 23 0 + 0 0 . chr1 7479203 7479204 chr1:7479204:A:T chr1:7479204:A:T A T A EBF1_EBF_1 -13 0 + 0 0 . chr1 7496575 7496576 chr1:7496576:T:C rs1725266 T C C EBF1_EBF_1 14 0 - 0 0 . chr1 7500586 7500587 chr1:7500587:C:A rs35931742 C A A EBF1_EBF_1 -3 0 - 0 0 . chr1 7500588 7500589 chr1:7500589:G:C rs35325099 G C G EBF1_EBF_1 -5 0 - 0 0 . chr1 7507707 7507708 chr1:7507708:C:T rs12565334 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 7554742 7554743 chr1:7554743:C:G rs1231124536 C G C EBF1_EBF_1 12 1 + 4.554438756704831 3.165802813026679 CCTCCCCCAGGACT chr1 7555528 7555529 chr1:7555529:A:C rs11120971 A C A EBF1_EBF_1 12 1 + 7.506854320684622 7.476672922263295 ATTCCCCAGGGCAG chr1 7558265 7558266 chr1:7558266:C:T rs143328190 C T C EBF1_EBF_1 12 1 - 5.1279412045082555 6.546758546607733 AGTCCCACAGGAGC chr1 7565407 7565408 chr1:7565408:G:C rs6667052 G C C EBF1_EBF_1 -6 0 + 0 0 . chr1 7583954 7583955 chr1:7583955:G:A rs7548159 G A G EBF1_EBF_1 26 0 + 0 0 . chr1 7620201 7620202 chr1:7620202:C:T rs529486011 C T C EBF1_EBF_1 4 1 + 7.885236662900118 3.5158497179551937 ACTCCCCAAGGACA chr1 7623969 7623970 chr1:7623970:G:A rs4328095 G A A EBF1_EBF_1 -12 0 - 0 0 . chr1 7641577 7641578 chr1:7641578:C:T rs568533663 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 7643311 7643312 chr1:7643312:A:T rs572104307 A T A EBF1_EBF_1 -6 0 + 0 0 . chr1 7643325 7643326 chr1:7643326:G:A rs35210752 G A G EBF1_EBF_1 8 1 + 7.522109085780323 3.295818996894061 AATCCCCTGGGCCC chr1 7647084 7647085 chr1:7647085:C:T rs544376074 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 7682107 7682108 chr1:7682108:C:A rs71637394 C A C EBF1_EBF_1 -9 0 + 0 0 . chr1 7692854 7692855 chr1:7692855:A:G rs2995031 A G A EBF1_EBF_1 0 1 + 6.122914444948758 4.230630575383923 ACCCCCCAGGAACT chr1 7703019 7703020 chr1:7703020:C:G rs146983350 C G C EBF1_EBF_1 15 0 - 0 0 . chr1 7704289 7704290 chr1:7704290:G:A rs1279924464 G A G EBF1_EBF_1 4 1 - 4.1337692643271104 -0.2356176806178144 CTTCCCGCGGGGCC chr1 7771158 7771159 chr1:7771159:G:C rs115447228 G C G EBF1_EBF_1 20 0 + 0 0 . chr1 7771159 7771160 chr1:7771160:G:A rs552536598 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 7781910 7781911 chr1:7781911:G:A rs3753503 G A G EBF1_EBF_1 8 1 + 5.538960680294238 1.3126705914079764 AACCCCTAGGAAAC chr1 7863962 7863963 chr1:7863963:C:T rs34947804 C T C EBF1_EBF_1 7 1 - 5.010700570047612 6.323158975336437 CCCCCCCGGGGGAT chr1 7961244 7961245 chr1:7961245:G:T rs141961688 G T G EBF1_EBF_1 19 0 + 0 0 . chr1 7961425 7961426 chr1:7961426:C:G rs555540871 C G C EBF1_EBF_1 15 0 - 0 0 . chr1 7986486 7986487 chr1:7986487:G:T rs225121 G T G EBF1_EBF_1 -11 0 - 0 0 . chr1 8015692 8015693 chr1:8015693:C:T rs75953552 C T C EBF1_EBF_1 8 1 - 5.065725561083731 0.8394354721974709 ACCTCCCAGGGAAT chr1 8117178 8117179 chr1:8117179:G:T rs185599379 G T G EBF1_EBF_1 11 1 + 5.940775662854652 4.735568250359938 GTTCCCCAGAGGCC chr1 8117503 8117504 chr1:8117504:C:T rs7537362 C T C EBF1_EBF_1 6 1 + 7.841957147296014 8.143243276157769 ATTCCCCGAGGACC chr1 8117504 8117505 chr1:8117505:G:A rs34617986 G A G EBF1_EBF_1 7 1 + 7.841957147296014 9.154415552584839 ATTCCCCGAGGACC chr1 8117561 8117562 chr1:8117562:C:T rs115388540 C T C EBF1_EBF_1 8 1 - 7.204288893300845 2.977998804414584 TCCCCCCCGGGAAT chr1 8117564 8117565 chr1:8117565:G:T rs915083441 G T G EBF1_EBF_1 5 1 - 7.204288893300845 0.29940774066567843 TCCCCCCCGGGAAT chr1 8117571 8117572 chr1:8117572:G:A rs7514098 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 8198086 8198087 chr1:8198087:C:T rs74914893 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 8198115 8198116 chr1:8198116:C:T rs528489931 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 8200884 8200885 chr1:8200885:G:A rs11588819 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 8203818 8203819 chr1:8203819:T:G rs388687 T G T EBF1_EBF_1 24 0 - 0 0 . chr1 8212282 8212283 chr1:8212283:G:A rs148024861 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 8212287 8212288 chr1:8212288:G:A rs72861115 G A G EBF1_EBF_1 -9 0 + 0 0 . chr1 8225023 8225024 chr1:8225024:T:G chr1:8225024:T:G T G T EBF1_EBF_1 24 0 - 0 0 . chr1 8282232 8282233 chr1:8282233:T:C rs159629 T C C EBF1_EBF_1 15 0 - 0 0 . chr1 8314755 8314756 chr1:8314756:T:C rs74056513 T C C EBF1_EBF_1 1 1 + 5.698313183495043 4.802879743096298 CTTCCCTGGGGCCC chr1 8314779 8314780 chr1:8314780:G:A rs55762294 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 8314871 8314872 chr1:8314872:C:G rs58804649 C G C EBF1_EBF_1 -14 0 + 0 0 . chr1 8315272 8315273 chr1:8315273:T:C rs6689410 T C t EBF1_EBF_1 19 0 + 0 0 . chr1 8323926 8323927 chr1:8323927:G:C rs72635796 G C G EBF1_EBF_1 25 0 + 0 0 . chr1 8339221 8339222 chr1:8339222:G:A rs7548093 G A . EBF1_EBF_1 6 1 - 5.001864206646395 5.303150335508152 GTCCCCCAGGGCTT chr1 8342457 8342458 chr1:8342458:C:T rs529177001 C T C EBF1_EBF_1 -15 0 + 0 0 . chr1 8349200 8349201 chr1:8349201:C:T rs182168839 C T C EBF1_EBF_1 33 0 - 0 0 . chr1 8349201 8349202 chr1:8349202:G:C rs57480134 G C G EBF1_EBF_1 32 0 - 0 0 . chr1 8363085 8363086 chr1:8363086:C:T rs74049614 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 8363968 8363969 chr1:8363969:G:A rs12118524 G A G EBF1_EBF_1 -5 0 + 0 0 . chr1 8363978 8363979 chr1:8363979:C:G rs9628991 C G C EBF1_EBF_1 5 1 + 5.883642541211227 -1.021238611423942 CTCCCCCTGGGAGG chr1 8378442 8378443 chr1:8378443:C:T chr1:8378443:C:T C T C EBF1_EBF_1 3 1 + 6.95405402901125 0.5486552874579314 AACCCCCAGGGCCT chr1 8408643 8408644 chr1:8408644:C:T rs546074142 C T C EBF1_EBF_1 1 1 - 5.272820643992489 5.012121812071187 CGTCCCAGAGGAAC chr1 8439644 8439645 chr1:8439645:G:A rs6694635 G A G EBF1_EBF_1 5 1 - 7.378364434322841 2.0565772187821727 GTTCCCAAGGGTCA chr1 8450534 8450535 chr1:8450535:T:C rs301813 T C c EBF1_EBF_1 -13 0 + 0 0 . chr1 8675124 8675125 chr1:8675125:C:T rs143467697 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 8728023 8728024 chr1:8728024:C:T rs182963467 C T C EBF1_EBF_1 -10 0 + 0 0 . chr1 8728025 8728026 chr1:8728026:C:T rs1280603955 C T T EBF1_EBF_1 -8 0 + 0 0 . chr1 8904329 8904330 chr1:8904330:A:G rs17027172 A G A EBF1_EBF_1 -10 0 - 0 0 . chr1 8911450 8911451 chr1:8911451:G:C rs6687798 G C G EBF1_EBF_1 25 0 - 0 0 . chr1 8938165 8938166 chr1:8938166:C:G rs914996 C G G EBF1_EBF_1 32 0 + 0 0 . chr1 8951662 8951663 chr1:8951663:G:T rs3765966 G T t EBF1_EBF_1 27 0 + 0 0 . chr1 8956836 8956837 chr1:8956837:C:G rs12128796 C G C EBF1_EBF_1 28 0 + 0 0 . chr1 8987906 8987907 chr1:8987907:G:A rs146475332 G A G EBF1_EBF_1 6 1 + 5.521343975144337 7.688138406418812 GCTCCCGAGGGGCA chr1 9002074 9002075 chr1:9002075:A:C rs7517508 A C A EBF1_EBF_1 27 0 - 0 0 . chr1 9007082 9007083 chr1:9007083:G:A rs6672506 G A G EBF1_EBF_1 9 1 + 6.839254736299662 4.1843154708520585 GCCCCCCTGGGAGC chr1 9007960 9007961 chr1:9007961:C:T rs2039631 C T T EBF1_EBF_1 26 0 - 0 0 . chr1 9007993 9007994 chr1:9007994:G:A rs17027181 G A A EBF1_EBF_1 -7 0 - 0 0 . chr1 9026446 9026447 chr1:9026447:C:G rs12032857 C G C EBF1_EBF_1 20 0 + 0 0 . chr1 9061722 9061723 chr1:9061723:G:A rs765617 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 9079400 9079401 chr1:9079401:G:A rs17392750 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 9088410 9088411 chr1:9088411:T:G rs41280754 T G T EBF1_EBF_1 -8 0 - 0 0 . chr1 9105758 9105759 chr1:9105759:C:A rs12753196 C A C EBF1_EBF_1 6 1 + 4.951311151138533 5.312913518939811 TCTTCCCAGGGACT chr1 9110866 9110867 chr1:9110867:G:A rs11121324 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 9110877 9110878 chr1:9110878:T:C rs1998948 T C C EBF1_EBF_1 29 0 + 0 0 . chr1 9111178 9111179 chr1:9111179:G:T rs12135666 G T G EBF1_EBF_1 13 1 + 6.5589771458725314 8.891542281813464 TGTCCCCAGAGACG chr1 9154312 9154313 chr1:9154313:A:C rs7520583 A C C EBF1_EBF_1 31 0 - 0 0 . chr1 9192858 9192859 chr1:9192859:T:G rs758819633 T G T EBF1_EBF_1 19 0 - 0 0 . chr1 9198682 9198683 chr1:9198683:C:T rs533008275 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 9267353 9267354 chr1:9267354:T:G rs11121354 T G T EBF1_EBF_1 -14 0 + 0 0 . chr1 9267373 9267374 chr1:9267374:A:C rs61732933 A C A EBF1_EBF_1 6 1 + 5.37860755439152 5.017005186590243 TCTCCCAGGGTACC chr1 9276056 9276057 chr1:9276057:C:A rs72641832 C A C EBF1_EBF_1 8 1 - 9.363065764810374 2.471632975833179 CTTCCCCAGGGAGA chr1 9278899 9278900 chr1:9278900:C:T rs4908832 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 9281634 9281635 chr1:9281635:G:A rs1815615 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 9286339 9286340 chr1:9286340:C:G rs9308443 C G G EBF1_EBF_1 10 1 - 7.348948292938081 0.4581459056086073 ACTCCCAAGGGTTA chr1 9290424 9290425 chr1:9290425:A:C rs149176635 A C A EBF1_EBF_1 7 1 + 9.410792901503012 7.117013915132831 ACTCCCCAGGGGTT chr1 9290710 9290711 chr1:9290711:C:T chr1:9290711:C:T C T C EBF1_EBF_1 5 1 + 5.502271714559273 0.1804844990186032 AGCCCCATGAGATG chr1 9290719 9290720 chr1:9290720:C:A rs77946689 C A A EBF1_EBF_1 14 0 + 0 0 . chr1 9292308 9292309 chr1:9292309:A:G chr1:9292309:A:G A G A EBF1_EBF_1 17 0 + 0 0 . chr1 9302899 9302900 chr1:9302900:G:A rs577685 G A A EBF1_EBF_1 15 0 + 0 0 . chr1 9323344 9323345 chr1:9323345:G:T rs1033426137 G T G EBF1_EBF_1 26 0 - 0 0 . chr1 9329111 9329112 chr1:9329112:C:T rs732592 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 9338308 9338309 chr1:9338309:G:T rs191253084 G T G EBF1_EBF_1 18 0 - 0 0 . chr1 9361475 9361476 chr1:9361476:G:A rs71641090 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 9364174 9364175 chr1:9364175:C:T rs2142574 C T C EBF1_EBF_1 28 0 - 0 0 . chr1 9372851 9372852 chr1:9372852:G:A rs17393334 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 9383280 9383281 chr1:9383281:G:A rs1106370 G A G EBF1_EBF_1 4 1 - 7.8035540757119755 3.4341671307670514 TCCCCCCTGGGAAA chr1 9388703 9388704 chr1:9388704:T:C rs9659645 T C C EBF1_EBF_1 -5 0 + 0 0 . chr1 9389039 9389040 chr1:9389040:A:G rs9659656 A G G EBF1_EBF_1 -1 0 - 0 0 . chr1 9398206 9398207 chr1:9398207:G:A rs140043008 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 9412989 9412990 chr1:9412990:G:A rs74051673 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 9416755 9416756 chr1:9416756:A:C rs11588546 A C A EBF1_EBF_1 13 1 + 8.774168814310626 9.1479142635966 CATCCCTGGGGACA chr1 9420570 9420571 chr1:9420571:T:C rs72864310 T C T EBF1_EBF_1 11 1 - 9.209597648195563 6.3168908045915515 CCTCCCCTGGGAGT chr1 9442425 9442426 chr1:9442426:C:T rs11121421 C T C EBF1_EBF_1 24 0 - 0 0 . chr1 9443115 9443116 chr1:9443116:G:A rs113124670 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 9448895 9448896 chr1:9448896:T:C rs12034064 T C T EBF1_EBF_1 32 0 + 0 0 . chr1 9529655 9529656 chr1:9529656:G:A rs144600301 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 9547039 9547040 chr1:9547040:G:A rs80047607 G A G EBF1_EBF_1 -17 0 - 0 0 . chr1 9606305 9606306 chr1:9606306:A:G rs7364899 A G A EBF1_EBF_1 16 0 + 0 0 . chr1 9627241 9627242 chr1:9627242:G:C rs890048691 G C G EBF1_EBF_1 21 0 - 0 0 . chr1 9627246 9627247 chr1:9627247:C:A rs539671710 C A C EBF1_EBF_1 16 0 - 0 0 . chr1 9629086 9629087 chr1:9629087:T:C rs142095425 T C T EBF1_EBF_1 19 0 - 0 0 . chr1 9629109 9629110 chr1:9629110:G:C rs181693754 G C g EBF1_EBF_1 -4 0 - 0 0 . chr1 9629365 9629366 chr1:9629366:G:A chr1:9629366:G:A G A G EBF1_EBF_1 12 1 + 6.768885787109093 8.187703129208568 ACCCCCAGGGGAGG chr1 9644025 9644026 chr1:9644026:C:A rs12038208 C A c EBF1_EBF_1 -3 0 + 0 0 . chr1 9689683 9689684 chr1:9689684:C:T rs576869289 C T C EBF1_EBF_1 8 1 - 6.472358786945685 2.2460686980594233 TCTCCCTGGAGACA chr1 9689707 9689708 chr1:9689708:G:A rs1010791217 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 9690241 9690242 chr1:9690242:C:T rs570663010 C T C EBF1_EBF_1 7 1 - 5.047458376009958 6.359916781298784 CTCCCCCGGGGAGG chr1 9715401 9715402 chr1:9715402:G:A rs72633866 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 9718030 9718031 chr1:9718031:C:T rs1217421850 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 9719812 9719813 chr1:9719813:T:C rs9430220 T C C EBF1_EBF_1 -11 0 - 0 0 . chr1 9721934 9721935 chr1:9721935:C:A chr1:9721935:C:A C A C EBF1_EBF_1 22 0 + 0 0 . chr1 9729107 9729108 chr1:9729108:G:T rs907088598 G T G EBF1_EBF_1 -16 0 + 0 0 . chr1 9730824 9730825 chr1:9730825:G:A rs12354258 G A G EBF1_EBF_1 10 1 + 4.7805791217443145 -0.5374493375226512 CCTCCCCAGCGACA chr1 9730840 9730841 chr1:9730841:C:T rs12354385 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 9761746 9761747 chr1:9761747:T:A rs12025864 T A T EBF1_EBF_1 20 0 - 0 0 . chr1 9824208 9824209 chr1:9824209:G:A rs532928647 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 9824236 9824237 chr1:9824237:G:A rs556928684 G A G EBF1_EBF_1 4 1 - 5.965303171419001 1.595916226474078 AGTCCCCCGGGCCC chr1 9824340 9824341 chr1:9824341:T:G rs111252381 T G G EBF1_EBF_1 -4 0 - 0 0 . chr1 9836877 9836878 chr1:9836878:C:T rs56073737 C T C EBF1_EBF_1 6 1 + 5.087453619630589 5.3887397484923465 CTCCCCCGGAGATT chr1 9851134 9851135 chr1:9851135:T:G rs951396283 T G T EBF1_EBF_1 13 1 - 7.060316992569177 7.434062441855153 GTTCCCAAGGGCCA chr1 9863682 9863683 chr1:9863683:A:G rs935073 A G G EBF1_EBF_1 -7 0 - 0 0 . chr1 9943286 9943287 chr1:9943287:T:A rs564815577 T A T EBF1_EBF_1 1 1 - 5.725226971691376 6.691587589442205 AATCCCGGGGGCCT chr1 9943304 9943305 chr1:9943305:A:C chr1:9943305:A:C A C A EBF1_EBF_1 -17 0 - 0 0 . chr1 9961180 9961181 chr1:9961181:T:C rs77530105 T C T EBF1_EBF_1 6 1 + 6.442812243952324 6.141526115090566 CATCCCTTGGGCAT chr1 10046344 10046345 chr1:10046345:C:T rs567455544 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 10057817 10057818 chr1:10057818:A:G rs78739590 A G A EBF1_EBF_1 -15 0 + 0 0 . chr1 10161077 10161078 chr1:10161078:G:C rs114631287 G C G EBF1_EBF_1 3 1 - 5.77171507767978 -0.05604937043543545 AATCCCAGGGAACA chr1 10210012 10210013 chr1:10210013:T:C rs112209859 T C T EBF1_EBF_1 25 0 - 0 0 . chr1 10210014 10210015 chr1:10210015:G:A chr1:10210015:G:A G A G EBF1_EBF_1 23 0 - 0 0 . chr1 10210279 10210280 chr1:10210280:C:T rs570888825 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 10245652 10245653 chr1:10245653:T:C rs12755094 T C T EBF1_EBF_1 32 0 - 0 0 . chr1 10386936 10386937 chr1:10386937:T:C rs141685016 T C T EBF1_EBF_1 16 0 + 0 0 . chr1 10387942 10387943 chr1:10387943:C:T rs186238676 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 10398904 10398905 chr1:10398905:C:G rs116025597 C G C EBF1_EBF_1 7 1 - 4.065097879688683 3.083777298607327 CACCCCCGGGGGCC chr1 10419577 10419578 chr1:10419578:C:G rs2298570 C G c EBF1_EBF_1 6 1 - 4.006266012988163 5.81145807646136 GCCCCCGAGGGGCC chr1 10489570 10489571 chr1:10489571:C:A rs585870 C A A EBF1_EBF_1 32 0 + 0 0 . chr1 10496476 10496477 chr1:10496477:C:T rs114843026 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 10499986 10499987 chr1:10499987:G:C rs78689195 G C g EBF1_EBF_1 -15 0 + 0 0 . chr1 10507721 10507722 chr1:10507722:T:A rs1026987020 T A T EBF1_EBF_1 9 1 - 8.819160659197683 4.637110516556478 ACTCCCTGGAGACC chr1 10556976 10556977 chr1:10556977:G:A rs12028449 G A G EBF1_EBF_1 3 1 - 7.50499999296168 1.0996012514083633 AGTCCCAAGAGAGG chr1 10592547 10592548 chr1:10592548:G:A rs6668131 G A A EBF1_EBF_1 -12 0 + 0 0 . chr1 10594712 10594713 chr1:10594713:G:A rs141171810 G A G EBF1_EBF_1 -9 0 - 0 0 . chr1 10632239 10632240 chr1:10632240:T:C rs17035485 T C T EBF1_EBF_1 -19 0 + 0 0 . chr1 10638428 10638429 chr1:10638429:G:C rs187800579 G C G EBF1_EBF_1 22 0 + 0 0 . chr1 10640300 10640301 chr1:10640301:C:T rs45606034 C T C EBF1_EBF_1 7 1 - 4.93339391780328 6.245852323092105 ACCCCCCGGGGGAG chr1 10668083 10668084 chr1:10668084:C:T rs284319 C T C EBF1_EBF_1 -11 0 - 0 0 . chr1 10668489 10668490 chr1:10668490:C:T rs115689695 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 10686476 10686477 chr1:10686477:G:A rs505051 G A G EBF1_EBF_1 6 1 - 5.546959997272142 5.8482461261338985 GGTCCCCTGGGCAA chr1 10716557 10716558 chr1:10716558:C:A rs147989794 C A C EBF1_EBF_1 4 1 + 7.894289012909884 2.0697293894564175 AGACCCAAGGGAAG chr1 10726748 10726749 chr1:10726749:G:A rs284255 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 10727480 10727481 chr1:10727481:C:T rs518789 C T C EBF1_EBF_1 -11 0 - 0 0 . chr1 10755614 10755615 chr1:10755615:G:T rs74530928 G T G EBF1_EBF_1 33 0 + 0 0 . chr1 10768121 10768122 chr1:10768122:G:A rs6668047 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 10779917 10779918 chr1:10779918:T:C rs12080805 T C t EBF1_EBF_1 27 0 - 0 0 . chr1 10789367 10789368 chr1:10789368:G:C rs6703971 G C C EBF1_EBF_1 27 0 + 0 0 . chr1 10794056 10794057 chr1:10794057:G:C rs182424962 G C G EBF1_EBF_1 7 1 + 9.503943437331923 8.522622856250567 AGCCCCCGGGGAAC chr1 10794071 10794072 chr1:10794072:C:G rs538926995 C G C EBF1_EBF_1 22 0 + 0 0 . chr1 10814094 10814095 chr1:10814095:T:G rs1776219 T G G EBF1_EBF_1 -5 0 - 0 0 . chr1 10817698 10817699 chr1:10817699:A:G rs13374286 A G A EBF1_EBF_1 20 0 - 0 0 . chr1 10823351 10823352 chr1:10823352:G:A chr1:10823352:G:A G A G EBF1_EBF_1 29 0 + 0 0 . chr1 10824906 10824907 chr1:10824907:A:C rs74052530 A C A EBF1_EBF_1 -15 0 - 0 0 . chr1 10825145 10825146 chr1:10825146:C:T rs72864609 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 10831799 10831800 chr1:10831800:T:C rs74052537 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 10834937 10834938 chr1:10834938:C:T rs564760 C T C EBF1_EBF_1 -16 0 + 0 0 . chr1 10834966 10834967 chr1:10834967:G:A rs58177718 G A G EBF1_EBF_1 13 1 + 6.953625970591186 8.067951542660625 ACTCCCCAGAGAGG chr1 10838439 10838440 chr1:10838440:T:G rs7514293 T G T EBF1_EBF_1 22 0 + 0 0 . chr1 10838693 10838694 chr1:10838694:C:T rs12143767 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 10850011 10850012 chr1:10850012:G:A rs1292668 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 10850710 10850711 chr1:10850711:C:T rs608545 C T T EBF1_EBF_1 19 0 - 0 0 . chr1 10851767 10851768 chr1:10851768:T:A rs71645070 T A T EBF1_EBF_1 11 1 - 9.19282841067522 5.094914154576496 TGTCCCTAGAGACT chr1 10857904 10857905 chr1:10857905:G:C rs61777380 G C G EBF1_EBF_1 -7 0 + 0 0 . chr1 10858495 10858496 chr1:10858496:T:G rs111682832 T G T EBF1_EBF_1 7 1 - 6.115338148385123 3.8215591620149407 CCTCCCTAGGGCCC chr1 10860680 10860681 chr1:10860681:T:C rs592431 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 10867990 10867991 chr1:10867991:G:T rs12746189 G T G EBF1_EBF_1 13 1 - 7.8973032871291595 7.5235578378431835 GATCCCCAGAGAAC chr1 10898133 10898134 chr1:10898134:G:T rs744795 G T T EBF1_EBF_1 -3 0 - 0 0 . chr1 10900011 10900012 chr1:10900012:G:A rs534368912 G A G EBF1_EBF_1 7 1 - 6.293253318570059 8.11075806485268 ATCCCCACGGGGCA chr1 10901422 10901423 chr1:10901423:C:A rs770297223 C A C EBF1_EBF_1 -15 0 + 0 0 . chr1 10901806 10901807 chr1:10901807:T:C rs78557842 T C C EBF1_EBF_1 31 0 - 0 0 . chr1 10901816 10901817 chr1:10901817:G:A rs141128925 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 10901818 10901819 chr1:10901819:A:G rs11586085 A G G EBF1_EBF_1 19 0 - 0 0 . chr1 10904892 10904893 chr1:10904893:C:T rs375639556 C T c EBF1_EBF_1 -13 0 + 0 0 . chr1 10906091 10906092 chr1:10906092:C:T rs11121650 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 10918571 10918572 chr1:10918572:G:A rs74889927 G A G EBF1_EBF_1 5 1 - 8.452610021846992 3.1308228063063215 ATTCCCGGGAGACT chr1 10918884 10918885 chr1:10918885:C:G rs1280969 C G C EBF1_EBF_1 -13 0 + 0 0 . chr1 10918888 10918889 chr1:10918889:C:T rs61775566 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 10918916 10918917 chr1:10918917:G:C rs1280968 G C G EBF1_EBF_1 19 0 + 0 0 . chr1 10918929 10918930 chr1:10918930:G:C rs61775567 G C G EBF1_EBF_1 32 0 + 0 0 . chr1 10920021 10920022 chr1:10920022:G:A rs1612263 G A A EBF1_EBF_1 25 0 - 0 0 . chr1 10920025 10920026 chr1:10920026:C:T rs1612293 C T T EBF1_EBF_1 21 0 - 0 0 . chr1 10937615 10937616 chr1:10937616:C:A rs115074227 C A C EBF1_EBF_1 30 0 - 0 0 . chr1 10947329 10947330 chr1:10947330:C:T rs1281019 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 10948637 10948638 chr1:10948638:T:C rs17036032 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 10990401 10990402 chr1:10990402:A:C rs7547006 A C C EBF1_EBF_1 10 1 - 6.519235246448286 10.701987432675548 TGTCCCCAGGTACC chr1 11044618 11044619 chr1:11044619:G:A rs3765901 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 11046851 11046852 chr1:11046852:C:T rs66761147 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 11067943 11067944 chr1:11067944:A:G rs2791657 A G G EBF1_EBF_1 14 0 + 0 0 . chr1 11076780 11076781 chr1:11076781:A:G rs17036297 A G G EBF1_EBF_1 26 0 - 0 0 . chr1 11134816 11134817 chr1:11134817:G:A rs77086655 G A G EBF1_EBF_1 2 1 - 7.0504039230655735 8.939227334507724 ATCCCCCAGGGTAA chr1 11145066 11145067 chr1:11145067:G:C rs371409681 G C G EBF1_EBF_1 9 1 + 7.144124955784511 2.0684358094390474 CAACCCTTGGGACT chr1 11155729 11155730 chr1:11155730:C:T rs59862925 C T T EBF1_EBF_1 13 1 + 4.867717935708626 5.712212050294144 AGCCCCCTGGGCTC chr1 11262081 11262082 chr1:11262082:G:T rs72633729 G T G EBF1_EBF_1 33 0 - 0 0 . chr1 11262098 11262099 chr1:11262099:A:G rs1883965 A G G EBF1_EBF_1 16 0 - 0 0 . chr1 11267279 11267280 chr1:11267280:G:T rs2788551 G T - EBF1_EBF_1 28 0 + 0 0 . chr1 11320331 11320332 chr1:11320332:G:A rs75288805 G A G EBF1_EBF_1 8 1 + 4.1053765669302615 -0.12091352195600101 AGACCCTCGGGGCC chr1 11327101 11327102 chr1:11327102:C:T rs114262527 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 11352216 11352217 chr1:11352217:T:C rs4845999 T C T EBF1_EBF_1 -12 0 + 0 0 . chr1 11387747 11387748 chr1:11387748:G:A rs6660541 G A A EBF1_EBF_1 18 0 + 0 0 . chr1 11395743 11395744 chr1:11395744:C:A chr1:11395744:C:A C A C EBF1_EBF_1 10 1 + 4.583297537315813 6.1560714653783215 ACTCCCTGGGCACC chr1 11414377 11414378 chr1:11414378:C:G rs11121729 C G C EBF1_EBF_1 -13 0 - 0 0 . chr1 11435099 11435100 chr1:11435100:C:A rs12087704 C A C EBF1_EBF_1 15 0 + 0 0 . chr1 11478813 11478814 chr1:11478814:G:T rs117362532 G T G EBF1_EBF_1 -19 0 + 0 0 . chr1 11478862 11478863 chr1:11478863:C:T rs542873416 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 11479564 11479565 chr1:11479565:C:T rs113875216 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 11485220 11485221 chr1:11485221:G:A rs12030427 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 11515299 11515300 chr1:11515300:G:A rs6675332 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 11515317 11515318 chr1:11515318:T:A rs2594318 T A T EBF1_EBF_1 13 1 - 5.738644523113025 6.956884086984519 ACCCTCATGGGACA chr1 11517035 11517036 chr1:11517036:C:A rs7513927 C A C EBF1_EBF_1 -12 0 + 0 0 . chr1 11517067 11517068 chr1:11517068:C:T rs2072997 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 11519286 11519287 chr1:11519287:G:A rs2072995 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 11519300 11519301 chr1:11519301:T:A rs199949410 T A T EBF1_EBF_1 2 1 + 5.036089254721423 1.3516216671225447 CCTCCCTGGGTACC chr1 11519412 11519413 chr1:11519413:G:A rs2072994 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 11530117 11530118 chr1:11530118:C:T rs67602684 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 11536704 11536705 chr1:11536705:C:T rs2235666 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 11629798 11629799 chr1:11629799:G:C rs17037124 G C G EBF1_EBF_1 21 0 + 0 0 . chr1 11654631 11654632 chr1:11654632:T:A rs80296647 T A T EBF1_EBF_1 22 0 - 0 0 . chr1 11664457 11664458 chr1:11664458:G:C rs1029647757 G C G EBF1_EBF_1 -17 0 - 0 0 . chr1 11668617 11668618 chr1:11668618:C:T rs7513972 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 11701886 11701887 chr1:11701887:C:T rs1555078 C T C EBF1_EBF_1 9 1 - 4.9039078991596865 2.248968633712083 AGCCCCCGAGGAAA chr1 11705009 11705010 chr1:11705010:C:T rs114104766 C T C EBF1_EBF_1 13 1 + 4.31854744571247 5.163041560297988 CCTCCCTCGGGGTC chr1 11713521 11713522 chr1:11713522:G:T rs12565954 G T G EBF1_EBF_1 -14 0 + 0 0 . chr1 11719711 11719712 chr1:11719712:G:A rs139141149 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 11720660 11720661 chr1:11720661:C:T rs145463030 C T C EBF1_EBF_1 13 1 + 4.411003101067431 5.255497215652949 AGCTCCCAGGGAAC chr1 11738833 11738834 chr1:11738834:G:T rs17875984 G T G EBF1_EBF_1 2 1 - 4.517261008896657 2.7216168327399313 ATCCCCCAGGGTGG chr1 11740420 11740421 chr1:11740421:T:G rs11121816 T G T EBF1_EBF_1 26 0 + 0 0 . chr1 11740645 11740646 chr1:11740646:C:T rs4073395 C T C EBF1_EBF_1 -10 0 + 0 0 . chr1 11749468 11749469 chr1:11749469:G:A rs17875992 G A G EBF1_EBF_1 28 0 - 0 0 . chr1 11766572 11766573 chr1:11766573:C:T rs6668699 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 11777953 11777954 chr1:11777954:T:C rs10779765 T C C EBF1_EBF_1 7 1 + 5.206944649283704 3.38943990300108 CTTCCCCTGGGCTC chr1 11778951 11778952 chr1:11778952:C:T rs55685198 C T T EBF1_EBF_1 14 0 - 0 0 . chr1 11778976 11778977 chr1:11778977:G:A rs55967531 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 11785466 11785467 chr1:11785467:G:A rs2151654 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 11785512 11785513 chr1:11785513:C:T rs59375726 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 11802824 11802825 chr1:11802825:T:C rs189021156 T C T EBF1_EBF_1 -3 0 + 0 0 . chr1 11805884 11805885 chr1:11805885:C:A rs774117288 C A C EBF1_EBF_1 21 0 - 0 0 . chr1 11805917 11805918 chr1:11805918:G:A rs1021951161 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 11830616 11830617 chr1:11830617:T:G rs35983202 T G T EBF1_EBF_1 -3 0 - 0 0 . chr1 11835908 11835909 chr1:11835909:G:C rs41275496 G C G EBF1_EBF_1 0 1 + 6.070664710753102 5.707690096621335 GCTCCCAGGGGCCT chr1 11836596 11836597 chr1:11836597:G:A rs198403 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 11845916 11845917 chr1:11845917:A:G rs5068 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 11845923 11845924 chr1:11845924:A:G rs5067 A G G EBF1_EBF_1 -12 0 + 0 0 . chr1 11845937 11845938 chr1:11845938:C:A rs5066 C A C EBF1_EBF_1 2 1 + 7.308636255939763 5.512992079783036 GACCCCAGGGGACA chr1 11859493 11859494 chr1:11859494:C:A rs1041796858 C A C EBF1_EBF_1 2 1 + 6.495252463529648 4.6996082873729215 CACCCCGAGGGAAC chr1 11874074 11874075 chr1:11874075:G:A rs56674320 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 11879662 11879663 chr1:11879663:G:A rs28455075 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 11883366 11883367 chr1:11883367:G:A rs115529677 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 11886431 11886432 chr1:11886432:T:C rs2050269 T C C EBF1_EBF_1 4 1 + 5.517062721566181 9.886449666511105 CCTCTCCAGGGAAA chr1 11887733 11887734 chr1:11887734:T:C rs151247157 T C T EBF1_EBF_1 -6 0 - 0 0 . chr1 11907297 11907298 chr1:11907298:C:G rs35572017 C G C EBF1_EBF_1 12 1 + 11.491882230028947 10.103246286350798 ATCCCCCAGGGACC chr1 11907298 11907299 chr1:11907299:C:T rs4846078 C T N EBF1_EBF_1 13 1 + 11.491882230028947 12.336376344614466 ATCCCCCAGGGACC chr1 11934494 11934495 chr1:11934495:G:A rs79541392 G A G EBF1_EBF_1 -4 0 + 0 0 . chr1 11958320 11958321 chr1:11958321:C:G rs2273290 C G C EBF1_EBF_1 -1 0 + 0 0 . chr1 11965295 11965296 chr1:11965296:T:C rs2273291 T C C EBF1_EBF_1 31 0 - 0 0 . chr1 11979789 11979790 chr1:11979790:C:G rs116014048 C G C EBF1_EBF_1 -5 0 + 0 0 . chr1 11981831 11981832 chr1:11981832:C:T rs116751141 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 11993660 11993661 chr1:11993661:A:G rs1810564 A G A EBF1_EBF_1 6 1 + 5.193887709831147 3.027093278556671 AACCCCAGGGGGCG chr1 11999725 11999726 chr1:11999726:A:G rs116014709 A G A EBF1_EBF_1 25 0 - 0 0 . chr1 12011622 12011623 chr1:12011623:A:G rs1042842 A G G EBF1_EBF_1 -7 0 + 0 0 . chr1 12053994 12053995 chr1:12053995:G:T rs6662910 G T G EBF1_EBF_1 -16 0 + 0 0 . chr1 12055633 12055634 chr1:12055634:T:C rs4427416 T C T EBF1_EBF_1 18 0 - 0 0 . chr1 12057336 12057337 chr1:12057337:A:G rs114749641 A G A EBF1_EBF_1 7 1 + 7.959547841119228 6.647089435830403 ACCCCCAAGAGAAA chr1 12069993 12069994 chr1:12069994:C:T rs4491070 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 12078571 12078572 chr1:12078572:A:G rs12070530 A G A EBF1_EBF_1 31 0 - 0 0 . chr1 12079006 12079007 chr1:12079007:G:A rs183524172 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 12080530 12080531 chr1:12080531:C:T rs12138960 C T c EBF1_EBF_1 -8 0 - 0 0 . chr1 12084376 12084377 chr1:12084377:G:A rs1201113 G A g EBF1_EBF_1 19 0 - 0 0 . chr1 12138362 12138363 chr1:12138363:G:T rs142641214 G T G EBF1_EBF_1 -15 0 + 0 0 . chr1 12143704 12143705 chr1:12143705:C:T rs7525045 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 12143717 12143718 chr1:12143718:G:C rs11569955 G C G EBF1_EBF_1 10 1 + 9.215090746667242 2.3242883593377677 ACTCCCTAGGGCCT chr1 12144296 12144297 chr1:12144297:A:G rs1148467 A G G EBF1_EBF_1 -11 0 + 0 0 . chr1 12146059 12146060 chr1:12146060:C:T rs11569973 C T C EBF1_EBF_1 9 1 - 5.6450543860352616 2.9901151205876584 GCTCCCCTGGGTCA chr1 12167807 12167808 chr1:12167808:A:G rs5745955 A G G EBF1_EBF_1 2 1 - 5.154341323623935 3.2655179121817843 TGTCCCCAGAGGCC chr1 12167813 12167814 chr1:12167814:C:G rs5745956 C G G EBF1_EBF_1 -4 0 - 0 0 . chr1 12180088 12180089 chr1:12180089:A:T rs5745981 A T A EBF1_EBF_1 26 0 + 0 0 . chr1 12191260 12191261 chr1:12191261:G:A rs666078 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 12191283 12191284 chr1:12191284:A:C rs5746017 A C A EBF1_EBF_1 13 1 + 5.587591532740531 5.961336982026507 AGCCCCACGGGGCA chr1 12230784 12230785 chr1:12230785:C:G rs543550885 C G - EBF1_EBF_1 5 1 + 6.124789084245504 -0.780092068389665 ACCCCCCAGGGTCA chr1 12246729 12246730 chr1:12246730:C:G rs56134455 C G C EBF1_EBF_1 -18 0 + 0 0 . chr1 12405612 12405613 chr1:12405613:G:A rs17038007 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 12495814 12495815 chr1:12495815:C:T rs911468627 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 12519235 12519236 chr1:12519236:C:G rs1040100012 C G C EBF1_EBF_1 -12 0 + 0 0 . chr1 12525553 12525554 chr1:12525554:C:T rs12095700 C T T EBF1_EBF_1 23 0 - 0 0 . chr1 12539395 12539396 chr1:12539396:A:G rs6541025 A G A EBF1_EBF_1 11 1 + 5.206645599151211 2.3139387555471997 ACTCCACAGGGACA chr1 12542754 12542755 chr1:12542755:C:A rs553485425 C A C EBF1_EBF_1 13 1 + 12.444526422141594 12.07078097285562 AATCCCCAGGGAAC chr1 12542763 12542764 chr1:12542764:T:C rs116306676 T C T EBF1_EBF_1 22 0 + 0 0 . chr1 12545139 12545140 chr1:12545140:C:T rs924962004 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 12559793 12559794 chr1:12559794:C:T rs188702029 C T C EBF1_EBF_1 2 1 + 10.197462141676752 12.086285553118902 ATCCCCAGGGGAAA chr1 12568607 12568608 chr1:12568608:A:G rs4240900 A G G EBF1_EBF_1 24 0 + 0 0 . chr1 12580310 12580311 chr1:12580311:G:C rs72871187 G C G EBF1_EBF_1 10 1 + 7.367090666140387 0.4762882788109127 ATTTCCAAGGGAAC chr1 12586941 12586942 chr1:12586942:G:A rs77655589 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 12596261 12596262 chr1:12596262:A:C rs1012264469 A C A EBF1_EBF_1 -11 0 - 0 0 . chr1 12602460 12602461 chr1:12602461:G:A rs74750488 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 12616485 12616486 chr1:12616486:C:A chr1:12616486:C:A C A C EBF1_EBF_1 9 1 - 9.570182219079355 2.7331928109905452 ACCCCCCAGGGATC chr1 12618461 12618462 chr1:12618462:C:T rs111909509 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 12636472 12636473 chr1:12636473:C:T rs79801259 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 12636495 12636496 chr1:12636496:T:C rs74055599 T C C EBF1_EBF_1 16 0 + 0 0 . chr1 12643215 12643216 chr1:12643216:A:G rs74057605 A G G EBF1_EBF_1 11 1 + 5.881487168031771 2.988780324427759 GCACCCAAGGGAGC chr1 12758011 12758012 chr1:12758012:A:G rs4072841 A G A EBF1_EBF_1 23 0 + 0 0 . chr1 12787932 12787933 chr1:12787933:T:G rs860233 T G - EBF1_EBF_1 32 0 + 0 0 . chr1 12810234 12810235 chr1:12810235:C:G rs848426 C G - EBF1_EBF_1 8 1 - 5.589637665446244 0.27097880453155865 AATCCCAGGGGCAG chr1 12883040 12883041 chr1:12883041:C:G rs3928863 C G . EBF1_EBF_1 30 0 + 0 0 . chr1 13114253 13114254 chr1:13114254:C:T rs28444148 C T - EBF1_EBF_1 -18 0 + 0 0 . chr1 13116748 13116749 chr1:13116749:C:T rs35794555 C T - EBF1_EBF_1 18 0 - 0 0 . chr1 13225324 13225325 chr1:13225325:T:C rs61780077 T C . EBF1_EBF_1 25 0 - 0 0 . chr1 13390442 13390443 chr1:13390443:T:A rs1811632 T A t EBF1_EBF_1 19 0 + 0 0 . chr1 13434083 13434084 chr1:13434084:A:G rs984921329 A G G EBF1_EBF_1 -16 0 + 0 0 . chr1 13457077 13457078 chr1:13457078:G:A rs3845604 G A G EBF1_EBF_1 11 1 - 5.285145895416205 5.603193337169869 AATCCCAGGGGCGA chr1 13498857 13498858 chr1:13498858:C:G rs2999897 C G C EBF1_EBF_1 23 0 - 0 0 . chr1 13498858 13498859 chr1:13498859:A:G rs2999898 A G A EBF1_EBF_1 22 0 - 0 0 . chr1 13498890 13498891 chr1:13498891:A:C rs72866330 A C A EBF1_EBF_1 -10 0 - 0 0 . chr1 13513873 13513874 chr1:13513874:T:C rs74833969 T C C EBF1_EBF_1 9 1 - 8.037106424494675 10.692045689942278 TCTCCCAAGAGATT chr1 13515136 13515137 chr1:13515137:G:A rs2924858 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 13549546 13549547 chr1:13549547:A:G rs72866394 A G A EBF1_EBF_1 -9 0 - 0 0 . chr1 13565141 13565142 chr1:13565142:C:T rs145645725 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 13578722 13578723 chr1:13578723:G:A rs12735797 G A G EBF1_EBF_1 -5 0 + 0 0 . chr1 13620528 13620529 chr1:13620529:C:T rs59835073 C T C EBF1_EBF_1 12 1 - 9.51369859258087 10.932515934680346 ATACCCAAGGGAGT chr1 13629670 13629671 chr1:13629671:C:G rs12410048 C G C EBF1_EBF_1 28 0 - 0 0 . chr1 13630629 13630630 chr1:13630630:C:A rs4661916 C A C EBF1_EBF_1 -8 0 - 0 0 . chr1 13715813 13715814 chr1:13715814:G:A rs7411176 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 13750069 13750070 chr1:13750070:G:A rs1203619 G A G EBF1_EBF_1 3 1 - 7.474321805095847 1.0689230635425297 ATCCCCCTGGGCAT chr1 13790543 13790544 chr1:13790544:C:T rs576805853 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 13820806 13820807 chr1:13820807:C:T rs184355738 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 13833627 13833628 chr1:13833628:C:T rs1409109536 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 13888977 13888978 chr1:13888978:C:A rs2697972 C A C EBF1_EBF_1 7 1 + 6.170224062717659 8.46400304908784 TCTCCCCCGGGGCT chr1 13889001 13889002 chr1:13889002:T:C rs2744653 T C C EBF1_EBF_1 31 0 + 0 0 . chr1 13908683 13908684 chr1:13908684:A:G rs114223288 A G A EBF1_EBF_1 -7 0 + 0 0 . chr1 13916276 13916277 chr1:13916277:C:T rs16853148 C T T EBF1_EBF_1 29 0 + 0 0 . chr1 13951975 13951976 chr1:13951976:A:T rs16853255 A T A EBF1_EBF_1 1 1 - 6.155468608965576 5.189107991214745 ATTTCCCTGGGAAA chr1 13951994 13951995 chr1:13951995:C:T rs76838733 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 13968109 13968110 chr1:13968110:A:G rs2076503 A G A EBF1_EBF_1 16 0 - 0 0 . chr1 14011498 14011499 chr1:14011499:G:A rs6689383 G A A EBF1_EBF_1 -6 0 + 0 0 . chr1 14114357 14114358 chr1:14114358:G:T rs6698398 G T g EBF1_EBF_1 13 1 - 9.203747146824904 8.830001697538929 CCTCCCCAGGGATC chr1 14114380 14114381 chr1:14114381:A:G rs6664316 A G G EBF1_EBF_1 -10 0 - 0 0 . chr1 14169581 14169582 chr1:14169582:A:G rs4662129 A G A EBF1_EBF_1 -17 0 + 0 0 . chr1 14220582 14220583 chr1:14220583:A:G rs532730 A G G EBF1_EBF_1 6 1 - 6.843284248444085 6.541998119582327 ATCCACTAGGGAAT chr1 14240372 14240373 chr1:14240373:A:G rs150964267 A G A EBF1_EBF_1 -7 0 + 0 0 . chr1 14259225 14259226 chr1:14259226:C:G rs112199743 C G C EBF1_EBF_1 -10 0 + 0 0 . chr1 14261462 14261463 chr1:14261463:A:G rs10928057 A G A EBF1_EBF_1 -1 0 - 0 0 . chr1 14321701 14321702 chr1:14321702:G:T rs74338423 G T G EBF1_EBF_1 28 0 + 0 0 . chr1 14365482 14365483 chr1:14365483:C:T rs142676312 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 14433138 14433139 chr1:14433139:A:G rs2183101 A G G EBF1_EBF_1 -4 0 + 0 0 . chr1 14443684 14443685 chr1:14443685:T:C rs143284367 T C T EBF1_EBF_1 13 1 + 6.421849730216978 5.57735561563146 AATCCCCAGTGACT chr1 14443701 14443702 chr1:14443702:C:T rs148333323 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 14530535 14530536 chr1:14530536:C:T rs4661502 C T C EBF1_EBF_1 -10 0 + 0 0 . chr1 14549882 14549883 chr1:14549883:C:G rs4074529 C G G EBF1_EBF_1 27 0 - 0 0 . chr1 14653421 14653422 chr1:14653422:C:T rs540346895 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 14653635 14653636 chr1:14653636:G:A rs61774068 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 14653646 14653647 chr1:14653647:C:T rs10803294 C T C EBF1_EBF_1 10 1 - 6.205991956898658 0.8879634976316924 AACCCCGAGGGATG chr1 14660072 14660073 chr1:14660073:A:G rs10737899 A G G EBF1_EBF_1 -9 0 - 0 0 . chr1 14666621 14666622 chr1:14666622:A:G rs34333856 A G G EBF1_EBF_1 -4 0 - 0 0 . chr1 14677565 14677566 chr1:14677566:C:A rs10927497 C A C EBF1_EBF_1 24 0 + 0 0 . chr1 14718139 14718140 chr1:14718140:G:A rs80003151 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 14726470 14726471 chr1:14726471:T:G rs554477654 T G T EBF1_EBF_1 18 0 + 0 0 . chr1 14733856 14733857 chr1:14733857:T:A rs10803309 T A T EBF1_EBF_1 -11 0 - 0 0 . chr1 14740894 14740895 chr1:14740895:G:A rs1997763 G A A EBF1_EBF_1 13 1 - 8.092858580625458 8.937352695210977 ATTCCCCAGGAAAC chr1 14748330 14748331 chr1:14748331:T:C rs769625633 T C T EBF1_EBF_1 23 0 - 0 0 . chr1 14764212 14764213 chr1:14764213:A:G rs10927544 A G G EBF1_EBF_1 -16 0 - 0 0 . chr1 14791672 14791673 chr1:14791673:C:G rs1737353 C G C EBF1_EBF_1 9 1 - 10.041905956200855 4.966216809855394 ATTCCCCTGGGGAC chr1 14826536 14826537 chr1:14826537:C:A rs16850911 C A C EBF1_EBF_1 16 0 - 0 0 . chr1 14923991 14923992 chr1:14923992:A:C rs562516598 A C A EBF1_EBF_1 32 0 - 0 0 . chr1 14924011 14924012 chr1:14924012:C:T rs74932709 C T C EBF1_EBF_1 12 1 - 4.14618505855938 5.565002400658857 AGTGCCCGGGGAGC chr1 14924016 14924017 chr1:14924017:C:T rs531269337 C T C EBF1_EBF_1 7 1 - 4.14618505855938 5.458643463848205 AGTGCCCGGGGAGC chr1 14924022 14924023 chr1:14924023:C:A chr1:14924023:C:A C A C EBF1_EBF_1 1 1 - 4.14618505855938 4.851846844388907 AGTGCCCGGGGAGC chr1 14927173 14927174 chr1:14927174:G:A rs10927579 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 14943424 14943425 chr1:14943425:C:A rs75810208 C A c EBF1_EBF_1 29 0 - 0 0 . chr1 14944525 14944526 chr1:14944526:C:G rs9663010 C G G EBF1_EBF_1 -2 0 - 0 0 . chr1 14959599 14959600 chr1:14959600:A:G rs12068752 A G G EBF1_EBF_1 7 1 - 7.644346496797557 5.826841750514934 GTCCCCTTGAGAAT chr1 14972497 14972498 chr1:14972498:C:T rs2175772 C T C EBF1_EBF_1 -7 0 - 0 0 . chr1 14990150 14990151 chr1:14990151:G:A rs10927617 G A G EBF1_EBF_1 5 1 - 4.903520372157024 -0.4182668433836463 CTTCCCTTTGGACT chr1 14992351 14992352 chr1:14992352:A:G rs10803334 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 14995094 14995095 chr1:14995095:G:A rs12023436 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 14995108 14995109 chr1:14995109:A:G rs10803336 A G A EBF1_EBF_1 -19 0 - 0 0 . chr1 15011469 15011470 chr1:15011470:G:A rs7554543 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 15020034 15020035 chr1:15020035:C:T rs12130605 C T C EBF1_EBF_1 32 0 - 0 0 . chr1 15021538 15021539 chr1:15021539:C:G rs11584412 C G C EBF1_EBF_1 8 1 - 5.669050289895025 0.35039142898033887 CTCCCCGAGGGAGA chr1 15080763 15080764 chr1:15080764:T:G rs16851263 T G T EBF1_EBF_1 31 0 + 0 0 . chr1 15094119 15094120 chr1:15094120:G:C rs6693320 G C G EBF1_EBF_1 -6 0 - 0 0 . chr1 15105683 15105684 chr1:15105684:G:C rs16851290 G C G EBF1_EBF_1 3 1 - 5.641717800932168 -0.18604664718304803 GCTCCCTAAGGATC chr1 15107141 15107142 chr1:15107142:A:C rs545416 A C A EBF1_EBF_1 22 0 + 0 0 . chr1 15107143 15107144 chr1:15107144:T:G rs545415 T G T EBF1_EBF_1 24 0 + 0 0 . chr1 15141280 15141281 chr1:15141281:C:A rs10927688 C A A EBF1_EBF_1 9 1 + 5.446372007809973 7.867121888707834 GATCCCCAGCGACC chr1 15141293 15141294 chr1:15141294:G:A rs10803361 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 15186066 15186067 chr1:15186067:G:C rs2312329 G C G EBF1_EBF_1 0 1 - 4.612797337746715 4.975771951878482 CCTCCCTTGGGCTC chr1 15188349 15188350 chr1:15188350:A:G rs72642311 A G G EBF1_EBF_1 1 1 + 7.420243439207986 7.680942271129288 CACCCCCTGGGACA chr1 15188552 15188553 chr1:15188553:A:G rs72642314 A G A EBF1_EBF_1 33 0 + 0 0 . chr1 15195842 15195843 chr1:15195843:A:G rs192444616 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 15195868 15195869 chr1:15195869:G:C chr1:15195869:G:C G C G EBF1_EBF_1 20 0 + 0 0 . chr1 15204894 15204895 chr1:15204895:T:C rs143204361 T C T EBF1_EBF_1 -18 0 + 0 0 . chr1 15204927 15204928 chr1:15204928:C:T rs78522579 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 15204942 15204943 chr1:15204943:G:T rs555441044 G T G EBF1_EBF_1 30 0 + 0 0 . chr1 15208174 15208175 chr1:15208175:A:T rs10927721 A T T EBF1_EBF_1 -10 0 + 0 0 . chr1 15226898 15226899 chr1:15226899:G:C rs12563699 G C G EBF1_EBF_1 -12 0 + 0 0 . chr1 15245857 15245858 chr1:15245858:G:A rs72642382 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 15252720 15252721 chr1:15252721:C:G rs987741756 C G C EBF1_EBF_1 23 0 + 0 0 . chr1 15254764 15254765 chr1:15254765:C:A rs74053824 C A c EBF1_EBF_1 32 0 + 0 0 . chr1 15267548 15267549 chr1:15267549:C:T rs7517714 C T t EBF1_EBF_1 -8 0 + 0 0 . chr1 15275833 15275834 chr1:15275834:C:T rs752626 C T c EBF1_EBF_1 31 0 + 0 0 . chr1 15280573 15280574 chr1:15280574:G:A rs7519153 G A G EBF1_EBF_1 -15 0 + 0 0 . chr1 15288418 15288419 chr1:15288419:C:T rs80299591 C T C EBF1_EBF_1 6 1 + 6.241521169218803 6.542807298080561 CTTCCCCGGGGCCT chr1 15299110 15299111 chr1:15299111:C:A rs7527469 C A A EBF1_EBF_1 21 0 - 0 0 . chr1 15304785 15304786 chr1:15304786:G:A chr1:15304786:G:A G A G EBF1_EBF_1 3 1 - 6.572988696501887 0.16758995494857054 CTTCCCTGGGGCAT chr1 15309003 15309004 chr1:15309004:G:T rs10489961 G T G EBF1_EBF_1 -16 0 + 0 0 . chr1 15309024 15309025 chr1:15309025:C:T rs2312535 C T C EBF1_EBF_1 5 1 + 4.435635492343613 -0.8861517231970556 CCTCACCAGGGAAC chr1 15316721 15316722 chr1:15316722:G:A rs477590 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 15323469 15323470 chr1:15323470:A:G rs1534953 A G G EBF1_EBF_1 9 1 - 4.449418503874612 6.210718765617957 AGTCCCCAGTGAGC chr1 15324922 15324923 chr1:15324923:T:C rs10127455 T C T EBF1_EBF_1 6 1 + 4.927875997984739 4.626589869122981 TTCCCCTTGGGCCC chr1 15332629 15332630 chr1:15332630:A:G rs12734769 A G G EBF1_EBF_1 0 1 + 7.536204918028373 5.64392104846354 AATCCCTTGAGGCT chr1 15335073 15335074 chr1:15335074:C:T rs192706700 C T C EBF1_EBF_1 1 1 + 8.54721184218348 9.442645282582225 TCCCCCAGGGGAAT chr1 15344986 15344987 chr1:15344987:G:A rs486089 G A G EBF1_EBF_1 16 0 + 0 0 . chr1 15416071 15416072 chr1:15416072:G:T rs75645745 G T G EBF1_EBF_1 -19 0 - 0 0 . chr1 15417774 15417775 chr1:15417775:T:C rs2496320 T C C EBF1_EBF_1 26 0 - 0 0 . chr1 15417790 15417791 chr1:15417791:C:T rs67861674 C T C EBF1_EBF_1 10 1 - 7.207146081562913 1.8891176222959467 GGTCCCAGGAGAAC chr1 15419181 15419182 chr1:15419182:C:G rs76884840 C G C EBF1_EBF_1 30 0 - 0 0 . chr1 15419231 15419232 chr1:15419232:G:A rs7533371 G A A EBF1_EBF_1 -20 0 - 0 0 . chr1 15421334 15421335 chr1:15421335:C:T rs60181764 C T C EBF1_EBF_1 22 0 - 0 0 . chr1 15435211 15435212 chr1:15435212:A:T chr1:15435212:A:T A T A EBF1_EBF_1 23 0 + 0 0 . chr1 15487473 15487474 chr1:15487474:A:C rs3737704 A C C EBF1_EBF_1 15 0 + 0 0 . chr1 15524411 15524412 chr1:15524412:G:A rs559748053 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 15524460 15524461 chr1:15524461:G:C rs12060237 G C C EBF1_EBF_1 30 0 + 0 0 . chr1 15525180 15525181 chr1:15525181:C:A rs4645980 C A A EBF1_EBF_1 14 0 + 0 0 . chr1 15526832 15526833 chr1:15526833:C:T rs1230354377 C T C EBF1_EBF_1 8 1 + 4.642126371051619 3.0693524429891106 ACTCCCCTCGGGCT chr1 15527055 15527056 chr1:15527056:C:T rs3795758 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 15527083 15527084 chr1:15527084:A:G chr1:15527084:A:G A G A EBF1_EBF_1 -13 0 - 0 0 . chr1 15532061 15532062 chr1:15532062:T:C rs4661641 T C C EBF1_EBF_1 23 0 + 0 0 . chr1 15542196 15542197 chr1:15542197:A:G rs7516435 A G A EBF1_EBF_1 -12 0 - 0 0 . chr1 15583051 15583052 chr1:15583052:G:A rs6429756 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 15593870 15593871 chr1:15593871:A:G rs72645862 A G A EBF1_EBF_1 -7 0 - 0 0 . chr1 15614780 15614781 chr1:15614781:C:T rs3940061 C T c EBF1_EBF_1 -14 0 - 0 0 . chr1 15627933 15627934 chr1:15627934:A:G rs10927815 A G A EBF1_EBF_1 -8 0 - 0 0 . chr1 15682100 15682101 chr1:15682101:C:T rs114917269 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 15683722 15683723 chr1:15683723:G:C rs1407051467 G C G EBF1_EBF_1 -11 0 + 0 0 . chr1 15684134 15684135 chr1:15684135:G:A rs77877913 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 15725271 15725272 chr1:15725272:C:T rs41270293 C T c EBF1_EBF_1 7 1 - 5.1860761282426555 6.49853453353148 ACCCCCCGGGGTCC chr1 15749264 15749265 chr1:15749265:C:T rs542477408 C T C EBF1_EBF_1 7 1 + 5.587591532740531 7.405096279023155 AGCCCCACGGGGCA chr1 15749572 15749573 chr1:15749573:T:C rs11580478 T C T EBF1_EBF_1 24 0 + 0 0 . chr1 15750209 15750210 chr1:15750210:T:C rs10927849 T C C EBF1_EBF_1 7 1 - 4.783879237051164 3.4714208317623383 GGCCCCAAGGGTCA chr1 15755631 15755632 chr1:15755632:T:C rs34108989 T C C EBF1_EBF_1 -8 0 + 0 0 . chr1 15757808 15757809 chr1:15757809:G:A rs142961571 G A G EBF1_EBF_1 9 1 + 4.524549629689664 1.8696103642420605 GGCCTCCAGGGACC chr1 15759443 15759444 chr1:15759444:C:T rs537402375 C T c EBF1_EBF_1 33 0 - 0 0 . chr1 15765707 15765708 chr1:15765708:C:A rs74973395 C A C EBF1_EBF_1 -19 0 - 0 0 . chr1 15786299 15786300 chr1:15786300:A:G rs7663 A G A EBF1_EBF_1 30 0 + 0 0 . chr1 15799438 15799439 chr1:15799439:C:T rs74054861 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 15834893 15834894 chr1:15834894:G:A rs11586911 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 15834912 15834913 chr1:15834913:C:T rs1053228420 C T C EBF1_EBF_1 3 1 + 6.3520244477436485 -0.05337429380966907 CGCCCCGAGGGACA chr1 15836249 15836250 chr1:15836250:A:T rs903723590 A T A EBF1_EBF_1 28 0 - 0 0 . chr1 15940875 15940876 chr1:15940876:C:G rs698890 C G G EBF1_EBF_1 18 0 - 0 0 . chr1 15948556 15948557 chr1:15948557:C:T rs112121101 C T T EBF1_EBF_1 26 0 - 0 0 . chr1 15949129 15949130 chr1:15949130:G:T rs139183133 G T G EBF1_EBF_1 -20 0 + 0 0 . chr1 15959011 15959012 chr1:15959012:A:G rs757865695 A G A EBF1_EBF_1 2 1 - 6.7049767124568 4.81615330101465 TATCCCAAGGGTCC chr1 15966246 15966247 chr1:15966247:C:T rs848199 C T T EBF1_EBF_1 16 0 + 0 0 . chr1 15990020 15990021 chr1:15990021:T:C rs848303 T C C EBF1_EBF_1 16 0 + 0 0 . chr1 16004320 16004321 chr1:16004321:C:T rs1763617 C T C EBF1_EBF_1 3 1 + 9.835070382628214 3.429671641074896 AGACCCCAGGGACT chr1 16010756 16010757 chr1:16010757:C:T rs1739836 C T T EBF1_EBF_1 19 0 - 0 0 . chr1 16018234 16018235 chr1:16018235:T:C rs945418 T C C EBF1_EBF_1 -10 0 + 0 0 . chr1 16018652 16018653 chr1:16018653:C:T rs3754331 C T C EBF1_EBF_1 -1 0 + 0 0 . chr1 16026904 16026905 chr1:16026905:T:C rs9442216 T C C EBF1_EBF_1 -13 0 + 0 0 . chr1 16026921 16026922 chr1:16026922:C:G rs187477176 C G C EBF1_EBF_1 4 1 + 4.903212519381954 -0.7225525817675917 ACCCCCCGGGGGCG chr1 16036939 16036940 chr1:16036940:G:A rs116700956 G A N EBF1_EBF_1 9 1 + 7.337306873771744 4.68236760832414 CCTCCCCAGGGGCC chr1 16038714 16038715 chr1:16038715:C:A rs59301753 C A c EBF1_EBF_1 3 1 + 4.669782438115587 -2.2363915913502206 CCTCCCCCGGGGCA chr1 16039869 16039870 chr1:16039870:C:G rs2003943 C G c EBF1_EBF_1 23 0 + 0 0 . chr1 16045436 16045437 chr1:16045437:A:C rs6604909 A C N EBF1_EBF_1 24 0 + 0 0 . chr1 16053992 16053993 chr1:16053993:G:A rs7414959 G A g EBF1_EBF_1 32 0 - 0 0 . chr1 16055083 16055084 chr1:16055084:A:G rs12047064 A G a EBF1_EBF_1 -3 0 - 0 0 . chr1 16055320 16055321 chr1:16055321:C:T rs12029508 C T N EBF1_EBF_1 -6 0 + 0 0 . chr1 16061517 16061518 chr1:16061518:C:T rs111653964 C T C EBF1_EBF_1 -16 0 + 0 0 . chr1 16065161 16065162 chr1:16065162:C:T rs76611045 C T T EBF1_EBF_1 -3 0 - 0 0 . chr1 16067395 16067396 chr1:16067396:C:G rs140186993 C G C EBF1_EBF_1 19 0 + 0 0 . chr1 16068532 16068533 chr1:16068533:C:T rs80295003 C T C EBF1_EBF_1 -18 0 + 0 0 . chr1 16068533 16068534 chr1:16068534:G:T rs10803419 G T T EBF1_EBF_1 -17 0 + 0 0 . chr1 16073291 16073292 chr1:16073292:G:A rs115437766 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 16073711 16073712 chr1:16073712:G:A rs150277758 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 16073721 16073722 chr1:16073722:G:A rs973765885 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 16088708 16088709 chr1:16088709:G:A rs766503031 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 16088845 16088846 chr1:16088846:T:C rs4661353 T C C EBF1_EBF_1 -15 0 - 0 0 . chr1 16110802 16110803 chr1:16110803:T:G rs10158601 T G G EBF1_EBF_1 -1 0 - 0 0 . chr1 16118800 16118801 chr1:16118801:C:T rs773639133 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 16143920 16143921 chr1:16143921:G:A rs77476332 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 16151965 16151966 chr1:16151966:A:C rs904105 A C C EBF1_EBF_1 -10 0 + 0 0 . chr1 16155545 16155546 chr1:16155546:C:T rs534274995 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 16156272 16156273 chr1:16156273:G:A rs919578382 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 16156296 16156297 chr1:16156297:C:G rs985428870 C G C EBF1_EBF_1 5 1 + 9.759953873648572 2.855072721013404 AGCCCCCAGGGATC chr1 16178808 16178809 chr1:16178809:T:C rs6688638 T C T EBF1_EBF_1 6 1 - 8.692021838580672 6.525227407306198 GCCCCCAAGGGACA chr1 16178824 16178825 chr1:16178825:A:G rs1497406 A G A EBF1_EBF_1 -10 0 - 0 0 . chr1 16191959 16191960 chr1:16191960:A:G rs6702773 A G G EBF1_EBF_1 22 0 + 0 0 . chr1 16202328 16202329 chr1:16202329:C:T rs3841841 C T T EBF1_EBF_1 -4 0 - 0 0 . chr1 16207759 16207760 chr1:16207760:C:G rs221057 C G C EBF1_EBF_1 26 0 - 0 0 . chr1 16210047 16210048 chr1:16210048:C:T rs79766984 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 16210052 16210053 chr1:16210053:G:A rs221062 G A g EBF1_EBF_1 -14 0 - 0 0 . chr1 16212039 16212040 chr1:16212040:G:A rs7514138 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 16230894 16230895 chr1:16230895:C:T rs3795745 C T C EBF1_EBF_1 7 1 + 5.031384805916864 6.848889552199488 CCTCCCACGGGGCA chr1 16236602 16236603 chr1:16236603:G:A rs186811213 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 16236882 16236883 chr1:16236883:C:T rs750699698 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 16244343 16244344 chr1:16244344:T:C rs6683278 T C C EBF1_EBF_1 16 0 + 0 0 . chr1 16321554 16321555 chr1:16321555:G:C rs114126986 G C G EBF1_EBF_1 -18 0 + 0 0 . chr1 16366632 16366633 chr1:16366633:A:G rs112700631 A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 16367906 16367907 chr1:16367907:C:A rs149082144 C A C EBF1_EBF_1 10 1 - 4.663192791455757 0.48044060522849236 GTCCCCGTGAGACC chr1 16398834 16398835 chr1:16398835:G:A rs41307763 G A g EBF1_EBF_1 -4 0 - 0 0 . chr1 16413200 16413201 chr1:16413201:C:G rs2902589 C G C EBF1_EBF_1 13 1 + 5.775531516849784 4.287460495494369 ACTCCCCCGGGCCC chr1 16440273 16440274 chr1:16440274:G:A rs72638043 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 16484684 16484685 chr1:16484685:C:T rs558082663 C T . EBF1_EBF_1 12 1 + 4.688955612299718 3.662689041748868 GCTCCCCAGGGCCG chr1 16494668 16494669 chr1:16494669:C:A rs111921195 C A . EBF1_EBF_1 3 1 + 7.241806414765979 0.33563238530017214 AAACCCCAGGGACG chr1 16509314 16509315 chr1:16509315:G:C chr1:16509315:G:C G C . EBF1_EBF_1 -11 0 - 0 0 . chr1 16509317 16509318 chr1:16509318:C:T rs61769477 C T . EBF1_EBF_1 -14 0 - 0 0 . chr1 16583557 16583558 chr1:16583558:G:C rs145174757 G C . EBF1_EBF_1 -15 0 + 0 0 . chr1 16589899 16589900 chr1:16589900:T:A rs201263825 T A . EBF1_EBF_1 22 0 + 0 0 . chr1 16607699 16607700 chr1:16607700:G:C rs867171770 G C . EBF1_EBF_1 21 0 + 0 0 . chr1 16620703 16620704 chr1:16620704:T:G rs878413 T G . EBF1_EBF_1 0 1 - 11.648777285090722 9.393518801394121 AGTCCCCAGGGATC chr1 16620714 16620715 chr1:16620715:G:A rs1380782875 G A . EBF1_EBF_1 -11 0 - 0 0 . chr1 16624099 16624100 chr1:16624100:C:G rs71642032 C G . EBF1_EBF_1 32 0 - 0 0 . chr1 16624142 16624143 chr1:16624143:C:T rs12144513 C T . EBF1_EBF_1 -11 0 - 0 0 . chr1 16624173 16624174 chr1:16624174:T:C rs1765555 T C . EBF1_EBF_1 20 0 - 0 0 . chr1 16624191 16624192 chr1:16624192:G:T rs1762940 G T . EBF1_EBF_1 2 1 - 5.627484281744601 3.831840105587874 GGCCCCCAGGGGCA chr1 16624206 16624207 chr1:16624207:A:T chr1:16624207:A:T A T . EBF1_EBF_1 -13 0 - 0 0 . chr1 16628399 16628400 chr1:16628400:T:C rs10157920 T C . EBF1_EBF_1 7 1 + 6.129506952709677 4.312002206427054 AACCCCATGGGGGC chr1 16628420 16628421 chr1:16628421:C:T rs12562443 C T . EBF1_EBF_1 28 0 + 0 0 . chr1 16628721 16628722 chr1:16628722:C:T rs4661868 C T . EBF1_EBF_1 -14 0 - 0 0 . chr1 16630348 16630349 chr1:16630349:T:C rs2095691 T C . EBF1_EBF_1 0 1 + 5.403129245580541 5.120927184849963 TCTCCCTGGGGTCC chr1 16630820 16630821 chr1:16630821:C:G rs10081952 C G . EBF1_EBF_1 -20 0 + 0 0 . chr1 16630833 16630834 chr1:16630834:C:T rs567983400 C T . EBF1_EBF_1 -7 0 + 0 0 . chr1 16630841 16630842 chr1:16630842:T:A rs113138725 T A . EBF1_EBF_1 1 1 + 5.188881922977921 4.22252130522709 CTCCCCCTGGGCCT chr1 16631892 16631893 chr1:16631893:G:T rs9729963 G T . EBF1_EBF_1 12 1 - 5.138709490233625 5.168890888654951 CTCCCCCAGGGTCC chr1 16633330 16633331 chr1:16633331:C:T rs12023653 C T . EBF1_EBF_1 24 0 - 0 0 . chr1 16633338 16633339 chr1:16633339:G:T rs201123694 G T . EBF1_EBF_1 16 0 - 0 0 . chr1 16645693 16645694 chr1:16645694:C:A rs9728527 C A . EBF1_EBF_1 -10 0 - 0 0 . chr1 16678338 16678339 chr1:16678339:C:T rs28519456 C T . EBF1_EBF_1 -15 0 + 0 0 . chr1 16678343 16678344 chr1:16678344:C:T rs114998114 C T . EBF1_EBF_1 -10 0 + 0 0 . chr1 16678346 16678347 chr1:16678347:T:C rs149530375 T C . EBF1_EBF_1 -7 0 + 0 0 . chr1 16678354 16678355 chr1:16678355:C:A rs1977269 C A . EBF1_EBF_1 1 1 + 4.912305917158365 4.841378739806281 ACCCCCTGGAGGAT chr1 16704601 16704602 chr1:16704602:G:A rs587962 G A . EBF1_EBF_1 17 0 - 0 0 . chr1 16710398 16710399 chr1:16710399:T:G rs61773402 T G . EBF1_EBF_1 -18 0 + 0 0 . chr1 16724259 16724260 chr1:16724260:T:C rs200974820 T C . EBF1_EBF_1 11 1 + 6.406084420338807 6.088036978585144 ATTCCCCAGGGTGG chr1 16725309 16725310 chr1:16725310:G:A rs1265393128 G A . EBF1_EBF_1 21 0 - 0 0 . chr1 16728384 16728385 chr1:16728385:G:A rs11260909 G A . EBF1_EBF_1 18 0 + 0 0 . chr1 16728392 16728393 chr1:16728393:A:G rs28648372 A G . EBF1_EBF_1 26 0 + 0 0 . chr1 16906063 16906064 chr1:16906064:C:T rs11588456 C T . EBF1_EBF_1 -20 0 - 0 0 . chr1 16913692 16913693 chr1:16913693:C:T rs113119168 C T . EBF1_EBF_1 -5 0 - 0 0 . chr1 16913696 16913697 chr1:16913697:G:A rs76648159 G A . EBF1_EBF_1 -9 0 - 0 0 . chr1 16914838 16914839 chr1:16914839:T:C rs6421403 T C . EBF1_EBF_1 6 1 - 10.098413581897626 7.931619150623153 CTTCCCAAGGGAGC chr1 16926633 16926634 chr1:16926634:G:A rs9435787 G A . EBF1_EBF_1 31 0 - 0 0 . chr1 16929228 16929229 chr1:16929229:G:A rs7552190 G A . EBF1_EBF_1 5 1 - 5.66301963453684 0.3412324189961698 ATTCCCGGAGGACA chr1 16948606 16948607 chr1:16948607:C:G rs12081151 C G . EBF1_EBF_1 4 1 + 5.627484281744601 0.0017191805950562689 GGCCCCCAGGGGCA chr1 16972074 16972075 chr1:16972075:G:A rs7545418 G A . EBF1_EBF_1 -11 0 + 0 0 . chr1 16972114 16972115 chr1:16972115:C:T rs115155267 C T . EBF1_EBF_1 29 0 + 0 0 . chr1 16996953 16996954 chr1:16996954:T:C rs9435736 T C C EBF1_EBF_1 -10 0 + 0 0 . chr1 17001704 17001705 chr1:17001705:A:T rs6657613 A T A EBF1_EBF_1 28 0 + 0 0 . chr1 17009757 17009758 chr1:17009758:C:T rs6662202 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 17056450 17056451 chr1:17056451:C:A rs3754507 C A C EBF1_EBF_1 24 0 + 0 0 . chr1 17067393 17067394 chr1:17067394:A:G rs7516087 A G A EBF1_EBF_1 24 0 - 0 0 . chr1 17068117 17068118 chr1:17068118:T:C rs10157828 T C T EBF1_EBF_1 -10 0 - 0 0 . chr1 17068127 17068128 chr1:17068128:C:T rs10157387 C T T EBF1_EBF_1 -20 0 - 0 0 . chr1 17074787 17074788 chr1:17074788:C:T rs3818041 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 17077067 17077068 chr1:17077068:G:T rs2647199 G T G EBF1_EBF_1 2 1 - 9.747810792163873 7.952166616007148 AGCCCCAAGGGATA chr1 17087403 17087404 chr1:17087404:T:A rs761426 T A A EBF1_EBF_1 0 1 + 8.378964503771499 10.352020926737518 TTTCCCCAGAGACA chr1 17089159 17089160 chr1:17089160:G:A rs2235924 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 17094790 17094791 chr1:17094791:C:A rs7547459 C A A EBF1_EBF_1 15 0 - 0 0 . chr1 17094819 17094820 chr1:17094820:C:T rs12754937 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 17097637 17097638 chr1:17097638:T:C rs2244949 T C C EBF1_EBF_1 27 0 + 0 0 . chr1 17101453 17101454 chr1:17101454:C:G rs182632005 C G C EBF1_EBF_1 28 0 + 0 0 . chr1 17114203 17114204 chr1:17114204:C:T rs141151731 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 17117984 17117985 chr1:17117985:G:A rs1569755 G A G EBF1_EBF_1 3 1 - 7.077705334420072 0.6723065928667538 CTTCCCCTGGGCCT chr1 17162671 17162672 chr1:17162672:G:A rs12139529 G A G EBF1_EBF_1 24 0 - 0 0 . chr1 17170753 17170754 chr1:17170754:C:T rs76415305 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 17185075 17185076 chr1:17185076:G:A rs2935920 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 17192640 17192641 chr1:17192641:C:A rs557379351 C A C EBF1_EBF_1 5 1 + 6.456497487495879 -0.4483836651392888 TCTCCCAAGAGAGA chr1 17192653 17192654 chr1:17192654:G:A rs972241956 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 17192663 17192664 chr1:17192664:C:T rs75905618 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 17192664 17192665 chr1:17192665:G:A rs986384578 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 17257098 17257099 chr1:17257099:T:G rs113510633 T G G EBF1_EBF_1 24 0 - 0 0 . chr1 17277941 17277942 chr1:17277942:C:T rs72646786 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 17281005 17281006 chr1:17281006:G:C rs11584287 G C G EBF1_EBF_1 -20 0 - 0 0 . chr1 17295631 17295632 chr1:17295632:A:G rs142315069 A G a EBF1_EBF_1 7 1 + 5.586670956239632 4.274212550950806 ATTTCCCAGGGAGC chr1 17295652 17295653 chr1:17295653:A:G rs7552802 A G G EBF1_EBF_1 28 0 + 0 0 . chr1 17310364 17310365 chr1:17310365:T:C rs4920591 T C T EBF1_EBF_1 30 0 + 0 0 . chr1 17336045 17336046 chr1:17336046:A:C rs1748034 A C C EBF1_EBF_1 30 0 - 0 0 . chr1 17346606 17346607 chr1:17346607:C:T rs35210719 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 17354600 17354601 chr1:17354601:G:A rs138375185 G A G EBF1_EBF_1 10 1 + 7.018678272727211 1.700649813460245 ACTCCTTTGGGAAC chr1 17406639 17406640 chr1:17406640:C:T rs2800699 C T C EBF1_EBF_1 5 1 + 7.224007247815952 1.9022200322752811 GCTCCCCTGGGGCC chr1 17406650 17406651 chr1:17406651:A:C rs2762889 A C C EBF1_EBF_1 16 0 + 0 0 . chr1 17504175 17504176 chr1:17504176:C:T rs12118690 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 17527102 17527103 chr1:17527103:C:A rs2247877 C A C EBF1_EBF_1 24 0 - 0 0 . chr1 17527396 17527397 chr1:17527397:C:T rs2247893 C T C EBF1_EBF_1 9 1 - 6.138568449798274 3.4836291843506695 ATTCCCTGGGTGCT chr1 17532141 17532142 chr1:17532142:C:T rs7549022 C T C EBF1_EBF_1 5 1 + 4.783484924184753 -0.5383022913559181 TGTCCCCTGGGCTC chr1 17539297 17539298 chr1:17539298:C:A rs7511836 C A C EBF1_EBF_1 18 0 + 0 0 . chr1 17551874 17551875 chr1:17551875:A:G rs72643592 A G A EBF1_EBF_1 1 1 + 5.368185069477219 5.628883901398521 TAACCCCAGGGAGC chr1 17553963 17553964 chr1:17553964:T:C rs72927495 T C T EBF1_EBF_1 -8 0 + 0 0 . chr1 17560949 17560950 chr1:17560950:C:T rs3887622 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 17566161 17566162 chr1:17566162:C:T rs79263340 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 17570064 17570065 chr1:17570065:C:A rs537359292 C A C EBF1_EBF_1 -17 0 + 0 0 . chr1 17570106 17570107 chr1:17570107:G:A rs72921016 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 17587822 17587823 chr1:17587823:T:C rs190141593 T C T EBF1_EBF_1 -11 0 - 0 0 . chr1 17588350 17588351 chr1:17588351:C:T rs1193343 C T C EBF1_EBF_1 13 1 - 5.849235852416329 6.963561424485768 CCTCCCCAGGGGCG chr1 17588632 17588633 chr1:17588633:G:A rs181771611 G A G EBF1_EBF_1 -3 0 - 0 0 . chr1 17630943 17630944 chr1:17630944:G:C rs78012152 G C g EBF1_EBF_1 0 1 - 5.812693058964023 6.1756676730957905 CCTCCCCAGAGAGA chr1 17630953 17630954 chr1:17630954:T:A rs2185323 T A a EBF1_EBF_1 -10 0 - 0 0 . chr1 17640009 17640010 chr1:17640010:G:A rs80087840 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 17643082 17643083 chr1:17643083:G:T rs3766306 G T G EBF1_EBF_1 14 0 - 0 0 . chr1 17672695 17672696 chr1:17672696:C:T rs145721633 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 17696658 17696659 chr1:17696659:A:G rs4920620 A G G EBF1_EBF_1 0 1 + 6.117787801068533 4.225503931503699 ACTCCCCTGAGGAA chr1 17718016 17718017 chr1:17718017:G:C rs79942966 G C G EBF1_EBF_1 14 0 + 0 0 . chr1 17741917 17741918 chr1:17741918:C:G rs76443263 C G C EBF1_EBF_1 -6 0 - 0 0 . chr1 17755967 17755968 chr1:17755968:T:C rs937173664 T C t EBF1_EBF_1 0 1 - 6.5901117537344875 4.697827884169653 AGCCCCCAAGGAAC chr1 17756094 17756095 chr1:17756095:T:C rs1966041 T C C EBF1_EBF_1 26 0 - 0 0 . chr1 17764806 17764807 chr1:17764807:A:T rs16830724 A T A EBF1_EBF_1 -16 0 - 0 0 . chr1 17765927 17765928 chr1:17765928:C:A chr1:17765928:C:A C A C EBF1_EBF_1 25 0 + 0 0 . chr1 17799237 17799238 chr1:17799238:A:G rs11582475 A G A EBF1_EBF_1 -8 0 - 0 0 . chr1 17827730 17827731 chr1:17827731:C:T rs61766704 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 17835006 17835007 chr1:17835007:G:T rs116568739 G T G EBF1_EBF_1 31 0 + 0 0 . chr1 17837627 17837628 chr1:17837628:G:T rs74060095 G T G EBF1_EBF_1 5 1 - 4.599214435034397 -2.3056667176007717 TCCCCCAAGGGCAC chr1 17837635 17837636 chr1:17837636:G:A rs624178 G A G EBF1_EBF_1 -3 0 - 0 0 . chr1 17851958 17851959 chr1:17851959:A:G rs12029059 A G A EBF1_EBF_1 19 0 - 0 0 . chr1 17875686 17875687 chr1:17875687:G:A rs7538231 G A g EBF1_EBF_1 24 0 - 0 0 . chr1 17884414 17884415 chr1:17884415:T:C rs114638446 T C T EBF1_EBF_1 23 0 - 0 0 . chr1 17891560 17891561 chr1:17891561:A:G rs112266265 A G A EBF1_EBF_1 -4 0 - 0 0 . chr1 17902366 17902367 chr1:17902367:G:A rs679002 G A A EBF1_EBF_1 -14 0 + 0 0 . chr1 17929605 17929606 chr1:17929606:G:T rs1416950 G T G EBF1_EBF_1 -20 0 + 0 0 . chr1 17929627 17929628 chr1:17929628:T:G rs1416949 T G G EBF1_EBF_1 2 1 + 5.408809291734565 -1.3395163984659013 AATCCCCAGAGGAG chr1 18036189 18036190 chr1:18036190:C:G rs12135074 C G C EBF1_EBF_1 23 0 - 0 0 . chr1 18043232 18043233 chr1:18043233:A:G rs1020839958 A G A EBF1_EBF_1 33 0 + 0 0 . chr1 18109906 18109907 chr1:18109907:C:T rs36082960 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 18111856 18111857 chr1:18111857:T:C rs1342569 T C C EBF1_EBF_1 -20 0 + 0 0 . chr1 18134468 18134469 chr1:18134469:T:C rs79804203 T C C EBF1_EBF_1 7 1 - 7.474242353368223 6.161783948079397 CTCCCCCAGGGAGA chr1 18139430 18139431 chr1:18139431:C:T rs75117026 C T C EBF1_EBF_1 3 1 - 4.275481838235582 3.1970722568849914 ATCGCCCAGGGAGC chr1 18145457 18145458 chr1:18145458:A:C rs76793018 A C C EBF1_EBF_1 -18 0 + 0 0 . chr1 18145458 18145459 chr1:18145459:G:A rs12130107 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 18145497 18145498 chr1:18145498:C:T rs77315719 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 18174671 18174672 chr1:18174672:C:T rs12038815 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 18176752 18176753 chr1:18176753:C:T rs34041650 C T C EBF1_EBF_1 4 1 + 8.81085150172019 4.441464556775266 ATTCCCCAAGGAAA chr1 18209860 18209861 chr1:18209861:G:A rs10907295 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 18256427 18256428 chr1:18256428:G:A rs223180 G A G EBF1_EBF_1 16 0 + 0 0 . chr1 18258108 18258109 chr1:18258109:C:T rs111681429 C T c EBF1_EBF_1 18 0 + 0 0 . chr1 18283438 18283439 chr1:18283439:C:A rs143251646 C A C EBF1_EBF_1 -18 0 - 0 0 . chr1 18325633 18325634 chr1:18325634:T:G rs1266680 T G G EBF1_EBF_1 32 0 - 0 0 . chr1 18396785 18396786 chr1:18396786:T:A rs55832627 T A T EBF1_EBF_1 13 1 + 5.942863731715738 4.724624167844244 GCCCCCAGGAGACT chr1 18432724 18432725 chr1:18432725:A:G rs10443385 A G A EBF1_EBF_1 6 1 + 4.6731135766862355 2.50631914541176 CCTCCCATGGGCTC chr1 18433079 18433080 chr1:18433080:A:G rs1356662 A G A EBF1_EBF_1 -15 0 + 0 0 . chr1 18441332 18441333 chr1:18441333:G:A rs6660438 G A G EBF1_EBF_1 0 1 + 5.327006944281598 7.219290813846431 GCTCCCCTGGGCCA chr1 18448198 18448199 chr1:18448199:A:G rs2946535 A G G EBF1_EBF_1 24 0 - 0 0 . chr1 18476517 18476518 chr1:18476518:A:G rs114919093 A G A EBF1_EBF_1 -13 0 + 0 0 . chr1 18476527 18476528 chr1:18476528:T:C rs3007734 T C C EBF1_EBF_1 -3 0 + 0 0 . chr1 18481970 18481971 chr1:18481971:T:A rs3007718 T A A EBF1_EBF_1 -6 0 - 0 0 . chr1 18483849 18483850 chr1:18483850:G:T rs2992740 G T G EBF1_EBF_1 -10 0 + 0 0 . chr1 18483854 18483855 chr1:18483855:G:C rs6667069 G C G EBF1_EBF_1 -5 0 + 0 0 . chr1 18488839 18488840 chr1:18488840:G:A rs4920502 G A G EBF1_EBF_1 3 1 - 6.966098151151847 0.5606994095985303 GTTCCCAGGGGCCT chr1 18526995 18526996 chr1:18526996:G:A rs2816027 G A G EBF1_EBF_1 9 1 + 8.26177423591433 5.6068349704667275 AATCCCCAGGTAAC chr1 18530829 18530830 chr1:18530830:T:C rs78492140 T C T EBF1_EBF_1 21 0 + 0 0 . chr1 18562044 18562045 chr1:18562045:C:T rs141406181 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 18594056 18594057 chr1:18594057:C:G rs4920336 C G C EBF1_EBF_1 1 1 + 5.206645599151211 5.396417253720428 ACTCCACAGGGACA chr1 18597551 18597552 chr1:18597552:T:C rs2816067 T C C EBF1_EBF_1 29 0 + 0 0 . chr1 18600465 18600466 chr1:18600466:C:A rs57821950 C A C EBF1_EBF_1 21 0 + 0 0 . chr1 18723259 18723260 chr1:18723260:G:T rs2236816 G T G EBF1_EBF_1 -2 0 - 0 0 . chr1 18761410 18761411 chr1:18761411:C:T rs12124450 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 18769762 18769763 chr1:18769763:T:C rs2841078 T C C EBF1_EBF_1 -19 0 - 0 0 . chr1 18778610 18778611 chr1:18778611:C:T rs35417699 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 18844684 18844685 chr1:18844685:C:T rs4131265 C T C EBF1_EBF_1 1 1 - 7.504695389951974 7.24399655803067 CGCCCCCAGGGATC chr1 18850570 18850571 chr1:18850571:T:C rs7416107 T C T EBF1_EBF_1 19 0 - 0 0 . chr1 18862478 18862479 chr1:18862479:C:G rs35190192 C G C EBF1_EBF_1 -17 0 - 0 0 . chr1 18871919 18871920 chr1:18871920:G:A rs1140477 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 18871923 18871924 chr1:18871924:C:T rs1138269 C T C EBF1_EBF_1 22 0 - 0 0 . chr1 18874400 18874401 chr1:18874401:C:T rs35657817 C T T EBF1_EBF_1 12 1 + 4.6507172998879796 3.6244507293371298 AGCCCCTCGAGACG chr1 18900483 18900484 chr1:18900484:T:C rs28674731 T C T EBF1_EBF_1 27 0 + 0 0 . chr1 18902310 18902311 chr1:18902311:T:A rs9426678 T A T EBF1_EBF_1 -9 0 + 0 0 . chr1 18904810 18904811 chr1:18904811:C:T rs77038531 C T c EBF1_EBF_1 5 1 + 4.207430668811794 -1.1143565467288776 TCCCCCCAGGGCCC chr1 18913229 18913230 chr1:18913230:C:G rs147571929 C G C EBF1_EBF_1 -20 0 + 0 0 . chr1 18913231 18913232 chr1:18913232:G:A rs141819901 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 18913260 18913261 chr1:18913261:A:G rs112287875 A G A EBF1_EBF_1 11 1 + 5.833602990337783 2.9408961467337718 ATTCCCACAGGAGC chr1 18923264 18923265 chr1:18923265:C:A rs138710490 C A C EBF1_EBF_1 4 1 + 4.956352567385918 -0.8682070560675484 ATTCCCCAGAGGGG chr1 18961509 18961510 chr1:18961510:G:C rs141183136 G C G EBF1_EBF_1 -19 0 + 0 0 . chr1 18974153 18974154 chr1:18974154:G:A rs139785784 G A G EBF1_EBF_1 1 1 - 5.316479169757195 6.211912610155941 ACCCCCGTGAGAAA chr1 18995574 18995575 chr1:18995575:C:T rs56153612 C T C EBF1_EBF_1 -1 0 + 0 0 . chr1 19038320 19038321 chr1:19038321:A:G rs6678656 A G G EBF1_EBF_1 -13 0 - 0 0 . chr1 19047104 19047105 chr1:19047105:G:T rs12090610 G T G EBF1_EBF_1 5 1 - 7.774206253821944 0.8693251011867771 TATCCCAAGAGACA chr1 19047110 19047111 chr1:19047111:C:T rs7537573 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 19072021 19072022 chr1:19072022:G:A rs35123213 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 19075319 19075320 chr1:19075320:A:G rs1886025 A G G EBF1_EBF_1 6 1 - 5.165543606447699 4.864257477585943 GGTCCCTTGGGCTC chr1 19079716 19079717 chr1:19079717:C:T rs77513479 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 19093010 19093011 chr1:19093011:C:A rs143136087 C A C EBF1_EBF_1 11 1 + 6.9842354274325755 11.400197125284965 AACCCCCAGGGCAT chr1 19251886 19251887 chr1:19251887:C:G rs41273201 C G c EBF1_EBF_1 9 1 - 4.525478110565459 -0.5502110357800064 TCCCCCCAGGGTCC chr1 19251890 19251891 chr1:19251891:G:C rs17522898 G C G EBF1_EBF_1 5 1 - 4.525478110565459 -2.379403042069711 TCCCCCCAGGGTCC chr1 19251909 19251910 chr1:19251910:G:A rs41264060 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 19257185 19257186 chr1:19257186:C:T rs75881622 C T C EBF1_EBF_1 -16 0 + 0 0 . chr1 19257189 19257190 chr1:19257190:C:T rs1774074 C T T EBF1_EBF_1 -12 0 + 0 0 . chr1 19257230 19257231 chr1:19257231:T:C rs1738017 T C C EBF1_EBF_1 29 0 + 0 0 . chr1 19260286 19260287 chr1:19260287:C:T rs55694830 C T C EBF1_EBF_1 28 0 - 0 0 . chr1 19311595 19311596 chr1:19311596:G:C rs4911983 G C G EBF1_EBF_1 -19 0 + 0 0 . chr1 19332011 19332012 chr1:19332012:C:T rs3748756 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 19332312 19332313 chr1:19332313:C:T rs636795 C T T EBF1_EBF_1 16 0 + 0 0 . chr1 19332322 19332323 chr1:19332323:T:C rs4912071 T C T EBF1_EBF_1 26 0 + 0 0 . chr1 19349241 19349242 chr1:19349242:C:T rs7540995 C T C EBF1_EBF_1 7 1 - 8.469878606748733 9.782337012037559 AGTCCCCGGGGGCC chr1 19370493 19370494 chr1:19370494:C:T rs115036561 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 19375694 19375695 chr1:19375695:A:G rs79536226 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 19427352 19427353 chr1:19427353:G:A rs11583187 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 19439753 19439754 chr1:19439754:G:A rs12041311 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 19439831 19439832 chr1:19439832:G:T rs12754186 G T G EBF1_EBF_1 -13 0 + 0 0 . chr1 19451622 19451623 chr1:19451623:G:C rs71645451 G C G EBF1_EBF_1 20 0 - 0 0 . chr1 19550640 19550641 chr1:19550641:G:A rs12122506 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 19550671 19550672 chr1:19550672:C:T rs187125135 C T T EBF1_EBF_1 21 0 + 0 0 . chr1 19563464 19563465 chr1:19563465:T:C rs1572823 T C C EBF1_EBF_1 18 0 - 0 0 . chr1 19563836 19563837 chr1:19563837:C:G rs34087508 C G C EBF1_EBF_1 4 1 + 5.660564842798328 0.034799741648785076 ACTCCCAGGGGCAG chr1 19564071 19564072 chr1:19564072:G:A rs74368962 G A G EBF1_EBF_1 7 1 + 8.050607359521548 9.363065764810374 CTTCCCCGGGGAGA chr1 19597174 19597175 chr1:19597175:C:T rs72956115 C T C EBF1_EBF_1 -17 0 + 0 0 . chr1 19622373 19622374 chr1:19622374:G:A rs2281238 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 19643662 19643663 chr1:19643663:C:A chr1:19643663:C:A C A C EBF1_EBF_1 10 1 - 6.51051344810532 2.327761261878056 AAGCCCCAGGGACT chr1 19643675 19643676 chr1:19643676:C:T rs3762388 C T C EBF1_EBF_1 -3 0 - 0 0 . chr1 19651030 19651031 chr1:19651031:A:T rs1894254 A T A EBF1_EBF_1 -11 0 - 0 0 . chr1 19712982 19712983 chr1:19712983:C:T rs894511928 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 19728320 19728321 chr1:19728321:G:A rs55968652 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 19739886 19739887 chr1:19739887:C:A rs41303893 C A C EBF1_EBF_1 -1 0 + 0 0 . chr1 19739897 19739898 chr1:19739898:G:T chr1:19739898:G:T G T G EBF1_EBF_1 10 1 + 7.5219694900608625 3.339217303833597 ACCCCCCAGGGGTT chr1 19772423 19772424 chr1:19772424:A:C rs61768334 A C A EBF1_EBF_1 16 0 - 0 0 . chr1 19772682 19772683 chr1:19772683:C:T rs72658533 C T C EBF1_EBF_1 -18 0 + 0 0 . chr1 19773304 19773305 chr1:19773305:C:T rs55751106 C T T EBF1_EBF_1 8 1 - 5.587957632184396 1.361667543298135 CCTCCCCAGGGTGT chr1 19799714 19799715 chr1:19799715:C:A rs575147353 C A C EBF1_EBF_1 10 1 - 4.859342275202076 0.6765900889748111 CCACCCCGGGGACA chr1 19799734 19799735 chr1:19799735:C:G rs542420490 C G C EBF1_EBF_1 -10 0 - 0 0 . chr1 19800572 19800573 chr1:19800573:T:C rs12117039 T C C EBF1_EBF_1 6 1 - 7.865749642377343 5.698955211102868 CATCCCAAGAGACC chr1 19800580 19800581 chr1:19800581:G:T chr1:19800581:G:T G T G EBF1_EBF_1 -2 0 - 0 0 . chr1 19801057 19801058 chr1:19801058:C:A rs74515026 C A C EBF1_EBF_1 22 0 + 0 0 . chr1 19816606 19816607 chr1:19816607:A:G rs3806306 A G G EBF1_EBF_1 -9 0 + 0 0 . chr1 19816648 19816649 chr1:19816649:A:T rs10917547 A T T EBF1_EBF_1 33 0 + 0 0 . chr1 19825445 19825446 chr1:19825446:G:C rs12126837 G C C EBF1_EBF_1 -20 0 + 0 0 . chr1 19840847 19840848 chr1:19840848:G:A rs4272591 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 19925339 19925340 chr1:19925340:G:A rs12063693 G A G EBF1_EBF_1 8 1 + 5.235456675885386 1.0091665869991253 CTCCTCCTGGGACT chr1 19974992 19974993 chr1:19974993:G:A rs1174721237 G A G EBF1_EBF_1 16 0 + 0 0 . chr1 19975003 19975004 chr1:19975004:C:T rs1046066353 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 20030651 20030652 chr1:20030652:C:T rs563471368 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 20069921 20069922 chr1:20069922:G:A rs11573191 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 20076604 20076605 chr1:20076605:G:A rs11573222 G A A EBF1_EBF_1 -13 0 + 0 0 . chr1 20152425 20152426 chr1:20152426:C:G rs952980410 C G C EBF1_EBF_1 4 1 + 8.230210482835805 2.604445381686261 ACCCCCTCGGGACA chr1 20195266 20195267 chr1:20195267:A:G rs141354611 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 20213079 20213080 chr1:20213080:T:G rs113396977 T G T EBF1_EBF_1 15 0 + 0 0 . chr1 20223878 20223879 chr1:20223879:C:T rs10916724 C T T EBF1_EBF_1 12 1 - 5.04255683450678 6.461374176606258 CTTCCCCGAGGAGT chr1 20223898 20223899 chr1:20223899:C:T rs72663043 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 20232910 20232911 chr1:20232911:C:G rs60857590 C G G EBF1_EBF_1 20 0 + 0 0 . chr1 20233937 20233938 chr1:20233938:A:C chr1:20233938:A:C A C A EBF1_EBF_1 -15 0 + 0 0 . chr1 20262944 20262945 chr1:20262945:C:G rs4655174 C G C EBF1_EBF_1 10 1 - 7.029155706939922 0.138353319610447 ATACCCAAGAGACA chr1 20262968 20262969 chr1:20262969:G:A rs4655175 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 20281706 20281707 chr1:20281707:C:T rs2142629 C T C EBF1_EBF_1 2 1 + 4.685074220361467 6.573897631803616 ATCCCCCAGTGAAC chr1 20293681 20293682 chr1:20293682:C:T rs12044035 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 20299923 20299924 chr1:20299924:T:C rs2315614 T C t EBF1_EBF_1 -10 0 - 0 0 . chr1 20308826 20308827 chr1:20308827:G:A rs4654850 G A A EBF1_EBF_1 14 0 - 0 0 . chr1 20343001 20343002 chr1:20343002:G:A rs114041269 G A G EBF1_EBF_1 4 1 - 8.841377773697603 4.47199082875268 CCTCCCCAGGGAGC chr1 20343792 20343793 chr1:20343793:C:T rs79518087 C T T EBF1_EBF_1 21 0 + 0 0 . chr1 20343793 20343794 chr1:20343794:C:T rs542964434 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 20361904 20361905 chr1:20361905:T:C rs568612913 T C T EBF1_EBF_1 11 1 - 6.982743647024693 4.0900368034206815 CTACCCATGGGAAA chr1 20404623 20404624 chr1:20404624:T:C rs10916785 T C C EBF1_EBF_1 -5 0 + 0 0 . chr1 20404909 20404910 chr1:20404910:G:A rs12028274 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 20406355 20406356 chr1:20406356:C:A rs143128874 C A C EBF1_EBF_1 -8 0 - 0 0 . chr1 20415141 20415142 chr1:20415142:A:G rs56402283 A G A EBF1_EBF_1 6 1 - 6.4835580228830825 6.182271894021325 CCTCCCTTGGGCCT chr1 20430400 20430401 chr1:20430401:G:C rs912811 G C C EBF1_EBF_1 32 0 - 0 0 . chr1 20431285 20431286 chr1:20431286:C:T rs72969023 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 20431326 20431327 chr1:20431327:T:C rs55761633 T C T EBF1_EBF_1 30 0 + 0 0 . chr1 20444393 20444394 chr1:20444394:T:C rs10799636 T C C EBF1_EBF_1 -9 0 - 0 0 . chr1 20486459 20486460 chr1:20486460:G:A rs542324966 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 20511725 20511726 chr1:20511726:A:T rs490416 A T A EBF1_EBF_1 -12 0 - 0 0 . chr1 20551849 20551850 chr1:20551850:G:A rs78915204 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 20574924 20574925 chr1:20574925:C:T rs4655222 C T C EBF1_EBF_1 12 1 - 4.980569547625163 6.39938688972464 TCTCCCCAGAGAGG chr1 20620417 20620418 chr1:20620418:C:G rs1689916 C G C EBF1_EBF_1 -18 0 - 0 0 . chr1 20622350 20622351 chr1:20622351:G:A rs114316985 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 20626814 20626815 chr1:20626815:C:G rs10916836 C G C EBF1_EBF_1 17 0 + 0 0 . chr1 20664411 20664412 chr1:20664412:G:A rs10799659 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 20685320 20685321 chr1:20685321:G:A rs561471 G A G EBF1_EBF_1 -4 0 + 0 0 . chr1 20696583 20696584 chr1:20696584:A:T rs61547312 A T T EBF1_EBF_1 0 1 + 4.637110516556478 2.6640540935904546 ACTCCCTGGTGACC chr1 20696606 20696607 chr1:20696607:A:T rs972729704 A T A EBF1_EBF_1 23 0 + 0 0 . chr1 20697850 20697851 chr1:20697851:G:A rs688944 G A A EBF1_EBF_1 3 1 - 6.866640750451832 0.4612420088985143 ACACCCCTGGGAAG chr1 20704408 20704409 chr1:20704409:G:T rs900039 G T G EBF1_EBF_1 2 1 - 5.650239006664315 3.8545948305075886 GTCCCCAGGAGAAA chr1 20717419 20717420 chr1:20717420:T:C rs604659 T C C EBF1_EBF_1 13 1 + 8.537486758223823 7.692992643638304 GCCCCCTGGGGACT chr1 20717432 20717433 chr1:20717433:T:C rs604645 T C C EBF1_EBF_1 26 0 + 0 0 . chr1 20728212 20728213 chr1:20728213:G:A rs2320398 G A G EBF1_EBF_1 13 1 - 6.1770266336551325 7.0215207482406505 GCTCCCCAGGGCCC chr1 20728232 20728233 chr1:20728233:A:G rs646786 A G G EBF1_EBF_1 -7 0 - 0 0 . chr1 20733076 20733077 chr1:20733077:G:T rs12743389 G T G EBF1_EBF_1 -4 0 - 0 0 . chr1 20978767 20978768 chr1:20978768:G:T rs66824106 G T G EBF1_EBF_1 10 1 + 6.743231256320945 2.56047907009368 ATTCCCTGAGGATA chr1 21043074 21043075 chr1:21043075:C:T rs6668370 C T T EBF1_EBF_1 29 0 + 0 0 . chr1 21182692 21182693 chr1:21182693:G:T rs74058860 G T g EBF1_EBF_1 3 1 - 5.025409864277835 -1.8807641651879736 GTCCCCCTGGGTCC chr1 21183064 21183065 chr1:21183065:A:G rs4654905 A G a EBF1_EBF_1 20 0 + 0 0 . chr1 21262206 21262207 chr1:21262207:G:A rs114434480 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 21277332 21277333 chr1:21277333:C:G rs550652345 C G C EBF1_EBF_1 -2 0 - 0 0 . chr1 21293037 21293038 chr1:21293038:A:C rs182311229 A C A EBF1_EBF_1 -3 0 + 0 0 . chr1 21293053 21293054 chr1:21293054:T:C rs213048 T C C EBF1_EBF_1 13 1 + 5.658471187971962 4.813977073386444 TCTCCCCGGGGCAT chr1 21294501 21294502 chr1:21294502:C:A rs1067236 C A C EBF1_EBF_1 -5 0 - 0 0 . chr1 21365283 21365284 chr1:21365284:T:C rs72870657 T C T EBF1_EBF_1 14 0 + 0 0 . chr1 21391651 21391652 chr1:21391652:T:C rs2102945 T C C EBF1_EBF_1 2 1 + 4.966786268387524 3.0779628569453727 GGTCCCCAGGGCTA chr1 21440583 21440584 chr1:21440584:G:A rs142051367 G A . EBF1_EBF_1 24 0 - 0 0 . chr1 21440602 21440603 chr1:21440603:G:T rs4654745 G T . EBF1_EBF_1 5 1 - 5.279651296587697 -1.6252298560474703 CACCCCCTGGGATG chr1 21451710 21451711 chr1:21451711:T:A rs1814736 T A . EBF1_EBF_1 26 0 - 0 0 . chr1 21524818 21524819 chr1:21524819:T:G rs1256323 T G T EBF1_EBF_1 31 0 - 0 0 . chr1 21530636 21530637 chr1:21530637:G:A rs77936121 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 21538385 21538386 chr1:21538386:T:C rs12128419 T C T EBF1_EBF_1 8 1 - 6.7154884937418755 10.941778582628135 ATTCCCAGAGGACG chr1 21553574 21553575 chr1:21553575:A:G rs950922 A G A EBF1_EBF_1 -10 0 - 0 0 . chr1 21569131 21569132 chr1:21569132:A:T rs1780318 A T T EBF1_EBF_1 1 1 - 5.278362824177884 4.312002206427054 ATCCCCACGGGGGC chr1 21574783 21574784 chr1:21574784:A:G rs1536934 A G A EBF1_EBF_1 30 0 + 0 0 . chr1 21591201 21591202 chr1:21591202:G:A rs75227493 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 21591225 21591226 chr1:21591226:G:C rs12058849 G C g EBF1_EBF_1 7 1 - 5.130749091185067 6.112069672266423 TGCCCCCCGGGAGC chr1 21593420 21593421 chr1:21593421:G:A rs829389 G A G EBF1_EBF_1 0 1 - 4.485659000927726 4.767861061658304 CGTGCCAGGGGACT chr1 21593436 21593437 chr1:21593437:C:T rs10917022 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 21594893 21594894 chr1:21594894:A:C rs114426439 A C C EBF1_EBF_1 11 1 - 5.294978862726516 6.50018627522123 AACCCCAGGGGTTT chr1 21601313 21601314 chr1:21601314:T:C rs829369 T C T EBF1_EBF_1 0 1 + 4.973467283931247 4.691265223200668 TCTCCCCGAGGACC chr1 21601326 21601327 chr1:21601327:C:G rs4654973 C G C EBF1_EBF_1 13 1 + 4.973467283931247 3.485396262575832 TCTCCCCGAGGACC chr1 21606036 21606037 chr1:21606037:C:A rs1767443 C A A EBF1_EBF_1 17 0 + 0 0 . chr1 21610341 21610342 chr1:21610342:C:A rs116251894 C A C EBF1_EBF_1 -13 0 - 0 0 . chr1 21616106 21616107 chr1:21616107:G:C rs1825306 G C C EBF1_EBF_1 -1 0 + 0 0 . chr1 21620722 21620723 chr1:21620723:C:T rs11588121 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 21620724 21620725 chr1:21620725:C:G rs747662 C G G EBF1_EBF_1 -17 0 + 0 0 . chr1 21621357 21621358 chr1:21621358:A:C rs710946 A C C EBF1_EBF_1 -10 0 + 0 0 . chr1 21623828 21623829 chr1:21623829:G:C rs829407 G C G EBF1_EBF_1 6 1 - 4.657713048153537 2.8525209846803388 CTCCCCCGGGGGCA chr1 21626565 21626566 chr1:21626566:A:G rs829410 A G G EBF1_EBF_1 -16 0 + 0 0 . chr1 21662549 21662550 chr1:21662550:C:T rs116441848 C T C EBF1_EBF_1 8 1 - 5.01415462647479 0.7878645375885287 TATCCCTGGGGCCC chr1 21663315 21663316 chr1:21663316:T:C rs991441445 T C T EBF1_EBF_1 6 1 - 5.4241189483394585 3.2573245170649834 GATGCCATGGGACT chr1 21670354 21670355 chr1:21670355:A:G rs829398 A G G EBF1_EBF_1 -7 0 - 0 0 . chr1 21677906 21677907 chr1:21677907:A:G rs829397 A G G EBF1_EBF_1 26 0 - 0 0 . chr1 21706658 21706659 chr1:21706659:G:A rs41265993 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 21725893 21725894 chr1:21725894:T:C rs7554400 T C T EBF1_EBF_1 25 0 - 0 0 . chr1 21762347 21762348 chr1:21762348:G:A rs6667223 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 21814536 21814537 chr1:21814537:C:T rs75506362 C T C EBF1_EBF_1 12 1 + 4.398072892565133 3.3718063220142827 AGCCCCCGGCGACC chr1 21814685 21814686 chr1:21814686:C:T rs201719027 C T C EBF1_EBF_1 10 1 - 4.20558555487385 -1.1124429043931168 GGACCCCAGGGGCC chr1 21814712 21814713 chr1:21814713:A:C rs10917051 A C C EBF1_EBF_1 -17 0 - 0 0 . chr1 21816888 21816889 chr1:21816889:G:A rs34221978 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 21831869 21831870 chr1:21831870:G:A rs4654771 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 21836716 21836717 chr1:21836717:C:A rs35423650 C A C EBF1_EBF_1 3 1 + 4.788235427525277 -2.11793860194053 CGCCCCCTGGGGCA chr1 21842645 21842646 chr1:21842646:G:C rs7536441 G C C EBF1_EBF_1 -13 0 + 0 0 . chr1 21844334 21844335 chr1:21844335:C:G rs2270698 C G C EBF1_EBF_1 -20 0 + 0 0 . chr1 21844385 21844386 chr1:21844386:G:A rs75613822 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 21866708 21866709 chr1:21866709:C:A rs12407706 C A C EBF1_EBF_1 -9 0 + 0 0 . chr1 21866744 21866745 chr1:21866745:T:C rs1076028 T C T EBF1_EBF_1 27 0 + 0 0 . chr1 21870042 21870043 chr1:21870043:C:T rs147304541 C T C EBF1_EBF_1 10 1 - 9.692377487154126 4.37434902788716 TCTCCCCTGGGAAA chr1 21873327 21873328 chr1:21873328:G:A rs2454290 G A G EBF1_EBF_1 5 1 - 5.683661321617375 0.3618741060767041 AGTCCCTAGGGCGG chr1 21876765 21876766 chr1:21876766:A:G rs2454295 A G A EBF1_EBF_1 -8 0 - 0 0 . chr1 21897217 21897218 chr1:21897218:G:T rs72870227 G T G EBF1_EBF_1 29 0 + 0 0 . chr1 21900094 21900095 chr1:21900095:T:C rs34565080 T C T EBF1_EBF_1 -16 0 + 0 0 . chr1 21901706 21901707 chr1:21901707:T:C rs12092414 T C T EBF1_EBF_1 15 0 + 0 0 . chr1 21906379 21906380 chr1:21906380:A:G rs1380377738 A G A EBF1_EBF_1 19 0 + 0 0 . chr1 21913488 21913489 chr1:21913489:T:C rs57804452 T C T EBF1_EBF_1 15 0 + 0 0 . chr1 21922563 21922564 chr1:21922564:T:C rs9426783 T C C EBF1_EBF_1 24 0 - 0 0 . chr1 21923535 21923536 chr1:21923536:C:G rs4626854 C G C EBF1_EBF_1 -6 0 + 0 0 . chr1 21927594 21927595 chr1:21927595:C:T rs112663661 C T T EBF1_EBF_1 16 0 + 0 0 . chr1 21930151 21930152 chr1:21930152:C:T chr1:21930152:C:T C T C EBF1_EBF_1 31 0 + 0 0 . chr1 21939709 21939710 chr1:21939710:T:C rs545505854 T C T EBF1_EBF_1 20 0 + 0 0 . chr1 21960113 21960114 chr1:21960114:C:T rs68065177 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 21961016 21961017 chr1:21961017:A:C rs61776316 A C A EBF1_EBF_1 26 0 + 0 0 . chr1 21966781 21966782 chr1:21966782:C:T rs7533101 C T C EBF1_EBF_1 7 1 - 5.448530852237091 6.760989257525918 TCTCCCAGGAGAAG chr1 21973472 21973473 chr1:21973473:C:A rs4233283 C A C EBF1_EBF_1 1 1 - 7.405096279023155 8.11075806485268 AGCCCCATGGGGCA chr1 22018599 22018600 chr1:22018600:A:G rs3117048 A G G EBF1_EBF_1 -17 0 - 0 0 . chr1 22019412 22019413 chr1:22019413:A:G rs2501297 A G A EBF1_EBF_1 7 1 + 9.065767287866647 7.753308882577823 GCCCCCAAGGGACC chr1 22052841 22052842 chr1:22052842:G:C rs539169814 G C G EBF1_EBF_1 -11 0 - 0 0 . chr1 22108289 22108290 chr1:22108290:A:G rs114079710 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 22175588 22175589 chr1:22175589:G:A rs80333260 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 22177523 22177524 chr1:22177524:C:T rs35431795 C T C EBF1_EBF_1 6 1 + 4.792481493481576 5.093767622343333 AGCCCCCTGAGGAC chr1 22178071 22178072 chr1:22178072:C:G rs12032458 C G C EBF1_EBF_1 -10 0 - 0 0 . chr1 22210149 22210150 chr1:22210150:T:C rs2473248 T C C EBF1_EBF_1 11 1 - 4.9415362548823945 2.048829411278383 CCTCCCCACGGAAC chr1 22210152 22210153 chr1:22210153:G:A rs4654788 G A G EBF1_EBF_1 8 1 - 4.9415362548823945 3.368762326819886 CCTCCCCACGGAAC chr1 22223349 22223350 chr1:22223350:A:G rs2103637 A G G EBF1_EBF_1 17 0 - 0 0 . chr1 22229011 22229012 chr1:22229012:T:C rs115913437 T C T EBF1_EBF_1 -16 0 - 0 0 . chr1 22261861 22261862 chr1:22261862:G:A rs4654790 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 22268181 22268182 chr1:22268182:T:C rs2505716 T C C EBF1_EBF_1 25 0 - 0 0 . chr1 22268182 22268183 chr1:22268183:G:A rs75868741 G A C EBF1_EBF_1 24 0 - 0 0 . chr1 22268201 22268202 chr1:22268202:G:A rs12741884 G A g EBF1_EBF_1 5 1 - 4.990635167204157 -0.33115204833651246 AACCCCGTGGGTCT chr1 22277859 22277860 chr1:22277860:C:T rs115417024 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 22292928 22292929 chr1:22292929:T:C rs7515541 T C C EBF1_EBF_1 28 0 + 0 0 . chr1 22315686 22315687 chr1:22315687:A:G rs113092581 A G A EBF1_EBF_1 15 0 - 0 0 . chr1 22324052 22324053 chr1:22324053:C:T rs16826920 C T C EBF1_EBF_1 0 1 + 6.403217720180666 6.685419780911244 CCTCCCCGGGGATG chr1 22324082 22324083 chr1:22324083:C:T rs74467250 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 22325031 22325032 chr1:22325032:T:G rs6667175 T G T EBF1_EBF_1 22 0 + 0 0 . chr1 22326664 22326665 chr1:22326665:G:A rs7535940 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 22327101 22327102 chr1:22327102:G:A rs76225863 G A G EBF1_EBF_1 7 1 + 9.12729805239329 10.439756457682114 TCTCCCTGGGGACA chr1 22329263 22329264 chr1:22329264:C:T rs6668462 C T C EBF1_EBF_1 -17 0 + 0 0 . chr1 22330302 22330303 chr1:22330303:C:T rs12082601 C T T EBF1_EBF_1 -5 0 - 0 0 . chr1 22365705 22365706 chr1:22365706:T:C rs192350516 T C T EBF1_EBF_1 25 0 - 0 0 . chr1 22379940 22379941 chr1:22379941:C:T rs6684375 C T T EBF1_EBF_1 6 1 - 5.847093474856687 8.013887906131162 ATTCCCGGGGGCCC chr1 22380475 22380476 chr1:22380476:C:T rs6669194 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 22400743 22400744 chr1:22400744:A:T rs5028227 A T T EBF1_EBF_1 -17 0 - 0 0 . chr1 22408437 22408438 chr1:22408438:C:T rs1934481 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 22516934 22516935 chr1:22516935:G:A rs209722 G A A EBF1_EBF_1 16 0 - 0 0 . chr1 22552382 22552383 chr1:22552383:G:A rs74060278 G A G EBF1_EBF_1 26 0 + 0 0 . chr1 22555620 22555621 chr1:22555621:T:C rs72651331 T C T EBF1_EBF_1 -6 0 - 0 0 . chr1 22573223 22573224 chr1:22573224:C:T rs60011879 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 22573250 22573251 chr1:22573251:A:G rs55717613 A G G EBF1_EBF_1 -9 0 - 0 0 . chr1 22585340 22585341 chr1:22585341:A:G rs567711 A G G EBF1_EBF_1 -10 0 - 0 0 . chr1 22588536 22588537 chr1:22588537:T:C rs609125 T C T EBF1_EBF_1 -5 0 + 0 0 . chr1 22590784 22590785 chr1:22590785:G:C rs11578578 G C G EBF1_EBF_1 -17 0 + 0 0 . chr1 22614046 22614047 chr1:22614047:G:A rs77096366 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 22634452 22634453 chr1:22634453:A:G rs663062 A G G EBF1_EBF_1 7 1 + 4.552139719176501 3.2396813138876754 GTTCCCCAGAGGGC chr1 22642321 22642322 chr1:22642322:G:T rs682658 G T T EBF1_EBF_1 -12 0 + 0 0 . chr1 22647803 22647804 chr1:22647804:C:A rs9434 C A C EBF1_EBF_1 2 1 + 5.282576242363647 3.4869320662069203 AGCCCCACGGGCCT chr1 22675840 22675841 chr1:22675841:C:A rs12042685 C A C EBF1_EBF_1 2 1 + 7.016588819160081 5.220944643003354 CCCCCCAGGGGACA chr1 22704957 22704958 chr1:22704958:A:G rs6676345 A G G EBF1_EBF_1 18 0 + 0 0 . chr1 22739742 22739743 chr1:22739743:G:A rs116590260 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 22740157 22740158 chr1:22740158:C:T rs114389531 C T T EBF1_EBF_1 -20 0 - 0 0 . chr1 22753729 22753730 chr1:22753730:A:G rs372399876 A G A EBF1_EBF_1 33 0 + 0 0 . chr1 22778618 22778619 chr1:22778619:T:C rs1866796 T C T EBF1_EBF_1 -1 0 + 0 0 . chr1 22783853 22783854 chr1:22783854:G:A rs10917297 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 22800128 22800129 chr1:22800129:G:A rs4655095 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 22802222 22802223 chr1:22802223:G:C rs7530478 G C C EBF1_EBF_1 -13 0 - 0 0 . chr1 22870206 22870207 chr1:22870207:G:A rs116960220 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 22876593 22876594 chr1:22876594:C:T rs146463039 C T C EBF1_EBF_1 11 1 + 6.031871656242048 6.349919097995712 ACTCCCTGGGGCTC chr1 22876597 22876598 chr1:22876598:A:T rs140902685 A T A EBF1_EBF_1 15 0 + 0 0 . chr1 22895361 22895362 chr1:22895362:A:G rs77501996 A G A EBF1_EBF_1 -12 0 + 0 0 . chr1 22915578 22915579 chr1:22915579:G:A rs2869056 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 22925098 22925099 chr1:22925099:T:A rs309517 T A A EBF1_EBF_1 -17 0 + 0 0 . chr1 22930514 22930515 chr1:22930515:G:A rs181582997 G A G EBF1_EBF_1 -3 0 - 0 0 . chr1 22930516 22930517 chr1:22930517:C:T rs137987038 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 22930518 22930519 chr1:22930519:G:C chr1:22930519:G:C G C G EBF1_EBF_1 -7 0 - 0 0 . chr1 22963209 22963210 chr1:22963210:A:G rs6426777 A G A EBF1_EBF_1 6 1 + 4.513875489322225 2.347081058047749 GGTCCCAGGGAACC chr1 22972913 22972914 chr1:22972914:T:C rs6692248 T C C EBF1_EBF_1 0 1 - 5.7314729586466 3.839189089081765 AGCCCCTGGAGAGC chr1 22976082 22976083 chr1:22976083:T:C rs6702719 T C T EBF1_EBF_1 24 0 + 0 0 . chr1 23019218 23019219 chr1:23019219:A:T rs74720855 A T A EBF1_EBF_1 30 0 - 0 0 . chr1 23033729 23033730 chr1:23033730:T:C rs12117752 T C t EBF1_EBF_1 -2 0 - 0 0 . chr1 23069780 23069781 chr1:23069781:C:T rs721530 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 23187819 23187820 chr1:23187820:G:T rs652783 G T T EBF1_EBF_1 10 1 + 4.891549826160475 0.7087976399332097 GGTCCCCAGAGGAA chr1 23234088 23234089 chr1:23234089:T:C rs7546385 T C c EBF1_EBF_1 24 0 - 0 0 . chr1 23368145 23368146 chr1:23368146:G:A rs683204 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 23369096 23369097 chr1:23369097:C:G rs191045670 C G C EBF1_EBF_1 27 0 - 0 0 . chr1 23420930 23420931 chr1:23420931:G:C rs1198437 G C C EBF1_EBF_1 -6 0 - 0 0 . chr1 23420931 23420932 chr1:23420932:G:T rs7511979 G T T EBF1_EBF_1 -7 0 - 0 0 . chr1 23424884 23424885 chr1:23424885:C:G rs543451889 C G C EBF1_EBF_1 19 0 - 0 0 . chr1 23425066 23425067 chr1:23425067:C:A rs192593216 C A C EBF1_EBF_1 12 1 + 5.335169697335611 5.365351095756937 TGTCCCAGGGGCCC chr1 23452195 23452196 chr1:23452196:T:C chr1:23452196:T:C T C T EBF1_EBF_1 -16 0 + 0 0 . chr1 23452224 23452225 chr1:23452225:G:A rs575722868 G A G EBF1_EBF_1 13 1 + 3.8497067580803916 4.964032330149831 CCTCCCCTGGGCCG chr1 23489880 23489881 chr1:23489881:C:T rs12122778 C T c EBF1_EBF_1 -10 0 + 0 0 . chr1 23496716 23496717 chr1:23496717:G:A rs76946415 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 23507118 23507119 chr1:23507119:G:T rs12026768 G T G EBF1_EBF_1 -19 0 - 0 0 . chr1 23522654 23522655 chr1:23522655:C:T rs3218162 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 23528827 23528828 chr1:23528828:C:A rs2236853 C A C EBF1_EBF_1 28 0 - 0 0 . chr1 23540262 23540263 chr1:23540263:G:A rs866377316 G A G EBF1_EBF_1 12 1 - 5.737417888061187 4.711151317510337 TTCCCCAAGAGACG chr1 23555675 23555676 chr1:23555676:A:G rs146846945 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 23569340 23569341 chr1:23569341:C:T rs900997485 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 23705062 23705063 chr1:23705063:A:G rs497742 A G A EBF1_EBF_1 33 0 + 0 0 . chr1 23751466 23751467 chr1:23751467:A:G rs35797868 A G A EBF1_EBF_1 13 1 + 4.902460357911758 3.7881347858423187 ACCCTCAGGGGACA chr1 23778090 23778091 chr1:23778091:C:T rs151156932 C T C EBF1_EBF_1 32 0 + 0 0 . chr1 23801169 23801170 chr1:23801170:C:G rs12041159 C G C EBF1_EBF_1 -2 0 + 0 0 . chr1 24003873 24003874 chr1:24003874:G:A rs4648927 G A G EBF1_EBF_1 4 1 - 6.141773966340347 1.772387021395424 ACCCCCTTGGGTTT chr1 24013987 24013988 chr1:24013988:C:T rs61773490 C T C EBF1_EBF_1 8 1 - 4.901226911694862 0.674936822808601 GCCCCCTCGAGACT chr1 24021550 24021551 chr1:24021551:C:T rs3004281 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 24068512 24068513 chr1:24068513:T:G rs6660675 T G G EBF1_EBF_1 29 0 - 0 0 . chr1 24095459 24095460 chr1:24095460:C:G rs4319261 C G C EBF1_EBF_1 -13 0 + 0 0 . chr1 24097750 24097751 chr1:24097751:T:C rs3885698 T C C EBF1_EBF_1 -4 0 + 0 0 . chr1 24098685 24098686 chr1:24098686:T:C rs4649185 T C C EBF1_EBF_1 -14 0 + 0 0 . chr1 24103144 24103145 chr1:24103145:C:A rs779138461 C A C EBF1_EBF_1 16 0 + 0 0 . chr1 24153153 24153154 chr1:24153154:C:T rs4649192 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 24155337 24155338 chr1:24155338:G:A rs55733257 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 24159450 24159451 chr1:24159451:T:C rs4649195 T C T EBF1_EBF_1 -17 0 + 0 0 . chr1 24185851 24185852 chr1:24185852:A:T rs75795266 A T A EBF1_EBF_1 -1 0 + 0 0 . chr1 24193311 24193312 chr1:24193312:G:T rs72872528 G T G EBF1_EBF_1 5 1 - 5.704099199829887 -1.2007819528052812 TCTCCCCCGGGATG chr1 24199644 24199645 chr1:24199645:G:T rs12046369 G T G EBF1_EBF_1 -19 0 - 0 0 . chr1 24200237 24200238 chr1:24200238:C:T rs74621102 C T T EBF1_EBF_1 4 1 + 6.646855884300089 2.277468939355165 GTTCCCATGGTAGT chr1 24206792 24206793 chr1:24206793:T:G rs12404418 T G T EBF1_EBF_1 -6 0 - 0 0 . chr1 24206803 24206804 chr1:24206804:A:C rs946663 A C C EBF1_EBF_1 -17 0 - 0 0 . chr1 24290106 24290107 chr1:24290107:G:T rs11801963 G T T EBF1_EBF_1 5 1 - 7.217788738166515 0.3129075855313465 AACCCCGTGGGATC chr1 24293356 24293357 chr1:24293357:T:C rs11806019 T C C EBF1_EBF_1 31 0 - 0 0 . chr1 24293407 24293408 chr1:24293408:A:G rs61772761 A G A EBF1_EBF_1 -20 0 - 0 0 . chr1 24295442 24295443 chr1:24295443:C:T rs35334361 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 24322035 24322036 chr1:24322036:G:T rs1279683600 G T G EBF1_EBF_1 12 1 - 6.387823082614686 6.418004481036012 GCTCCCCGGGGGCC chr1 24326456 24326457 chr1:24326457:C:A rs11249089 C A C EBF1_EBF_1 4 1 + 7.186103263196979 1.3615436397435128 ACTCCCTTGGGTTC chr1 24350900 24350901 chr1:24350901:T:C rs10903079 T C T EBF1_EBF_1 -7 0 - 0 0 . chr1 24369258 24369259 chr1:24369259:C:G rs35671964 C G C EBF1_EBF_1 31 0 - 0 0 . chr1 24382410 24382411 chr1:24382411:G:A rs34968455 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 24427915 24427916 chr1:24427916:T:A rs2235553 T A T EBF1_EBF_1 -12 0 + 0 0 . chr1 24427935 24427936 chr1:24427936:G:A rs2235554 G A A EBF1_EBF_1 8 1 + 5.251595836988022 1.0253057481017618 CTTCCCCAGGGCAG chr1 24440935 24440936 chr1:24440936:C:T rs2235556 C T C EBF1_EBF_1 6 1 - 5.634051803363778 7.800846234638254 AGTCCCGTGGGCAA chr1 24551613 24551614 chr1:24551614:T:C rs61775257 T C T EBF1_EBF_1 -6 0 - 0 0 . chr1 24560965 24560966 chr1:24560966:C:T rs56959961 C T c EBF1_EBF_1 10 1 - 7.467704298399163 2.149675839132197 ATCCCCAAGGGCAC chr1 24562958 24562959 chr1:24562959:A:T rs2809963 A T A EBF1_EBF_1 23 0 - 0 0 . chr1 24598402 24598403 chr1:24598403:C:T rs61425728 C T c EBF1_EBF_1 2 1 + 5.8402672285521975 7.729090639994347 CCCCCCAAGGGGAC chr1 24598404 24598405 chr1:24598405:C:G rs113826324 C G C EBF1_EBF_1 4 1 + 5.8402672285521975 0.2145021274026518 CCCCCCAAGGGGAC chr1 24601133 24601134 chr1:24601134:C:A rs4378146 C A C EBF1_EBF_1 29 0 - 0 0 . chr1 24683920 24683921 chr1:24683921:C:T rs531507977 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 24684030 24684031 chr1:24684031:C:T rs7537428 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 24689146 24689147 chr1:24689147:C:T rs7511698 C T T EBF1_EBF_1 -6 0 + 0 0 . chr1 24696379 24696380 chr1:24696380:C:A rs11249164 C A C EBF1_EBF_1 26 0 + 0 0 . chr1 24745043 24745044 chr1:24745044:A:C rs759290361 A C A EBF1_EBF_1 26 0 - 0 0 . chr1 24745088 24745089 chr1:24745089:C:A rs138273649 C A C EBF1_EBF_1 -19 0 - 0 0 . chr1 24745263 24745264 chr1:24745264:C:T rs4584340 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 24745291 24745292 chr1:24745292:G:A rs927311717 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 24848577 24848578 chr1:24848578:G:A rs748080469 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 24848593 24848594 chr1:24848594:C:T chr1:24848594:C:T C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 24848629 24848630 chr1:24848630:G:C rs114977960 G C G EBF1_EBF_1 10 1 + 6.490829591115589 -0.3999727962138857 ACTGCCTGGGGACT chr1 24869988 24869989 chr1:24869989:C:A rs7523614 C A C EBF1_EBF_1 31 0 + 0 0 . chr1 24876122 24876123 chr1:24876123:C:T rs144736370 C T C EBF1_EBF_1 20 0 - 0 0 . chr1 24890854 24890855 chr1:24890855:G:A rs4649036 G A A EBF1_EBF_1 24 0 - 0 0 . chr1 24901185 24901186 chr1:24901186:G:A rs13157 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 24908733 24908734 chr1:24908734:C:T rs139891867 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 24916434 24916435 chr1:24916435:G:A rs12137677 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 24929892 24929893 chr1:24929893:C:G chr1:24929893:C:G C G C EBF1_EBF_1 -18 0 + 0 0 . chr1 24931542 24931543 chr1:24931543:T:C chr1:24931543:T:C T C T EBF1_EBF_1 -17 0 - 0 0 . chr1 24935248 24935249 chr1:24935249:A:G rs11249204 A G G EBF1_EBF_1 -6 0 - 0 0 . chr1 24956469 24956470 chr1:24956470:T:C rs1848185 T C C EBF1_EBF_1 -3 0 + 0 0 . chr1 24956501 24956502 chr1:24956502:G:A rs4288539 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 24966709 24966710 chr1:24966710:A:G rs11249212 A G G EBF1_EBF_1 17 0 - 0 0 . chr1 24966724 24966725 chr1:24966725:A:C rs7414934 A C A EBF1_EBF_1 2 1 - 8.154229076991871 1.4059033867914024 TTTCCCCAGGGTCT chr1 24966745 24966746 chr1:24966746:G:A rs11249213 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 24969911 24969912 chr1:24969912:G:A rs76423230 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 24970251 24970252 chr1:24970252:A:C rs10751776 A C C EBF1_EBF_1 24 0 + 0 0 . chr1 24980070 24980071 chr1:24980071:C:T rs12719821 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 24980072 24980073 chr1:24980073:A:C rs12134700 A C C EBF1_EBF_1 0 1 + 4.938096997684805 2.6828385139882025 ACTCCCCTGAGCCC chr1 25001161 25001162 chr1:25001162:C:T rs1078417 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 25027302 25027303 chr1:25027303:G:A rs12756137 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 25032094 25032095 chr1:25032095:C:T rs146247676 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 25033921 25033922 chr1:25033922:G:A rs1217224665 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 25040495 25040496 chr1:25040496:C:T rs404100 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 25045420 25045421 chr1:25045421:A:G rs311439 A G G EBF1_EBF_1 13 1 - 11.63071455878494 10.78622044419942 AGCCCCCAGGGACT chr1 25045448 25045449 chr1:25045449:G:A rs311440 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 25051987 25051988 chr1:25051988:G:A rs435820 G A A EBF1_EBF_1 -7 0 + 0 0 . chr1 25052021 25052022 chr1:25052022:C:T rs11248974 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 25070974 25070975 chr1:25070975:T:A rs386115 T A t EBF1_EBF_1 -4 0 - 0 0 . chr1 25089288 25089289 chr1:25089289:C:G rs311472 C G G EBF1_EBF_1 1 1 - 4.944577220834787 4.75480556626557 GGCCCCAGGAGAAA chr1 25091518 25091519 chr1:25091519:G:A rs311461 G A G EBF1_EBF_1 10 1 + 6.061287797626808 0.7432593383598425 GTTCCCTGGGGCCC chr1 25100536 25100537 chr1:25100537:T:G rs803316 T G T EBF1_EBF_1 20 0 + 0 0 . chr1 25126717 25126718 chr1:25126718:T:C rs112908899 T C T EBF1_EBF_1 -11 0 + 0 0 . chr1 25140455 25140456 chr1:25140456:T:C rs2143162 T C t EBF1_EBF_1 8 1 + 5.220093962809186 6.792867890871694 ACTCCCCATGGACA chr1 25153788 25153789 chr1:25153789:G:C rs2143159 G C G EBF1_EBF_1 -1 0 - 0 0 . chr1 25201095 25201096 chr1:25201096:G:T rs11581732 G T G EBF1_EBF_1 -8 0 + 0 0 . chr1 25338861 25338862 chr1:25338862:C:T rs143287475 C T c EBF1_EBF_1 21 0 + 0 0 . chr1 25361250 25361251 chr1:25361251:C:T rs3093642 C T c EBF1_EBF_1 -7 0 - 0 0 . chr1 25481777 25481778 chr1:25481778:T:G rs111967131 T G T EBF1_EBF_1 33 0 - 0 0 . chr1 25491960 25491961 chr1:25491961:C:T rs72660961 C T C EBF1_EBF_1 2 1 + 6.89713176516665 8.7859551766088 CTCCCCAGGGGAGC chr1 25560133 25560134 chr1:25560134:G:A rs113059678 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 25565282 25565283 chr1:25565283:C:T rs78059413 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 25568361 25568362 chr1:25568362:G:T rs11563 G T T EBF1_EBF_1 33 0 - 0 0 . chr1 25611149 25611150 chr1:25611150:A:G rs12073555 A G G EBF1_EBF_1 7 1 + 6.18924938855661 4.876790983267783 ATTCCCTAAGGGCA chr1 25614554 25614555 chr1:25614555:C:T rs6675700 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 25617107 25617108 chr1:25617108:G:A rs965415155 G A G EBF1_EBF_1 0 1 - 4.41570647355193 4.697908534282508 CTTCCCCCGGGCCC chr1 25617109 25617110 chr1:25617110:C:T rs923766562 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 25617683 25617684 chr1:25617684:A:T rs1023961733 A T a EBF1_EBF_1 -2 0 + 0 0 . chr1 25619336 25619337 chr1:25619337:A:G rs142935083 A G A EBF1_EBF_1 24 0 + 0 0 . chr1 25623862 25623863 chr1:25623863:G:A rs3767914 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 25641070 25641071 chr1:25641071:T:C rs3767910 T C T EBF1_EBF_1 33 0 - 0 0 . chr1 25641095 25641096 chr1:25641096:T:C rs2143102 T C C EBF1_EBF_1 8 1 - 7.066037379793695 11.292327468679956 ACTCCCTGAGGATT chr1 25657298 25657299 chr1:25657299:G:A rs77917820 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 25688875 25688876 chr1:25688876:T:C rs1534948 T C C EBF1_EBF_1 28 0 - 0 0 . chr1 25702895 25702896 chr1:25702896:T:C rs112194959 T C T EBF1_EBF_1 -13 0 + 0 0 . chr1 25707999 25708000 chr1:25708000:A:G rs10902649 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 25743692 25743693 chr1:25743693:G:A rs807266 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 25772024 25772025 chr1:25772025:T:C rs41284282 T C T EBF1_EBF_1 21 0 - 0 0 . chr1 25780727 25780728 chr1:25780728:G:A rs11808260 G A G EBF1_EBF_1 6 1 + 4.540986155477712 6.707780586752187 GTCCCCGAGGGTCT chr1 25785638 25785639 chr1:25785639:C:T rs45459391 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 25797006 25797007 chr1:25797007:G:T rs551886947 G T G EBF1_EBF_1 20 0 + 0 0 . chr1 25799342 25799343 chr1:25799343:C:G rs718391 C G C EBF1_EBF_1 31 0 + 0 0 . chr1 25806199 25806200 chr1:25806200:G:T rs142157907 G T G EBF1_EBF_1 3 1 - 3.920928083293451 -2.985245946172355 TGCCCCCTGGGCCC chr1 25806670 25806671 chr1:25806671:C:T rs914791996 C T C EBF1_EBF_1 -13 0 - 0 0 . chr1 25808434 25808435 chr1:25808435:C:G rs4659382 C G c EBF1_EBF_1 -14 0 + 0 0 . chr1 25809960 25809961 chr1:25809961:A:C rs6686366 A C A EBF1_EBF_1 -12 0 - 0 0 . chr1 25810951 25810952 chr1:25810952:G:A rs577183998 G A G EBF1_EBF_1 2 1 - 5.294089792834435 7.182913204276584 AACCCCCGGGGGTC chr1 25811644 25811645 chr1:25811645:C:A rs760598 C A C EBF1_EBF_1 -13 0 - 0 0 . chr1 25821519 25821520 chr1:25821520:G:A rs2049919 G A A EBF1_EBF_1 31 0 - 0 0 . chr1 25871145 25871146 chr1:25871146:G:A rs41284315 G A G EBF1_EBF_1 0 1 - 7.171537237606453 7.45373929833703 CACCCCTAGGGATA chr1 25871301 25871302 chr1:25871302:A:G rs76664257 A G A EBF1_EBF_1 23 0 - 0 0 . chr1 25906544 25906545 chr1:25906545:G:A rs185713318 G A C EBF1_EBF_1 -2 0 + 0 0 . chr1 25906560 25906561 chr1:25906561:C:T rs1008203367 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 25929239 25929240 chr1:25929240:T:C rs298438 T C C EBF1_EBF_1 31 0 + 0 0 . chr1 26031175 26031176 chr1:26031176:G:A rs11247848 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 26045652 26045653 chr1:26045653:A:G rs6686190 A G G EBF1_EBF_1 -11 0 + 0 0 . chr1 26067013 26067014 chr1:26067014:G:A rs61775418 G A G EBF1_EBF_1 14 0 + 0 0 . chr1 26079970 26079971 chr1:26079971:C:T rs13374432 C T C EBF1_EBF_1 10 1 - 4.446916599193984 -0.8711118600729824 CTCCCCCAGGGCCA chr1 26095000 26095001 chr1:26095001:G:A rs147640336 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 26111532 26111533 chr1:26111533:C:G rs1443455896 C G C EBF1_EBF_1 29 0 + 0 0 . chr1 26112441 26112442 chr1:26112442:T:C rs61776586 T C C EBF1_EBF_1 -11 0 - 0 0 . chr1 26129937 26129938 chr1:26129938:G:A rs77713086 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 26145329 26145330 chr1:26145330:G:A rs140148714 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 26151341 26151342 chr1:26151342:T:A rs2802340 T A C EBF1_EBF_1 2 1 + 12.78256489821823 9.098097310619353 AATCCCCTGGGACT chr1 26158574 26158575 chr1:26158575:G:A rs139482019 G A G EBF1_EBF_1 1 1 + 8.187397909638173 7.92669907771687 CGCCCCCAGGGAAA chr1 26164857 26164858 chr1:26164858:G:A chr1:26164858:G:A G A G EBF1_EBF_1 28 0 - 0 0 . chr1 26164863 26164864 chr1:26164864:C:A rs11247860 C A A EBF1_EBF_1 22 0 - 0 0 . chr1 26167027 26167028 chr1:26167028:C:T rs76360763 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 26169058 26169059 chr1:26169059:A:G rs3762460 A G A EBF1_EBF_1 15 0 + 0 0 . chr1 26201291 26201292 chr1:26201292:G:A rs6703730 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 26201317 26201318 chr1:26201318:A:C rs1889755 A C C EBF1_EBF_1 9 1 + 4.206093368236582 1.7853434873387208 CCTCCCCTGAGGCC chr1 26201318 26201319 chr1:26201319:G:A rs1889756 G A A EBF1_EBF_1 10 1 + 4.206093368236582 -1.1119350910303851 CCTCCCCTGAGGCC chr1 26221212 26221213 chr1:26221213:G:T chr1:26221213:G:T G T G EBF1_EBF_1 -8 0 - 0 0 . chr1 26234877 26234878 chr1:26234878:G:A rs115989039 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 26290772 26290773 chr1:26290773:G:A rs12125633 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 26296419 26296420 chr1:26296420:G:A rs7541416 G A G EBF1_EBF_1 12 1 - 4.576042031117195 3.5497754605663454 TATCCCCCGGGCCT chr1 26296893 26296894 chr1:26296894:A:G rs41284343 A G A EBF1_EBF_1 0 1 + 5.7634621268877355 3.871178257322901 ATCCCCCGGGGCCC chr1 26321596 26321597 chr1:26321597:A:G rs17163866 A G A EBF1_EBF_1 22 0 + 0 0 . chr1 26350181 26350182 chr1:26350182:T:C rs12129995 T C T EBF1_EBF_1 -8 0 + 0 0 . chr1 26354171 26354172 chr1:26354172:C:T rs12030779 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 26354203 26354204 chr1:26354204:A:G rs10794528 A G G EBF1_EBF_1 -16 0 - 0 0 . chr1 26432180 26432181 chr1:26432181:G:A rs751168999 G A g EBF1_EBF_1 -8 0 + 0 0 . chr1 26432220 26432221 chr1:26432221:A:G chr1:26432221:A:G A G A EBF1_EBF_1 32 0 + 0 0 . chr1 26501023 26501024 chr1:26501024:C:A rs548295518 C A C EBF1_EBF_1 31 0 - 0 0 . chr1 26501031 26501032 chr1:26501032:G:A rs999837125 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 26501058 26501059 chr1:26501059:G:A chr1:26501059:G:A G A g EBF1_EBF_1 -4 0 - 0 0 . chr1 26501064 26501065 chr1:26501065:T:G chr1:26501065:T:G T G t EBF1_EBF_1 -10 0 - 0 0 . chr1 26531432 26531433 chr1:26531433:G:T chr1:26531433:G:T G T . EBF1_EBF_1 26 0 + 0 0 . chr1 26555366 26555367 chr1:26555367:C:T rs11247964 C T C EBF1_EBF_1 22 0 - 0 0 . chr1 26556242 26556243 chr1:26556243:G:A rs78317293 G A G EBF1_EBF_1 12 1 - 3.842610940742083 2.8163443701912336 CGACCCCAGGGGCC chr1 26557605 26557606 chr1:26557606:G:C rs72879014 G C G EBF1_EBF_1 4 1 - 7.349294465431637 1.723529364282094 CAACCCCAGGGAAT chr1 26578861 26578862 chr1:26578862:G:A rs17371833 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 26620577 26620578 chr1:26620578:C:A chr1:26620578:C:A C A C EBF1_EBF_1 7 1 + 5.7572972182464 8.051076204616582 CCTCCCGCGGGACA chr1 26693459 26693460 chr1:26693460:C:T rs941460460 C T C EBF1_EBF_1 5 1 + 8.770886099230509 3.4490988836898384 TGCCCCTAGGGAAA chr1 26716976 26716977 chr1:26716977:T:C rs75849063 T C T EBF1_EBF_1 2 1 + 5.972453252998276 4.083629841556126 GCTCCCCGGGTAAT chr1 26727632 26727633 chr1:26727633:G:A rs111718990 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 26753402 26753403 chr1:26753403:A:G rs12737946 A G A EBF1_EBF_1 6 1 - 5.02711953501153 4.725833406149772 AGCCCATAGGGACT chr1 26788223 26788224 chr1:26788224:T:C rs1010463022 T C T EBF1_EBF_1 18 0 - 0 0 . chr1 26788231 26788232 chr1:26788232:C:A rs144736422 C A C EBF1_EBF_1 10 1 - 9.291444193921006 5.108692007693742 ACTCCCGAGGGAGC chr1 26848917 26848918 chr1:26848918:C:A rs140165495 C A C EBF1_EBF_1 9 1 - 5.337777779435807 -1.499211628653003 CCTCCCCTGGGCCC chr1 26849627 26849628 chr1:26849628:A:G rs17162313 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 26972072 26972073 chr1:26972073:C:A rs34976021 C A A EBF1_EBF_1 27 0 + 0 0 . chr1 26999883 26999884 chr1:26999884:T:C rs560394063 T C T EBF1_EBF_1 33 0 - 0 0 . chr1 27011255 27011256 chr1:27011256:C:G rs117543626 C G C EBF1_EBF_1 -17 0 - 0 0 . chr1 27012974 27012975 chr1:27012975:C:T rs61703293 C T T EBF1_EBF_1 24 0 - 0 0 . chr1 27031698 27031699 chr1:27031699:C:A rs2993070 C A C EBF1_EBF_1 -4 0 - 0 0 . chr1 27049751 27049752 chr1:27049752:G:T rs149017886 G T G EBF1_EBF_1 23 0 - 0 0 . chr1 27049787 27049788 chr1:27049788:C:G rs115275803 C G C EBF1_EBF_1 -13 0 - 0 0 . chr1 27058209 27058210 chr1:27058210:C:T rs531929490 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 27089354 27089355 chr1:27089355:A:G rs11247605 A G G EBF1_EBF_1 6 1 - 7.721529568069743 7.420243439207986 CACCCCTTGGGACA chr1 27099114 27099115 chr1:27099115:T:C rs369070973 T C C EBF1_EBF_1 -6 0 + 0 0 . chr1 27100304 27100305 chr1:27100305:T:C rs4266911 T C C EBF1_EBF_1 19 0 - 0 0 . chr1 27103117 27103118 chr1:27103118:G:T rs1489976328 G T G EBF1_EBF_1 -2 0 - 0 0 . chr1 27145265 27145266 chr1:27145266:C:T rs141280601 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 27145664 27145665 chr1:27145665:G:A rs74061721 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 27153690 27153691 chr1:27153691:G:A rs139127352 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 27297815 27297816 chr1:27297816:G:C rs74061758 G C G EBF1_EBF_1 -11 0 + 0 0 . chr1 27307572 27307573 chr1:27307573:T:G rs41291074 T G T EBF1_EBF_1 6 1 + 9.431484116907704 7.325005924572749 AGCCCCTGGGGAAA chr1 27322111 27322112 chr1:27322112:G:A chr1:27322112:G:A G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 27341782 27341783 chr1:27341783:T:C rs181967421 T C T EBF1_EBF_1 18 0 - 0 0 . chr1 27365570 27365571 chr1:27365571:G:A rs74061777 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 27366717 27366718 chr1:27366718:G:A rs142487137 G A G EBF1_EBF_1 9 1 + 7.55377258898658 4.898833323538975 TCTCCCACGGGATC chr1 27366902 27366903 chr1:27366903:T:G rs1049402689 T G T EBF1_EBF_1 28 0 - 0 0 . chr1 27366927 27366928 chr1:27366928:G:T chr1:27366928:G:T G T G EBF1_EBF_1 3 1 - 6.305171331900028 -0.6010026975657775 GCTCCCTTGAGAAG chr1 27381489 27381490 chr1:27381490:G:A rs2504778 G A G EBF1_EBF_1 3 1 - 7.122264385658614 0.7168656441052965 CACCCCTCGGGACT chr1 27428679 27428680 chr1:27428680:G:A rs567534609 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 27446895 27446896 chr1:27446896:T:A rs715224 T A T EBF1_EBF_1 25 0 + 0 0 . chr1 27489053 27489054 chr1:27489054:G:A rs568659615 G A G EBF1_EBF_1 10 1 + 9.099610082982206 3.7815816237152404 AGCCCCAAGGGGCT chr1 27489920 27489921 chr1:27489921:G:C rs79910937 G C G EBF1_EBF_1 27 0 + 0 0 . chr1 27489993 27489994 chr1:27489994:C:T rs1207268945 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 27505151 27505152 chr1:27505152:A:G rs146863775 A G A EBF1_EBF_1 -12 0 - 0 0 . chr1 27525645 27525646 chr1:27525646:G:A rs572397456 G A G EBF1_EBF_1 0 1 + 4.302986765556399 6.195270635121232 GGCCCCTTGGGCCC chr1 27556964 27556965 chr1:27556965:C:T rs191407887 C T C EBF1_EBF_1 0 1 + 5.161980876811253 5.4441829375418305 CGCCCCCTGGGGCC chr1 27557421 27557422 chr1:27557422:C:A rs551076280 C A C EBF1_EBF_1 31 0 + 0 0 . chr1 27557421 27557422 chr1:27557422:C:T chr1:27557422:C:T C T C EBF1_EBF_1 31 0 + 0 0 . chr1 27557423 27557424 chr1:27557424:C:T rs564798849 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 27572891 27572892 chr1:27572892:A:C rs57467219 A C C EBF1_EBF_1 -1 0 + 0 0 . chr1 27572906 27572907 chr1:27572907:G:T rs115036854 G T g EBF1_EBF_1 14 0 + 0 0 . chr1 27596260 27596261 chr1:27596261:A:G rs554203067 A G A EBF1_EBF_1 -1 0 - 0 0 . chr1 27599415 27599416 chr1:27599416:G:A rs1306181975 G A G EBF1_EBF_1 0 1 - 3.990593839395504 4.272795900126083 CCCCCCCAGGGGAG chr1 27599494 27599495 chr1:27599495:T:C rs11587249 T C T EBF1_EBF_1 25 0 + 0 0 . chr1 27602695 27602696 chr1:27602696:C:G rs147491003 C G C EBF1_EBF_1 14 0 + 0 0 . chr1 27604186 27604187 chr1:27604187:G:A rs1255482265 G A G EBF1_EBF_1 6 1 - 5.072638827007282 5.37392495586904 TCCCCCCTGGGGTT chr1 27604203 27604204 chr1:27604204:G:C rs1048432691 G C G EBF1_EBF_1 -11 0 - 0 0 . chr1 27626132 27626133 chr1:27626133:G:A rs115653145 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 27628932 27628933 chr1:27628933:G:A rs74060732 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 27664408 27664409 chr1:27664409:C:T rs186115836 C T C EBF1_EBF_1 5 1 + 5.795759015974327 0.47397180043365716 GACCCCAAGAGAGT chr1 27664426 27664427 chr1:27664427:G:A rs75951091 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 27672529 27672530 chr1:27672530:G:A chr1:27672530:G:A G A G EBF1_EBF_1 18 0 + 0 0 . chr1 27712635 27712636 chr1:27712636:G:A rs1736541 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 27712660 27712661 chr1:27712661:C:T rs3892833 C T C EBF1_EBF_1 6 1 + 8.496779652915404 8.79806578177716 ATTCCCCGGGGCCT chr1 27713524 27713525 chr1:27713525:T:G chr1:27713525:T:G T G T EBF1_EBF_1 22 0 - 0 0 . chr1 27856748 27856749 chr1:27856749:G:A rs1028491102 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 27876131 27876132 chr1:27876132:C:T rs12023566 C T C EBF1_EBF_1 0 1 - 5.900610219081999 7.792894088646835 GCTCCCTGGAGATC chr1 27882490 27882491 chr1:27882491:C:T rs76072311 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 28087732 28087733 chr1:28087733:T:G rs113156082 T G N EBF1_EBF_1 -17 0 - 0 0 . chr1 28087991 28087992 chr1:28087992:C:T rs115706020 C T c EBF1_EBF_1 -6 0 + 0 0 . chr1 28170191 28170192 chr1:28170192:C:T rs572782806 C T C EBF1_EBF_1 11 1 + 8.952600862134009 9.270648303887674 ATTCCCAAGGGCCA chr1 28193340 28193341 chr1:28193341:T:C rs905909 T C C EBF1_EBF_1 -13 0 - 0 0 . chr1 28193649 28193650 chr1:28193650:C:T rs905908 C T T EBF1_EBF_1 8 1 - 5.484045733961615 1.2577556450753553 AATCCCCAGGGCTG chr1 28197076 28197077 chr1:28197077:C:T rs796847 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 28232720 28232721 chr1:28232721:T:C rs559509 T C C EBF1_EBF_1 24 0 - 0 0 . chr1 28237740 28237741 chr1:28237741:A:G rs8559 A G G EBF1_EBF_1 16 0 + 0 0 . chr1 28247305 28247306 chr1:28247306:C:G chr1:28247306:C:G C G C EBF1_EBF_1 7 1 - 5.659658350028206 4.67833776894685 AATCCCCGGGGCTC chr1 28247323 28247324 chr1:28247324:C:T rs492554 C T T EBF1_EBF_1 -11 0 - 0 0 . chr1 28259406 28259407 chr1:28259407:G:A rs556348111 G A G EBF1_EBF_1 -1 0 + 0 0 . chr1 28259707 28259708 chr1:28259708:G:C rs543632805 G C G EBF1_EBF_1 -7 0 + 0 0 . chr1 28370166 28370167 chr1:28370167:C:G rs6703997 C G G EBF1_EBF_1 33 0 - 0 0 . chr1 28505845 28505846 chr1:28505846:G:A chr1:28505846:G:A G A G EBF1_EBF_1 12 1 - 7.0264761079311215 6.000209537380272 CTTCCCGCGGGACC chr1 28512281 28512282 chr1:28512282:G:A rs80345285 G A G EBF1_EBF_1 9 1 + 6.456378687929725 3.801439422482121 TTTCCCTAAGGATC chr1 28581549 28581550 chr1:28581550:A:C rs1047348243 A C A EBF1_EBF_1 29 0 + 0 0 . chr1 28736437 28736438 chr1:28736438:G:A rs562387437 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 28815859 28815860 chr1:28815860:G:T rs534760381 G T G EBF1_EBF_1 5 1 - 6.6270080333509975 -0.2778731192841697 TTCCCCAAGGGTCT chr1 28818507 28818508 chr1:28818508:A:G rs204048 A G g EBF1_EBF_1 -5 0 - 0 0 . chr1 28872045 28872046 chr1:28872046:T:C rs175969 T C C EBF1_EBF_1 13 1 + 6.757524618713294 5.913030504127776 TTCCCCAGGAGACT chr1 28882547 28882548 chr1:28882548:C:T rs114982944 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 28900779 28900780 chr1:28900780:A:G rs74796876 A G G EBF1_EBF_1 -13 0 + 0 0 . chr1 28900816 28900817 chr1:28900817:C:T rs58066571 C T T EBF1_EBF_1 24 0 + 0 0 . chr1 28953897 28953898 chr1:28953898:A:G rs204059 A G G EBF1_EBF_1 30 0 + 0 0 . chr1 29029537 29029538 chr1:29029538:C:G rs2993715 C G G EBF1_EBF_1 -4 0 - 0 0 . chr1 29052362 29052363 chr1:29052363:C:A rs12027267 C A C EBF1_EBF_1 -19 0 - 0 0 . chr1 29112503 29112504 chr1:29112504:T:A rs4654390 T A T EBF1_EBF_1 -9 0 - 0 0 . chr1 29136546 29136547 chr1:29136547:C:T rs529828 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 29171373 29171374 chr1:29171374:A:G rs71642261 A G A EBF1_EBF_1 31 0 + 0 0 . chr1 29180587 29180588 chr1:29180588:A:C rs493564 A C C EBF1_EBF_1 -20 0 - 0 0 . chr1 29181179 29181180 chr1:29181180:G:C rs558269132 G C G EBF1_EBF_1 6 1 - 6.431192778184932 4.626000714711735 CTTCCCCGAGGACT chr1 29193381 29193382 chr1:29193382:A:G rs75045483 A G A EBF1_EBF_1 15 0 - 0 0 . chr1 29257415 29257416 chr1:29257416:G:A rs115545254 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 29257543 29257544 chr1:29257544:C:T rs77830010 C T C EBF1_EBF_1 33 0 - 0 0 . chr1 29326228 29326229 chr1:29326229:C:T rs142215987 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 29344575 29344576 chr1:29344576:C:G rs212316 C G C EBF1_EBF_1 -1 0 - 0 0 . chr1 29372140 29372141 chr1:29372141:G:A rs79941313 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 29418903 29418904 chr1:29418904:A:T rs137992668 A T A EBF1_EBF_1 21 0 + 0 0 . chr1 29423935 29423936 chr1:29423936:T:C rs1408862 T C C EBF1_EBF_1 -6 0 + 0 0 . chr1 29424314 29424315 chr1:29424315:A:C rs12134081 A C A EBF1_EBF_1 -2 0 + 0 0 . chr1 29428965 29428966 chr1:29428966:A:T rs7534522 A T A EBF1_EBF_1 14 0 - 0 0 . chr1 29446527 29446528 chr1:29446528:C:T rs4654344 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 29446537 29446538 chr1:29446538:G:A rs4654345 G A A EBF1_EBF_1 1 1 + 5.324069861340172 5.06337102941887 AGTCCACTGGGAAC chr1 29453425 29453426 chr1:29453426:T:A rs74067046 T A - EBF1_EBF_1 15 0 + 0 0 . chr1 29453610 29453611 chr1:29453611:C:T rs7513556 C T T EBF1_EBF_1 23 0 - 0 0 . chr1 29455160 29455161 chr1:29455161:C:T rs10753339 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 29455162 29455163 chr1:29455163:C:T rs10732275 C T T EBF1_EBF_1 28 0 + 0 0 . chr1 29469274 29469275 chr1:29469275:G:T rs9426457 G T G EBF1_EBF_1 -7 0 + 0 0 . chr1 29485892 29485893 chr1:29485893:C:T rs9426275 C T C EBF1_EBF_1 2 1 + 4.826203716957189 6.715027128399339 GGCCCCCAGGGTAC chr1 29508986 29508987 chr1:29508987:C:A rs80025911 C A C EBF1_EBF_1 29 0 - 0 0 . chr1 29527827 29527828 chr1:29527828:G:A rs74760111 G A G EBF1_EBF_1 19 0 - 0 0 . chr1 29541630 29541631 chr1:29541631:C:G rs11811743 C G G EBF1_EBF_1 -16 0 + 0 0 . chr1 29541672 29541673 chr1:29541673:A:T rs12738078 A T A EBF1_EBF_1 26 0 + 0 0 . chr1 29548834 29548835 chr1:29548835:G:A rs35294772 G A G EBF1_EBF_1 28 0 + 0 0 . chr1 29548978 29548979 chr1:29548979:T:C rs34183737 T C T EBF1_EBF_1 -14 0 + 0 0 . chr1 29550782 29550783 chr1:29550783:C:T rs113713218 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 29559705 29559706 chr1:29559706:G:C rs28444623 G C G EBF1_EBF_1 25 0 - 0 0 . chr1 29570735 29570736 chr1:29570736:G:A rs28485125 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 29596009 29596010 chr1:29596010:T:C rs9660251 T C C EBF1_EBF_1 32 0 + 0 0 . chr1 29604464 29604465 chr1:29604465:C:T rs10914306 C T C EBF1_EBF_1 -3 0 - 0 0 . chr1 29632174 29632175 chr1:29632175:C:T rs553785904 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 29640719 29640720 chr1:29640720:A:G rs187953 A G A EBF1_EBF_1 -18 0 + 0 0 . chr1 29646613 29646614 chr1:29646614:T:C rs79957235 T C T EBF1_EBF_1 9 1 + 5.415153925001787 7.176454186745133 TTTCCCCAGTGACT chr1 29677103 29677104 chr1:29677104:G:A rs271357 G A . EBF1_EBF_1 -12 0 + 0 0 . chr1 29685034 29685035 chr1:29685035:G:C rs61760693 G C . EBF1_EBF_1 14 0 - 0 0 . chr1 29685133 29685134 chr1:29685134:G:A rs271342 G A . EBF1_EBF_1 4 1 - 7.107373943701265 2.7379869987563406 AGTCCCTGGGTACA chr1 29687136 29687137 chr1:29687137:T:C rs1037124608 T C . EBF1_EBF_1 16 0 - 0 0 . chr1 29693326 29693327 chr1:29693327:C:A rs12408008 C A . EBF1_EBF_1 -17 0 + 0 0 . chr1 29701955 29701956 chr1:29701956:C:T rs4949464 C T T EBF1_EBF_1 -6 0 - 0 0 . chr1 29708569 29708570 chr1:29708570:G:C rs9729733 G C C EBF1_EBF_1 17 0 - 0 0 . chr1 29745484 29745485 chr1:29745485:C:T rs72656449 C T . EBF1_EBF_1 2 1 + 4.288203222212982 6.1770266336551325 GCCCCCCAGGGCCC chr1 29756246 29756247 chr1:29756247:T:C rs57054145 T C . EBF1_EBF_1 -5 0 - 0 0 . chr1 29757996 29757997 chr1:29757997:C:T rs9728841 C T . EBF1_EBF_1 8 1 - 5.400573780302521 1.1742836914162595 AACCCCAAGGGTGC chr1 29768165 29768166 chr1:29768166:T:C rs61760748 T C . EBF1_EBF_1 15 0 + 0 0 . chr1 29789346 29789347 chr1:29789347:C:T rs186087066 C T c EBF1_EBF_1 14 0 + 0 0 . chr1 29800701 29800702 chr1:29800702:T:C rs117131964 T C N EBF1_EBF_1 7 1 - 5.806154935331473 4.493696530042648 AGCCACCAGGGACT chr1 29804820 29804821 chr1:29804821:G:A rs4949477 G A g EBF1_EBF_1 16 0 - 0 0 . chr1 29812329 29812330 chr1:29812330:T:A rs75227183 T A N EBF1_EBF_1 -19 0 + 0 0 . chr1 29831015 29831016 chr1:29831016:C:T rs77652000 C T C EBF1_EBF_1 -15 0 + 0 0 . chr1 29831063 29831064 chr1:29831064:C:T rs147368644 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 29832655 29832656 chr1:29832656:T:C rs6426047 T C C EBF1_EBF_1 20 0 + 0 0 . chr1 29837722 29837723 chr1:29837723:C:T rs114001903 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 29878547 29878548 chr1:29878548:G:A rs72658933 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 29908641 29908642 chr1:29908642:G:A rs10914615 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 29925949 29925950 chr1:29925950:T:C rs155637 T C C EBF1_EBF_1 7 1 + 7.4718756002341165 5.654370853951494 AGTCCCCTGGTAGT chr1 29926466 29926467 chr1:29926467:T:C rs174837 T C C EBF1_EBF_1 6 1 - 4.893377380457143 2.726582949182668 CCTCCCAGGGGCAC chr1 29933333 29933334 chr1:29933334:G:C rs12756043 G C G EBF1_EBF_1 30 0 - 0 0 . chr1 29933347 29933348 chr1:29933348:G:A rs185093790 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 29933964 29933965 chr1:29933965:C:T rs78614208 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 29953975 29953976 chr1:29953976:G:A rs115274121 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 29960937 29960938 chr1:29960938:G:T rs114528681 G T G EBF1_EBF_1 6 1 - 4.722597468843727 5.084199836645004 ACCCCCCAGGGCAG chr1 29971906 29971907 chr1:29971907:A:G rs267710 A G G EBF1_EBF_1 6 1 + 5.3498114686137574 3.1830170373392823 CCCCCCATGGGAGG chr1 29973143 29973144 chr1:29973144:C:T rs1498231 C T C EBF1_EBF_1 5 1 + 6.167883040307987 0.8460958247673163 TTCCCCATGGGGAA chr1 29975266 29975267 chr1:29975267:T:G rs529939009 T G T EBF1_EBF_1 7 1 - 7.778734123398261 5.48495513702808 CTCCCCCAGGGAAG chr1 30004669 30004670 chr1:30004670:C:T rs4949534 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 30005638 30005639 chr1:30005639:A:G rs4617453 A G a EBF1_EBF_1 6 1 - 6.882341324168343 6.581055195306586 AGCCCCTGGGGGCC chr1 30009014 30009015 chr1:30009015:C:G rs7556498 C G C EBF1_EBF_1 9 1 - 5.581491261468507 0.505802115123043 CCTCCCTTGGAACT chr1 30013182 30013183 chr1:30013183:C:T rs368743164 C T C EBF1_EBF_1 2 1 - 5.736946510871887 8.800804613473478 ACGCCCCAGGGACC chr1 30029937 30029938 chr1:30029938:A:T rs267716 A T T EBF1_EBF_1 13 1 + 6.028671855614889 7.246911419486383 AGTCCCTAGAGGTA chr1 30035613 30035614 chr1:30035614:G:A rs17341620 G A G EBF1_EBF_1 6 1 + 5.266363895797602 7.433158327072077 ACCCTCGAGGGACT chr1 30037414 30037415 chr1:30037415:T:G rs904304 T G G EBF1_EBF_1 19 0 + 0 0 . chr1 30046042 30046043 chr1:30046043:G:A rs112846933 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 30047588 30047589 chr1:30047589:G:C rs964397 G C G EBF1_EBF_1 -10 0 + 0 0 . chr1 30059134 30059135 chr1:30059135:C:T rs1966007 C T C EBF1_EBF_1 5 1 + 7.725159745194949 2.4033725296542796 AGTCACCAGGGAAT chr1 30085368 30085369 chr1:30085369:G:A rs1149067 G A A EBF1_EBF_1 -18 0 - 0 0 . chr1 30135636 30135637 chr1:30135637:T:C rs2181587 T C C EBF1_EBF_1 30 0 - 0 0 . chr1 30135650 30135651 chr1:30135651:G:T rs149790823 G T G EBF1_EBF_1 16 0 - 0 0 . chr1 30146616 30146617 chr1:30146617:C:T rs1543424 C T T EBF1_EBF_1 14 0 + 0 0 . chr1 30184687 30184688 chr1:30184688:T:C rs10799084 T C C EBF1_EBF_1 12 1 - 5.582889764729023 4.164072422629546 ACTCCCTGGAGGAA chr1 30188217 30188218 chr1:30188218:C:T rs114729454 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 30195557 30195558 chr1:30195558:G:A rs76788657 G A G EBF1_EBF_1 4 1 + 4.33502013081676 4.136225608512837 ACTCGCCTGGGGCT chr1 30197635 30197636 chr1:30197636:C:T rs116713189 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 30225421 30225422 chr1:30225422:T:A rs7551057 T A A EBF1_EBF_1 20 0 + 0 0 . chr1 30241070 30241071 chr1:30241071:T:C rs9628640 T C C EBF1_EBF_1 28 0 - 0 0 . chr1 30245796 30245797 chr1:30245797:C:T rs1321115 C T T EBF1_EBF_1 29 0 - 0 0 . chr1 30259833 30259834 chr1:30259834:C:T rs10915055 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 30262497 30262498 chr1:30262498:G:C rs10915057 G C G EBF1_EBF_1 3 1 - 8.232740314170488 2.4049758660552722 CTTCCCCAGGGGCC chr1 30263876 30263877 chr1:30263877:C:T rs56110212 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 30265584 30265585 chr1:30265585:T:A rs4333892 T A T EBF1_EBF_1 32 0 - 0 0 . chr1 30269771 30269772 chr1:30269772:A:G rs72884148 A G A EBF1_EBF_1 -18 0 - 0 0 . chr1 30290166 30290167 chr1:30290167:G:T rs181517376 G T G EBF1_EBF_1 19 0 - 0 0 . chr1 30290167 30290168 chr1:30290168:A:T rs112990992 A T A EBF1_EBF_1 18 0 - 0 0 . chr1 30307217 30307218 chr1:30307218:C:G rs76240908 C G C EBF1_EBF_1 6 1 + 8.41956856651367 6.6143765030404715 CCTCCCCAGAGACT chr1 30312421 30312422 chr1:30312422:T:G rs10915076 T G a EBF1_EBF_1 -3 0 - 0 0 . chr1 30313577 30313578 chr1:30313578:G:A rs74619548 G A G EBF1_EBF_1 -5 0 + 0 0 . chr1 30319020 30319021 chr1:30319021:T:C rs419607 T C C EBF1_EBF_1 -10 0 + 0 0 . chr1 30319061 30319062 chr1:30319062:C:T rs410621 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 30325329 30325330 chr1:30325330:C:T rs57485009 C T . EBF1_EBF_1 -7 0 + 0 0 . chr1 30330071 30330072 chr1:30330072:G:C rs4949574 G C . EBF1_EBF_1 15 0 - 0 0 . chr1 30330092 30330093 chr1:30330093:A:G rs6425950 A G . EBF1_EBF_1 -6 0 - 0 0 . chr1 30335416 30335417 chr1:30335417:A:T rs10915083 A T . EBF1_EBF_1 19 0 + 0 0 . chr1 30346823 30346824 chr1:30346824:A:G rs10157675 A G . EBF1_EBF_1 27 0 - 0 0 . chr1 30354715 30354716 chr1:30354716:T:C rs10799099 T C . EBF1_EBF_1 -13 0 + 0 0 . chr1 30360636 30360637 chr1:30360637:C:A rs1329108 C A . EBF1_EBF_1 -10 0 + 0 0 . chr1 30361402 30361403 chr1:30361403:G:C rs10915092 G C . EBF1_EBF_1 31 0 + 0 0 . chr1 30362089 30362090 chr1:30362090:G:A rs116089052 G A . EBF1_EBF_1 4 1 - 4.713497323232402 0.34411037828747754 GACCCCAAGGGGGC chr1 30362832 30362833 chr1:30362833:A:T rs114659843 A T . EBF1_EBF_1 6 1 + 6.229245030442058 6.168928791502539 CTCCCCATGGGGCC chr1 30371272 30371273 chr1:30371273:T:G rs642819 T G . EBF1_EBF_1 -6 0 - 0 0 . chr1 30373366 30373367 chr1:30373367:T:A rs74070122 T A . EBF1_EBF_1 21 0 + 0 0 . chr1 30373367 30373368 chr1:30373368:C:T rs643088 C T . EBF1_EBF_1 22 0 + 0 0 . chr1 30373656 30373657 chr1:30373657:G:C rs674195 G C . EBF1_EBF_1 19 0 - 0 0 . chr1 30378067 30378068 chr1:30378068:T:C rs6704487 T C . EBF1_EBF_1 -8 0 + 0 0 . chr1 30378402 30378403 chr1:30378403:C:T rs561387271 C T . EBF1_EBF_1 6 1 + 5.306627774754371 5.6079139036161285 CCTCCTCTGGGAAT chr1 30379050 30379051 chr1:30379051:G:A rs7543709 G A . EBF1_EBF_1 7 1 - 7.519353198663594 9.336857944946217 ATTCCCGCGGGAGA chr1 30379050 30379051 chr1:30379051:G:T chr1:30379051:G:T G T . EBF1_EBF_1 7 1 - 7.519353198663594 9.813132185033774 ATTCCCGCGGGAGA chr1 30389613 30389614 chr1:30389614:C:T rs4949262 C T C EBF1_EBF_1 24 0 - 0 0 . chr1 30404254 30404255 chr1:30404255:A:T rs12737195 A T A EBF1_EBF_1 -5 0 + 0 0 . chr1 30412460 30412461 chr1:30412461:C:T rs193164771 C T C EBF1_EBF_1 10 1 - 4.9163738136731 -0.4016546455938665 CCTCCCTAAGGAGC chr1 30413180 30413181 chr1:30413181:A:G rs7524885 A G A EBF1_EBF_1 27 0 + 0 0 . chr1 30445679 30445680 chr1:30445680:C:A rs139288403 C A C EBF1_EBF_1 -4 0 + 0 0 . chr1 30451627 30451628 chr1:30451628:C:T rs11576451 C T T EBF1_EBF_1 0 1 + 5.4397198630770225 5.7219219238076 CGTCACCAGGGACT chr1 30465609 30465610 chr1:30465610:G:A rs10915122 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 30475422 30475423 chr1:30475423:A:G rs74799481 A G G EBF1_EBF_1 6 1 + 6.282059545430666 4.115265114156191 TGCCCCAAGGGGCC chr1 30480414 30480415 chr1:30480415:A:G rs418695 A G G EBF1_EBF_1 6 1 + 8.372786388173132 6.205991956898658 AACCCCAAGGGATG chr1 30480420 30480421 chr1:30480421:T:C rs395301 T C C EBF1_EBF_1 12 1 + 8.372786388173132 9.399052958723981 AACCCCAAGGGATG chr1 30504734 30504735 chr1:30504735:G:C rs558458396 G C G EBF1_EBF_1 -4 0 - 0 0 . chr1 30514333 30514334 chr1:30514334:T:G rs262638 T G G EBF1_EBF_1 -14 0 + 0 0 . chr1 30525078 30525079 chr1:30525079:C:G rs12402788 C G C EBF1_EBF_1 -20 0 - 0 0 . chr1 30527055 30527056 chr1:30527056:C:A rs74528265 C A C EBF1_EBF_1 -1 0 + 0 0 . chr1 30533255 30533256 chr1:30533256:A:G rs4949613 A G G EBF1_EBF_1 24 0 + 0 0 . chr1 30533262 30533263 chr1:30533263:A:T rs59539188 A T A EBF1_EBF_1 31 0 + 0 0 . chr1 30628188 30628189 chr1:30628189:C:T rs60145287 C T c EBF1_EBF_1 -9 0 - 0 0 . chr1 30628286 30628287 chr1:30628287:C:T rs1201394 C T T EBF1_EBF_1 3 1 + 7.245233531557921 0.8398347900046043 CCACCCTTGGGAAT chr1 30641412 30641413 chr1:30641413:G:A rs1323845 G A C EBF1_EBF_1 12 1 - 6.1241490688817 5.097882498330851 TCCCCCTGGGGACG chr1 30659305 30659306 chr1:30659306:G:A rs12404147 G A G EBF1_EBF_1 10 1 + 7.444683754022161 2.126655294755195 AGTCCCTAGAGAGG chr1 30689582 30689583 chr1:30689583:T:C rs1188447 T C C EBF1_EBF_1 0 1 + 8.218878880460005 7.936676819729429 TCTCCCAAGAGACC chr1 30689594 30689595 chr1:30689595:C:T rs146715566 C T C EBF1_EBF_1 12 1 + 8.218878880460005 7.192612309909157 TCTCCCAAGAGACC chr1 30699008 30699009 chr1:30699009:C:A rs148652573 C A C EBF1_EBF_1 28 0 - 0 0 . chr1 30701056 30701057 chr1:30701057:A:G rs74063359 A G A EBF1_EBF_1 17 0 - 0 0 . chr1 30701074 30701075 chr1:30701075:C:T rs1055150908 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 30706872 30706873 chr1:30706873:C:T rs11580884 C T C EBF1_EBF_1 -13 0 + 0 0 . chr1 30708124 30708125 chr1:30708125:A:C rs12097327 A C C EBF1_EBF_1 25 0 + 0 0 . chr1 30728451 30728452 chr1:30728452:G:A rs9787342 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 30741972 30741973 chr1:30741973:T:C rs12754344 T C T EBF1_EBF_1 22 0 - 0 0 . chr1 30774692 30774693 chr1:30774693:T:C rs6659862 T C C EBF1_EBF_1 27 0 - 0 0 . chr1 30806504 30806505 chr1:30806505:T:C rs12749715 T C T EBF1_EBF_1 15 0 + 0 0 . chr1 30807444 30807445 chr1:30807445:G:A chr1:30807445:G:A G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 30807463 30807464 chr1:30807464:C:T rs116310478 C T C EBF1_EBF_1 6 1 + 3.4759322846881555 3.7772184135499116 GCTCCCCGGGGCGC chr1 30817071 30817072 chr1:30817072:T:G rs10737354 T G G EBF1_EBF_1 21 0 - 0 0 . chr1 30819036 30819037 chr1:30819037:G:A rs181546797 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 30881649 30881650 chr1:30881650:C:T rs78893093 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 30908347 30908348 chr1:30908348:G:A rs372912566 G A g EBF1_EBF_1 -15 0 - 0 0 . chr1 30908410 30908411 chr1:30908411:G:A rs778492527 G A g EBF1_EBF_1 6 1 - 3.3764972070108925 3.6777833358726486 GCTCCCCGGGGCCG chr1 30909288 30909289 chr1:30909289:C:G rs36020590 C G C EBF1_EBF_1 -20 0 + 0 0 . chr1 30910549 30910550 chr1:30910550:G:A rs57063046 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 31044353 31044354 chr1:31044354:A:T rs112378645 A T A EBF1_EBF_1 33 0 + 0 0 . chr1 31067546 31067547 chr1:31067547:A:G rs56389855 A G G EBF1_EBF_1 29 0 + 0 0 . chr1 31100683 31100684 chr1:31100684:G:C rs60542499 G C G EBF1_EBF_1 29 0 + 0 0 . chr1 31133703 31133704 chr1:31133704:G:A rs75058641 G A G EBF1_EBF_1 9 1 + 7.1813591296188175 4.526419864171214 TTTCCCCAAGGACC chr1 31136976 31136977 chr1:31136977:T:C rs2095991 T C C EBF1_EBF_1 -15 0 - 0 0 . chr1 31155271 31155272 chr1:31155272:A:T rs112756312 A T A EBF1_EBF_1 4 1 - 4.411341900646168 2.956169222137625 CCTCTCCCGGGACT chr1 31182247 31182248 chr1:31182248:A:G rs372067428 A G G EBF1_EBF_1 -11 0 - 0 0 . chr1 31182508 31182509 chr1:31182509:C:G rs150738505 C G C EBF1_EBF_1 3 1 + 6.744669292815074 0.9169048446998578 CTTCCCCAGGGGCG chr1 31199913 31199914 chr1:31199914:C:T rs946883 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 31214926 31214927 chr1:31214927:T:C rs6671739 T C T EBF1_EBF_1 -14 0 + 0 0 . chr1 31214946 31214947 chr1:31214947:T:G rs78827024 T G T EBF1_EBF_1 6 1 + 4.2157439017887635 2.109265709453808 GCCCCCTAGGGCCA chr1 31215263 31215264 chr1:31215264:T:C rs6672056 T C C EBF1_EBF_1 7 1 + 5.851706584256582 4.034201837973959 AGCCCCTTGGGCAA chr1 31364326 31364327 chr1:31364327:C:G rs3766294 C G C EBF1_EBF_1 -9 0 - 0 0 . chr1 31409787 31409788 chr1:31409788:C:T rs781935544 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 31412948 31412949 chr1:31412949:G:A rs147722178 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 31413052 31413053 chr1:31413053:C:T rs140044089 C T C EBF1_EBF_1 -10 0 + 0 0 . chr1 31413074 31413075 chr1:31413075:C:T rs555416854 C T C EBF1_EBF_1 12 1 + 7.328819030537531 6.302552459986681 CTTCCCTAGGGTCC chr1 31413089 31413090 chr1:31413090:T:C rs560669867 T C T EBF1_EBF_1 27 0 + 0 0 . chr1 31424215 31424216 chr1:31424216:G:T rs1320584 G T G EBF1_EBF_1 -16 0 - 0 0 . chr1 31434609 31434610 chr1:31434610:A:C rs4233 A C C EBF1_EBF_1 20 0 + 0 0 . chr1 31434609 31434610 chr1:31434610:A:G chr1:31434610:A:G A G C EBF1_EBF_1 20 0 + 0 0 . chr1 31442844 31442845 chr1:31442845:C:T rs10914394 C T C EBF1_EBF_1 20 0 - 0 0 . chr1 31445254 31445255 chr1:31445255:A:C chr1:31445255:A:C A C A EBF1_EBF_1 -7 0 + 0 0 . chr1 31471198 31471199 chr1:31471199:C:G rs35751242 C G C EBF1_EBF_1 -16 0 - 0 0 . chr1 31477926 31477927 chr1:31477927:T:A rs75691503 T A T EBF1_EBF_1 22 0 + 0 0 . chr1 31505265 31505266 chr1:31505266:G:A rs1052062324 G A A EBF1_EBF_1 0 1 - 6.568949522261261 6.851151582991839 CTTCCCCAGGTACA chr1 31523051 31523052 chr1:31523052:C:T rs2153275 C T C EBF1_EBF_1 -3 0 - 0 0 . chr1 31530748 31530749 chr1:31530749:G:A rs1934287 G A A EBF1_EBF_1 32 0 - 0 0 . chr1 31571325 31571326 chr1:31571326:T:C rs9425884 T C C EBF1_EBF_1 32 0 - 0 0 . chr1 31572136 31572137 chr1:31572137:G:A rs2065468 G A A EBF1_EBF_1 -6 0 + 0 0 . chr1 31572147 31572148 chr1:31572148:C:G rs148789582 C G C EBF1_EBF_1 5 1 + 5.337777779435807 -1.5671033731993615 CCTCCCCTGGGCCC chr1 31575469 31575470 chr1:31575470:C:A rs527442863 C A C EBF1_EBF_1 -3 0 + 0 0 . chr1 31588985 31588986 chr1:31588986:T:C rs565183799 T C T EBF1_EBF_1 11 1 - 9.581816055080452 6.689109211476442 GCTCCCTGGGGACC chr1 31614803 31614804 chr1:31614804:G:A rs79659859 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 31616183 31616184 chr1:31616184:G:A rs3753614 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 31616184 31616185 chr1:31616185:C:T rs74063926 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 31658199 31658200 chr1:31658200:T:C rs56074668 T C T EBF1_EBF_1 6 1 - 7.1793338258972295 5.012539394622753 TTCCCCAGGGGAGC chr1 31658995 31658996 chr1:31658996:A:G rs16834652 A G A EBF1_EBF_1 27 0 - 0 0 . chr1 31692702 31692703 chr1:31692703:A:G rs2297675 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 31697208 31697209 chr1:31697209:G:A rs41263973 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 31698604 31698605 chr1:31698605:T:G rs2228550 T G G EBF1_EBF_1 18 0 + 0 0 . chr1 31723514 31723515 chr1:31723515:G:A rs76364734 G A G EBF1_EBF_1 5 1 - 5.500653903045503 0.1788666875048328 ACTCCCGTGAGGCT chr1 31731045 31731046 chr1:31731046:C:G rs909001 C G G EBF1_EBF_1 18 0 - 0 0 . chr1 31762369 31762370 chr1:31762370:C:G rs74065922 C G C EBF1_EBF_1 18 0 + 0 0 . chr1 31777473 31777474 chr1:31777474:C:T rs77548599 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 31782366 31782367 chr1:31782367:G:A rs74500538 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 31783241 31783242 chr1:31783242:G:A rs138348589 G A G EBF1_EBF_1 -15 0 + 0 0 . chr1 31787013 31787014 chr1:31787014:C:T rs6656287 C T T EBF1_EBF_1 23 0 + 0 0 . chr1 31788620 31788621 chr1:31788621:G:A rs557285497 G A G EBF1_EBF_1 7 1 - 4.494800936200545 6.312305682483168 AATCGCCCGGGACC chr1 31790783 31790784 chr1:31790784:G:A rs79907831 G A g EBF1_EBF_1 9 1 - 6.327483600947738 4.566183339204392 AATCCCTGGCGACC chr1 31790988 31790989 chr1:31790989:G:A rs35252813 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 31800849 31800850 chr1:31800850:G:A rs538941916 G A G EBF1_EBF_1 0 1 - 5.010607083057297 5.292809143787875 CCTCTCCTGGGACA chr1 31828498 31828499 chr1:31828499:A:G rs10914484 A G A EBF1_EBF_1 1 1 - 5.286189424924446 4.3907559845257005 ATCCCCCTGAGGTT chr1 31830780 31830781 chr1:31830781:C:T rs192926455 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 31834772 31834773 chr1:31834773:G:A rs34497156 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 31836506 31836507 chr1:31836507:C:T rs11578323 C T T EBF1_EBF_1 -2 0 - 0 0 . chr1 31845726 31845727 chr1:31845727:C:T rs12756311 C T c EBF1_EBF_1 -5 0 + 0 0 . chr1 31845733 31845734 chr1:31845734:C:A rs12565351 C A C EBF1_EBF_1 2 1 + 8.08002025226002 6.284376076103292 CTCCCCTAGGGAAG chr1 31849406 31849407 chr1:31849407:T:C rs74503455 T C T EBF1_EBF_1 18 0 + 0 0 . chr1 31849545 31849546 chr1:31849546:C:T rs7518553 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 31877766 31877767 chr1:31877767:C:T rs594540 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 31954806 31954807 chr1:31954807:C:T rs80261917 C T C EBF1_EBF_1 13 1 + 5.570512204457678 6.415006319043196 CTCCCCTGGAGACC chr1 32014451 32014452 chr1:32014452:G:A rs763082429 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 32014480 32014481 chr1:32014481:C:T rs72876649 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 32014483 32014484 chr1:32014484:G:A chr1:32014484:G:A G A G EBF1_EBF_1 25 0 + 0 0 . chr1 32014491 32014492 chr1:32014492:G:T chr1:32014492:G:T G T G EBF1_EBF_1 33 0 + 0 0 . chr1 32062510 32062511 chr1:32062511:C:T rs188158934 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 32062522 32062523 chr1:32062523:T:C rs6686060 T C C EBF1_EBF_1 -16 0 - 0 0 . chr1 32239513 32239514 chr1:32239514:C:T rs7544661 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 32241349 32241350 chr1:32241350:C:T rs897124307 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 32241389 32241390 chr1:32241390:A:C rs894311263 A C a EBF1_EBF_1 21 0 + 0 0 . chr1 32291842 32291843 chr1:32291843:G:A rs749769716 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 32291944 32291945 chr1:32291945:C:T rs36212114 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 32334638 32334639 chr1:32334639:C:T rs3729976 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 32335328 32335329 chr1:32335329:G:A rs1006659598 G A G EBF1_EBF_1 24 0 - 0 0 . chr1 32337529 32337530 chr1:32337530:C:T rs111756812 C T C EBF1_EBF_1 5 1 + 5.229337030206607 -0.09245018533406313 ACACCCCAGGGCCT chr1 32339530 32339531 chr1:32339531:T:G rs72878762 T G G EBF1_EBF_1 -4 0 - 0 0 . chr1 32357514 32357515 chr1:32357515:A:G rs76425891 A G A EBF1_EBF_1 -4 0 + 0 0 . chr1 32361647 32361648 chr1:32361648:G:A rs541541046 G A G EBF1_EBF_1 -17 0 - 0 0 . chr1 32540033 32540034 chr1:32540034:C:A rs1313608791 C A C EBF1_EBF_1 17 0 - 0 0 . chr1 32612385 32612386 chr1:32612386:C:G rs769946161 C G C EBF1_EBF_1 5 1 + 10.022033441546268 3.1171522889111003 ACTCCCGTGGGATT chr1 32651469 32651470 chr1:32651470:T:G rs583114 T G G EBF1_EBF_1 -15 0 + 0 0 . chr1 32651490 32651491 chr1:32651491:C:T rs12407673 C T C EBF1_EBF_1 6 1 + 5.793370874000697 6.094657002862455 AGTTCCCTGGGACC chr1 32651497 32651498 chr1:32651498:C:T rs535904042 C T C EBF1_EBF_1 13 1 + 5.793370874000697 6.637864988586215 AGTTCCCTGGGACC chr1 32703000 32703001 chr1:32703001:G:A rs569385777 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 32713302 32713303 chr1:32713303:A:G rs403352 A G G EBF1_EBF_1 0 1 + 6.717392143865454 4.825108274300619 ACTCCTCTGGGAAC chr1 32749778 32749779 chr1:32749779:T:C rs644879 T C T EBF1_EBF_1 0 1 + 5.772370112570257 5.490168051839679 TTCCCCTTGGGCCT chr1 32763525 32763526 chr1:32763526:A:G rs61798841 A G A EBF1_EBF_1 32 0 + 0 0 . chr1 32764360 32764361 chr1:32764361:G:A rs59245647 G A G EBF1_EBF_1 4 1 - 5.738136606288151 1.3687496613432273 TATCCCCAGAGGCT chr1 32765322 32765323 chr1:32765323:C:T rs76709211 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 32766092 32766093 chr1:32766093:T:G rs60579048 T G T EBF1_EBF_1 22 0 + 0 0 . chr1 32774156 32774157 chr1:32774157:G:A rs781333683 G A g EBF1_EBF_1 -6 0 + 0 0 . chr1 32817770 32817771 chr1:32817771:G:T rs1015951002 G T G EBF1_EBF_1 -2 0 - 0 0 . chr1 32817782 32817783 chr1:32817783:C:A rs114349735 C A C EBF1_EBF_1 -14 0 - 0 0 . chr1 32818127 32818128 chr1:32818128:C:A rs556656588 C A C EBF1_EBF_1 -16 0 - 0 0 . chr1 32869924 32869925 chr1:32869925:G:A rs771068902 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 32870120 32870121 chr1:32870121:C:T rs77391460 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 32870574 32870575 chr1:32870575:A:G rs528143361 A G A EBF1_EBF_1 -7 0 - 0 0 . chr1 32872604 32872605 chr1:32872605:C:G chr1:32872605:C:G C G C EBF1_EBF_1 22 0 + 0 0 . chr1 32876959 32876960 chr1:32876960:C:T rs113601939 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 32899767 32899768 chr1:32899768:G:A rs115253394 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 32901115 32901116 chr1:32901116:G:A rs549423287 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 32961068 32961069 chr1:32961069:T:C rs979383 T C T EBF1_EBF_1 23 0 + 0 0 . chr1 32985082 32985083 chr1:32985083:A:G rs146025839 A G A EBF1_EBF_1 13 1 + 6.167883040307987 5.053557468238548 TTCCCCATGGGGAA chr1 32989884 32989885 chr1:32989885:T:C rs11581686 T C T EBF1_EBF_1 30 0 + 0 0 . chr1 33053572 33053573 chr1:33053573:A:C rs35180769 A C A EBF1_EBF_1 -10 0 - 0 0 . chr1 33081247 33081248 chr1:33081248:C:T rs192022456 C T c EBF1_EBF_1 12 1 - 9.9680739490137 11.386891291113177 TCTCCCCAGGGAGT chr1 33081258 33081259 chr1:33081259:G:C rs143058185 G C G EBF1_EBF_1 1 1 - 9.9680739490137 10.157845603582917 TCTCCCCAGGGAGT chr1 33153194 33153195 chr1:33153195:C:T rs181596943 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 33153296 33153297 chr1:33153297:C:T rs75157285 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 33176550 33176551 chr1:33176551:C:T rs643402 C T T EBF1_EBF_1 -13 0 - 0 0 . chr1 33221194 33221195 chr1:33221195:C:T rs1391522 C T T EBF1_EBF_1 -5 0 + 0 0 . chr1 33234140 33234141 chr1:33234141:A:T rs72656278 A T A EBF1_EBF_1 1 1 + 6.759074883462477 7.725435501213307 AACCCCTAGGGTAC chr1 33255386 33255387 chr1:33255387:T:A rs77140407 T A T EBF1_EBF_1 -7 0 - 0 0 . chr1 33255889 33255890 chr1:33255890:C:G rs538935837 C G C EBF1_EBF_1 25 0 + 0 0 . chr1 33256301 33256302 chr1:33256302:G:A rs867244101 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 33277703 33277704 chr1:33277704:G:A rs6671383 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 33296060 33296061 chr1:33296061:T:C rs12041371 T C C EBF1_EBF_1 2 1 + 10.3584233818109 8.469599970368751 TGTCCCCAGGGAAA chr1 33335082 33335083 chr1:33335083:T:C rs41265893 T C T EBF1_EBF_1 -14 0 + 0 0 . chr1 33340954 33340955 chr1:33340955:G:A rs4564102 G A A EBF1_EBF_1 22 0 - 0 0 . chr1 33358645 33358646 chr1:33358646:A:G rs139344571 A G A EBF1_EBF_1 1 1 + 5.744250625714094 6.004949457635396 AACCGCCAGGGACT chr1 33368814 33368815 chr1:33368815:T:C rs12144672 T C T EBF1_EBF_1 11 1 - 4.069775417028287 1.1770685734242756 GCTCCCCTTGGACT chr1 33369790 33369791 chr1:33369791:G:A rs12733528 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 33369804 33369805 chr1:33369805:T:C rs11591003 T C T EBF1_EBF_1 11 1 - 5.766742284652846 2.8740354410488353 AATGCCCTGGGAAA chr1 33377466 33377467 chr1:33377467:G:A rs55772921 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 33392936 33392937 chr1:33392937:T:C chr1:33392937:T:C T C T EBF1_EBF_1 21 0 - 0 0 . chr1 33610599 33610600 chr1:33610600:G:A rs4652950 G A G EBF1_EBF_1 13 1 - 8.650865924011784 9.495360038597303 AGTCCCAAGGGCAC chr1 33624692 33624693 chr1:33624693:C:G rs765675203 C G C EBF1_EBF_1 13 1 + 6.559911470136848 5.071840448781433 CCTCCCGTGGGAGC chr1 33707748 33707749 chr1:33707749:C:T rs1041156281 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 33729134 33729135 chr1:33729135:T:C rs555733 T C C EBF1_EBF_1 -10 0 + 0 0 . chr1 33741331 33741332 chr1:33741332:A:G rs512332 A G G EBF1_EBF_1 12 1 - 5.989481360968459 7.015747931519309 TCTCCCATAGGATT chr1 33785018 33785019 chr1:33785019:C:T rs532885544 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 33785710 33785711 chr1:33785711:T:C rs472363 T C C EBF1_EBF_1 7 1 - 6.227061844685281 4.914603439396456 ACCCTCCAGGGACC chr1 33819189 33819190 chr1:33819190:C:G rs570934206 C G C EBF1_EBF_1 31 0 - 0 0 . chr1 33823333 33823334 chr1:33823334:A:C rs12096149 A C A EBF1_EBF_1 24 0 + 0 0 . chr1 33856373 33856374 chr1:33856374:T:C rs7545370 T C T EBF1_EBF_1 9 1 - 8.489918455594013 11.144857721041616 GTTCCCCAGAGAAA chr1 33866697 33866698 chr1:33866698:T:C rs911210 T C C EBF1_EBF_1 -8 0 + 0 0 . chr1 33903962 33903963 chr1:33903963:G:A rs10799008 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 33962280 33962281 chr1:33962281:G:C rs115927430 G C G EBF1_EBF_1 1 1 - 10.230013717375753 10.41978537194497 CCTCCCCAGGGACC chr1 33973760 33973761 chr1:33973761:G:T rs506714 G T G EBF1_EBF_1 21 0 + 0 0 . chr1 33998909 33998910 chr1:33998910:G:A rs503487 G A A EBF1_EBF_1 8 1 + 5.614082926693163 1.3877928378069018 TGCCCCCAGAGAGT chr1 34028809 34028810 chr1:34028810:C:T rs10799020 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 34028829 34028830 chr1:34028830:G:A rs10799021 G A A EBF1_EBF_1 6 1 - 7.835434325541458 8.136720454403212 AATCCCCGGGGGCA chr1 34060456 34060457 chr1:34060457:G:A chr1:34060457:G:A G A G EBF1_EBF_1 29 0 - 0 0 . chr1 34072878 34072879 chr1:34072879:G:A rs10914857 G A A EBF1_EBF_1 -7 0 - 0 0 . chr1 34073505 34073506 chr1:34073506:T:C rs66941902 T C T EBF1_EBF_1 7 1 - 5.838900201045672 4.526441795756847 ACTCCCTAGAGGTA chr1 34087234 34087235 chr1:34087235:C:T rs2618775 C T C EBF1_EBF_1 -1 0 + 0 0 . chr1 34167057 34167058 chr1:34167058:G:T rs3806245 G T G EBF1_EBF_1 7 1 - 4.3939101650082835 6.687689151378465 ACTGCCCCGGGAAC chr1 34167081 34167082 chr1:34167082:C:T rs897749261 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 34188785 34188786 chr1:34188786:A:C rs1677765 A C C EBF1_EBF_1 -14 0 + 0 0 . chr1 34267996 34267997 chr1:34267997:C:A rs12143184 C A C EBF1_EBF_1 -4 0 - 0 0 . chr1 34268383 34268384 chr1:34268384:A:G rs10799033 A G A EBF1_EBF_1 -12 0 - 0 0 . chr1 34268575 34268576 chr1:34268576:G:C rs771396 G C G EBF1_EBF_1 -2 0 - 0 0 . chr1 34320024 34320025 chr1:34320025:C:T rs10799043 C T T EBF1_EBF_1 17 0 + 0 0 . chr1 34328228 34328229 chr1:34328229:T:G rs10914912 T G T EBF1_EBF_1 -20 0 - 0 0 . chr1 34363509 34363510 chr1:34363510:T:C rs74770393 T C T EBF1_EBF_1 -18 0 + 0 0 . chr1 34364832 34364833 chr1:34364833:G:T rs80325093 G T G EBF1_EBF_1 17 0 - 0 0 . chr1 34401995 34401996 chr1:34401996:C:T rs78857157 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 34415344 34415345 chr1:34415345:A:T rs6680710 A T T EBF1_EBF_1 23 0 + 0 0 . chr1 34418358 34418359 chr1:34418359:C:T rs6678542 C T T EBF1_EBF_1 2 1 + 5.259540225052197 7.148363636494347 AACCCCCTGGGCCA chr1 34426029 34426030 chr1:34426030:T:C rs72898362 T C T EBF1_EBF_1 29 0 + 0 0 . chr1 34461878 34461879 chr1:34461879:T:G rs12035864 T G T EBF1_EBF_1 20 0 - 0 0 . chr1 34618249 34618250 chr1:34618250:G:A rs183305501 G A G EBF1_EBF_1 7 1 + 6.05606184446258 7.368520249751405 CTACCCTGGGGACA chr1 34631063 34631064 chr1:34631064:G:A rs17501977 G A G EBF1_EBF_1 8 1 + 5.564068401836848 1.337778312950587 AATCCCCCGGTACA chr1 34638565 34638566 chr1:34638566:A:G rs186811391 A G A EBF1_EBF_1 -15 0 - 0 0 . chr1 34645324 34645325 chr1:34645325:C:G rs61776026 C G C EBF1_EBF_1 -16 0 - 0 0 . chr1 34703485 34703486 chr1:34703486:G:A rs17473420 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 34738691 34738692 chr1:34738692:T:C rs28603246 T C T EBF1_EBF_1 29 0 + 0 0 . chr1 34741015 34741016 chr1:34741016:G:T rs61777182 G T G EBF1_EBF_1 -19 0 + 0 0 . chr1 34743231 34743232 chr1:34743232:C:G rs9426008 C G G EBF1_EBF_1 -14 0 + 0 0 . chr1 34759902 34759903 chr1:34759903:G:T rs182516489 G T G EBF1_EBF_1 25 0 - 0 0 . chr1 34760654 34760655 chr1:34760655:T:C rs1998177 T C C EBF1_EBF_1 21 0 - 0 0 . chr1 34772982 34772983 chr1:34772983:C:T rs4653089 C T C EBF1_EBF_1 6 1 - 6.573772440298301 8.740566871572776 TTTCCCGAGAGACA chr1 34791482 34791483 chr1:34791483:G:A rs4652861 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 34836352 34836353 chr1:34836353:G:A rs6697864 G A A EBF1_EBF_1 6 1 - 4.682322291283885 4.983608420145643 GTTCCCCAGGAACG chr1 34840025 34840026 chr1:34840026:C:T rs12127790 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 34840026 34840027 chr1:34840027:G:A rs4320750 G A A EBF1_EBF_1 -6 0 - 0 0 . chr1 34846352 34846353 chr1:34846353:C:T rs144946910 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 34864894 34864895 chr1:34864895:C:G rs72657943 C G C EBF1_EBF_1 15 0 + 0 0 . chr1 34870469 34870470 chr1:34870470:A:T rs116028035 A T A EBF1_EBF_1 29 0 + 0 0 . chr1 34874702 34874703 chr1:34874703:A:G rs772952584 A G A EBF1_EBF_1 -1 0 - 0 0 . chr1 34889779 34889780 chr1:34889780:G:A rs79528950 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 34899434 34899435 chr1:34899435:G:A rs7544234 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 34928570 34928571 chr1:34928571:T:G rs35040123 T G G EBF1_EBF_1 14 0 + 0 0 . chr1 34978435 34978436 chr1:34978436:T:C rs4072108 T C T EBF1_EBF_1 -10 0 + 0 0 . chr1 35192890 35192891 chr1:35192891:C:T rs76077726 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 35215625 35215626 chr1:35215626:C:T rs12091697 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 35320531 35320532 chr1:35320532:A:T chr1:35320532:A:T A T A EBF1_EBF_1 31 0 + 0 0 . chr1 35431716 35431717 chr1:35431717:A:G rs12029177 A G A EBF1_EBF_1 13 1 - 8.099572545284659 7.2550784306991405 TTTCCCCAGGTAAT chr1 35557469 35557470 chr1:35557470:G:C rs369257633 G C G EBF1_EBF_1 -3 0 + 0 0 . chr1 35557485 35557486 chr1:35557486:G:A rs566567209 G A G EBF1_EBF_1 13 1 + 6.478345108071486 7.592670680140925 CCTCCCCCGGGAAG chr1 35557969 35557970 chr1:35557970:G:A rs937300077 G A G EBF1_EBF_1 10 1 + 7.488346208184653 2.170317748917686 ACACCCCGGGGACC chr1 35559401 35559402 chr1:35559402:G:T rs115012530 G T G EBF1_EBF_1 -1 0 + 0 0 . chr1 35559427 35559428 chr1:35559428:G:A rs577385593 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 35660658 35660659 chr1:35660659:C:T rs686857 C T T EBF1_EBF_1 -13 0 - 0 0 . chr1 35684383 35684384 chr1:35684384:C:T rs149102698 C T C EBF1_EBF_1 3 1 + 5.880153904620331 -0.5252448369329861 TCTCCCAGGAGATC chr1 35774818 35774819 chr1:35774819:C:T chr1:35774819:C:T C T C EBF1_EBF_1 27 0 - 0 0 . chr1 35774865 35774866 chr1:35774866:A:T rs375598995 A T A EBF1_EBF_1 -20 0 - 0 0 . chr1 35810035 35810036 chr1:35810036:T:G rs515346 T G T EBF1_EBF_1 23 0 + 0 0 . chr1 35883842 35883843 chr1:35883843:G:T rs138710969 G T G EBF1_EBF_1 33 0 - 0 0 . chr1 35931601 35931602 chr1:35931602:C:T rs760677300 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 36084272 36084273 chr1:36084273:C:T rs111960634 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 36088833 36088834 chr1:36088834:A:G rs1030937573 A G A EBF1_EBF_1 6 1 - 6.010348471813582 5.7090623429518255 GCTCCCTGGGGCCT chr1 36088840 36088841 chr1:36088841:G:C rs11263852 G C G EBF1_EBF_1 -1 0 - 0 0 . chr1 36088847 36088848 chr1:36088848:A:G rs17551472 A G G EBF1_EBF_1 -8 0 - 0 0 . chr1 36092908 36092909 chr1:36092909:C:T rs559068218 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 36096354 36096355 chr1:36096355:C:A rs274750 C A A EBF1_EBF_1 5 1 + 13.742308009272374 6.83742685663721 ATTCCCAAGGGACC chr1 36098175 36098176 chr1:36098176:G:A rs117860804 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 36108421 36108422 chr1:36108422:C:T rs274761 C T t EBF1_EBF_1 4 1 + 8.114685580622838 3.745298635677913 GACCCCTTGGGAAA chr1 36114952 36114953 chr1:36114953:T:C rs274134 T C C EBF1_EBF_1 11 1 - 9.073410223303423 6.180703379699413 CCTCCCTAGGGAAG chr1 36117111 36117112 chr1:36117112:T:C rs560160891 T C T EBF1_EBF_1 7 1 + 5.190469398375601 3.3729646520929775 GCTCCCATGGAAAC chr1 36151984 36151985 chr1:36151985:C:T rs1026497790 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 36161351 36161352 chr1:36161352:C:A rs182970577 C A C EBF1_EBF_1 32 0 + 0 0 . chr1 36161352 36161353 chr1:36161353:T:A rs187622453 T A T EBF1_EBF_1 33 0 + 0 0 . chr1 36167122 36167123 chr1:36167123:A:C rs139897781 A C A EBF1_EBF_1 25 0 - 0 0 . chr1 36209528 36209529 chr1:36209529:G:C rs72661702 G C G EBF1_EBF_1 4 1 - 6.81891163398418 1.1931465328346356 ATCCCCCAGAGGAT chr1 36301373 36301374 chr1:36301374:G:C rs10908293 G C G EBF1_EBF_1 -13 0 - 0 0 . chr1 36306859 36306860 chr1:36306860:C:T rs542911105 C T c EBF1_EBF_1 26 0 + 0 0 . chr1 36320675 36320676 chr1:36320676:G:C rs144425061 G C G EBF1_EBF_1 19 0 + 0 0 . chr1 36327727 36327728 chr1:36327728:C:T rs184710616 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 36327819 36327820 chr1:36327820:G:A rs74385763 G A G EBF1_EBF_1 -7 0 - 0 0 . chr1 36351747 36351748 chr1:36351748:G:A rs78094204 G A G EBF1_EBF_1 12 1 - 6.709485459922111 5.683218889371261 CTTCCCCAGGGCCC chr1 36386241 36386242 chr1:36386242:G:A rs74914114 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 36386254 36386255 chr1:36386255:C:G rs531259670 C G C EBF1_EBF_1 8 1 - 4.733078349287027 -0.5855805116276593 AACCGCTGGGGACT chr1 36386810 36386811 chr1:36386811:G:A chr1:36386811:G:A G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 36386814 36386815 chr1:36386815:T:G chr1:36386815:T:G T G T EBF1_EBF_1 -10 0 + 0 0 . chr1 36407896 36407897 chr1:36407897:C:A rs12085434 C A C EBF1_EBF_1 -5 0 - 0 0 . chr1 36417436 36417437 chr1:36417437:G:T rs557719349 G T G EBF1_EBF_1 2 1 - 5.299045047798891 3.503400871642163 TACCCCCAGGGTCT chr1 36421561 36421562 chr1:36421562:A:G rs2282353 A G G EBF1_EBF_1 -6 0 + 0 0 . chr1 36450563 36450564 chr1:36450564:G:A rs76502678 G A G EBF1_EBF_1 7 1 - 7.7100322223033535 9.527536968585977 ATCCCCCCGGGACG chr1 36460843 36460844 chr1:36460844:C:T rs3738839 C T C EBF1_EBF_1 4 1 + 4.286830975882493 -0.08255596906243233 CCCCCCAAGGGCCC chr1 36480193 36480194 chr1:36480194:G:A rs3918008 G A G EBF1_EBF_1 24 0 - 0 0 . chr1 36481262 36481263 chr1:36481263:A:G rs3917918 A G A EBF1_EBF_1 9 1 + 5.339477871027783 7.994417136475387 AACCCCAAGAGGCC chr1 36481409 36481410 chr1:36481410:A:G rs3918006 A G A EBF1_EBF_1 -5 0 - 0 0 . chr1 36495024 36495025 chr1:36495025:G:A rs11263901 G A A EBF1_EBF_1 16 0 + 0 0 . chr1 36510651 36510652 chr1:36510652:A:G rs4653193 A G A EBF1_EBF_1 -15 0 + 0 0 . chr1 36515202 36515203 chr1:36515203:T:C rs4078260 T C T EBF1_EBF_1 -18 0 + 0 0 . chr1 36521169 36521170 chr1:36521170:C:G rs12405763 C G C EBF1_EBF_1 9 1 - 10.623169729928847 5.547480583583384 GCTCCCCAGGGAAC chr1 36523344 36523345 chr1:36523345:A:G rs79581598 A G G EBF1_EBF_1 11 1 + 6.627973362787387 3.735266519183375 AGCCCCATGAGAGC chr1 36532294 36532295 chr1:36532295:G:A rs72913041 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 36542495 36542496 chr1:36542496:C:T rs12745001 C T C EBF1_EBF_1 5 1 + 7.0913915851938585 1.7696043696531876 CATCCCTTGGGGCC chr1 36554235 36554236 chr1:36554236:C:G rs996636 C G C EBF1_EBF_1 -8 0 - 0 0 . chr1 36560418 36560419 chr1:36560419:C:T rs34408234 C T C EBF1_EBF_1 6 1 - 8.311522027946765 10.478316459221238 GCTCCCGTGGGACC chr1 36560886 36560887 chr1:36560887:G:C rs80198215 G C G EBF1_EBF_1 -10 0 + 0 0 . chr1 36575133 36575134 chr1:36575134:A:T rs4653196 A T T EBF1_EBF_1 29 0 - 0 0 . chr1 36576379 36576380 chr1:36576380:G:A rs115823710 G A G EBF1_EBF_1 30 0 - 0 0 . chr1 36576422 36576423 chr1:36576423:G:T rs6701823 G T G EBF1_EBF_1 -13 0 - 0 0 . chr1 36685130 36685131 chr1:36685131:A:G rs3012034 A G A EBF1_EBF_1 -3 0 - 0 0 . chr1 36710122 36710123 chr1:36710123:G:C rs1369145317 G C G EBF1_EBF_1 9 1 + 6.950593570888566 1.874904424543102 GATCCCCAGGGCCT chr1 36711052 36711053 chr1:36711053:T:A rs77896756 T A T EBF1_EBF_1 28 0 - 0 0 . chr1 36729955 36729956 chr1:36729956:C:T rs16823431 C T c EBF1_EBF_1 33 0 + 0 0 . chr1 36743661 36743662 chr1:36743662:C:T rs11805686 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 36743673 36743674 chr1:36743674:G:C rs523103 G C C EBF1_EBF_1 -4 0 + 0 0 . chr1 36754562 36754563 chr1:36754563:T:C rs76306854 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 36754682 36754683 chr1:36754683:C:T rs78258319 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 36757160 36757161 chr1:36757161:G:A rs2884710 G A G EBF1_EBF_1 -7 0 - 0 0 . chr1 36762959 36762960 chr1:36762960:G:A rs6696176 G A G EBF1_EBF_1 3 1 - 5.115539543232912 -1.2898591983204055 GTCCTCATGGGACC chr1 36763816 36763817 chr1:36763817:T:C rs997096626 T C T EBF1_EBF_1 1 1 + 6.706225845794084 5.810792405395339 ATACCCAAAGGAAT chr1 36770628 36770629 chr1:36770629:G:T rs1048779004 G T G EBF1_EBF_1 -13 0 + 0 0 . chr1 36774260 36774261 chr1:36774261:A:G rs502845 A G G EBF1_EBF_1 -2 0 + 0 0 . chr1 36821415 36821416 chr1:36821416:T:G rs579936 T G G EBF1_EBF_1 25 0 - 0 0 . chr1 36831421 36831422 chr1:36831422:A:G rs942972 A G G EBF1_EBF_1 6 1 + 13.27434371856907 11.107549287294594 ATTCCCAGGGGACT chr1 36842615 36842616 chr1:36842616:A:G rs115065860 A G A EBF1_EBF_1 -20 0 - 0 0 . chr1 36844235 36844236 chr1:36844236:T:C rs61769811 T C C EBF1_EBF_1 33 0 + 0 0 . chr1 36845143 36845144 chr1:36845144:G:A rs78730369 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 36846472 36846473 chr1:36846473:G:C rs3767046 G C G EBF1_EBF_1 -20 0 + 0 0 . chr1 36907912 36907913 chr1:36907913:G:A rs112337899 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 36910497 36910498 chr1:36910498:C:T rs74067504 C T T EBF1_EBF_1 9 1 - 5.599852392908068 2.9449131274604636 TTCCCCCAGGGGTC chr1 36919081 36919082 chr1:36919082:T:C rs10796894 T C C EBF1_EBF_1 12 1 - 9.711461555285304 8.292644213185827 CCTCCCTTGGGAAA chr1 36921907 36921908 chr1:36921908:C:A rs1500787 C A C EBF1_EBF_1 32 0 + 0 0 . chr1 36923389 36923390 chr1:36923390:C:G rs518463 C G G EBF1_EBF_1 1 1 + 4.881064193992594 5.070835848561811 ACACCCAAGGGGGC chr1 36966111 36966112 chr1:36966112:C:T rs183302168 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 36979661 36979662 chr1:36979662:T:C rs3753774 T C T EBF1_EBF_1 6 1 - 9.629479120890682 7.46268468961621 ATTCCCAAGAGAAG chr1 37056428 37056429 chr1:37056429:G:A rs6687588 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 37065151 37065152 chr1:37065152:G:A rs218406 G A g EBF1_EBF_1 -1 0 - 0 0 . chr1 37068711 37068712 chr1:37068712:T:C rs849942 T C C EBF1_EBF_1 33 0 - 0 0 . chr1 37068724 37068725 chr1:37068725:G:A rs12130099 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 37068880 37068881 chr1:37068881:C:T rs79798877 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 37098659 37098660 chr1:37098660:C:A rs556645620 C A C EBF1_EBF_1 10 1 - 6.776544851460101 2.593792665232837 AGCCCCTGGAGAAA chr1 37099808 37099809 chr1:37099809:G:T rs12145955 G T G EBF1_EBF_1 30 0 - 0 0 . chr1 37177415 37177416 chr1:37177416:A:G rs218381 A G A EBF1_EBF_1 24 0 - 0 0 . chr1 37244899 37244900 chr1:37244900:C:T rs77099956 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 37257109 37257110 chr1:37257110:G:A rs10908328 G A G EBF1_EBF_1 19 0 - 0 0 . chr1 37302529 37302530 chr1:37302530:C:T rs10796903 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 37302530 37302531 chr1:37302531:G:A rs55868504 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 37309732 37309733 chr1:37309733:C:T rs11264019 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 37331657 37331658 chr1:37331658:A:T rs111836892 A T T EBF1_EBF_1 -20 0 + 0 0 . chr1 37391273 37391274 chr1:37391274:G:C rs72657733 G C G EBF1_EBF_1 -17 0 + 0 0 . chr1 37415150 37415151 chr1:37415151:T:C rs2811626 T C T EBF1_EBF_1 26 0 + 0 0 . chr1 37419004 37419005 chr1:37419005:G:A rs2811633 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 37448051 37448052 chr1:37448052:G:A rs72923121 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 37449826 37449827 chr1:37449827:C:T rs112939545 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 37477478 37477479 chr1:37477479:G:C rs535537450 G C G EBF1_EBF_1 -10 0 + 0 0 . chr1 37477486 37477487 chr1:37477487:C:G rs114335443 C G c EBF1_EBF_1 -2 0 + 0 0 . chr1 37477487 37477488 chr1:37477488:C:G rs12408993 C G C EBF1_EBF_1 -1 0 + 0 0 . chr1 37477488 37477489 chr1:37477489:C:A rs576663926 C A C EBF1_EBF_1 0 1 + 6.963561424485768 9.218819908182367 CCTCCCCAGGGGCA chr1 37477489 37477490 chr1:37477490:C:G chr1:37477490:C:G C G C EBF1_EBF_1 1 1 + 6.963561424485768 7.153333079054985 CCTCCCCAGGGGCA chr1 37477517 37477518 chr1:37477518:C:A rs116568767 C A C EBF1_EBF_1 29 0 + 0 0 . chr1 37603274 37603275 chr1:37603275:C:T chr1:37603275:C:T C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 37633204 37633205 chr1:37633205:C:G rs11264076 C G C EBF1_EBF_1 -1 0 - 0 0 . chr1 37663665 37663666 chr1:37663666:A:G rs6676046 A G G EBF1_EBF_1 -17 0 + 0 0 . chr1 37673878 37673879 chr1:37673879:C:A rs11585866 C A C EBF1_EBF_1 -18 0 - 0 0 . chr1 37690493 37690494 chr1:37690494:G:A rs115208084 G A G EBF1_EBF_1 8 1 + 7.071555959270799 2.845265870384538 ACCCTCCAGGGACT chr1 37690517 37690518 chr1:37690518:C:G rs679742 C G C EBF1_EBF_1 32 0 + 0 0 . chr1 37690660 37690661 chr1:37690661:G:A rs142295640 G A G EBF1_EBF_1 13 1 - 6.069282988576431 6.913777103161949 AATCCCAAGGAAGC chr1 37690670 37690671 chr1:37690671:G:A rs2306626 G A G EBF1_EBF_1 3 1 - 6.069282988576431 -0.33611575297688695 AATCCCAAGGAAGC chr1 37693085 37693086 chr1:37693086:G:A rs371566364 G A G EBF1_EBF_1 -3 0 - 0 0 . chr1 37705593 37705594 chr1:37705594:C:T rs144039664 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 37713163 37713164 chr1:37713164:C:T rs631102 C T C EBF1_EBF_1 5 1 + 5.316448945853108 -0.0053382696875620556 TTTCCCCTAGGAGC chr1 37723469 37723470 chr1:37723470:A:C rs1010805 A C A EBF1_EBF_1 20 0 - 0 0 . chr1 37723945 37723946 chr1:37723946:G:A rs6689638 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 37726042 37726043 chr1:37726043:G:A rs542204449 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 37726525 37726526 chr1:37726526:A:G rs508133 A G G EBF1_EBF_1 -20 0 - 0 0 . chr1 37728557 37728558 chr1:37728558:T:C rs55981639 T C T EBF1_EBF_1 32 0 - 0 0 . chr1 37741849 37741850 chr1:37741850:T:C rs577260621 T C T EBF1_EBF_1 13 1 - 4.186502431466522 3.072176859397083 GCCCCCGGGGGATA chr1 37814312 37814313 chr1:37814313:G:A rs76625135 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 37814314 37814315 chr1:37814315:T:C rs3748682 T C T EBF1_EBF_1 -1 0 + 0 0 . chr1 37868003 37868004 chr1:37868004:C:T rs116236238 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 37883727 37883728 chr1:37883728:G:A rs12728438 G A G EBF1_EBF_1 13 1 - 5.367424269151425 6.211918383736943 CTTCTCCAGGGAGC chr1 37932115 37932116 chr1:37932116:G:A rs112742160 G A G EBF1_EBF_1 -4 0 + 0 0 . chr1 37932148 37932149 chr1:37932149:C:T rs76849367 C T c EBF1_EBF_1 29 0 + 0 0 . chr1 37954959 37954960 chr1:37954960:C:T rs1480343 C T T EBF1_EBF_1 26 0 - 0 0 . chr1 37990099 37990100 chr1:37990100:A:C rs980393250 A C A EBF1_EBF_1 11 1 + 5.397994535741693 0.9820328378893035 AGTGCCCCGGGACT chr1 38006377 38006378 chr1:38006378:C:T rs80161025 C T C EBF1_EBF_1 5 1 + 6.838649495295196 1.5168622797545266 CCCCCCCTGGGATC chr1 38011736 38011737 chr1:38011737:C:A rs7364394 C A C EBF1_EBF_1 1 1 - 5.238574335147808 5.944236120977336 AGCCCCCAGAGGCC chr1 38039187 38039188 chr1:38039188:C:T rs75358248 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 38041650 38041651 chr1:38041651:G:A rs77098561 G A G EBF1_EBF_1 13 1 + 8.033178921291007 9.147504493360447 ATACCCTTGGGACG chr1 38047315 38047316 chr1:38047316:G:T chr1:38047316:G:T G T G EBF1_EBF_1 -7 0 + 0 0 . chr1 38047771 38047772 chr1:38047772:G:T rs145246221 G T G EBF1_EBF_1 33 0 - 0 0 . chr1 38073851 38073852 chr1:38073852:C:T rs535826 C T T EBF1_EBF_1 9 1 - 7.047701243497999 4.3927619780503955 TGACCCCAGGGAAC chr1 38084432 38084433 chr1:38084433:A:G rs571881 A G a EBF1_EBF_1 -2 0 - 0 0 . chr1 38084888 38084889 chr1:38084889:G:A rs190258343 G A G EBF1_EBF_1 9 1 + 4.874222984560333 2.2192837191127293 TGTGCCCAGGGACC chr1 38115152 38115153 chr1:38115153:C:T rs1841795 C T C EBF1_EBF_1 1 1 - 7.350372856796133 7.089674024874831 TGTCCCCAAGGAAT chr1 38125442 38125443 chr1:38125443:C:T rs12404747 C T C EBF1_EBF_1 6 1 - 5.936947743368258 8.103742174642733 ACTCTCGAGGGACA chr1 38152665 38152666 chr1:38152666:C:A rs72663642 C A A EBF1_EBF_1 8 1 - 5.17034120219438 -1.7210915867828143 TGTCCCCAGAGAGG chr1 38179894 38179895 chr1:38179895:A:G rs729148 A G A EBF1_EBF_1 27 0 + 0 0 . chr1 38210130 38210131 chr1:38210131:C:T rs745526 C T C EBF1_EBF_1 -18 0 + 0 0 . chr1 38210145 38210146 chr1:38210146:C:T rs1218545030 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 38250874 38250875 chr1:38250875:A:G rs2026119 A G A EBF1_EBF_1 -10 0 - 0 0 . chr1 38257871 38257872 chr1:38257872:C:A rs10889902 C A T EBF1_EBF_1 -19 0 + 0 0 . chr1 38273272 38273273 chr1:38273273:T:C rs372252247 T C T EBF1_EBF_1 23 0 - 0 0 . chr1 38336989 38336990 chr1:38336990:T:C rs2637879 T C C EBF1_EBF_1 30 0 + 0 0 . chr1 38403737 38403738 chr1:38403738:A:G rs12076266 A G A EBF1_EBF_1 26 0 - 0 0 . chr1 38415793 38415794 chr1:38415794:T:C rs4341331 T C C EBF1_EBF_1 -15 0 - 0 0 . chr1 38451303 38451304 chr1:38451304:G:A rs11210795 G A A EBF1_EBF_1 20 0 + 0 0 . chr1 38577714 38577715 chr1:38577715:C:A chr1:38577715:C:A C A C EBF1_EBF_1 3 1 + 3.7396731076666203 -3.166500921799187 ATGCCCCAGGGGCC chr1 38584683 38584684 chr1:38584684:C:A rs78088865 C A C EBF1_EBF_1 -9 0 - 0 0 . chr1 38590428 38590429 chr1:38590429:T:C rs78541528 T C T EBF1_EBF_1 -1 0 + 0 0 . chr1 38605815 38605816 chr1:38605816:G:A rs3011190 G A G EBF1_EBF_1 16 0 + 0 0 . chr1 38608503 38608504 chr1:38608504:C:T rs72670909 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 38608527 38608528 chr1:38608528:C:T rs185383102 C T C EBF1_EBF_1 13 1 + 6.3646729388996715 7.2091670534851895 GCCCCCAGGGGAGC chr1 38608555 38608556 chr1:38608556:C:T rs10789452 C T T EBF1_EBF_1 -1 0 - 0 0 . chr1 38610642 38610643 chr1:38610643:G:A rs35482250 G A A EBF1_EBF_1 -1 0 - 0 0 . chr1 38613875 38613876 chr1:38613876:G:C rs72923438 G C G EBF1_EBF_1 20 0 + 0 0 . chr1 38641435 38641436 chr1:38641436:C:T rs756734780 C T c EBF1_EBF_1 -18 0 + 0 0 . chr1 38730884 38730885 chr1:38730885:A:G rs11211245 A G A EBF1_EBF_1 -18 0 - 0 0 . chr1 38786241 38786242 chr1:38786242:C:T rs552907629 C T C EBF1_EBF_1 -1 0 + 0 0 . chr1 38859140 38859141 chr1:38859141:G:T rs1925680 G T G EBF1_EBF_1 4 1 - 8.054687720415263 2.230128096961796 CGCCCCCTGGGACC chr1 38941053 38941054 chr1:38941054:C:G rs76187073 C G C EBF1_EBF_1 -10 0 + 0 0 . chr1 38961685 38961686 chr1:38961686:C:G chr1:38961686:C:G C G C EBF1_EBF_1 3 1 + 4.7134430958718045 -1.1143213522434097 ATTCCACAGGGAGA chr1 38961701 38961702 chr1:38961702:A:C rs7550511 A C C EBF1_EBF_1 19 0 + 0 0 . chr1 39084310 39084311 chr1:39084311:G:A rs3736890 G A a EBF1_EBF_1 -18 0 + 0 0 . chr1 39105200 39105201 chr1:39105201:A:C rs12754642 A C a EBF1_EBF_1 1 1 - 7.551385631445624 6.845723845616095 GTCCCCCAGGGGCT chr1 39106272 39106273 chr1:39106273:C:T rs749961050 C T C EBF1_EBF_1 19 0 - 0 0 . chr1 39106308 39106309 chr1:39106309:A:G rs7535185 A G A EBF1_EBF_1 -17 0 - 0 0 . chr1 39174179 39174180 chr1:39174180:G:C rs115116986 G C G EBF1_EBF_1 18 0 + 0 0 . chr1 39399030 39399031 chr1:39399031:T:G rs144100328 T G T EBF1_EBF_1 12 1 - 7.0968686557538625 7.0666872573325366 TTTCCCATAGGAAC chr1 39491595 39491596 chr1:39491596:G:A rs1907066 G A - EBF1_EBF_1 -7 0 - 0 0 . chr1 39527477 39527478 chr1:39527478:G:A rs191028673 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 39609717 39609718 chr1:39609718:A:T rs1488582238 A T A EBF1_EBF_1 23 0 - 0 0 . chr1 39625132 39625133 chr1:39625133:C:G rs41264499 C G C EBF1_EBF_1 10 1 - 5.449872197103576 -1.440930190225899 CTTCCCCCAGGACT chr1 39646431 39646432 chr1:39646432:C:A rs560441550 C A C EBF1_EBF_1 -20 0 + 0 0 . chr1 39672441 39672442 chr1:39672442:T:C rs28667717 T C T EBF1_EBF_1 -19 0 + 0 0 . chr1 39672450 39672451 chr1:39672451:C:A rs1533479 C A C EBF1_EBF_1 -10 0 + 0 0 . chr1 39685403 39685404 chr1:39685404:C:G rs76275658 C G C EBF1_EBF_1 -2 0 + 0 0 . chr1 39690419 39690420 chr1:39690420:C:T rs568072 C T T EBF1_EBF_1 -8 0 + 0 0 . chr1 39690435 39690436 chr1:39690436:C:T rs568111 C T C EBF1_EBF_1 8 1 + 4.075465181483902 2.502691253421393 GGTCCCCACGGAGC chr1 39744382 39744383 chr1:39744383:G:A rs510601 G A A EBF1_EBF_1 4 1 - 5.825159494044768 1.4557725490998443 GTTCCCGTAGGACT chr1 39786535 39786536 chr1:39786536:G:A rs1810494 G A g EBF1_EBF_1 23 0 - 0 0 . chr1 39900582 39900583 chr1:39900583:C:A rs78681783 C A C EBF1_EBF_1 -5 0 - 0 0 . chr1 39901095 39901096 chr1:39901096:G:A rs61751008 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 39927770 39927771 chr1:39927771:T:G rs140294498 T G T EBF1_EBF_1 -16 0 + 0 0 . chr1 39956145 39956146 chr1:39956146:C:G rs1492701 C G C EBF1_EBF_1 -18 0 - 0 0 . chr1 40078773 40078774 chr1:40078774:G:A rs139790073 G A G EBF1_EBF_1 4 1 - 6.345295287117283 1.9759083421723584 ATACCCATGGGGAA chr1 40085005 40085006 chr1:40085006:T:A rs185902225 T A T EBF1_EBF_1 17 0 - 0 0 . chr1 40302671 40302672 chr1:40302672:C:T rs3737821 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 40302694 40302695 chr1:40302695:T:C rs762124802 T C T EBF1_EBF_1 6 1 - 4.203712748348027 2.0369183170735523 GCCCCCATGGGCAC chr1 40304752 40304753 chr1:40304753:G:A rs72944910 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 40304783 40304784 chr1:40304784:A:T rs117563156 A T A EBF1_EBF_1 -13 0 - 0 0 . chr1 40312127 40312128 chr1:40312128:T:G rs1979785 T G T EBF1_EBF_1 -7 0 - 0 0 . chr1 40312790 40312791 chr1:40312791:A:G rs146943340 A G A EBF1_EBF_1 2 1 - 6.845760445710443 4.956937034268293 CTTCCCCAGGGTTT chr1 40324280 40324281 chr1:40324281:C:T rs364689 C T C EBF1_EBF_1 -1 0 + 0 0 . chr1 40388067 40388068 chr1:40388068:C:T rs12724031 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 40641939 40641940 chr1:40641940:C:G rs2076332 C G C EBF1_EBF_1 -1 0 - 0 0 . chr1 40651543 40651544 chr1:40651544:G:A rs565850461 G A G EBF1_EBF_1 4 1 - 6.396337699283554 2.0269507543386296 ATTGCCTAGGGAAG chr1 40655085 40655086 chr1:40655086:C:T rs61779218 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 40779823 40779824 chr1:40779824:G:A rs2780945 G A G EBF1_EBF_1 8 1 + 6.4182353438841435 2.191945254997882 GGTCCCCAGGTATT chr1 40797154 40797155 chr1:40797155:A:G rs143514589 A G A EBF1_EBF_1 -12 0 - 0 0 . chr1 40807543 40807544 chr1:40807544:C:T chr1:40807544:C:T C T C EBF1_EBF_1 26 0 + 0 0 . chr1 40808749 40808750 chr1:40808750:C:T rs4660175 C T T EBF1_EBF_1 -13 0 - 0 0 . chr1 40815386 40815387 chr1:40815387:A:T rs60237568 A T T EBF1_EBF_1 -19 0 + 0 0 . chr1 40819498 40819499 chr1:40819499:C:A rs33932028 C A . EBF1_EBF_1 -15 0 + 0 0 . chr1 40819619 40819620 chr1:40819620:C:A rs35493687 C A . EBF1_EBF_1 18 0 + 0 0 . chr1 40821029 40821030 chr1:40821030:T:C rs56335024 T C C EBF1_EBF_1 -12 0 + 0 0 . chr1 40827472 40827473 chr1:40827473:C:G rs114000079 C G C EBF1_EBF_1 5 1 + 6.417445529115728 -0.4874356235194408 GCCCCCCTGAGACT chr1 40849248 40849249 chr1:40849249:A:G rs4023936 A G a EBF1_EBF_1 23 0 + 0 0 . chr1 40930601 40930602 chr1:40930602:C:T rs12401381 C T c EBF1_EBF_1 -11 0 - 0 0 . chr1 40979844 40979845 chr1:40979845:G:T rs530816713 G T g EBF1_EBF_1 14 0 - 0 0 . chr1 40979857 40979858 chr1:40979858:G:A rs542202659 G A g EBF1_EBF_1 1 1 - 5.303346809743151 6.198780250141898 TCCCCCCCGGGATC chr1 40979857 40979858 chr1:40979858:G:T chr1:40979858:G:T G T g EBF1_EBF_1 1 1 - 5.303346809743151 5.232419632391066 TCCCCCCCGGGATC chr1 40989286 40989287 chr1:40989287:G:A rs35080169 G A G EBF1_EBF_1 6 1 - 7.605255850349477 7.906541979211235 CCTCCCCAGAGAAC chr1 41020735 41020736 chr1:41020736:G:A rs3738369 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 41021664 41021665 chr1:41021665:G:T rs17357954 G T G EBF1_EBF_1 27 0 + 0 0 . chr1 41158847 41158848 chr1:41158848:C:T rs18996 C T C EBF1_EBF_1 11 1 + 5.723656565238005 6.041704006991669 AGTCCCTAGAGCTT chr1 41242747 41242748 chr1:41242748:G:T rs114011825 G T G EBF1_EBF_1 -1 0 - 0 0 . chr1 41308321 41308322 chr1:41308322:T:C rs55892603 T C C EBF1_EBF_1 7 1 + 5.662631859838674 3.845127113556051 TTCCCCTTGAGATC chr1 41318792 41318793 chr1:41318793:C:T rs67483852 C T C EBF1_EBF_1 4 1 + 9.1483245497361 4.778937604791179 CTTCCCAGGGGATC chr1 41342597 41342598 chr1:41342598:A:G rs12044881 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 41342637 41342638 chr1:41342638:G:A rs74348675 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 41344090 41344091 chr1:41344091:G:A rs139421457 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 41359802 41359803 chr1:41359803:G:A rs4660528 G A G EBF1_EBF_1 6 1 - 6.406084420338807 6.707370549200566 ATTCCCCAGGGTGG chr1 41366514 41366515 chr1:41366515:C:T rs77545679 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 41379573 41379574 chr1:41379574:C:A rs60144015 C A C EBF1_EBF_1 10 1 - 7.505300630956437 3.3225484447291715 GGCCCCCAGGGAGC chr1 41396224 41396225 chr1:41396225:C:T rs6600375 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 41418273 41418274 chr1:41418274:G:T rs2810548 G T G EBF1_EBF_1 13 1 - 5.439112246829304 5.065366797543328 TCACCCCAGGGAGC chr1 41429825 41429826 chr1:41429826:C:T rs2810569 C T C EBF1_EBF_1 7 1 + 5.855540509318264 7.673045255600888 AGCCCCACGAGAAA chr1 41432440 41432441 chr1:41432441:T:C rs2490097 T C T EBF1_EBF_1 -9 0 + 0 0 . chr1 41432458 41432459 chr1:41432459:G:A rs2490098 G A G EBF1_EBF_1 9 1 + 6.255878316265105 3.6009390508175008 AATCCCACAGGACC chr1 41435736 41435737 chr1:41435737:C:A rs145245710 C A C EBF1_EBF_1 -2 0 - 0 0 . chr1 41448676 41448677 chr1:41448677:C:T rs78149811 C T . EBF1_EBF_1 21 0 - 0 0 . chr1 41477226 41477227 chr1:41477227:C:T rs11572379 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 41479018 41479019 chr1:41479019:C:T rs5800 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 41496539 41496540 chr1:41496540:G:A rs776633574 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 41499090 41499091 chr1:41499091:C:T rs112630433 C T C EBF1_EBF_1 0 1 + 4.855606625875027 5.137808686605605 CGCCCCCTGAGAGT chr1 41504673 41504674 chr1:41504674:T:C rs117669156 T C T EBF1_EBF_1 -4 0 - 0 0 . chr1 41505335 41505336 chr1:41505336:A:G rs35832637 A G A EBF1_EBF_1 14 0 - 0 0 . chr1 41537349 41537350 chr1:41537350:C:T rs12121981 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 41558727 41558728 chr1:41558728:G:A rs76942872 G A G EBF1_EBF_1 -9 0 - 0 0 . chr1 41566021 41566022 chr1:41566022:C:T rs7524240 C T T EBF1_EBF_1 23 0 - 0 0 . chr1 41572943 41572944 chr1:41572944:A:G rs34767929 A G A EBF1_EBF_1 -13 0 + 0 0 . chr1 41581628 41581629 chr1:41581629:A:T rs41269473 A T A EBF1_EBF_1 -15 0 - 0 0 . chr1 41592588 41592589 chr1:41592589:G:C rs116351921 G C G EBF1_EBF_1 10 1 + 7.799651557357112 0.9088491700276375 CTCCCCGTGGGACT chr1 41623799 41623800 chr1:41623800:T:C rs10749837 T C C EBF1_EBF_1 33 0 - 0 0 . chr1 41629047 41629048 chr1:41629048:A:G rs2038978 A G A EBF1_EBF_1 -11 0 - 0 0 . chr1 41645251 41645252 chr1:41645252:G:C rs139281992 G C G EBF1_EBF_1 -16 0 + 0 0 . chr1 41647543 41647544 chr1:41647544:T:G rs7541348 T G T EBF1_EBF_1 33 0 + 0 0 . chr1 41650216 41650217 chr1:41650217:G:A rs6703992 G A A EBF1_EBF_1 -4 0 - 0 0 . chr1 41656313 41656314 chr1:41656314:G:A rs34868229 G A G EBF1_EBF_1 9 1 + 6.752754828082995 4.097815562635392 AAACCCAGGGGATC chr1 41679882 41679883 chr1:41679883:G:A chr1:41679883:G:A G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 41695289 41695290 chr1:41695290:G:T rs115429693 G T G EBF1_EBF_1 -1 0 - 0 0 . chr1 41714383 41714384 chr1:41714384:G:A rs144787844 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 41714690 41714691 chr1:41714691:C:T rs12094414 C T C EBF1_EBF_1 8 1 - 4.771652593480419 0.5453625045941581 TCTCCCAGGGGCCA chr1 41732813 41732814 chr1:41732814:C:G rs710240 C G C EBF1_EBF_1 16 0 + 0 0 . chr1 41732904 41732905 chr1:41732905:G:A rs710239 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 41738226 41738227 chr1:41738227:A:C rs6668879 A C C EBF1_EBF_1 22 0 + 0 0 . chr1 41741688 41741689 chr1:41741689:T:C rs16828601 T C t EBF1_EBF_1 6 1 - 8.072726037351892 5.9059316060774165 CGCCCCATGGGAAA chr1 41745807 41745808 chr1:41745808:G:A rs11210519 G A g EBF1_EBF_1 -15 0 + 0 0 . chr1 41752462 41752463 chr1:41752463:C:T rs138541761 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 41784670 41784671 chr1:41784671:G:A rs137905810 G A G EBF1_EBF_1 13 1 + 6.667520234939825 7.7818458070092635 CACCCCATGGGACG chr1 41806783 41806784 chr1:41806784:C:T rs575660563 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 41834323 41834324 chr1:41834324:G:A rs6685805 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 41920392 41920393 chr1:41920393:G:T chr1:41920393:G:T G T G EBF1_EBF_1 7 1 + 8.26638202778339 9.102566192984657 GCCCCCCGGGGAAT chr1 41920408 41920409 chr1:41920409:G:A rs4660582 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 41956057 41956058 chr1:41956058:C:T rs16828927 C T C EBF1_EBF_1 4 1 + 12.836563812378467 8.467176867433546 ATTCCCCAGGGAGT chr1 42035665 42035666 chr1:42035666:G:T rs148556260 G T - EBF1_EBF_1 -12 0 + 0 0 . chr1 42110865 42110866 chr1:42110866:C:T rs10749843 C T T EBF1_EBF_1 17 0 + 0 0 . chr1 42138052 42138053 chr1:42138053:C:T rs139554631 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 42165765 42165766 chr1:42165766:C:T rs116679095 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 42335149 42335150 chr1:42335150:G:A rs183210769 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 42376169 42376170 chr1:42376170:C:T rs12096927 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 42455952 42455953 chr1:42455953:G:A rs36121721 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 42703335 42703336 chr1:42703336:A:G rs11210698 A G A EBF1_EBF_1 21 0 - 0 0 . chr1 42743830 42743831 chr1:42743831:C:G rs11803478 C G C EBF1_EBF_1 4 1 + 4.6731135766862355 -0.9526515244633094 CCTCCCATGGGCTC chr1 42746439 42746440 chr1:42746440:C:T rs6882 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 42746619 42746620 chr1:42746620:G:A rs13871 G A G EBF1_EBF_1 6 1 + 6.932815651707732 9.099610082982206 AGCCCCGAGGGGCT chr1 42816758 42816759 chr1:42816759:T:C rs74070053 T C T EBF1_EBF_1 17 0 - 0 0 . chr1 42816783 42816784 chr1:42816784:C:T rs66967207 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 42913484 42913485 chr1:42913485:A:C rs74068358 A C A EBF1_EBF_1 21 0 + 0 0 . chr1 42924198 42924199 chr1:42924199:A:G rs76340948 A G A EBF1_EBF_1 -18 0 + 0 0 . chr1 42933856 42933857 chr1:42933857:C:T rs138066311 C T C EBF1_EBF_1 13 1 - 5.273971491214221 6.38829706328366 AGCCCCAAGGGCAG chr1 42934503 42934504 chr1:42934504:C:T rs74068375 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 42934504 42934505 chr1:42934505:G:A rs841855 G A A EBF1_EBF_1 -2 0 - 0 0 . chr1 42936533 42936534 chr1:42936534:G:A rs841849 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 42942554 42942555 chr1:42942555:G:A chr1:42942555:G:A G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 42943507 42943508 chr1:42943508:G:T rs12718444 G T G EBF1_EBF_1 33 0 - 0 0 . chr1 42943527 42943528 chr1:42943528:A:G rs41310434 A G A EBF1_EBF_1 13 1 - 6.068862831846212 5.224368717260694 TCTCCCCAGGAAAT chr1 42946157 42946158 chr1:42946158:G:A rs1681859 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 42946159 42946160 chr1:42946160:C:T rs1770807 C T T EBF1_EBF_1 32 0 + 0 0 . chr1 42957296 42957297 chr1:42957297:C:A rs534201815 C A C EBF1_EBF_1 12 1 + 6.559568610972467 6.589750009393793 CTTCCCCGGGGTCT chr1 42957309 42957310 chr1:42957310:A:C rs115254313 A C A EBF1_EBF_1 25 0 + 0 0 . chr1 42959410 42959411 chr1:42959411:G:T rs62621848 G T T EBF1_EBF_1 9 1 + 5.1159102536939525 -1.7210791543948571 CTTGCCCAGGGATT chr1 42961716 42961717 chr1:42961717:T:C rs11805176 T C T EBF1_EBF_1 15 0 - 0 0 . chr1 42993964 42993965 chr1:42993965:A:G rs1198257 A G A EBF1_EBF_1 -9 0 - 0 0 . chr1 43005694 43005695 chr1:43005695:C:T rs9659797 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 43007886 43007887 chr1:43007887:C:G rs1015824609 C G C EBF1_EBF_1 16 0 + 0 0 . chr1 43129559 43129560 chr1:43129560:A:G rs16830355 A G A EBF1_EBF_1 -1 0 + 0 0 . chr1 43136263 43136264 chr1:43136264:C:T rs605510 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 43172584 43172585 chr1:43172585:C:A rs549609438 C A C EBF1_EBF_1 -15 0 - 0 0 . chr1 43202539 43202540 chr1:43202540:C:T rs6670739 C T T EBF1_EBF_1 -19 0 + 0 0 . chr1 43222405 43222406 chr1:43222406:A:C rs11210815 A C A EBF1_EBF_1 -13 0 + 0 0 . chr1 43227302 43227303 chr1:43227303:G:C rs377175333 G C G EBF1_EBF_1 32 0 - 0 0 . chr1 43227344 43227345 chr1:43227345:A:G rs16830572 A G G EBF1_EBF_1 -10 0 - 0 0 . chr1 43227346 43227347 chr1:43227347:G:A rs11587212 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 43232161 43232162 chr1:43232162:C:T rs774528133 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 43257802 43257803 chr1:43257803:G:T rs478700 G T G EBF1_EBF_1 28 0 - 0 0 . chr1 43270850 43270851 chr1:43270851:C:T rs77347309 C T C EBF1_EBF_1 1 1 + 7.411183670992497 8.306617111391242 TCTCCCCTGAGAAC chr1 43272356 43272357 chr1:43272357:G:C rs555474954 G C G EBF1_EBF_1 16 0 - 0 0 . chr1 43275552 43275553 chr1:43275553:G:A rs2151673 G A A EBF1_EBF_1 9 1 + 7.090498390990503 4.435559125542901 GTTCCCAAGGGCAA chr1 43305418 43305419 chr1:43305419:G:A rs3120044 G A A EBF1_EBF_1 10 1 + 6.902847972790393 1.5848195135234262 TGACCCATGGGACC chr1 43305647 43305648 chr1:43305648:T:C rs74637329 T C T EBF1_EBF_1 -18 0 - 0 0 . chr1 43312180 43312181 chr1:43312181:C:T rs1999595 C T T EBF1_EBF_1 -3 0 + 0 0 . chr1 43312183 43312184 chr1:43312184:C:T rs35909386 C T C EBF1_EBF_1 0 1 + 6.735317784346095 7.017519845076673 CGACCCCAGGGACC chr1 43323186 43323187 chr1:43323187:C:T rs866332 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 43330391 43330392 chr1:43330392:C:T chr1:43330392:C:T C T C EBF1_EBF_1 5 1 + 7.0730723375687345 1.751285122028063 CGCCCCCAGGGAAG chr1 43330400 43330401 chr1:43330401:G:A rs1332153574 G A G EBF1_EBF_1 14 0 + 0 0 . chr1 43367982 43367983 chr1:43367983:C:T rs535906284 C T c EBF1_EBF_1 12 1 + 6.572878303214381 5.546611732663531 AGTCCCCGGGGCCA chr1 43421474 43421475 chr1:43421475:G:A rs2782644 G A G EBF1_EBF_1 0 1 - 6.241521169218803 6.523723229949381 CTTCCCCGGGGCCT chr1 43450188 43450189 chr1:43450189:G:A rs757881485 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 43451411 43451412 chr1:43451412:C:T rs117454252 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 43454960 43454961 chr1:43454961:C:G rs2152114 C G C EBF1_EBF_1 29 0 + 0 0 . chr1 43523225 43523226 chr1:43523226:G:A chr1:43523226:G:A G A G EBF1_EBF_1 4 1 - 6.677809501045763 2.3084225561008402 TGTCCCAAGGGCAC chr1 43540232 43540233 chr1:43540233:G:A rs79765958 G A G EBF1_EBF_1 17 0 - 0 0 . chr1 43540256 43540257 chr1:43540257:A:G rs1889587 A G A EBF1_EBF_1 -7 0 - 0 0 . chr1 43546009 43546010 chr1:43546010:G:A rs147491314 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 43552932 43552933 chr1:43552933:G:T rs2819339 G T G EBF1_EBF_1 31 0 + 0 0 . chr1 43564702 43564703 chr1:43564703:C:A rs530623509 C A C EBF1_EBF_1 -10 0 + 0 0 . chr1 43582554 43582555 chr1:43582555:C:T rs191469122 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 43587952 43587953 chr1:43587953:C:G rs28640075 C G - EBF1_EBF_1 -12 0 + 0 0 . chr1 43618948 43618949 chr1:43618949:G:T rs16831024 G T g EBF1_EBF_1 5 1 - 10.248648049362165 3.343766896726998 TTCCCCATGGGACT chr1 43650632 43650633 chr1:43650633:A:T chr1:43650633:A:T A T A EBF1_EBF_1 13 1 - 5.575082625861395 4.356843061989901 AACCCCCTCGGACT chr1 43666839 43666840 chr1:43666840:A:C rs191578502 A C A EBF1_EBF_1 6 1 - 5.416435079752327 3.309956887417371 AACCCCTGGGGTCC chr1 43687460 43687461 chr1:43687461:T:C rs61768375 T C T EBF1_EBF_1 11 1 - 8.181963931985273 5.2892570883812615 CATCCCAGGGGATC chr1 43690613 43690614 chr1:43690614:C:T rs76799529 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 43783813 43783814 chr1:43783814:A:G rs917294 A G G EBF1_EBF_1 19 0 - 0 0 . chr1 43813550 43813551 chr1:43813551:G:C rs3791062 G C g EBF1_EBF_1 -14 0 - 0 0 . chr1 43880057 43880058 chr1:43880058:G:C rs77877744 G C G EBF1_EBF_1 -13 0 - 0 0 . chr1 43913820 43913821 chr1:43913821:C:T rs2248305 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 43933862 43933863 chr1:43933863:G:A rs907750574 G A G EBF1_EBF_1 32 0 + 0 0 . chr1 43934859 43934860 chr1:43934860:T:A rs3762422 T A T EBF1_EBF_1 -15 0 - 0 0 . chr1 43948750 43948751 chr1:43948751:C:T rs2428955 C T T EBF1_EBF_1 7 1 - 7.8484664769182375 9.16092488220706 AATCCCCGGGGTCT chr1 43983858 43983859 chr1:43983859:G:T rs61770309 G T G EBF1_EBF_1 29 0 - 0 0 . chr1 44011758 44011759 chr1:44011759:T:G rs111824744 T G T EBF1_EBF_1 22 0 - 0 0 . chr1 44016683 44016684 chr1:44016684:C:T rs76914738 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 44019852 44019853 chr1:44019853:C:T rs983574456 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 44047829 44047830 chr1:44047830:G:T rs147105462 G T G EBF1_EBF_1 -17 0 - 0 0 . chr1 44236950 44236951 chr1:44236951:C:T rs10890294 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 44244420 44244421 chr1:44244421:C:T rs11578647 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 44328408 44328409 chr1:44328409:T:A rs161718 T A A EBF1_EBF_1 29 0 - 0 0 . chr1 44405654 44405655 chr1:44405655:C:A rs369433130 C A C EBF1_EBF_1 -10 0 - 0 0 . chr1 44406364 44406365 chr1:44406365:G:A rs192747905 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 44417810 44417811 chr1:44417811:G:A rs11210995 G A G EBF1_EBF_1 -17 0 - 0 0 . chr1 44418300 44418301 chr1:44418301:G:T rs74526926 G T G EBF1_EBF_1 -15 0 + 0 0 . chr1 44418590 44418591 chr1:44418591:C:A rs56270137 C A C EBF1_EBF_1 31 0 + 0 0 . chr1 44505277 44505278 chr1:44505278:G:T rs72688084 G T G EBF1_EBF_1 8 1 + 5.599276919233758 -1.2921558697434372 AACCCCAAGGAAAC chr1 44505278 44505279 chr1:44505279:G:T rs72688085 G T G EBF1_EBF_1 9 1 + 5.599276919233758 -1.237712488855052 AACCCCAAGGAAAC chr1 44513292 44513293 chr1:44513293:G:A rs72895565 G A G EBF1_EBF_1 17 0 - 0 0 . chr1 44523774 44523775 chr1:44523775:A:G rs272533 A G A EBF1_EBF_1 20 0 + 0 0 . chr1 44536598 44536599 chr1:44536599:A:C rs76539137 A C A EBF1_EBF_1 -14 0 - 0 0 . chr1 44536604 44536605 chr1:44536605:G:C rs270752 G C G EBF1_EBF_1 -20 0 - 0 0 . chr1 44553142 44553143 chr1:44553143:A:G rs172018 A G G EBF1_EBF_1 -19 0 - 0 0 . chr1 44559605 44559606 chr1:44559606:C:T rs34916501 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 44561044 44561045 chr1:44561045:A:G rs12077259 A G G EBF1_EBF_1 2 1 - 5.676996082437222 3.7881726709950727 ATTCCCAGGAGTCC chr1 44598400 44598401 chr1:44598401:T:C rs144904689 T C T EBF1_EBF_1 9 1 - 4.463515200284814 7.118454465732417 ACTCCCAGGAGCCC chr1 44614388 44614389 chr1:44614389:C:T rs415707 C T C EBF1_EBF_1 -1 0 + 0 0 . chr1 44631840 44631841 chr1:44631841:G:T rs115545367 G T G EBF1_EBF_1 12 1 - 4.819641055988205 4.849822454409531 CCTCCCCGGGGTCC chr1 44631845 44631846 chr1:44631846:C:T rs1031089560 C T C EBF1_EBF_1 7 1 - 4.819641055988205 6.132099461277029 CCTCCCCGGGGTCC chr1 44654395 44654396 chr1:44654396:A:G rs75614327 A G G EBF1_EBF_1 -4 0 + 0 0 . chr1 44671110 44671111 chr1:44671111:G:A rs6429544 G A G EBF1_EBF_1 -1 0 + 0 0 . chr1 44676403 44676404 chr1:44676404:A:T rs72671982 A T A EBF1_EBF_1 -12 0 + 0 0 . chr1 44727037 44727038 chr1:44727038:G:C rs72674003 G C G EBF1_EBF_1 5 1 - 6.997968113280666 0.09308696064549687 CTCCCCCTGGGAGA chr1 44727084 44727085 chr1:44727085:T:C rs11801990 T C T EBF1_EBF_1 -11 0 - 0 0 . chr1 44731171 44731172 chr1:44731172:T:G rs1367023189 T G T EBF1_EBF_1 32 0 - 0 0 . chr1 44731172 44731173 chr1:44731173:G:A rs78490966 G A g EBF1_EBF_1 31 0 - 0 0 . chr1 44731176 44731177 chr1:44731177:G:A rs140182164 G A T EBF1_EBF_1 27 0 - 0 0 . chr1 44731179 44731180 chr1:44731180:T:C rs60586993 T C t EBF1_EBF_1 24 0 - 0 0 . chr1 44731193 44731194 chr1:44731194:C:T rs565171930 C T C EBF1_EBF_1 10 1 - 4.905343980874548 -0.4126844783924175 GTCCCCCGAGGACT chr1 44731217 44731218 chr1:44731218:T:G rs115792494 T G T EBF1_EBF_1 -14 0 - 0 0 . chr1 44731218 44731219 chr1:44731219:T:C rs186730098 T C T EBF1_EBF_1 -15 0 - 0 0 . chr1 44783196 44783197 chr1:44783197:T:C rs12094028 T C C EBF1_EBF_1 -1 0 - 0 0 . chr1 44792468 44792469 chr1:44792469:A:C rs12057238 A C A EBF1_EBF_1 23 0 + 0 0 . chr1 44793294 44793295 chr1:44793295:G:T rs12402781 G T G EBF1_EBF_1 20 0 - 0 0 . chr1 44820289 44820290 chr1:44820290:C:T rs547050695 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 44822727 44822728 chr1:44822728:A:G chr1:44822728:A:G A G A EBF1_EBF_1 -13 0 - 0 0 . chr1 44926490 44926491 chr1:44926491:T:C rs79801743 T C T EBF1_EBF_1 6 1 - 8.264292631485217 6.097498200210743 TTTCCCATGAGACA chr1 44946109 44946110 chr1:44946110:G:A rs72885265 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 44986887 44986888 chr1:44986888:G:C rs492515 G C G EBF1_EBF_1 -9 0 - 0 0 . chr1 45003482 45003483 chr1:45003483:C:T rs11548990 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 45003503 45003504 chr1:45003504:A:T rs12749251 A T A EBF1_EBF_1 7 1 + 5.1493878167737925 4.6731135766862355 CCTCCCAAGGGCTC chr1 45010863 45010864 chr1:45010864:G:A rs751547897 G A G EBF1_EBF_1 -7 0 - 0 0 . chr1 45180144 45180145 chr1:45180145:G:A rs1226732 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 45205926 45205927 chr1:45205927:G:A rs188223265 G A G EBF1_EBF_1 1 1 - 3.379957665133576 4.275391105532321 ACCCCCCGGGGCCG chr1 45265810 45265811 chr1:45265811:C:T rs573500056 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 45304461 45304462 chr1:45304462:C:A rs1004149834 C A C EBF1_EBF_1 1 1 + 7.131086976919757 7.060159799567673 TCTCCCTCGGGAGC chr1 45304471 45304472 chr1:45304472:A:G rs59517508 A G A EBF1_EBF_1 11 1 + 7.131086976919757 4.238380133315746 TCTCCCTCGGGAGC chr1 45344987 45344988 chr1:45344988:C:G rs958060494 C G C EBF1_EBF_1 -12 0 - 0 0 . chr1 45513992 45513993 chr1:45513993:C:T rs1855621 C T c EBF1_EBF_1 28 0 - 0 0 . chr1 45517407 45517408 chr1:45517408:C:T rs11211129 C T c EBF1_EBF_1 5 1 + 6.069925559189548 0.7481383436488784 TGTCCCAGGAGATC chr1 45524843 45524844 chr1:45524844:T:C rs11211132 T C C EBF1_EBF_1 -16 0 + 0 0 . chr1 45529558 45529559 chr1:45529559:T:A rs4660870 T A t EBF1_EBF_1 -7 0 - 0 0 . chr1 45529559 45529560 chr1:45529560:C:A rs4660871 C A c EBF1_EBF_1 -8 0 - 0 0 . chr1 45536685 45536686 chr1:45536686:C:G rs3014224 C G C EBF1_EBF_1 21 0 + 0 0 . chr1 45569926 45569927 chr1:45569927:C:T rs145543542 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 45750893 45750894 chr1:45750894:A:T rs369626769 A T a EBF1_EBF_1 11 1 + 5.87289049833739 1.7749762422386646 CTTCCCCAAGGATC chr1 45750902 45750903 chr1:45750903:T:C rs6429576 T C c EBF1_EBF_1 20 0 + 0 0 . chr1 45750907 45750908 chr1:45750908:T:A rs115086662 T A t EBF1_EBF_1 25 0 + 0 0 . chr1 45797641 45797642 chr1:45797642:T:C rs1018973705 T C T EBF1_EBF_1 11 1 - 7.225653702966314 4.332946859362303 ACTTCCTAGGGACT chr1 45876664 45876665 chr1:45876665:A:G rs6682683 A G A EBF1_EBF_1 19 0 + 0 0 . chr1 45923243 45923244 chr1:45923244:C:T rs4072835 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 46008229 46008230 chr1:46008230:A:T rs3922886 A T A EBF1_EBF_1 2 1 - 7.504147274576066 3.8196796869771887 CATCCCCAGAGACC chr1 46019875 46019876 chr1:46019876:G:T rs946529 G T G EBF1_EBF_1 12 1 - 5.273099283634265 5.303280682055591 ATCCCCTCAGGACC chr1 46019889 46019890 chr1:46019890:C:T rs946528 C T T EBF1_EBF_1 -2 0 - 0 0 . chr1 46044030 46044031 chr1:46044031:C:T rs77992526 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 46132268 46132269 chr1:46132269:G:C rs59919818 G C G EBF1_EBF_1 6 1 - 5.156182163691752 3.3509901002185543 TCTCCCCGGAGAGC chr1 46133470 46133471 chr1:46133471:T:A rs1355641 T A T EBF1_EBF_1 18 0 - 0 0 . chr1 46171215 46171216 chr1:46171216:C:T rs72677567 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 46173343 46173344 chr1:46173344:G:A rs55884940 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 46173565 46173566 chr1:46173566:A:T rs765377254 A T A EBF1_EBF_1 12 1 + 4.991842219167281 3.9353942501951047 AGCCACCAGGGAAC chr1 46179508 46179509 chr1:46179509:A:G rs1017450539 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 46220119 46220120 chr1:46220120:A:G rs62620990 A G A EBF1_EBF_1 21 0 + 0 0 . chr1 46239073 46239074 chr1:46239074:A:C rs76651546 A C c EBF1_EBF_1 11 1 + 8.59694413100707 4.180982433154681 GATCCCATGAGACT chr1 46326916 46326917 chr1:46326917:A:G rs112387082 A G G EBF1_EBF_1 -4 0 - 0 0 . chr1 46341990 46341991 chr1:46341991:C:T rs1347440109 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 46370281 46370282 chr1:46370282:A:G rs57638901 A G A EBF1_EBF_1 30 0 + 0 0 . chr1 46380056 46380057 chr1:46380057:T:G rs74475018 T G T EBF1_EBF_1 -4 0 - 0 0 . chr1 46410713 46410714 chr1:46410714:G:T rs370626122 G T G EBF1_EBF_1 1 1 - 4.207430668811794 4.136503491459708 TCCCCCCAGGGCCC chr1 46411507 46411508 chr1:46411508:G:A rs6662982 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 46456329 46456330 chr1:46456330:G:A rs61785671 G A A EBF1_EBF_1 -17 0 - 0 0 . chr1 46461430 46461431 chr1:46461431:T:C rs942256 T C C EBF1_EBF_1 22 0 - 0 0 . chr1 46466640 46466641 chr1:46466641:G:C rs188250025 G C G EBF1_EBF_1 24 0 - 0 0 . chr1 46487689 46487690 chr1:46487690:G:A rs12402727 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 46489450 46489451 chr1:46489451:G:A rs560287157 G A G EBF1_EBF_1 4 1 - 4.506863634397057 0.13747668945213332 AGCCCCGACGGACT chr1 46511044 46511045 chr1:46511045:C:T rs12045245 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 46524753 46524754 chr1:46524754:C:T rs1267304 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 46526217 46526218 chr1:46526218:C:T rs12723072 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 46530593 46530594 chr1:46530594:C:T rs35051406 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 46547758 46547759 chr1:46547759:G:A rs61735709 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 46561216 46561217 chr1:46561217:G:A rs79028719 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 46568887 46568888 chr1:46568888:G:A rs192810989 G A G EBF1_EBF_1 2 1 - 5.1406693467579965 7.0294927582001465 AGCCCCCTGAGATG chr1 46615006 46615007 chr1:46615007:G:A rs6671527 G A A EBF1_EBF_1 30 0 - 0 0 . chr1 46623944 46623945 chr1:46623945:T:C rs6675720 T C C EBF1_EBF_1 -7 0 - 0 0 . chr1 46626863 46626864 chr1:46626864:G:T rs12563793 G T G EBF1_EBF_1 10 1 + 10.332536976967369 6.149784790740104 ACTCCCAAGGGATG chr1 46643416 46643417 chr1:46643417:A:G rs1745377 A G A EBF1_EBF_1 -3 0 - 0 0 . chr1 46745997 46745998 chr1:46745998:A:G rs635561 A G A EBF1_EBF_1 7 1 + 7.877145969965099 6.564687564676273 ATTCCTCAGGGATT chr1 46754150 46754151 chr1:46754151:C:T rs720413 C T T EBF1_EBF_1 -15 0 - 0 0 . chr1 46788842 46788843 chr1:46788843:C:T rs147473927 C T c EBF1_EBF_1 9 1 - 6.352557084606736 3.697617819159132 TGTCCCTGGGTACT chr1 46809218 46809219 chr1:46809219:G:A rs72637963 G A G EBF1_EBF_1 4 1 - 7.330174690494232 2.960787745549308 GTTCCCATGAGAGC chr1 46809317 46809318 chr1:46809318:C:T rs191832997 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 46822635 46822636 chr1:46822636:C:T rs115980731 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 46823061 46823062 chr1:46823062:T:A rs2185032 T A T EBF1_EBF_1 18 0 + 0 0 . chr1 47129231 47129232 chr1:47129232:G:A rs10890467 G A g EBF1_EBF_1 12 1 - 5.145398042766395 4.119131472215545 TCTCCCAGGGGCCC chr1 47129262 47129263 chr1:47129263:C:A rs12564103 C A C EBF1_EBF_1 -19 0 - 0 0 . chr1 47181576 47181577 chr1:47181577:C:T rs80207389 C T C EBF1_EBF_1 -15 0 + 0 0 . chr1 47181593 47181594 chr1:47181594:C:A rs116428264 C A C EBF1_EBF_1 2 1 + 5.963325746411603 4.167681570254879 AGCCCCTAGGGTTC chr1 47185821 47185822 chr1:47185822:C:A rs56386473 C A C EBF1_EBF_1 28 0 + 0 0 . chr1 47188384 47188385 chr1:47188385:C:T rs72684334 C T C EBF1_EBF_1 2 1 + 6.4986322005634625 8.387455612005613 TTCCCCAAAGGACT chr1 47188966 47188967 chr1:47188967:T:A chr1:47188967:T:A T A T EBF1_EBF_1 18 0 + 0 0 . chr1 47190102 47190103 chr1:47190103:G:A rs554819576 G A g EBF1_EBF_1 -17 0 + 0 0 . chr1 47190143 47190144 chr1:47190144:A:G rs2248907 A G G EBF1_EBF_1 24 0 + 0 0 . chr1 47216088 47216089 chr1:47216089:G:A rs6695898 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 47216106 47216107 chr1:47216107:G:T rs2758742 G T T EBF1_EBF_1 5 1 - 5.9503270053116974 -0.9545541473234704 CCTCCCCAGGGTTT chr1 47221504 47221505 chr1:47221505:A:C rs72684358 A C A EBF1_EBF_1 -20 0 - 0 0 . chr1 47241354 47241355 chr1:47241355:G:T rs12407157 G T G EBF1_EBF_1 20 0 + 0 0 . chr1 47276743 47276744 chr1:47276744:A:G rs2821097 A G G EBF1_EBF_1 15 0 + 0 0 . chr1 47409954 47409955 chr1:47409955:C:T rs4926858 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 47438270 47438271 chr1:47438271:C:T rs761533875 C T - EBF1_EBF_1 -2 0 + 0 0 . chr1 47445031 47445032 chr1:47445032:T:C rs76608673 T C T EBF1_EBF_1 7 1 - 3.688107178872352 2.375648773583527 GCTCCGCAGGGACC chr1 47445038 47445039 chr1:47445039:C:T rs7552140 C T C EBF1_EBF_1 0 1 - 3.688107178872352 5.580391048437187 GCTCCGCAGGGACC chr1 47482355 47482356 chr1:47482356:G:A rs2152821 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 47503823 47503824 chr1:47503824:G:A rs7540974 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 47536915 47536916 chr1:47536916:G:A rs188990151 G A G EBF1_EBF_1 -1 0 + 0 0 . chr1 47543068 47543069 chr1:47543069:C:T rs1345975554 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 47561891 47561892 chr1:47561892:G:A rs71645868 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 47592913 47592914 chr1:47592914:C:G rs3123797 C G G EBF1_EBF_1 22 0 - 0 0 . chr1 47593336 47593337 chr1:47593337:C:T rs139018699 C T C EBF1_EBF_1 3 1 + 5.276679885175548 -1.12871885637777 CTTCCCGAGAGAGC chr1 47624300 47624301 chr1:47624301:T:C rs77028476 T C T EBF1_EBF_1 1 1 + 6.478323205127766 5.582889764729023 ATTCCCTGGAGGAA chr1 47628028 47628029 chr1:47628029:G:C rs1318650919 G C G EBF1_EBF_1 18 0 + 0 0 . chr1 47643199 47643200 chr1:47643200:T:C rs12131380 T C C EBF1_EBF_1 26 0 - 0 0 . chr1 47704193 47704194 chr1:47704194:G:C rs4926592 G C G EBF1_EBF_1 11 1 - 7.877394128802317 9.400648983050694 ATTCCCTAGGGCGC chr1 47718354 47718355 chr1:47718355:A:G rs4926993 A G G EBF1_EBF_1 28 0 - 0 0 . chr1 47718402 47718403 chr1:47718403:T:A rs4926994 T A T EBF1_EBF_1 -20 0 - 0 0 . chr1 47720218 47720219 chr1:47720219:T:A rs72690352 T A T EBF1_EBF_1 -10 0 + 0 0 . chr1 47720225 47720226 chr1:47720226:G:A rs11211592 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 47725062 47725063 chr1:47725063:C:T rs79104664 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 47739184 47739185 chr1:47739185:C:T rs34640822 C T C EBF1_EBF_1 6 1 + 4.823906549117457 5.125192677979214 AGCCCCCAGTGAAT chr1 47782497 47782498 chr1:47782498:A:G rs3850886 A G G EBF1_EBF_1 11 1 + 6.386337315469818 3.4936304718658073 TATCCCCAGAGATA chr1 47782595 47782596 chr1:47782596:C:T rs139821820 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 47804413 47804414 chr1:47804414:T:C rs12093241 T C C EBF1_EBF_1 11 1 - 7.4360469517005 4.543340108096488 GGCCCCCAGGGAAG chr1 47816323 47816324 chr1:47816324:C:T rs10890502 C T T EBF1_EBF_1 -20 0 + 0 0 . chr1 47828253 47828254 chr1:47828254:C:T rs12084724 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 47829334 47829335 chr1:47829335:T:C rs10890505 T C C EBF1_EBF_1 23 0 + 0 0 . chr1 47842442 47842443 chr1:47842443:C:A rs75037887 C A C EBF1_EBF_1 14 0 - 0 0 . chr1 47852133 47852134 chr1:47852134:A:G rs2105253 A G A EBF1_EBF_1 25 0 - 0 0 . chr1 47859784 47859785 chr1:47859785:C:T rs76746149 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 47884001 47884002 chr1:47884002:G:A rs149645982 G A G EBF1_EBF_1 13 1 + 7.131255160236393 8.245580732305832 ACCCCCAGGGGATG chr1 47895153 47895154 chr1:47895154:G:A rs1497098 G A G EBF1_EBF_1 14 0 + 0 0 . chr1 47895423 47895424 chr1:47895424:G:T rs1497097 G T G EBF1_EBF_1 -1 0 + 0 0 . chr1 47996658 47996659 chr1:47996659:G:A rs550594081 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 48046977 48046978 chr1:48046978:G:A rs6690390 G A C EBF1_EBF_1 -9 0 - 0 0 . chr1 48051666 48051667 chr1:48051667:G:A rs371294662 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 48051677 48051678 chr1:48051678:G:A rs7514118 G A G EBF1_EBF_1 7 1 - 6.678932947299333 8.496437693581957 CTTCCCACGGGATG chr1 48052740 48052741 chr1:48052741:G:T rs116705299 G T G EBF1_EBF_1 20 0 - 0 0 . chr1 48062569 48062570 chr1:48062570:C:T rs302681 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 48070269 48070270 chr1:48070270:A:T rs79272956 A T A EBF1_EBF_1 32 0 - 0 0 . chr1 48182623 48182624 chr1:48182624:C:A rs1361008 C A C EBF1_EBF_1 25 0 + 0 0 . chr1 48291269 48291270 chr1:48291270:G:T rs1027118522 G T G EBF1_EBF_1 -20 0 + 0 0 . chr1 48311754 48311755 chr1:48311755:C:T rs141486752 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 48526100 48526101 chr1:48526101:G:A rs117031974 G A G EBF1_EBF_1 30 0 - 0 0 . chr1 48526126 48526127 chr1:48526127:G:C rs61406126 G C G EBF1_EBF_1 4 1 - 6.9960719944227305 1.3703068932731872 ATTCCCCTGAGTCT chr1 48610765 48610766 chr1:48610766:A:C rs320020 A C A EBF1_EBF_1 -15 0 - 0 0 . chr1 48618361 48618362 chr1:48618362:C:T rs79298622 C T C EBF1_EBF_1 6 1 + 5.275160551467973 5.57644668032973 CATCCCCGGGGCCT chr1 48688568 48688569 chr1:48688569:C:A rs997945525 C A C EBF1_EBF_1 0 1 - 5.536400005886882 5.455627452485692 GGTCCCTAGAGGCC chr1 48822733 48822734 chr1:48822734:C:T rs7533353 C T C EBF1_EBF_1 -13 0 - 0 0 . chr1 48872827 48872828 chr1:48872828:C:T rs4565762 C T T EBF1_EBF_1 -8 0 + 0 0 . chr1 48934275 48934276 chr1:48934276:T:G rs11205573 T G G EBF1_EBF_1 -2 0 + 0 0 . chr1 48941361 48941362 chr1:48941362:C:T rs6588154 C T T EBF1_EBF_1 -13 0 - 0 0 . chr1 49596941 49596942 chr1:49596942:A:C rs72686717 A C A EBF1_EBF_1 17 0 - 0 0 . chr1 50184026 50184027 chr1:50184027:C:T rs3009113 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 50263307 50263308 chr1:50263308:T:C rs3935057 T C C EBF1_EBF_1 21 0 - 0 0 . chr1 50386774 50386775 chr1:50386775:A:G rs2784134 A G g EBF1_EBF_1 23 0 - 0 0 . chr1 50398348 50398349 chr1:50398349:A:G rs72896969 A G A EBF1_EBF_1 12 1 + 8.46498566992047 7.046168327820992 AATCCCAGGAGAAA chr1 50416773 50416774 chr1:50416774:C:T rs185058410 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 50425962 50425963 chr1:50425963:C:A rs139024910 C A C EBF1_EBF_1 -5 0 + 0 0 . chr1 50969977 50969978 chr1:50969978:C:T rs3176453 C T C EBF1_EBF_1 12 1 + 6.59169633745496 5.56542976690411 AGTCCCTTGAGTCT chr1 51074984 51074985 chr1:51074985:T:C rs17106403 T C T EBF1_EBF_1 18 0 + 0 0 . chr1 51324021 51324022 chr1:51324022:T:G rs72906173 T G G EBF1_EBF_1 18 0 - 0 0 . chr1 51327344 51327345 chr1:51327345:T:C rs61782065 T C T EBF1_EBF_1 -4 0 - 0 0 . chr1 51416215 51416216 chr1:51416216:C:T rs78536715 C T C EBF1_EBF_1 -3 0 - 0 0 . chr1 51520762 51520763 chr1:51520763:T:C rs77574876 T C T EBF1_EBF_1 -9 0 + 0 0 . chr1 51730059 51730060 chr1:51730060:T:C chr1:51730060:T:C T C T EBF1_EBF_1 11 1 + 7.264671194254021 6.946623752500357 AGTCCCCGGGGTCC chr1 51986808 51986809 chr1:51986809:G:T rs55680595 G T G EBF1_EBF_1 11 1 + 7.047735957269482 5.842528544774767 GCCCCCAAGGGGAT chr1 52168929 52168930 chr1:52168930:C:A rs1925360 C A c EBF1_EBF_1 -3 0 + 0 0 . chr1 52552870 52552871 chr1:52552871:C:T rs567376501 C T C EBF1_EBF_1 -16 0 + 0 0 . chr1 52552887 52552888 chr1:52552888:C:T rs567612008 C T C EBF1_EBF_1 1 1 + 4.421203745894516 5.316637186293262 ACCCCCTCGGTACC chr1 52552896 52552897 chr1:52552897:T:C rs142141533 T C T EBF1_EBF_1 10 1 + 4.421203745894516 1.7131535447923054 ACCCCCTCGGTACC chr1 52590514 52590515 chr1:52590515:G:A rs12138419 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 52665188 52665189 chr1:52665189:T:G rs189280995 T G T EBF1_EBF_1 -10 0 + 0 0 . chr1 52679102 52679103 chr1:52679103:T:C rs567022447 T C T EBF1_EBF_1 6 1 - 7.2013234714322065 5.034529040157731 ACTCCCATGAGATG chr1 52679120 52679121 chr1:52679121:C:T rs166561 C T T EBF1_EBF_1 -12 0 - 0 0 . chr1 52717840 52717841 chr1:52717841:T:C rs416968 T C t EBF1_EBF_1 14 0 + 0 0 . chr1 52905131 52905132 chr1:52905132:G:C rs571291357 G C G EBF1_EBF_1 -13 0 + 0 0 . chr1 53062744 53062745 chr1:53062745:C:T rs76877055 C T C EBF1_EBF_1 1 1 + 4.774256017167183 5.669689457565928 GCCCCCTAGCGACT chr1 53071723 53071724 chr1:53071724:G:A rs34107528 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 53089007 53089008 chr1:53089008:T:C rs3816744 T C T EBF1_EBF_1 -20 0 - 0 0 . chr1 53112554 53112555 chr1:53112555:C:A rs114684774 C A C EBF1_EBF_1 7 1 + 4.904617374891908 7.198396361262087 ATCCCCACGGGGGA chr1 53121823 53121824 chr1:53121824:T:G rs896025996 T G T EBF1_EBF_1 7 1 + 4.886138970745132 4.049954805543865 AGTCACCTGGGACG chr1 53134405 53134406 chr1:53134406:A:G rs3737989 A G A EBF1_EBF_1 6 1 - 6.433674286353443 6.132388157491687 GTTCCCTGGAGAGC chr1 53168372 53168373 chr1:53168373:A:G rs2404213 A G A EBF1_EBF_1 -16 0 - 0 0 . chr1 53220575 53220576 chr1:53220576:G:A rs758694656 G A G EBF1_EBF_1 8 1 + 4.910388787438508 0.6840986985522465 ACCCCCATGGTAGC chr1 53220589 53220590 chr1:53220590:C:A rs773175591 C A C EBF1_EBF_1 22 0 + 0 0 . chr1 53220592 53220593 chr1:53220593:G:A rs201250319 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 53241156 53241157 chr1:53241157:C:T rs6677126 C T T EBF1_EBF_1 16 0 - 0 0 . chr1 53247054 53247055 chr1:53247055:C:T rs5174 C T C EBF1_EBF_1 10 1 - 4.892384802668236 -0.4256436565987294 TCTCCCCAGCGAGT chr1 53290792 53290793 chr1:53290793:A:G rs1288507 A G A EBF1_EBF_1 -18 0 - 0 0 . chr1 53295471 53295472 chr1:53295472:A:G chr1:53295472:A:G A G A EBF1_EBF_1 11 1 + 5.297682709659284 2.4049758660552722 CTTGCCCAGGGACC chr1 53303977 53303978 chr1:53303978:C:T rs759632049 C T C EBF1_EBF_1 3 1 + 5.672245875225207 -0.733152866328109 ACTCCCAGGGAAGT chr1 53321687 53321688 chr1:53321688:C:T rs872315 C T c EBF1_EBF_1 -6 0 - 0 0 . chr1 53329296 53329297 chr1:53329297:A:G rs58572866 A G A EBF1_EBF_1 11 1 + 6.478485945029682 3.5857791014256706 GATCCCCAGAGAGC chr1 53333347 53333348 chr1:53333348:G:A rs76029118 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 53341667 53341668 chr1:53341668:T:C rs2095409 T C C EBF1_EBF_1 30 0 - 0 0 . chr1 53343243 53343244 chr1:53343244:C:A rs17108355 C A C EBF1_EBF_1 -17 0 - 0 0 . chr1 53346845 53346846 chr1:53346846:A:G rs373472328 A G A EBF1_EBF_1 6 1 - 8.221700775623836 7.920414646762078 ATCCCCTAGGGCCT chr1 53360670 53360671 chr1:53360671:C:T rs4926596 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 53367400 53367401 chr1:53367401:C:G rs41294764 C G C EBF1_EBF_1 -2 0 - 0 0 . chr1 53369452 53369453 chr1:53369453:T:C rs4926985 T C T EBF1_EBF_1 11 1 - 5.348375485904311 2.4556686423002994 AACCCCAGAGGACC chr1 53370963 53370964 chr1:53370964:C:T rs148806083 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 53379971 53379972 chr1:53379972:G:A rs187233169 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 53398346 53398347 chr1:53398347:G:A rs17371030 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 53408771 53408772 chr1:53408772:G:A rs72675151 G A g EBF1_EBF_1 -6 0 - 0 0 . chr1 53408784 53408785 chr1:53408785:G:A rs113400778 G A g EBF1_EBF_1 -19 0 - 0 0 . chr1 53410117 53410118 chr1:53410118:C:G rs950548995 C G C EBF1_EBF_1 -19 0 - 0 0 . chr1 53418784 53418785 chr1:53418785:C:T rs1776421 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 53443313 53443314 chr1:53443314:G:C chr1:53443314:G:C G C G EBF1_EBF_1 18 0 - 0 0 . chr1 53450403 53450404 chr1:53450404:G:A rs55881430 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 53467407 53467408 chr1:53467408:A:T rs140087854 A T A EBF1_EBF_1 -4 0 - 0 0 . chr1 53471503 53471504 chr1:53471504:A:G rs1296438 A G G EBF1_EBF_1 -16 0 - 0 0 . chr1 53485693 53485694 chr1:53485694:G:C rs572023431 G C G EBF1_EBF_1 28 0 - 0 0 . chr1 53498667 53498668 chr1:53498668:G:A rs12035007 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 53500831 53500832 chr1:53500832:G:A rs75569259 G A G EBF1_EBF_1 13 1 + 5.37581552025991 6.490141092329349 TTCCCCCAGAGACG chr1 53501780 53501781 chr1:53501781:T:C rs12727041 T C C EBF1_EBF_1 -16 0 + 0 0 . chr1 53531279 53531280 chr1:53531280:T:C rs540345 T C C EBF1_EBF_1 -2 0 - 0 0 . chr1 53552675 53552676 chr1:53552676:A:G rs554957091 A G A EBF1_EBF_1 -7 0 + 0 0 . chr1 53568600 53568601 chr1:53568601:G:A rs6686640 G A G EBF1_EBF_1 8 1 + 7.205326266942156 2.9790361780558947 AATCCCCAGGTATC chr1 53574431 53574432 chr1:53574432:C:G rs56208323 C G C EBF1_EBF_1 -17 0 + 0 0 . chr1 53574444 53574445 chr1:53574445:G:A rs3006896 G A G EBF1_EBF_1 -4 0 + 0 0 . chr1 53575884 53575885 chr1:53575885:G:A rs4926603 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 53577215 53577216 chr1:53577216:C:T rs3108391 C T T EBF1_EBF_1 1 1 + 7.227467705938635 8.12290114633738 ACCCCCCTGGGGCC chr1 53584662 53584663 chr1:53584663:A:T rs188922687 A T A EBF1_EBF_1 6 1 + 9.41592852297352 9.355612284034002 TCTCCCAAGGGAAG chr1 53588944 53588945 chr1:53588945:T:A rs6588482 T A T EBF1_EBF_1 -15 0 + 0 0 . chr1 53593590 53593591 chr1:53593591:T:C rs3013756 T C C EBF1_EBF_1 -1 0 - 0 0 . chr1 53596105 53596106 chr1:53596106:G:A rs6588483 G A G EBF1_EBF_1 27 0 + 0 0 . chr1 53600338 53600339 chr1:53600339:A:G rs12727337 A G G EBF1_EBF_1 26 0 - 0 0 . chr1 53600364 53600365 chr1:53600365:C:T rs12036606 C T C EBF1_EBF_1 0 1 - 8.648448298892909 10.54073216845774 GTACCCCAGGGACT chr1 53603600 53603601 chr1:53603601:C:T rs1122277 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 53615132 53615133 chr1:53615133:T:C rs10888796 T C T EBF1_EBF_1 22 0 + 0 0 . chr1 53641352 53641353 chr1:53641353:C:G rs4927021 C G C EBF1_EBF_1 19 0 - 0 0 . chr1 53712034 53712035 chr1:53712035:C:G rs1780391 C G C EBF1_EBF_1 -17 0 - 0 0 . chr1 53739225 53739226 chr1:53739226:G:A rs536305351 G A G EBF1_EBF_1 4 1 - 8.317321353596617 3.9479344086516925 GTCCCCCGGGGAAC chr1 53739320 53739321 chr1:53739321:C:A rs185611717 C A C EBF1_EBF_1 -3 0 + 0 0 . chr1 53739348 53739349 chr1:53739349:C:T rs545195233 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 53946178 53946179 chr1:53946179:G:C rs905110031 G C g EBF1_EBF_1 7 1 + 4.712868497613034 3.7315479165316763 TATCCCCGGGGCCC chr1 54121960 54121961 chr1:54121961:G:T rs12094458 G T G EBF1_EBF_1 21 0 - 0 0 . chr1 54124728 54124729 chr1:54124729:G:C rs112691857 G C . EBF1_EBF_1 17 0 + 0 0 . chr1 54124742 54124743 chr1:54124743:C:T rs34263089 C T . EBF1_EBF_1 31 0 + 0 0 . chr1 54125648 54125649 chr1:54125649:G:A rs612368 G A . EBF1_EBF_1 -19 0 - 0 0 . chr1 54141506 54141507 chr1:54141507:A:T rs3766461 A T A EBF1_EBF_1 19 0 + 0 0 . chr1 54153730 54153731 chr1:54153731:C:T rs7519887 C T c EBF1_EBF_1 23 0 - 0 0 . chr1 54156212 54156213 chr1:54156213:A:T rs585136 A T T EBF1_EBF_1 2 1 - 5.0421968014508245 1.3577292138519483 GGTCCCAGGGGCCA chr1 54160008 54160009 chr1:54160009:T:C rs10888835 T C C EBF1_EBF_1 25 0 + 0 0 . chr1 54220180 54220181 chr1:54220181:C:T rs34673371 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 54220181 54220182 chr1:54220182:G:A rs33988698 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 54223113 54223114 chr1:54223114:C:G rs10788974 C G G EBF1_EBF_1 -11 0 - 0 0 . chr1 54260597 54260598 chr1:54260598:C:G rs1890567 C G C EBF1_EBF_1 8 1 - 5.218820495504691 -0.09983836540999569 GTCCCCCAGGGGCG chr1 54265481 54265482 chr1:54265482:C:G rs3753414 C G C EBF1_EBF_1 -14 0 - 0 0 . chr1 54273717 54273718 chr1:54273718:G:C rs112965446 G C G EBF1_EBF_1 -14 0 + 0 0 . chr1 54289934 54289935 chr1:54289935:C:T rs1412984 C T C EBF1_EBF_1 1 1 - 5.3198027304245485 5.059103898503247 GGTCACAAGGGACC chr1 54304503 54304504 chr1:54304504:A:G rs59344756 A G A EBF1_EBF_1 21 0 + 0 0 . chr1 54333078 54333079 chr1:54333079:C:T rs10788979 C T C EBF1_EBF_1 9 1 - 4.452928848734985 1.7979895832873816 GGTCCCTGCGGACC chr1 54357565 54357566 chr1:54357566:C:G rs6657948 C G G EBF1_EBF_1 5 1 + 4.7164665690879355 -2.1884145835472335 ATCCACAGGGGACC chr1 54363900 54363901 chr1:54363901:G:A rs12038987 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 54374981 54374982 chr1:54374982:G:A rs55914154 G A A EBF1_EBF_1 -20 0 - 0 0 . chr1 54409479 54409480 chr1:54409480:T:G rs894442767 T G T EBF1_EBF_1 -13 0 + 0 0 . chr1 54413432 54413433 chr1:54413433:A:C rs41294806 A C A EBF1_EBF_1 -16 0 - 0 0 . chr1 54442372 54442373 chr1:54442373:C:T rs144131355 C T C EBF1_EBF_1 4 1 + 7.016588819160081 2.6472018742151557 CCCCCCAGGGGACA chr1 54450203 54450204 chr1:54450204:T:C rs72897652 T C T EBF1_EBF_1 29 0 + 0 0 . chr1 54451682 54451683 chr1:54451683:C:T rs4328027 C T T EBF1_EBF_1 29 0 - 0 0 . chr1 54451704 54451705 chr1:54451705:T:C rs4584342 T C T EBF1_EBF_1 7 1 - 6.058312175618571 4.745853770329747 GTCCCCCAGGGCAT chr1 54459871 54459872 chr1:54459872:G:T rs761897746 G T G EBF1_EBF_1 23 0 - 0 0 . chr1 54459894 54459895 chr1:54459895:G:T rs74073682 G T G EBF1_EBF_1 0 1 - 6.2220503995630265 8.477308883259628 CACCCCCAGGGGCT chr1 54462819 54462820 chr1:54462820:G:A rs7550485 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 54463271 54463272 chr1:54463272:T:C rs4926648 T C C EBF1_EBF_1 15 0 + 0 0 . chr1 54490649 54490650 chr1:54490650:C:T rs6698850 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 54494552 54494553 chr1:54494553:G:C rs149322710 G C G EBF1_EBF_1 -15 0 + 0 0 . chr1 54495567 54495568 chr1:54495568:A:G rs1078904 A G A EBF1_EBF_1 12 1 - 7.643998232188946 8.670264802739796 ATCCCCTGGAGATT chr1 54498517 54498518 chr1:54498518:G:A rs72899641 G A A EBF1_EBF_1 9 1 + 9.207812845952054 6.55287358050445 ACCCCCCAGGGAGC chr1 54542332 54542333 chr1:54542333:C:T rs943235388 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 54542351 54542352 chr1:54542352:C:T chr1:54542352:C:T C T C EBF1_EBF_1 5 1 + 4.4433905657577615 -0.8783966497829088 CCTCCCCGCGGACT chr1 54565004 54565005 chr1:54565005:T:C rs78078784 T C c EBF1_EBF_1 23 0 - 0 0 . chr1 54575393 54575394 chr1:54575394:G:T rs140326102 G T g EBF1_EBF_1 21 0 - 0 0 . chr1 54583258 54583259 chr1:54583259:C:T rs139470991 C T c EBF1_EBF_1 -5 0 - 0 0 . chr1 54583268 54583269 chr1:54583269:G:C rs12408715 G C g EBF1_EBF_1 -15 0 - 0 0 . chr1 54594098 54594099 chr1:54594099:G:A rs79023440 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 54602006 54602007 chr1:54602007:C:G rs1040815280 C G C EBF1_EBF_1 -6 0 - 0 0 . chr1 54609667 54609668 chr1:54609668:G:A rs41297137 G A G EBF1_EBF_1 -5 0 + 0 0 . chr1 54612196 54612197 chr1:54612197:T:C rs1702013 T C T EBF1_EBF_1 11 1 + 5.767631549034474 5.44958410728081 AGCCCCAGGGGTAC chr1 54619451 54619452 chr1:54619452:A:G rs61770425 A G G EBF1_EBF_1 -10 0 + 0 0 . chr1 54619467 54619468 chr1:54619468:A:G rs17395160 A G A EBF1_EBF_1 6 1 + 9.099429951127746 6.932635519853271 AGTCCCAGGAGAAC chr1 54620029 54620030 chr1:54620030:G:T rs11583256 G T G EBF1_EBF_1 14 0 + 0 0 . chr1 54623397 54623398 chr1:54623398:C:T rs17110842 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 54635243 54635244 chr1:54635244:A:C rs11206401 A C A EBF1_EBF_1 6 1 + 6.966963403868293 6.605361036067016 TCCCCCAAGGGGAT chr1 54653615 54653616 chr1:54653616:G:C rs9332417 G C G EBF1_EBF_1 -14 0 + 0 0 . chr1 54668813 54668814 chr1:54668814:G:A rs478513 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 54681593 54681594 chr1:54681594:T:G rs78268449 T G T EBF1_EBF_1 30 0 + 0 0 . chr1 54710441 54710442 chr1:54710442:G:A rs41306631 G A G EBF1_EBF_1 10 1 + 6.335740010636135 1.017711551369169 CTTCCCCAGGGCCA chr1 54711636 54711637 chr1:54711637:C:T rs1749860 C T c EBF1_EBF_1 24 0 + 0 0 . chr1 54737116 54737117 chr1:54737117:G:A rs7543886 G A G EBF1_EBF_1 -1 0 + 0 0 . chr1 54742352 54742353 chr1:54742353:C:T rs149294525 C T C EBF1_EBF_1 7 1 + 7.435721850694642 9.253226596977266 ATCCCCCCGGGAGA chr1 54810517 54810518 chr1:54810518:C:T rs150640436 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 54810582 54810583 chr1:54810583:G:C rs999152582 G C G EBF1_EBF_1 -1 0 + 0 0 . chr1 54810596 54810597 chr1:54810597:G:A rs139708049 G A G EBF1_EBF_1 13 1 + 5.221414438566696 6.335740010636135 CTTCCCCAGGGCCG chr1 54815117 54815118 chr1:54815118:G:A rs17575315 G A G EBF1_EBF_1 8 1 + 6.549416644869612 2.32312655598335 TGTCCCCAGGTAAC chr1 54829299 54829300 chr1:54829300:A:G rs675899 A G G EBF1_EBF_1 23 0 - 0 0 . chr1 54829329 54829330 chr1:54829330:A:G rs613062 A G A EBF1_EBF_1 -7 0 - 0 0 . chr1 54843105 54843106 chr1:54843106:C:T rs111477022 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 54861565 54861566 chr1:54861566:C:T rs181990904 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 54977185 54977186 chr1:54977186:C:T rs2433671 C T T EBF1_EBF_1 -14 0 + 0 0 . chr1 54980699 54980700 chr1:54980700:C:A rs2253476 C A - EBF1_EBF_1 -17 0 + 0 0 . chr1 54984189 54984190 chr1:54984190:A:G rs1035818 A G A EBF1_EBF_1 32 0 + 0 0 . chr1 54991086 54991087 chr1:54991087:C:T rs2249468 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 54995410 54995411 chr1:54995411:G:A rs2500334 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 55000629 55000630 chr1:55000630:C:T rs55637835 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 55014687 55014688 chr1:55014688:G:A rs2149040 G A G EBF1_EBF_1 9 1 + 7.296046965175224 4.64110769972762 ACTCTCCTGGGAAA chr1 55053557 55053558 chr1:55053558:A:T rs45545732 A T A EBF1_EBF_1 -18 0 + 0 0 . chr1 55053564 55053565 chr1:55053565:C:T rs521662 C T T EBF1_EBF_1 -11 0 + 0 0 . chr1 55057359 55057360 chr1:55057360:A:G rs509504 A G G EBF1_EBF_1 24 0 - 0 0 . chr1 55057368 55057369 chr1:55057369:G:A rs767809932 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 55172401 55172402 chr1:55172402:G:A rs1165222 G A A EBF1_EBF_1 15 0 + 0 0 . chr1 55180332 55180333 chr1:55180333:C:T rs1165238 C T C EBF1_EBF_1 -3 0 - 0 0 . chr1 55240037 55240038 chr1:55240038:A:G rs7514620 A G G EBF1_EBF_1 21 0 + 0 0 . chr1 55240042 55240043 chr1:55240043:A:G rs7514621 A G G EBF1_EBF_1 26 0 + 0 0 . chr1 55249738 55249739 chr1:55249739:C:T rs11206538 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 55338096 55338097 chr1:55338097:A:G rs207159 A G G EBF1_EBF_1 -12 0 - 0 0 . chr1 55338125 55338126 chr1:55338126:C:A rs75506525 C A C EBF1_EBF_1 -5 0 - 0 0 . chr1 55348982 55348983 chr1:55348983:C:T rs207132 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 55468790 55468791 chr1:55468791:G:A rs12564923 G A G EBF1_EBF_1 19 0 - 0 0 . chr1 55511784 55511785 chr1:55511785:A:G rs4926688 A G A EBF1_EBF_1 18 0 - 0 0 . chr1 55524030 55524031 chr1:55524031:A:G rs1078876 A G G EBF1_EBF_1 12 1 - 10.332536976967369 11.358803547518217 ACTCCCAAGGGATG chr1 55524758 55524759 chr1:55524759:G:A rs754138 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 55589774 55589775 chr1:55589775:G:C rs1546245 G C G EBF1_EBF_1 9 1 + 6.311929373112974 1.2362402267675117 CCTCCCAAGGGTTT chr1 55589789 55589790 chr1:55589790:A:C rs17416725 A C A EBF1_EBF_1 24 0 + 0 0 . chr1 55622435 55622436 chr1:55622436:G:A rs11583916 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 55641095 55641096 chr1:55641096:C:G rs549365120 C G C EBF1_EBF_1 18 0 - 0 0 . chr1 55826399 55826400 chr1:55826400:C:A rs6659096 C A A EBF1_EBF_1 22 0 + 0 0 . chr1 55935447 55935448 chr1:55935448:T:C rs11206687 T C T EBF1_EBF_1 33 0 - 0 0 . chr1 55938691 55938692 chr1:55938692:A:C rs9787007 A C C EBF1_EBF_1 -1 0 - 0 0 . chr1 55938797 55938798 chr1:55938798:G:A rs9787275 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 55938813 55938814 chr1:55938814:C:G rs9787274 C G C EBF1_EBF_1 -3 0 + 0 0 . chr1 55948814 55948815 chr1:55948815:T:C rs72906401 T C T EBF1_EBF_1 -2 0 + 0 0 . chr1 56010032 56010033 chr1:56010033:G:C rs77407470 G C G EBF1_EBF_1 5 1 - 8.21620767715216 1.311326524516991 CTCCCCCTGGGAGT chr1 56161137 56161138 chr1:56161138:C:T rs74072836 C T C EBF1_EBF_1 8 1 - 5.817094824634996 1.590804735748734 CATCCCCCGGGGCT chr1 56302843 56302844 chr1:56302844:G:A rs12141482 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 56390016 56390017 chr1:56390017:G:A rs60328132 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 56390103 56390104 chr1:56390104:C:T rs72662235 C T C EBF1_EBF_1 13 1 + 8.057752202944688 8.902246317530206 CTTCCCTTGGGGCC chr1 56390116 56390117 chr1:56390117:T:C rs59198689 T C C EBF1_EBF_1 26 0 + 0 0 . chr1 56404766 56404767 chr1:56404767:A:G rs1048689267 A G A EBF1_EBF_1 13 1 + 9.461618957425896 8.347293385356458 AGCCCCAGGGGACA chr1 56407851 56407852 chr1:56407852:G:A rs3005922 G A A EBF1_EBF_1 18 0 + 0 0 . chr1 56413695 56413696 chr1:56413696:G:A rs56875077 G A A EBF1_EBF_1 9 1 + 5.396616258249832 2.7416769928022284 CTTCCCCTAGGATC chr1 56443877 56443878 chr1:56443878:G:A rs6694114 G A A EBF1_EBF_1 10 1 + 5.825159494044768 0.5071310347778024 GTTCCCGTAGGACT chr1 56445014 56445015 chr1:56445015:C:A rs2896948 C A C EBF1_EBF_1 1 1 + 4.617236503812862 4.5463093264607775 GCTCCCACGAGAGC chr1 56476227 56476228 chr1:56476228:C:A rs11206823 C A C EBF1_EBF_1 27 0 - 0 0 . chr1 56507621 56507622 chr1:56507622:C:T rs7549894 C T C EBF1_EBF_1 1 1 - 8.635186864480925 8.374488032559622 AGTCCCTGGAGACA chr1 56532220 56532221 chr1:56532221:C:T rs10888977 C T C EBF1_EBF_1 23 0 + 0 0 . chr1 56555271 56555272 chr1:56555272:C:T rs17407790 C T C EBF1_EBF_1 12 1 + 10.657482867071192 9.631216296520343 CTTCCCCGGGGACT chr1 56557867 56557868 chr1:56557868:C:T rs17114100 C T c EBF1_EBF_1 11 1 + 5.5579878088222054 5.876035250575869 AGTCCCCGGGGCGC chr1 56570683 56570684 chr1:56570684:C:A rs12566304 C A C EBF1_EBF_1 26 0 - 0 0 . chr1 56579253 56579254 chr1:56579254:A:G rs143555908 A G A EBF1_EBF_1 15 0 - 0 0 . chr1 56579260 56579261 chr1:56579261:A:G rs61772628 A G A EBF1_EBF_1 8 1 - 4.602234243295267 6.175008171357775 CTTCCCCTTGGACT chr1 56590701 56590702 chr1:56590702:G:A rs75418060 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 56816394 56816395 chr1:56816395:T:C rs6670596 T C T EBF1_EBF_1 7 1 + 5.1574047616544565 3.3399000153718323 ACTCACATGGGAGC chr1 56834595 56834596 chr1:56834596:G:C rs72670306 G C G EBF1_EBF_1 -5 0 + 0 0 . chr1 56897785 56897786 chr1:56897786:G:A rs17114531 G A A EBF1_EBF_1 -11 0 - 0 0 . chr1 56897866 56897867 chr1:56897867:G:T rs2145404 G T G EBF1_EBF_1 -17 0 + 0 0 . chr1 56911498 56911499 chr1:56911499:T:C rs638919 T C T EBF1_EBF_1 -20 0 + 0 0 . chr1 56931408 56931409 chr1:56931409:C:T rs684782 C T T EBF1_EBF_1 8 1 - 9.143703459722857 4.917413370836597 GCTCCCCCGGGACT chr1 56968397 56968398 chr1:56968398:G:T rs376799044 G T t EBF1_EBF_1 -16 0 - 0 0 . chr1 57217963 57217964 chr1:57217964:A:G rs12060287 A G A EBF1_EBF_1 6 1 + 5.4827698437464 3.3159754124719263 GGTCCCAAGGAAAA chr1 57242874 57242875 chr1:57242875:C:T rs197627 C T T EBF1_EBF_1 -4 0 + 0 0 . chr1 57254062 57254063 chr1:57254063:C:T rs666868 C T C EBF1_EBF_1 4 1 + 6.665506951561024 2.2961200066161007 AGTGCCCAGGGATT chr1 57267522 57267523 chr1:57267523:G:A rs1811699 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 57312872 57312873 chr1:57312873:A:G rs56300568 A G A EBF1_EBF_1 7 1 - 4.649789775695112 2.832285029412488 GTCCCCCTGGAACT chr1 57474184 57474185 chr1:57474185:T:C rs17115854 T C T EBF1_EBF_1 -19 0 + 0 0 . chr1 57697696 57697697 chr1:57697697:G:T rs3126020 G T T EBF1_EBF_1 4 1 - 5.732759825850024 -0.0917997976034437 ATTCCCAGGGTATG chr1 57724517 57724518 chr1:57724518:C:T rs182547432 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 57766812 57766813 chr1:57766813:G:A rs1323825 G A A EBF1_EBF_1 -3 0 - 0 0 . chr1 57832796 57832797 chr1:57832797:T:G rs58478797 T G T EBF1_EBF_1 21 0 - 0 0 . chr1 58060709 58060710 chr1:58060710:T:G rs17116782 T G T EBF1_EBF_1 -8 0 + 0 0 . chr1 58060743 58060744 chr1:58060744:G:A rs10443245 G A A EBF1_EBF_1 26 0 + 0 0 . chr1 58060748 58060749 chr1:58060749:T:C rs10443246 T C C EBF1_EBF_1 31 0 + 0 0 . chr1 58223759 58223760 chr1:58223760:G:A rs602226 G A A EBF1_EBF_1 19 0 + 0 0 . chr1 58249809 58249810 chr1:58249810:C:T rs1253967199 C T C EBF1_EBF_1 10 1 - 6.289224942679863 0.971196483412897 CGACCCCTGGGAAC chr1 58396337 58396338 chr1:58396338:T:C rs338926 T C C EBF1_EBF_1 -15 0 + 0 0 . chr1 58447981 58447982 chr1:58447982:C:T rs9783065 C T T EBF1_EBF_1 7 1 + 6.431838418532779 8.249343164815404 ATTCCCCCGGGGGA chr1 58447987 58447988 chr1:58447988:A:T rs9782942 A T T EBF1_EBF_1 13 1 + 6.431838418532779 7.650077982404273 ATTCCCCCGGGGGA chr1 58466516 58466517 chr1:58466517:C:T rs17117547 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 58528507 58528508 chr1:58528508:C:T rs61781815 C T C EBF1_EBF_1 6 1 + 4.856465966233217 5.157752095094975 GTCCTCCAGGGACA chr1 58548660 58548661 chr1:58548661:G:T rs7547036 G T G EBF1_EBF_1 12 1 + 8.05773054296056 8.42009991608786 TTCCCCTAGGGAGA chr1 58551664 58551665 chr1:58551665:T:G rs116049161 T G T EBF1_EBF_1 6 1 - 8.22796540146081 7.866363033659533 TTTCCCAAGGGCAT chr1 58551924 58551925 chr1:58551925:G:T rs593279 G T T EBF1_EBF_1 33 0 + 0 0 . chr1 58572054 58572055 chr1:58572055:C:T rs78438843 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 58584256 58584257 chr1:58584257:G:A rs757603139 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 58584260 58584261 chr1:58584261:G:C rs6663327 G C G EBF1_EBF_1 -8 0 + 0 0 . chr1 58649631 58649632 chr1:58649632:C:G rs10749713 C G C EBF1_EBF_1 28 0 - 0 0 . chr1 58649988 58649989 chr1:58649989:A:G rs10889107 A G G EBF1_EBF_1 11 1 + 8.356131986835418 5.463425143231407 AAACCCCAGGGACA chr1 58664991 58664992 chr1:58664992:G:A rs232789 G A A EBF1_EBF_1 5 1 - 7.175012836366595 1.853225620825926 ATTCCCCTGAGGTT chr1 58734361 58734362 chr1:58734362:C:T rs12118682 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 58748752 58748753 chr1:58748753:C:T rs12127952 C T T EBF1_EBF_1 -4 0 + 0 0 . chr1 58933284 58933285 chr1:58933285:C:A rs77797159 C A C EBF1_EBF_1 11 1 + 7.35990739515065 11.775869093003038 TTTCCCCTGGGCCT chr1 59042189 59042190 chr1:59042190:G:A rs151311288 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 59057284 59057285 chr1:59057285:G:A rs76671901 G A G EBF1_EBF_1 14 0 + 0 0 . chr1 59057405 59057406 chr1:59057406:C:A rs12066467 C A C EBF1_EBF_1 8 1 - 4.964032330149831 -1.9274004588273639 CCTCCCCTGGGCCA chr1 59057421 59057422 chr1:59057422:G:A rs114166538 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 59057431 59057432 chr1:59057432:G:T rs920847605 G T G EBF1_EBF_1 -18 0 - 0 0 . chr1 59070984 59070985 chr1:59070985:G:A rs187440453 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 59106141 59106142 chr1:59106142:C:T rs3010328 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 59112684 59112685 chr1:59112685:T:G rs7527296 T G T EBF1_EBF_1 -19 0 - 0 0 . chr1 59138695 59138696 chr1:59138696:A:G rs59781439 A G A EBF1_EBF_1 -15 0 + 0 0 . chr1 59139622 59139623 chr1:59139623:T:C rs115734041 T C T EBF1_EBF_1 16 0 + 0 0 . chr1 59151123 59151124 chr1:59151124:G:A rs148552410 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 59151169 59151170 chr1:59151170:G:C rs75653448 G C G EBF1_EBF_1 -14 0 - 0 0 . chr1 59215051 59215052 chr1:59215052:C:T rs142625543 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 59249985 59249986 chr1:59249986:T:C rs77498493 T C T EBF1_EBF_1 -8 0 + 0 0 . chr1 59261478 59261479 chr1:59261479:G:T rs12746457 G T G EBF1_EBF_1 31 0 - 0 0 . chr1 59279230 59279231 chr1:59279231:G:A rs12568622 G A G EBF1_EBF_1 3 1 - 5.651757982118217 -0.7536407594350993 ATTCCCCTGAGCTT chr1 59296585 59296586 chr1:59296586:G:A rs747111672 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 59319789 59319790 chr1:59319790:C:T rs566430433 C T c EBF1_EBF_1 20 0 - 0 0 . chr1 59416036 59416037 chr1:59416037:T:G rs76546697 T G T EBF1_EBF_1 29 0 + 0 0 . chr1 59431903 59431904 chr1:59431904:G:T rs12062938 G T G EBF1_EBF_1 -15 0 - 0 0 . chr1 59485349 59485350 chr1:59485350:T:C rs6693119 T C C EBF1_EBF_1 6 1 - 5.882132866979129 3.7153384357046537 AATCCCACAGGACA chr1 59511436 59511437 chr1:59511437:T:C rs10889142 T C T EBF1_EBF_1 16 0 - 0 0 . chr1 59511464 59511465 chr1:59511465:C:T rs10889143 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 59543453 59543454 chr1:59543454:G:T rs11207471 G T T EBF1_EBF_1 -19 0 + 0 0 . chr1 59566486 59566487 chr1:59566487:G:A rs12069711 G A G EBF1_EBF_1 1 1 + 6.83686109039962 6.576162258478319 AGCCCCAGGAGAAA chr1 59574186 59574187 chr1:59574187:G:A rs58295112 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 59665215 59665216 chr1:59665216:A:G rs114200258 A G A EBF1_EBF_1 6 1 - 8.641196925963644 8.339910797101888 ACCCCCTTGAGAAT chr1 59892296 59892297 chr1:59892297:A:G rs10789082 A G A EBF1_EBF_1 28 0 - 0 0 . chr1 59972377 59972378 chr1:59972378:T:G chr1:59972378:T:G T G T EBF1_EBF_1 31 0 + 0 0 . chr1 60041071 60041072 chr1:60041072:G:A rs10493277 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 60042122 60042123 chr1:60042123:G:C rs72924992 G C G EBF1_EBF_1 -6 0 - 0 0 . chr1 60132241 60132242 chr1:60132242:A:G rs645024 A G A EBF1_EBF_1 18 0 - 0 0 . chr1 60145701 60145702 chr1:60145702:G:T rs12738944 G T G EBF1_EBF_1 -4 0 + 0 0 . chr1 60281806 60281807 chr1:60281807:C:T rs184375861 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 60297069 60297070 chr1:60297070:G:A rs12125103 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 60455414 60455415 chr1:60455415:A:G rs6668539 A G G EBF1_EBF_1 31 0 + 0 0 . chr1 60463328 60463329 chr1:60463329:T:C rs34796594 T C T EBF1_EBF_1 7 1 - 5.80269447720879 4.4902360719199645 GGTCACCAGGGACT chr1 60699463 60699464 chr1:60699464:G:C rs74479676 G C G EBF1_EBF_1 6 1 - 9.032549049419528 7.227356985946329 AATCCCCAAGGACT chr1 60912064 60912065 chr1:60912065:G:A rs111425534 G A G EBF1_EBF_1 19 0 - 0 0 . chr1 60912254 60912255 chr1:60912255:G:A rs11207682 G A G EBF1_EBF_1 0 1 - 6.553839352464424 6.836041413195002 CGCCCCAGGGGATC chr1 60912271 60912272 chr1:60912272:A:G rs150917562 A G A EBF1_EBF_1 -17 0 - 0 0 . chr1 60920829 60920830 chr1:60920830:G:A rs6673313 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 61050688 61050689 chr1:61050689:T:C rs963988468 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 61172272 61172273 chr1:61172273:G:C rs334722 G C G EBF1_EBF_1 -5 0 + 0 0 . chr1 61183256 61183257 chr1:61183257:C:T rs10889210 C T T EBF1_EBF_1 8 1 - 6.095848026692831 1.8695579378065696 CATCCCTAGGTATT chr1 61341577 61341578 chr1:61341578:A:G rs34155705 A G G EBF1_EBF_1 6 1 - 5.939421294461497 5.638135165599741 GATCCCTGGGGCCT chr1 61392893 61392894 chr1:61392894:C:T rs2499506 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 61435169 61435170 chr1:61435170:C:T rs527889120 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 61441945 61441946 chr1:61441946:G:T rs565705479 G T G EBF1_EBF_1 25 0 - 0 0 . chr1 61481365 61481366 chr1:61481366:A:C rs112842888 A C C EBF1_EBF_1 18 0 - 0 0 . chr1 61511483 61511484 chr1:61511484:A:G rs2485768 A G G EBF1_EBF_1 2 1 - 9.815522489159019 7.92669907771687 CATCCCCAGGGAAA chr1 61568972 61568973 chr1:61568973:G:A rs1435440080 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 61568990 61568991 chr1:61568991:C:G rs17122427 C G G EBF1_EBF_1 -2 0 - 0 0 . chr1 61603326 61603327 chr1:61603327:G:A rs7519218 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 61742664 61742665 chr1:61742665:G:A rs11577014 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 61864969 61864970 chr1:61864970:A:G rs79123846 A G A EBF1_EBF_1 -2 0 - 0 0 . chr1 61887547 61887548 chr1:61887548:T:C rs11207857 T C C EBF1_EBF_1 12 1 - 7.932813739799742 6.513996397700264 ACTCCCTGGGGCAT chr1 61887568 61887569 chr1:61887569:G:A rs75540128 G A G EBF1_EBF_1 -9 0 - 0 0 . chr1 61888178 61888179 chr1:61888179:A:C rs76500971 A C A EBF1_EBF_1 -6 0 - 0 0 . chr1 61916870 61916871 chr1:61916871:G:A rs66606678 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 61992407 61992408 chr1:61992408:A:G rs1819471 A G - EBF1_EBF_1 -7 0 + 0 0 . chr1 61993585 61993586 chr1:61993586:T:C rs2095091 T C C EBF1_EBF_1 22 0 - 0 0 . chr1 62068552 62068553 chr1:62068553:G:A rs12070254 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 62152254 62152255 chr1:62152255:C:T rs2481675 C T T EBF1_EBF_1 -13 0 + 0 0 . chr1 62178574 62178575 chr1:62178575:T:G rs2481701 T G T EBF1_EBF_1 -7 0 - 0 0 . chr1 62218774 62218775 chr1:62218775:T:C rs140625664 T C C EBF1_EBF_1 -6 0 + 0 0 . chr1 62230154 62230155 chr1:62230155:C:T rs17123217 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 62275079 62275080 chr1:62275080:G:A rs17123318 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 62308235 62308236 chr1:62308236:C:T rs11207957 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 62308951 62308952 chr1:62308952:C:T rs34846637 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 62310153 62310154 chr1:62310154:G:C rs116747469 G C G EBF1_EBF_1 6 1 - 4.770469143758498 2.9652770802853006 CCTGCCCTGGGACT chr1 62337360 62337361 chr1:62337361:A:G rs6699436 A G G EBF1_EBF_1 7 1 + 6.617942071366713 5.305483666077887 TTTCCCCAGGGCCA chr1 62397166 62397167 chr1:62397167:C:T rs74614744 C T C EBF1_EBF_1 6 1 + 4.370760484082435 4.672046612944193 CTTCCCCGGGGCTC chr1 62412686 62412687 chr1:62412687:C:T rs143353853 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 62908245 62908246 chr1:62908246:A:G rs12047682 A G A EBF1_EBF_1 -18 0 - 0 0 . chr1 62963316 62963317 chr1:62963317:C:T rs146447840 C T C EBF1_EBF_1 1 1 - 5.371015078358699 5.110316246437398 CGTCCCAGGGGTCC chr1 63009633 63009634 chr1:63009634:A:C rs56189959 A C A EBF1_EBF_1 -7 0 + 0 0 . chr1 63030141 63030142 chr1:63030142:C:T rs1330220 C T c EBF1_EBF_1 -6 0 - 0 0 . chr1 63042628 63042629 chr1:63042629:A:G rs1532526 A G G EBF1_EBF_1 2 1 - 6.0471545631435 4.158331151701351 CATCCCAAGGAACT chr1 63082747 63082748 chr1:63082748:C:G rs10493333 C G G EBF1_EBF_1 -18 0 - 0 0 . chr1 63276744 63276745 chr1:63276745:G:A rs182217728 G A G EBF1_EBF_1 4 1 - 7.131086976919757 2.7617000319748333 TCTCCCTCGGGAGC chr1 63286562 63286563 chr1:63286563:G:C rs74604589 G C G EBF1_EBF_1 23 0 + 0 0 . chr1 63329143 63329144 chr1:63329144:A:G rs72676118 A G A EBF1_EBF_1 -6 0 - 0 0 . chr1 63635891 63635892 chr1:63635892:C:T chr1:63635892:C:T C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 63644143 63644144 chr1:63644144:G:C rs750634578 G C G EBF1_EBF_1 30 0 + 0 0 . chr1 63661796 63661797 chr1:63661797:C:G rs2749097 C G C EBF1_EBF_1 25 0 + 0 0 . chr1 63663408 63663409 chr1:63663409:C:T rs72922666 C T C EBF1_EBF_1 5 1 + 6.211414911290907 0.8896276957502379 ACTGCCCTGGGAAC chr1 63683841 63683842 chr1:63683842:G:A rs6675618 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 63696027 63696028 chr1:63696028:C:T rs17125348 C T T EBF1_EBF_1 1 1 - 5.586918185032901 5.326219353111599 AGCTCCAAGGGACT chr1 63746261 63746262 chr1:63746262:C:T rs1463939 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 63824552 63824553 chr1:63824553:A:G rs115012097 A G A EBF1_EBF_1 -13 0 - 0 0 . chr1 63904134 63904135 chr1:63904135:T:G rs855828 T G G EBF1_EBF_1 16 0 - 0 0 . chr1 63921247 63921248 chr1:63921248:C:T rs855813 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 63951582 63951583 chr1:63951583:G:A rs115301371 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 64186911 64186912 chr1:64186912:A:G rs60903999 A G A EBF1_EBF_1 -1 0 + 0 0 . chr1 64186920 64186921 chr1:64186921:C:T rs12745200 C T C EBF1_EBF_1 8 1 + 7.53483321465563 5.962059286593122 ACTCCCCTCGGACT chr1 64264056 64264057 chr1:64264057:T:C rs11208394 T C T EBF1_EBF_1 27 0 + 0 0 . chr1 64273622 64273623 chr1:64273623:T:A rs705552 T A A EBF1_EBF_1 9 1 - 5.955403295094609 1.773353152453402 CTTCCCAAGAGAGG chr1 64357620 64357621 chr1:64357621:C:G rs61209637 C G G EBF1_EBF_1 15 0 + 0 0 . chr1 64470291 64470292 chr1:64470292:T:C rs675735 T C C EBF1_EBF_1 1 1 + 6.920281908881663 6.024848468482919 CTTCCCCGGGGGCC chr1 64499463 64499464 chr1:64499464:A:T chr1:64499464:A:T A T A EBF1_EBF_1 21 0 + 0 0 . chr1 64502923 64502924 chr1:64502924:G:T rs114161833 G T G EBF1_EBF_1 24 0 - 0 0 . chr1 64505287 64505288 chr1:64505288:T:C rs190735564 T C t EBF1_EBF_1 -12 0 - 0 0 . chr1 64635181 64635182 chr1:64635182:T:C rs751666 T C T EBF1_EBF_1 13 1 - 12.831972080959321 11.717646508889882 ATTCCCTTGGGACA chr1 64686925 64686926 chr1:64686926:G:A rs140432309 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 64744740 64744741 chr1:64744741:G:A rs150834193 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 64745474 64745475 chr1:64745475:C:A rs116551043 C A C EBF1_EBF_1 -14 0 - 0 0 . chr1 64848792 64848793 chr1:64848793:A:G rs310221 A G g EBF1_EBF_1 16 0 - 0 0 . chr1 64875318 64875319 chr1:64875319:G:A rs310230 G A A EBF1_EBF_1 29 0 - 0 0 . chr1 64892949 64892950 chr1:64892950:T:C rs17127135 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 64918009 64918010 chr1:64918010:G:A rs80262130 G A G EBF1_EBF_1 3 1 - 5.529303405638281 -0.8760953359150362 GCTCCCAGGAGAAG chr1 64948782 64948783 chr1:64948783:C:G rs11208554 C G T EBF1_EBF_1 12 1 + 5.198243185236685 3.809607241558534 TCTCCCACAGGACT chr1 64965361 64965362 chr1:64965362:G:A chr1:64965362:G:A G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 64965672 64965673 chr1:64965673:A:T rs116835856 A T A EBF1_EBF_1 22 0 + 0 0 . chr1 64969461 64969462 chr1:64969462:A:G rs78259470 A G A EBF1_EBF_1 26 0 - 0 0 . chr1 64982546 64982547 chr1:64982547:G:C rs77157179 G C G EBF1_EBF_1 17 0 - 0 0 . chr1 65050371 65050372 chr1:65050372:G:C rs6588109 G C G EBF1_EBF_1 13 1 - 5.403979160482827 3.9159081391274118 ATTCCTCAGAGACC chr1 65103188 65103189 chr1:65103189:G:A rs12049171 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 65148948 65148949 chr1:65148949:C:A chr1:65148949:C:A C A C EBF1_EBF_1 21 0 + 0 0 . chr1 65260832 65260833 chr1:65260833:G:A rs724685 G A G EBF1_EBF_1 4 1 - 7.836749745165107 3.467362800220184 ACTCCCTTGGAACT chr1 65526035 65526036 chr1:65526036:C:G rs149095037 C G C EBF1_EBF_1 8 1 - 5.2101493982557665 -0.1085094626589193 CGCCCCACGGGAGC chr1 65554340 65554341 chr1:65554341:C:A rs75326085 C A C EBF1_EBF_1 24 0 + 0 0 . chr1 65652135 65652136 chr1:65652136:G:T rs1938493 G T G EBF1_EBF_1 -4 0 + 0 0 . chr1 65652145 65652146 chr1:65652146:A:C rs1938492 A C C EBF1_EBF_1 6 1 + 6.7090078186544595 6.347405450853181 ACTCCCATGGAAAA chr1 65770185 65770186 chr1:65770186:G:A rs11208740 G A A EBF1_EBF_1 7 1 + 10.728141169145468 12.040599574434292 AATCCCCGGGGACA chr1 65792598 65792599 chr1:65792599:G:A rs74085349 G A G EBF1_EBF_1 14 0 + 0 0 . chr1 65955293 65955294 chr1:65955294:A:G rs79782282 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 66068256 66068257 chr1:66068257:C:T rs72918293 C T c EBF1_EBF_1 -6 0 + 0 0 . chr1 66080205 66080206 chr1:66080206:T:C rs7548408 T C T EBF1_EBF_1 1 1 + 5.14293720821944 4.247503767820696 ATTCCCAAGTGAGA chr1 66085097 66085098 chr1:66085098:C:G rs115691431 C G C EBF1_EBF_1 31 0 - 0 0 . chr1 66242942 66242943 chr1:66242943:A:G rs114835727 A G A EBF1_EBF_1 28 0 + 0 0 . chr1 66250740 66250741 chr1:66250741:A:C rs1423857353 A C A EBF1_EBF_1 -13 0 - 0 0 . chr1 66288271 66288272 chr1:66288272:C:T rs571146 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 66288272 66288273 chr1:66288273:G:A rs149350655 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 66337586 66337587 chr1:66337587:G:A rs489150 G A A EBF1_EBF_1 -19 0 - 0 0 . chr1 66395372 66395373 chr1:66395373:A:G rs12138854 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 66402401 66402402 chr1:66402402:C:T rs767004200 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 66532800 66532801 chr1:66532801:C:T rs114446161 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 66693974 66693975 chr1:66693975:G:A rs11208949 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 66876898 66876899 chr1:66876899:T:C rs6699606 T C T EBF1_EBF_1 -6 0 + 0 0 . chr1 66925641 66925642 chr1:66925642:G:C rs11208972 G C g EBF1_EBF_1 16 0 - 0 0 . chr1 66925661 66925662 chr1:66925662:G:C chr1:66925662:G:C G C g EBF1_EBF_1 -4 0 - 0 0 . chr1 67054085 67054086 chr1:67054086:A:G rs138763359 A G A EBF1_EBF_1 2 1 - 10.810133671875862 8.921310260433714 AGTCCCCTGGGAGC chr1 67256532 67256533 chr1:67256533:C:A rs10889675 C A C EBF1_EBF_1 17 0 - 0 0 . chr1 67393864 67393865 chr1:67393865:G:A rs12133473 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 67496438 67496439 chr1:67496439:G:A rs10889697 G A G EBF1_EBF_1 4 1 - 7.92669907771687 3.5573121327719464 CACCCCCAGGGAAA chr1 67555889 67555890 chr1:67555890:G:C rs6588277 G C G EBF1_EBF_1 -12 0 + 0 0 . chr1 67555920 67555921 chr1:67555921:C:G rs7517741 C G G EBF1_EBF_1 19 0 + 0 0 . chr1 67571497 67571498 chr1:67571498:C:T rs77194218 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 67580590 67580591 chr1:67580591:C:T rs9919329 C T c EBF1_EBF_1 27 0 - 0 0 . chr1 67580625 67580626 chr1:67580626:C:T rs787533 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 67588039 67588040 chr1:67588040:T:C rs2050840 T C T EBF1_EBF_1 11 1 - 6.106246888081109 3.2135400444770967 ACTCCCAAAGGATG chr1 67590067 67590068 chr1:67590068:A:G rs373390204 A G A EBF1_EBF_1 25 0 - 0 0 . chr1 67632959 67632960 chr1:67632960:G:A rs191685297 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 67642987 67642988 chr1:67642988:G:T rs139315808 G T G EBF1_EBF_1 -5 0 - 0 0 . chr1 67646779 67646780 chr1:67646780:C:T rs76905660 C T C EBF1_EBF_1 1 1 - 4.811787877001914 4.5510890450806105 AGTCCCCAGTGATC chr1 67686296 67686297 chr1:67686297:T:G rs2759219 T G G EBF1_EBF_1 21 0 + 0 0 . chr1 67709408 67709409 chr1:67709409:C:T rs2198 C T C EBF1_EBF_1 10 1 - 8.397435307941404 3.079406848674437 ATTGCCCAGGGACT chr1 67732468 67732469 chr1:67732469:G:A rs3766265 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 67749740 67749741 chr1:67749741:C:T rs3766270 C T C EBF1_EBF_1 10 1 - 4.985402350313068 -0.3326261089538971 ATCCCACTGGGAAT chr1 67825718 67825719 chr1:67825719:A:G rs2246783 A G A EBF1_EBF_1 13 1 + 6.132133292924639 5.0178077208552 TGTCCCCAAGGAAA chr1 67837249 67837250 chr1:67837250:C:A rs10736410 C A A EBF1_EBF_1 31 0 + 0 0 . chr1 67843622 67843623 chr1:67843623:C:T rs114929910 C T C EBF1_EBF_1 12 1 + 8.718810634172382 7.692544063621534 GTTCCCATGAGACC chr1 67940362 67940363 chr1:67940363:A:C rs546419999 A C A EBF1_EBF_1 30 0 + 0 0 . chr1 68102229 68102230 chr1:68102230:G:A rs183922889 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 68115401 68115402 chr1:68115402:G:T rs12134024 G T T EBF1_EBF_1 -5 0 + 0 0 . chr1 68117741 68117742 chr1:68117742:C:T rs2566763 C T T EBF1_EBF_1 7 1 - 4.806995581537249 6.119453986826074 GCTCCCCGGGAACT chr1 68134396 68134397 chr1:68134397:G:A rs61510890 G A G EBF1_EBF_1 7 1 - 4.644923155959789 6.462427902242412 GCACCCCCGGGAAC chr1 68188592 68188593 chr1:68188593:T:C rs1430741 T C T EBF1_EBF_1 30 0 - 0 0 . chr1 68293242 68293243 chr1:68293243:G:A rs1230352126 G A G EBF1_EBF_1 4 1 - 6.228453087994103 1.8590661430491788 TGTCCCCAGGAACT chr1 68438671 68438672 chr1:68438672:G:T rs3118420 G T T EBF1_EBF_1 9 1 + 11.225876762539746 4.3888873544509375 TTTCCCCAGGGATT chr1 68443284 68443285 chr1:68443285:G:A chr1:68443285:G:A G A G EBF1_EBF_1 25 0 + 0 0 . chr1 68496658 68496659 chr1:68496659:G:T chr1:68496659:G:T G T G EBF1_EBF_1 8 1 + 5.283917280141052 -1.6075155088361426 GCCCCCACGGGACG chr1 68496664 68496665 chr1:68496665:C:T rs975285509 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 68558370 68558371 chr1:68558371:C:A rs11209322 C A C EBF1_EBF_1 18 0 + 0 0 . chr1 68576713 68576714 chr1:68576714:A:C rs79111179 A C A EBF1_EBF_1 -19 0 + 0 0 . chr1 68884892 68884893 chr1:68884893:A:C rs72680466 A C C EBF1_EBF_1 -3 0 + 0 0 . chr1 68939519 68939520 chr1:68939520:T:C rs190556859 T C T EBF1_EBF_1 30 0 - 0 0 . chr1 69073760 69073761 chr1:69073761:G:T rs2420363 G T G EBF1_EBF_1 -9 0 + 0 0 . chr1 69121966 69121967 chr1:69121967:G:A rs116691768 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 69269471 69269472 chr1:69269472:A:G rs35345355 A G A EBF1_EBF_1 8 1 + 6.365325996290771 10.59161608517703 CCTCCCAAAGGACC chr1 69362836 69362837 chr1:69362837:C:T rs288939 C T T EBF1_EBF_1 26 0 + 0 0 . chr1 69533326 69533327 chr1:69533327:A:C rs12750500 A C A EBF1_EBF_1 30 0 + 0 0 . chr1 69568153 69568154 chr1:69568154:C:T rs1245070 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 69569274 69569275 chr1:69569275:G:A rs182439441 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 69631572 69631573 chr1:69631573:G:A rs6662257 G A g EBF1_EBF_1 -16 0 - 0 0 . chr1 69697870 69697871 chr1:69697871:A:G rs56703600 A G A EBF1_EBF_1 22 0 - 0 0 . chr1 69697912 69697913 chr1:69697913:C:A rs60968692 C A C EBF1_EBF_1 -20 0 - 0 0 . chr1 69710152 69710153 chr1:69710153:T:C rs1796972 T C C EBF1_EBF_1 -4 0 + 0 0 . chr1 69711829 69711830 chr1:69711830:G:A rs78259759 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 69983113 69983114 chr1:69983114:G:A rs148446400 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 70334599 70334600 chr1:70334600:C:G rs2149030 C G G EBF1_EBF_1 3 1 + 5.564555251771136 -0.2632091963440821 CCACCCTGGGGAAC chr1 70487598 70487599 chr1:70487599:T:C rs483426 T C t EBF1_EBF_1 -2 0 - 0 0 . chr1 70548919 70548920 chr1:70548920:A:T rs4330877 A T T EBF1_EBF_1 1 1 + 10.483243690275227 11.449604308026057 AACCCCTAGGGAAA chr1 70632753 70632754 chr1:70632754:T:C rs2421794 T C C EBF1_EBF_1 27 0 - 0 0 . chr1 70875266 70875267 chr1:70875267:C:T rs17131474 C T C EBF1_EBF_1 9 1 - 5.853170471087829 3.198231205640224 AAACCCCAGGGAGG chr1 71028611 71028612 chr1:71028612:T:G rs8179408 T G T EBF1_EBF_1 -11 0 + 0 0 . chr1 71053862 71053863 chr1:71053863:C:T rs60078028 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 71089957 71089958 chr1:71089958:A:G rs552911335 A G A EBF1_EBF_1 -13 0 + 0 0 . chr1 71911468 71911469 chr1:71911469:G:A rs34490182 G A G EBF1_EBF_1 13 1 - 6.26648925467767 7.110983369263188 ACTCCCTCAGGACC chr1 71918912 71918913 chr1:71918913:G:A rs1426173 G A A EBF1_EBF_1 23 0 - 0 0 . chr1 72512118 72512119 chr1:72512119:A:T rs559343882 A T A EBF1_EBF_1 -18 0 + 0 0 . chr1 72866866 72866867 chr1:72866867:G:T rs2692847 G T T EBF1_EBF_1 -16 0 + 0 0 . chr1 73473111 73473112 chr1:73473112:T:G rs78527600 T G T EBF1_EBF_1 20 0 - 0 0 . chr1 73791469 73791470 chr1:73791470:T:C rs1416075 T C t EBF1_EBF_1 -14 0 + 0 0 . chr1 73815621 73815622 chr1:73815622:G:A rs7513302 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 73948082 73948083 chr1:73948083:T:C rs59674279 T C C EBF1_EBF_1 -3 0 - 0 0 . chr1 74283199 74283200 chr1:74283200:C:T rs10493541 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 74470834 74470835 chr1:74470835:T:C rs143941686 T C T EBF1_EBF_1 -6 0 + 0 0 . chr1 74506082 74506083 chr1:74506083:G:A rs138388459 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 74673887 74673888 chr1:74673888:G:T rs541853943 G T G EBF1_EBF_1 -14 0 + 0 0 . chr1 74674012 74674013 chr1:74674013:T:C rs77491876 T C T EBF1_EBF_1 -8 0 - 0 0 . chr1 74713028 74713029 chr1:74713029:C:T rs13375787 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 75131799 75131800 chr1:75131800:C:T rs12129193 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 75189116 75189117 chr1:75189117:G:A rs115341878 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 75313521 75313522 chr1:75313522:T:A rs1505259 T A T EBF1_EBF_1 -8 0 + 0 0 . chr1 75374581 75374582 chr1:75374582:C:T rs1249805 C T T EBF1_EBF_1 14 0 + 0 0 . chr1 75616843 75616844 chr1:75616844:G:A rs75403722 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 75724022 75724023 chr1:75724023:G:C rs549932869 G C G EBF1_EBF_1 32 0 + 0 0 . chr1 75726268 75726269 chr1:75726269:C:T rs111980323 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 75892700 75892701 chr1:75892701:C:T rs5745515 C T C EBF1_EBF_1 0 1 + 7.751642612163673 8.033844672894253 CCACCCAAGGGACT chr1 76005928 76005929 chr1:76005929:C:T rs17097867 C T C EBF1_EBF_1 9 1 - 5.075045463673082 2.4201061982254783 ATTCCAAAGGGAGA chr1 76048133 76048134 chr1:76048134:G:T rs1013630 G T G EBF1_EBF_1 26 0 - 0 0 . chr1 76073568 76073569 chr1:76073569:G:A rs535261563 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 76074487 76074488 chr1:76074488:T:C rs985944273 T C T EBF1_EBF_1 -4 0 + 0 0 . chr1 76074495 76074496 chr1:76074496:C:A rs1425870579 C A C EBF1_EBF_1 4 1 + 4.242219256090225 -1.5823403673632426 CCCCCCGCGGGACC chr1 76206936 76206937 chr1:76206937:A:T rs10873869 A T A EBF1_EBF_1 -9 0 + 0 0 . chr1 76206958 76206959 chr1:76206959:C:T rs67877759 C T A EBF1_EBF_1 13 1 + 5.432994335918411 6.277488450503929 CTTCCCTTGAGGAC chr1 76381854 76381855 chr1:76381855:G:A rs12089135 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 76390558 76390559 chr1:76390559:G:A rs78356782 G A G EBF1_EBF_1 -5 0 + 0 0 . chr1 76465126 76465127 chr1:76465127:G:A rs934467549 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 76659676 76659677 chr1:76659677:A:G rs10873897 A G A EBF1_EBF_1 11 1 + 5.742553574815908 2.8498467312118967 CTTCCCTAAGGAAG chr1 76727892 76727893 chr1:76727893:A:G rs17099550 A G A EBF1_EBF_1 -12 0 + 0 0 . chr1 76799954 76799955 chr1:76799955:C:A rs4586020 C A C EBF1_EBF_1 -17 0 + 0 0 . chr1 76826268 76826269 chr1:76826269:C:A rs9727168 C A C EBF1_EBF_1 9 1 - 6.443771033819276 -0.39321837426953343 AACCCCAAGGAAAT chr1 76845345 76845346 chr1:76845346:T:C rs115016566 T C T EBF1_EBF_1 4 1 - 6.17122829230459 6.370022814608513 ATTCACTGGGGACA chr1 77018914 77018915 chr1:77018915:A:G rs10489463 A G A EBF1_EBF_1 25 0 - 0 0 . chr1 77024633 77024634 chr1:77024634:G:A rs12739214 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 77270823 77270824 chr1:77270824:C:G rs2815332 C G C EBF1_EBF_1 6 1 - 8.513064090022917 10.318256153496115 AGCCCCGGGGGACT chr1 77272513 77272514 chr1:77272514:G:C rs77566700 G C G EBF1_EBF_1 -8 0 + 0 0 . chr1 77447831 77447832 chr1:77447832:A:G rs1874817 A G G EBF1_EBF_1 -20 0 - 0 0 . chr1 77645467 77645468 chr1:77645468:A:G rs166770 A G G EBF1_EBF_1 6 1 - 5.900610219081999 5.599324090220243 GCTCCCTGGAGATC chr1 77682685 77682686 chr1:77682686:G:A rs767819878 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 77759384 77759385 chr1:77759385:C:T rs151093622 C T C EBF1_EBF_1 13 1 + 5.288059246964791 6.132553361550309 AACCCCTGAGGACC chr1 78038579 78038580 chr1:78038580:A:G rs6699769 A G A EBF1_EBF_1 -5 0 - 0 0 . chr1 78165971 78165972 chr1:78165972:C:A rs17101413 C A C EBF1_EBF_1 -16 0 - 0 0 . chr1 78225605 78225606 chr1:78225606:C:G rs1745624 C G C EBF1_EBF_1 -13 0 - 0 0 . chr1 78257648 78257649 chr1:78257649:G:A rs12118523 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 78293440 78293441 chr1:78293441:G:T rs61778176 G T G EBF1_EBF_1 18 0 - 0 0 . chr1 78318155 78318156 chr1:78318156:C:T rs1410508 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 78329472 78329473 chr1:78329473:A:G rs7537418 A G A EBF1_EBF_1 -11 0 - 0 0 . chr1 78469619 78469620 chr1:78469620:C:A rs79026741 C A A EBF1_EBF_1 -20 0 + 0 0 . chr1 78514118 78514119 chr1:78514119:G:A rs111260105 G A G EBF1_EBF_1 10 1 + 7.143397625160403 1.825369165893437 AGTCCCCAGAGAGG chr1 78536903 78536904 chr1:78536904:A:G rs12731181 A G G EBF1_EBF_1 -5 0 + 0 0 . chr1 78673358 78673359 chr1:78673359:T:C rs12070318 T C T EBF1_EBF_1 -10 0 + 0 0 . chr1 78678605 78678606 chr1:78678606:G:C rs6678067 G C G EBF1_EBF_1 30 0 - 0 0 . chr1 78678633 78678634 chr1:78678634:T:C rs11162526 T C T EBF1_EBF_1 2 1 - 5.878851999243356 2.814993896641764 ACACCCTAGGGTAT chr1 78701824 78701825 chr1:78701825:G:T rs72937628 G T G EBF1_EBF_1 -12 0 - 0 0 . chr1 79134919 79134920 chr1:79134920:G:A rs721647 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 79161509 79161510 chr1:79161510:A:G rs115714630 A G A EBF1_EBF_1 15 0 - 0 0 . chr1 79230843 79230844 chr1:79230844:A:G rs228481 A G G EBF1_EBF_1 -13 0 - 0 0 . chr1 79496943 79496944 chr1:79496944:A:C rs1389945 A C A EBF1_EBF_1 -6 0 + 0 0 . chr1 79797549 79797550 chr1:79797550:T:C rs34423963 T C T EBF1_EBF_1 24 0 - 0 0 . chr1 79856655 79856656 chr1:79856656:G:C rs12564327 G C G EBF1_EBF_1 4 1 - 9.48697054938088 3.8612054482313356 CCCCCCTAGGGACT chr1 79961143 79961144 chr1:79961144:T:C rs12143465 T C T EBF1_EBF_1 -8 0 + 0 0 . chr1 80097884 80097885 chr1:80097885:C:T rs7548641 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 80143210 80143211 chr1:80143211:G:A rs4650341 G A A EBF1_EBF_1 -2 0 + 0 0 . chr1 80638334 80638335 chr1:80638335:G:A rs12049104 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 80783725 80783726 chr1:80783726:A:G rs4617450 A G G EBF1_EBF_1 27 0 + 0 0 . chr1 80994331 80994332 chr1:80994332:G:C rs4087865 G C G EBF1_EBF_1 22 0 + 0 0 . chr1 81113049 81113050 chr1:81113050:G:A rs1195692 G A A EBF1_EBF_1 17 0 - 0 0 . chr1 81175345 81175346 chr1:81175346:G:C rs35715289 G C G EBF1_EBF_1 30 0 - 0 0 . chr1 81232508 81232509 chr1:81232509:G:A rs72949945 G A G EBF1_EBF_1 -1 0 + 0 0 . chr1 81308827 81308828 chr1:81308828:T:C rs12123474 T C T EBF1_EBF_1 6 1 - 8.54733797483004 6.380543543555563 AAACCCAAGGGAGT chr1 81452859 81452860 chr1:81452860:G:C chr1:81452860:G:C G C G EBF1_EBF_1 21 0 - 0 0 . chr1 81452885 81452886 chr1:81452886:A:T rs4650551 A T A EBF1_EBF_1 -5 0 - 0 0 . chr1 81478161 81478162 chr1:81478162:C:T rs34104697 C T c EBF1_EBF_1 -2 0 - 0 0 . chr1 81801894 81801895 chr1:81801895:G:T rs550069902 G T G EBF1_EBF_1 -1 0 - 0 0 . chr1 81802225 81802226 chr1:81802226:G:C chr1:81802226:G:C G C G EBF1_EBF_1 25 0 + 0 0 . chr1 81920512 81920513 chr1:81920513:T:C rs75018064 T C T EBF1_EBF_1 -14 0 + 0 0 . chr1 81998344 81998345 chr1:81998345:T:G rs12041960 T G T EBF1_EBF_1 19 0 + 0 0 . chr1 81998357 81998358 chr1:81998358:G:A rs149717362 G A G EBF1_EBF_1 32 0 + 0 0 . chr1 82296989 82296990 chr1:82296990:T:C rs185659192 T C T EBF1_EBF_1 -19 0 - 0 0 . chr1 82299258 82299259 chr1:82299259:T:C rs1770679 T C C EBF1_EBF_1 1 1 - 5.821816628039609 6.082515459960911 AATCCCTCAGGACA chr1 82360136 82360137 chr1:82360137:C:T rs35035254 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 82565359 82565360 chr1:82565360:C:A rs79117831 C A C EBF1_EBF_1 20 0 + 0 0 . chr1 82565372 82565373 chr1:82565373:G:A rs145003847 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 82725594 82725595 chr1:82725595:A:G rs17109004 A G A EBF1_EBF_1 30 0 - 0 0 . chr1 82983041 82983042 chr1:82983042:G:C rs10874348 G C G EBF1_EBF_1 26 0 - 0 0 . chr1 83024582 83024583 chr1:83024583:A:G rs11163639 A G G EBF1_EBF_1 30 0 - 0 0 . chr1 83086202 83086203 chr1:83086203:G:A rs323931 G A A EBF1_EBF_1 -17 0 + 0 0 . chr1 83086250 83086251 chr1:83086251:G:A rs76267327 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 83182269 83182270 chr1:83182270:G:A rs34433771 G A - EBF1_EBF_1 25 0 - 0 0 . chr1 83478466 83478467 chr1:83478467:G:A rs12118115 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 83512596 83512597 chr1:83512597:G:A rs17130656 G A G EBF1_EBF_1 4 1 - 12.269538310915793 7.900151365970872 AATCCCTTGGGAAC chr1 83593341 83593342 chr1:83593342:T:C rs11163778 T C T EBF1_EBF_1 11 1 - 8.242507094040079 5.3498002504360676 ATTCCCAAGGAATT chr1 83593644 83593645 chr1:83593645:C:T rs115405518 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 83658681 83658682 chr1:83658682:A:G rs4379690 A G G EBF1_EBF_1 22 0 + 0 0 . chr1 83708026 83708027 chr1:83708027:C:T rs78341032 C T C EBF1_EBF_1 1 1 - 5.19446177603463 4.933762944113328 AGTCACCGGGGAAA chr1 83761878 83761879 chr1:83761879:C:T rs373583815 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 83799735 83799736 chr1:83799736:C:A rs565627065 C A C EBF1_EBF_1 14 0 + 0 0 . chr1 83806965 83806966 chr1:83806966:A:G rs12735437 A G A EBF1_EBF_1 -16 0 + 0 0 . chr1 83822285 83822286 chr1:83822286:A:G rs35260485 A G A EBF1_EBF_1 -6 0 - 0 0 . chr1 83828112 83828113 chr1:83828113:G:A rs11163853 G A G EBF1_EBF_1 10 1 + 5.568247966952489 0.250219507685523 ACTCCAAAGGGACA chr1 83830177 83830178 chr1:83830178:A:G rs2179763 A G A EBF1_EBF_1 -9 0 + 0 0 . chr1 83950724 83950725 chr1:83950725:T:C rs12757632 T C T EBF1_EBF_1 27 0 - 0 0 . chr1 84077135 84077136 chr1:84077136:A:T rs189323120 A T A EBF1_EBF_1 -5 0 - 0 0 . chr1 84077534 84077535 chr1:84077535:C:T rs762287593 C T C EBF1_EBF_1 4 1 + 4.8933070526915134 0.5239201077465894 CGTGCCTAGGGACC chr1 84086276 84086277 chr1:84086277:A:G rs71652666 A G A EBF1_EBF_1 16 0 + 0 0 . chr1 84086345 84086346 chr1:84086346:G:A rs112073072 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 84298934 84298935 chr1:84298935:C:T rs72714711 C T C EBF1_EBF_1 32 0 + 0 0 . chr1 84299309 84299310 chr1:84299310:C:T rs72714713 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 84299315 84299316 chr1:84299316:C:T rs9645392 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 84363252 84363253 chr1:84363253:C:T rs59104377 C T C EBF1_EBF_1 4 1 + 6.700020752827105 2.330633807882182 AATCCCTGGAGGCT chr1 84366583 84366584 chr1:84366584:T:C rs6670075 T C C EBF1_EBF_1 1 1 - 5.974362211367865 6.235061043289167 AACCTCCAGGGATT chr1 84366591 84366592 chr1:84366592:A:G rs6667517 A G A EBF1_EBF_1 -7 0 - 0 0 . chr1 84606920 84606921 chr1:84606921:A:G rs2911604 A G G EBF1_EBF_1 2 1 - 4.672046612944193 2.7832232015020426 CTTCCCTGGGGCTC chr1 84644641 84644642 chr1:84644642:C:A rs3782 C A A EBF1_EBF_1 -11 0 + 0 0 . chr1 84674227 84674228 chr1:84674228:A:G rs7527484 A G A EBF1_EBF_1 13 1 + 6.747939683271095 5.6336141112016564 TATCCCAAGAGATA chr1 84691262 84691263 chr1:84691263:G:C rs2994447 G C G EBF1_EBF_1 20 0 - 0 0 . chr1 84698854 84698855 chr1:84698855:T:C rs192448832 T C T EBF1_EBF_1 14 0 - 0 0 . chr1 84764456 84764457 chr1:84764457:G:A rs11804153 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 84774915 84774916 chr1:84774916:A:G rs943046 A G G EBF1_EBF_1 -19 0 - 0 0 . chr1 84775648 84775649 chr1:84775649:C:T rs17115425 C T C EBF1_EBF_1 4 1 + 5.339477871027783 0.9700909260828585 AACCCCAAGAGGCC chr1 84782614 84782615 chr1:84782615:T:C rs145713654 T C G EBF1_EBF_1 -6 0 - 0 0 . chr1 84783653 84783654 chr1:84783654:C:T rs61770438 C T C EBF1_EBF_1 6 1 - 4.239364927612583 6.406159358887058 AGTCCCGGGGAACC chr1 84821188 84821189 chr1:84821189:T:C rs138228924 T C T EBF1_EBF_1 16 0 + 0 0 . chr1 84821643 84821644 chr1:84821644:T:C rs17377758 T C T EBF1_EBF_1 -6 0 - 0 0 . chr1 84824064 84824065 chr1:84824065:G:A rs9729034 G A G EBF1_EBF_1 4 1 - 5.1330455076318655 0.7636585626869411 TTCCCCCAGGGCAC chr1 84947963 84947964 chr1:84947964:G:C rs79832277 G C C EBF1_EBF_1 -9 0 - 0 0 . chr1 84948402 84948403 chr1:84948403:A:C rs78862505 A C C EBF1_EBF_1 -8 0 + 0 0 . chr1 84975109 84975110 chr1:84975110:G:A rs532185 G A A EBF1_EBF_1 1 1 - 4.072919256251079 4.968352696649824 GCTCCCCCAGGACC chr1 84975109 84975110 chr1:84975110:G:T chr1:84975110:G:T G T A EBF1_EBF_1 1 1 - 4.072919256251079 4.001992078898994 GCTCCCCCAGGACC chr1 84996685 84996686 chr1:84996686:T:C chr1:84996686:T:C T C T EBF1_EBF_1 -5 0 + 0 0 . chr1 84997225 84997226 chr1:84997226:G:C rs1837328 G C G EBF1_EBF_1 14 0 - 0 0 . chr1 84997230 84997231 chr1:84997231:C:G rs954718914 C G C EBF1_EBF_1 9 1 - 7.972479526667754 2.8967903803222894 AGTCCCCTAGGACC chr1 85061818 85061819 chr1:85061819:T:C rs112895804 T C T EBF1_EBF_1 18 0 + 0 0 . chr1 85061822 85061823 chr1:85061823:C:T rs6681402 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 85076223 85076224 chr1:85076224:A:T rs9787255 A T G EBF1_EBF_1 22 0 - 0 0 . chr1 85201228 85201229 chr1:85201229:T:C rs817458 T C C EBF1_EBF_1 21 0 - 0 0 . chr1 85203570 85203571 chr1:85203571:C:T rs12083953 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 85294915 85294916 chr1:85294916:C:T rs1005810955 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 85298044 85298045 chr1:85298045:C:G rs11161587 C G C EBF1_EBF_1 10 1 - 9.339865724150926 2.4490633368214523 AATCCCCAGGGGTT chr1 85306325 85306326 chr1:85306326:G:A rs233100 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 85320615 85320616 chr1:85320616:T:A rs233113 T A T EBF1_EBF_1 6 1 - 5.976681810059989 5.91636557112047 CATCCCATGGGTAC chr1 85330483 85330484 chr1:85330484:A:G rs146735204 A G A EBF1_EBF_1 11 1 + 7.319113067010311 4.4264062234063 CAACCCCAGGGACT chr1 85332559 85332560 chr1:85332560:G:A rs141926404 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 85339671 85339672 chr1:85339672:G:A rs233068 G A A EBF1_EBF_1 7 1 + 5.392038818750156 6.704497224038982 TTTCCCCGGAGGCT chr1 85388021 85388022 chr1:85388022:A:C rs12116463 A C A EBF1_EBF_1 -14 0 - 0 0 . chr1 85464659 85464660 chr1:85464660:G:A rs936495061 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 85603200 85603201 chr1:85603201:C:T rs12566318 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 85616527 85616528 chr1:85616528:C:T rs373469493 C T C EBF1_EBF_1 -10 0 + 0 0 . chr1 85899072 85899073 chr1:85899073:G:A rs17128468 G A G EBF1_EBF_1 12 1 - 6.253726362457233 5.227459791906383 ATTCCTGAGGGACC chr1 86061835 86061836 chr1:86061836:G:C chr1:86061836:G:C G C G EBF1_EBF_1 -17 0 + 0 0 . chr1 86062644 86062645 chr1:86062645:A:T rs11161727 A T g EBF1_EBF_1 -14 0 + 0 0 . chr1 86105463 86105464 chr1:86105464:G:A rs1395717 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 86155863 86155864 chr1:86155864:G:T rs4656132 G T G EBF1_EBF_1 30 0 - 0 0 . chr1 86155905 86155906 chr1:86155906:C:T rs117736549 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 86247837 86247838 chr1:86247838:T:G rs10873768 T G T EBF1_EBF_1 28 0 - 0 0 . chr1 86339430 86339431 chr1:86339431:C:T rs77507355 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 86578899 86578900 chr1:86578900:T:C rs772607 T C T EBF1_EBF_1 14 0 - 0 0 . chr1 86704562 86704563 chr1:86704563:G:A chr1:86704563:G:A G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 86704565 86704566 chr1:86704566:G:C rs1301499980 G C G EBF1_EBF_1 -13 0 + 0 0 . chr1 86704610 86704611 chr1:86704611:T:G rs913962276 T G T EBF1_EBF_1 32 0 + 0 0 . chr1 86705220 86705221 chr1:86705221:C:T rs531713934 C T C EBF1_EBF_1 3 1 + 7.289272250575778 0.8838735090224611 CACCCCTGGGGAAC chr1 86705223 86705224 chr1:86705224:T:C rs533207037 T C T EBF1_EBF_1 6 1 + 7.289272250575778 6.9879861217140204 CACCCCTGGGGAAC chr1 87115462 87115463 chr1:87115463:C:T rs546150633 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 87115483 87115484 chr1:87115484:G:A rs1199667 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 87122070 87122071 chr1:87122071:C:G rs116096108 C G C EBF1_EBF_1 4 1 + 5.585878810255697 -0.03988629089384792 TCCCCCTTGGGGAC chr1 87148399 87148400 chr1:87148400:T:C rs4529687 T C C EBF1_EBF_1 26 0 - 0 0 . chr1 87265866 87265867 chr1:87265867:G:A rs1929134 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 87284644 87284645 chr1:87284645:A:T rs4655951 A T T EBF1_EBF_1 24 0 - 0 0 . chr1 87334012 87334013 chr1:87334013:C:T rs182624648 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 87765914 87765915 chr1:87765915:C:T rs12038443 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 87796055 87796056 chr1:87796056:T:C rs61771789 T C T EBF1_EBF_1 19 0 - 0 0 . chr1 87806307 87806308 chr1:87806308:G:T rs143150932 G T G EBF1_EBF_1 19 0 - 0 0 . chr1 87817326 87817327 chr1:87817327:A:G rs2436981 A G A EBF1_EBF_1 17 0 - 0 0 . chr1 87878962 87878963 chr1:87878963:T:A rs6686846 T A A EBF1_EBF_1 28 0 - 0 0 . chr1 87939707 87939708 chr1:87939708:C:T rs1334338 C T T EBF1_EBF_1 -13 0 - 0 0 . chr1 87953796 87953797 chr1:87953797:T:C rs75184285 T C C EBF1_EBF_1 18 0 - 0 0 . chr1 87978393 87978394 chr1:87978394:T:C rs12143190 T C T EBF1_EBF_1 -8 0 + 0 0 . chr1 88016741 88016742 chr1:88016742:G:C rs140223306 G C G EBF1_EBF_1 -15 0 + 0 0 . chr1 88052486 88052487 chr1:88052487:T:C rs72716800 T C C EBF1_EBF_1 21 0 + 0 0 . chr1 88054421 88054422 chr1:88054422:C:T rs12561832 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 88054717 88054718 chr1:88054718:A:G rs61765502 A G A EBF1_EBF_1 16 0 - 0 0 . chr1 88433349 88433350 chr1:88433350:T:G rs72646143 T G T EBF1_EBF_1 -7 0 + 0 0 . chr1 88684040 88684041 chr1:88684041:C:T rs115244730 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 88684129 88684130 chr1:88684130:C:T rs76421803 C T C EBF1_EBF_1 12 1 - 5.611364809951507 7.030182152050984 GTTCCCTAGGGCGA chr1 88684764 88684765 chr1:88684765:C:T rs565006895 C T C EBF1_EBF_1 22 0 - 0 0 . chr1 88684771 88684772 chr1:88684772:T:C rs374055148 T C T EBF1_EBF_1 32 0 - 0 0 . chr1 88684930 88684931 chr1:88684931:C:A rs541384179 C A C EBF1_EBF_1 -1 0 - 0 0 . chr1 88926620 88926621 chr1:88926621:C:T rs149186687 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 88932009 88932010 chr1:88932010:G:T rs143694315 G T G EBF1_EBF_1 8 1 + 7.984900672998377 1.093467884021183 TTTCCCATGGTAAT chr1 88989897 88989898 chr1:88989898:G:A rs71666209 G A G EBF1_EBF_1 8 1 + 9.342855217235842 5.116565128349581 AGTCCCACGGGATA chr1 89072623 89072624 chr1:89072624:T:G rs7544868 T G G EBF1_EBF_1 -13 0 + 0 0 . chr1 89129993 89129994 chr1:89129994:G:T rs116721021 G T G EBF1_EBF_1 8 1 + 10.05272461712164 3.161291828144445 CATCCCAGGGGACT chr1 89198286 89198287 chr1:89198287:G:A rs2224875 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 89313315 89313316 chr1:89313316:C:G rs76673102 C G C EBF1_EBF_1 12 1 + 6.569541399400047 5.180905455721896 CTCCCCAAGAGACA chr1 89358641 89358642 chr1:89358642:G:C rs77599848 G C G EBF1_EBF_1 22 0 - 0 0 . chr1 89404847 89404848 chr1:89404848:T:C rs11806602 T C C EBF1_EBF_1 -4 0 - 0 0 . chr1 89525356 89525357 chr1:89525357:G:A rs72957860 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 89525437 89525438 chr1:89525438:G:A rs11583526 G A G EBF1_EBF_1 8 1 + 5.91721002284055 1.690919933954289 ACTCCCCTGAGGGT chr1 89525445 89525446 chr1:89525446:C:T rs115377099 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 89566824 89566825 chr1:89566825:T:C rs76312219 T C T EBF1_EBF_1 6 1 - 4.962095405805507 2.795300974531032 GCTCCCAAGTGACT chr1 89632083 89632084 chr1:89632084:G:C rs138641398 G C G EBF1_EBF_1 -14 0 + 0 0 . chr1 89693032 89693033 chr1:89693033:C:A rs1343778 C A C EBF1_EBF_1 13 1 + 4.513577191171204 4.139831741885228 AGCCCTAGGGGACC chr1 89697511 89697512 chr1:89697512:C:T rs113207543 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 89781346 89781347 chr1:89781347:T:C rs7525893 T C T EBF1_EBF_1 -18 0 + 0 0 . chr1 89802419 89802420 chr1:89802420:A:C rs10518432 A C A EBF1_EBF_1 30 0 - 0 0 . chr1 89964104 89964105 chr1:89964105:C:G rs78800487 C G C EBF1_EBF_1 -13 0 - 0 0 . chr1 90144001 90144002 chr1:90144002:T:C rs12045808 T C T EBF1_EBF_1 -10 0 - 0 0 . chr1 90155060 90155061 chr1:90155061:G:A rs12562898 G A G EBF1_EBF_1 7 1 - 7.495808170323811 9.313312916606435 AATCCCCCGAGAAC chr1 90220642 90220643 chr1:90220643:C:A rs10922811 C A A EBF1_EBF_1 13 1 + 4.375295028304618 4.001549579018642 ACCCCCGAGGGCCC chr1 90358219 90358220 chr1:90358220:C:T rs146892566 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 90359614 90359615 chr1:90359615:A:G rs6428586 A G G EBF1_EBF_1 6 1 - 6.094009314802423 5.792723185940666 TTTCCCTAAGGAGC chr1 90359629 90359630 chr1:90359630:T:C rs6428587 T C C EBF1_EBF_1 -9 0 - 0 0 . chr1 90724282 90724283 chr1:90724283:T:G rs192441751 T G T EBF1_EBF_1 29 0 - 0 0 . chr1 90725762 90725763 chr1:90725763:G:A rs79304199 G A g EBF1_EBF_1 -15 0 + 0 0 . chr1 90783127 90783128 chr1:90783128:A:G rs76473235 A G A EBF1_EBF_1 31 0 - 0 0 . chr1 90835741 90835742 chr1:90835742:G:A rs147163111 G A G EBF1_EBF_1 6 1 - 4.150184095543397 4.4514702244051545 CGCCCCCAGGGGCG chr1 91020693 91020694 chr1:91020694:A:G rs189166133 A G A EBF1_EBF_1 -18 0 + 0 0 . chr1 91128932 91128933 chr1:91128933:T:A rs240510 T A T EBF1_EBF_1 -16 0 + 0 0 . chr1 91170118 91170119 chr1:91170119:C:T rs6692888 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 91173718 91173719 chr1:91173719:C:G rs673660 C G C EBF1_EBF_1 -5 0 - 0 0 . chr1 91225440 91225441 chr1:91225441:G:A rs664620 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 91253580 91253581 chr1:91253581:G:C rs584910 G C G EBF1_EBF_1 -4 0 - 0 0 . chr1 91469959 91469960 chr1:91469960:T:A rs59793127 T A T EBF1_EBF_1 -13 0 + 0 0 . chr1 91477057 91477058 chr1:91477058:G:A rs72954935 G A G EBF1_EBF_1 6 1 - 6.331454700452551 6.632740829314307 AATCCCCGAGGAGT chr1 91553586 91553587 chr1:91553587:T:C rs180829106 T C T EBF1_EBF_1 -18 0 + 0 0 . chr1 91553613 91553614 chr1:91553614:G:A rs4658229 G A G EBF1_EBF_1 9 1 + 6.103656321571221 3.448717056123618 ATTGCCCCGGGACT chr1 91554717 91554718 chr1:91554718:C:T rs59574281 C T C EBF1_EBF_1 8 1 - 10.053979854955402 5.827689766069141 TCTCCCATGGGAAA chr1 91555277 91555278 chr1:91555278:G:T rs2063055 G T T EBF1_EBF_1 -10 0 - 0 0 . chr1 91560475 91560476 chr1:91560476:C:G rs139228717 C G C EBF1_EBF_1 -2 0 - 0 0 . chr1 91578504 91578505 chr1:91578505:C:T rs61799002 C T C EBF1_EBF_1 4 1 + 6.11134471666924 1.7419577717243167 CATCCCCTGGGCCT chr1 91581209 91581210 chr1:91581210:C:T rs1508590 C T T EBF1_EBF_1 3 1 + 5.80269447720879 -0.6027042643445257 GGTCACCAGGGACT chr1 91601000 91601001 chr1:91601001:C:T rs12028689 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 91601007 91601008 chr1:91601008:G:T rs12025820 G T G EBF1_EBF_1 -7 0 + 0 0 . chr1 91601036 91601037 chr1:91601037:T:C rs6662147 T C C EBF1_EBF_1 22 0 + 0 0 . chr1 91609731 91609732 chr1:91609732:G:A rs10518499 G A G EBF1_EBF_1 5 1 - 5.57386127817406 0.2520740626333904 ATCCCCGAGAGAAG chr1 91613815 91613816 chr1:91613816:G:C rs12074751 G C G EBF1_EBF_1 27 0 + 0 0 . chr1 91617404 91617405 chr1:91617405:C:T rs12034186 C T C EBF1_EBF_1 12 1 + 5.603198760164717 4.576932189613867 TCCCCCAAAGGACT chr1 91646009 91646010 chr1:91646010:C:T rs2478178 C T C EBF1_EBF_1 -16 0 + 0 0 . chr1 91650714 91650715 chr1:91650715:T:C rs2025723 T C C EBF1_EBF_1 -18 0 + 0 0 . chr1 91650747 91650748 chr1:91650748:G:T rs58313330 G T G EBF1_EBF_1 15 0 + 0 0 . chr1 91666867 91666868 chr1:91666868:T:G rs113690653 T G T EBF1_EBF_1 21 0 + 0 0 . chr1 91713589 91713590 chr1:91713590:C:T rs17131534 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 91713600 91713601 chr1:91713601:C:T rs535845483 C T C EBF1_EBF_1 4 1 + 8.401817965520028 4.032431020575102 ATACCCAGGGGAAA chr1 91745130 91745131 chr1:91745131:C:T rs115827896 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 91759140 91759141 chr1:91759141:T:C rs284177 T C T EBF1_EBF_1 7 1 - 6.613834165785631 5.301375760496805 CACCCCAAGGGGAT chr1 91788151 91788152 chr1:91788152:G:C rs72716428 G C C EBF1_EBF_1 7 1 - 5.5272752938010115 6.508595874882367 AGCCCCTCGGGGCA chr1 91798944 91798945 chr1:91798945:G:A rs996970283 G A G EBF1_EBF_1 0 1 - 7.425934174595062 7.7081362353256395 CTTCCCCTGGGTAT chr1 91818429 91818430 chr1:91818430:A:G rs997003421 A G A EBF1_EBF_1 -15 0 + 0 0 . chr1 91864607 91864608 chr1:91864608:A:G rs2859586 A G G EBF1_EBF_1 0 1 + 5.089124228978775 3.196840359413941 ATTCCCAAGGCAGA chr1 91864608 91864609 chr1:91864609:T:C rs2450925 T C C EBF1_EBF_1 1 1 + 5.089124228978775 4.193690788580031 ATTCCCAAGGCAGA chr1 91913709 91913710 chr1:91913710:T:C rs1019375551 T C T EBF1_EBF_1 -18 0 + 0 0 . chr1 91920171 91920172 chr1:91920172:G:C rs12047366 G C G EBF1_EBF_1 -5 0 + 0 0 . chr1 91920471 91920472 chr1:91920472:A:G rs137870177 A G A EBF1_EBF_1 27 0 + 0 0 . chr1 92080579 92080580 chr1:92080580:C:G rs778402295 C G C EBF1_EBF_1 -19 0 + 0 0 . chr1 92080586 92080587 chr1:92080587:G:A rs150446692 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 92080587 92080588 chr1:92080588:A:G rs776230080 A G A EBF1_EBF_1 -11 0 + 0 0 . chr1 92192231 92192232 chr1:92192232:T:C rs894378677 T C T EBF1_EBF_1 -4 0 + 0 0 . chr1 92218249 92218250 chr1:92218250:C:G rs147883795 C G C EBF1_EBF_1 -3 0 - 0 0 . chr1 92329200 92329201 chr1:92329201:T:C rs11166575 T C C EBF1_EBF_1 -12 0 + 0 0 . chr1 92466376 92466377 chr1:92466377:G:A rs74101152 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 92485363 92485364 chr1:92485364:G:A rs72722446 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 92485379 92485380 chr1:92485380:A:G rs4565725 A G G EBF1_EBF_1 31 0 + 0 0 . chr1 92488297 92488298 chr1:92488298:C:T rs1359490 C T C EBF1_EBF_1 10 1 - 6.867587984560736 1.5495595252937715 AATCCCAAGAGTCT chr1 92505212 92505213 chr1:92505213:C:T rs962221 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 92785379 92785380 chr1:92785380:C:G rs976647885 C G C EBF1_EBF_1 20 0 + 0 0 . chr1 92797589 92797590 chr1:92797590:G:T rs7513624 G T G EBF1_EBF_1 25 0 - 0 0 . chr1 92934791 92934792 chr1:92934792:G:A rs12757307 G A G EBF1_EBF_1 10 1 + 9.0603606490486 3.742332189781636 CATCCCTAGGGATA chr1 92962104 92962105 chr1:92962105:C:A rs34041593 C A C EBF1_EBF_1 2 1 + 5.816307269358026 4.020663093201299 ATCCCCCCAGGACT chr1 92972898 92972899 chr1:92972899:T:C rs514235 T C T EBF1_EBF_1 -5 0 + 0 0 . chr1 93000504 93000505 chr1:93000505:G:C rs6666223 G C G EBF1_EBF_1 -12 0 - 0 0 . chr1 93052855 93052856 chr1:93052856:A:G rs638462 A G A EBF1_EBF_1 33 0 - 0 0 . chr1 93079429 93079430 chr1:93079430:T:C rs375412203 T C T EBF1_EBF_1 31 0 - 0 0 . chr1 93080741 93080742 chr1:93080742:T:G rs12128131 T G T EBF1_EBF_1 19 0 - 0 0 . chr1 93346523 93346524 chr1:93346524:C:T rs111741199 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 93348186 93348187 chr1:93348187:G:A chr1:93348187:G:A G A G EBF1_EBF_1 23 0 + 0 0 . chr1 93448712 93448713 chr1:93448713:C:T rs550322572 C T C EBF1_EBF_1 3 1 + 5.5804228633059685 -0.8249758782473497 GTCCCCAAGGGGCG chr1 93574865 93574866 chr1:93574866:G:A rs66966060 G A G EBF1_EBF_1 32 0 + 0 0 . chr1 93575669 93575670 chr1:93575670:C:T rs72721041 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 93584663 93584664 chr1:93584664:C:G rs236318 C G G EBF1_EBF_1 8 1 - 4.445405790580803 -0.8732530703338829 GGTCCCCCGAGAGC chr1 93584683 93584684 chr1:93584684:T:G rs12066343 T G T EBF1_EBF_1 -12 0 - 0 0 . chr1 93599054 93599055 chr1:93599055:C:T rs77106241 C T T EBF1_EBF_1 26 0 + 0 0 . chr1 93615849 93615850 chr1:93615850:G:A rs236306 G A A EBF1_EBF_1 -20 0 + 0 0 . chr1 93620589 93620590 chr1:93620590:G:A rs114296670 G A G EBF1_EBF_1 26 0 + 0 0 . chr1 93681121 93681122 chr1:93681122:C:T rs113376874 C T c EBF1_EBF_1 31 0 + 0 0 . chr1 93681941 93681942 chr1:93681942:A:C rs74101664 A C A EBF1_EBF_1 17 0 + 0 0 . chr1 93681986 93681987 chr1:93681987:T:G rs78289743 T G T EBF1_EBF_1 -16 0 + 0 0 . chr1 93681995 93681996 chr1:93681996:C:T rs59250599 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 93709109 93709110 chr1:93709110:G:A rs151320588 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 93720146 93720147 chr1:93720147:C:T chr1:93720147:C:T C T C EBF1_EBF_1 12 1 - 5.624879237216178 7.043696579315656 CTTCCCAAGGAAGT chr1 93722473 93722474 chr1:93722474:C:T rs77808254 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 93740648 93740649 chr1:93740649:A:C rs1108527 A C C EBF1_EBF_1 -20 0 + 0 0 . chr1 93752052 93752053 chr1:93752053:A:G rs4357541 A G G EBF1_EBF_1 -8 0 - 0 0 . chr1 93765062 93765063 chr1:93765063:T:C rs1030754751 T C T EBF1_EBF_1 24 0 - 0 0 . chr1 93765066 93765067 chr1:93765067:G:A rs72723114 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 93768999 93769000 chr1:93769000:G:A rs1264997391 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 93769000 93769001 chr1:93769001:C:T rs6660794 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 93781020 93781021 chr1:93781021:A:G rs1848 A G G EBF1_EBF_1 17 0 + 0 0 . chr1 93784644 93784645 chr1:93784645:A:C rs6701957 A C A EBF1_EBF_1 19 0 - 0 0 . chr1 93812513 93812514 chr1:93812514:A:G rs11165006 A G G EBF1_EBF_1 11 1 + 6.155468608965576 3.262761765361565 ATTTCCCTGGGAAA chr1 93833570 93833571 chr1:93833571:T:C rs11165016 T C C EBF1_EBF_1 7 1 + 10.62841499029504 8.810910244012419 CCTCCCCTGGGAAT chr1 93847661 93847662 chr1:93847662:G:A rs528167477 G A G EBF1_EBF_1 -7 0 - 0 0 . chr1 93976808 93976809 chr1:93976809:A:C rs743116 A C C EBF1_EBF_1 23 0 + 0 0 . chr1 94000747 94000748 chr1:94000748:G:C rs889904560 G C G EBF1_EBF_1 -9 0 - 0 0 . chr1 94012077 94012078 chr1:94012078:G:A rs11165062 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 94012092 94012093 chr1:94012093:C:G rs11165063 C G G EBF1_EBF_1 32 0 + 0 0 . chr1 94047936 94047937 chr1:94047937:C:G rs539435 C G G EBF1_EBF_1 14 0 - 0 0 . chr1 94061451 94061452 chr1:94061452:T:G chr1:94061452:T:G T G T EBF1_EBF_1 26 0 - 0 0 . chr1 94061531 94061532 chr1:94061532:T:C rs41292683 T C T EBF1_EBF_1 33 0 - 0 0 . chr1 94062461 94062462 chr1:94062462:C:T rs185733427 C T C EBF1_EBF_1 10 1 - 3.773735463954968 -1.544292995311997 GAGCCCCAGGGACC chr1 94065635 94065636 chr1:94065636:A:G rs1191232 A G G EBF1_EBF_1 0 1 - 5.271877836387855 4.989675775657277 TGCCCCCAGGGCAT chr1 94067457 94067458 chr1:94067458:T:C rs1931575 T C T EBF1_EBF_1 18 0 - 0 0 . chr1 94067470 94067471 chr1:94067471:G:C rs74102102 G C G EBF1_EBF_1 5 1 - 6.682114085649993 -0.22276706698517557 TTCCCCCAGAGATT chr1 94067485 94067486 chr1:94067486:A:G rs2893265 A G A EBF1_EBF_1 -10 0 - 0 0 . chr1 94068433 94068434 chr1:94068434:A:T rs581244 A T T EBF1_EBF_1 -11 0 - 0 0 . chr1 94088896 94088897 chr1:94088897:G:A rs4847196 G A G EBF1_EBF_1 -3 0 - 0 0 . chr1 94091337 94091338 chr1:94091338:A:G rs1191238 A G G EBF1_EBF_1 0 1 + 9.481215848490223 7.588931978925391 AGTCCCGAGGGAGC chr1 94093586 94093587 chr1:94093587:G:A rs368896489 G A G EBF1_EBF_1 10 1 + 6.60312353702008 1.2850950777531147 CTTCCCAGGGGCCT chr1 94094303 94094304 chr1:94094304:G:A rs116095037 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 94106134 94106135 chr1:94106135:C:T rs12072954 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 94116736 94116737 chr1:94116737:G:C rs4147803 G C G EBF1_EBF_1 -8 0 + 0 0 . chr1 94118141 94118142 chr1:94118142:G:T rs11165076 G T G EBF1_EBF_1 30 0 - 0 0 . chr1 94121847 94121848 chr1:94121848:G:A rs6673287 G A A EBF1_EBF_1 -10 0 + 0 0 . chr1 94121944 94121945 chr1:94121945:T:A rs3789452 T A T EBF1_EBF_1 -17 0 + 0 0 . chr1 94247790 94247791 chr1:94247791:A:T rs193097433 A T a EBF1_EBF_1 33 0 - 0 0 . chr1 94247796 94247797 chr1:94247797:T:C rs536288979 T C t EBF1_EBF_1 27 0 - 0 0 . chr1 94335425 94335426 chr1:94335426:G:A rs58596422 G A G EBF1_EBF_1 1 1 + 5.140895718999829 4.880196887078527 CGCCCCACGGGAAG chr1 94541451 94541452 chr1:94541452:C:A rs184093564 C A C EBF1_EBF_1 -1 0 - 0 0 . chr1 94580192 94580193 chr1:94580193:G:A rs12028955 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 94593694 94593695 chr1:94593695:C:T rs1747648 C T c EBF1_EBF_1 16 0 + 0 0 . chr1 94679896 94679897 chr1:94679897:C:T rs12021681 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 94758251 94758252 chr1:94758252:C:T rs1246022 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 94773976 94773977 chr1:94773977:T:C rs17414947 T C T EBF1_EBF_1 -15 0 - 0 0 . chr1 94796502 94796503 chr1:94796503:C:T rs72956471 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 94802333 94802334 chr1:94802334:A:G rs11165251 A G A EBF1_EBF_1 -3 0 + 0 0 . chr1 94802351 94802352 chr1:94802352:G:A rs919314602 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 94820528 94820529 chr1:94820529:G:A rs11165252 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 94820537 94820538 chr1:94820538:G:T rs10782992 G T T EBF1_EBF_1 -14 0 - 0 0 . chr1 94820600 94820601 chr1:94820601:G:T rs72958558 G T G EBF1_EBF_1 17 0 - 0 0 . chr1 94820628 94820629 chr1:94820629:G:A rs12095617 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 94860899 94860900 chr1:94860900:G:A rs12033639 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 94866268 94866269 chr1:94866269:T:C rs3849305 T C C EBF1_EBF_1 18 0 - 0 0 . chr1 94872534 94872535 chr1:94872535:A:G rs7531174 A G G EBF1_EBF_1 24 0 - 0 0 . chr1 94926352 94926353 chr1:94926353:A:C rs947760648 A C A EBF1_EBF_1 -11 0 - 0 0 . chr1 94954721 94954722 chr1:94954722:A:T rs2148365 A T A EBF1_EBF_1 0 1 + 7.515459071834046 5.542402648868026 ATTCCCCCGGGCCT chr1 94954729 94954730 chr1:94954730:G:A rs2148366 G A G EBF1_EBF_1 8 1 + 7.515459071834046 3.2891689829477864 ATTCCCCCGGGCCT chr1 94955758 94955759 chr1:94955759:G:A rs6667676 G A g EBF1_EBF_1 28 0 + 0 0 . chr1 94963054 94963055 chr1:94963055:G:A rs74101925 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 95002246 95002247 chr1:95002247:G:A rs12082888 G A g EBF1_EBF_1 4 1 - 5.002932234291857 0.6335452893469338 CCCCCCCAGGTACT chr1 95071158 95071159 chr1:95071159:A:G rs12755096 A G G EBF1_EBF_1 24 0 + 0 0 . chr1 95085597 95085598 chr1:95085598:C:T rs12120694 C T C EBF1_EBF_1 1 1 + 8.146066654548758 9.041500094947503 ACTCTCCAGGGAAC chr1 95137499 95137500 chr1:95137500:C:G rs34100681 C G C EBF1_EBF_1 8 1 - 6.579940802351428 1.2612819414367427 ATTCCTTAGAGAAT chr1 95216313 95216314 chr1:95216314:T:C rs11165342 T C T EBF1_EBF_1 28 0 - 0 0 . chr1 95316445 95316446 chr1:95316446:G:A rs11165374 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 95353925 95353926 chr1:95353926:G:A rs11165392 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 95614323 95614324 chr1:95614324:G:A rs12727688 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 95711516 95711517 chr1:95711517:A:G rs34701878 A G G EBF1_EBF_1 30 0 + 0 0 . chr1 95742741 95742742 chr1:95742742:T:A rs12041672 T A T EBF1_EBF_1 25 0 + 0 0 . chr1 95853350 95853351 chr1:95853351:G:A rs4950089 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 95853381 95853382 chr1:95853382:C:A rs34928497 C A C EBF1_EBF_1 29 0 + 0 0 . chr1 96060205 96060206 chr1:96060206:T:C rs222888 T C T EBF1_EBF_1 2 1 + 6.031036929342975 4.142213517900825 ATTCCCATTGGAAA chr1 96376754 96376755 chr1:96376755:C:A rs4949930 C A A EBF1_EBF_1 32 0 - 0 0 . chr1 96474037 96474038 chr1:96474038:G:T rs1010581243 G T G EBF1_EBF_1 17 0 + 0 0 . chr1 96522131 96522132 chr1:96522132:C:T rs6593608 C T C EBF1_EBF_1 4 1 + 6.051862832883766 1.6824758879388426 TGCCCCAGGAGACT chr1 96598270 96598271 chr1:96598271:G:C rs17460790 G C G EBF1_EBF_1 21 0 - 0 0 . chr1 96634384 96634385 chr1:96634385:T:C rs7521759 T C C EBF1_EBF_1 -16 0 - 0 0 . chr1 96816025 96816026 chr1:96816026:G:C rs12065829 G C G EBF1_EBF_1 -7 0 + 0 0 . chr1 96873649 96873650 chr1:96873650:G:A rs35212019 G A G EBF1_EBF_1 5 1 - 5.829683041729915 0.5078958261892457 GATCCCTGGAGATC chr1 96955682 96955683 chr1:96955683:C:T rs12565126 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 97108209 97108210 chr1:97108210:A:G rs72965820 A G A EBF1_EBF_1 4 1 + 7.360399979399932 7.559194501703855 ACTCACTTGGGAAT chr1 97120960 97120961 chr1:97120961:A:G rs12079743 A G A EBF1_EBF_1 12 1 - 7.675504056693398 8.701770627244247 TCTCCCCAGAGATT chr1 97204768 97204769 chr1:97204769:C:T rs6593639 C T C EBF1_EBF_1 -20 0 - 0 0 . chr1 97337327 97337328 chr1:97337328:C:T rs35814910 C T C EBF1_EBF_1 28 0 - 0 0 . chr1 97507129 97507130 chr1:97507130:G:A rs10875094 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 97796884 97796885 chr1:97796885:T:C rs114862614 T C T EBF1_EBF_1 21 0 + 0 0 . chr1 97999501 97999502 chr1:97999502:T:C rs114735042 T C T EBF1_EBF_1 12 1 + 4.983618590233974 6.009885160784823 ACTCCCAAGTGATC chr1 98222076 98222077 chr1:98222077:C:A rs2031245 C A C EBF1_EBF_1 -15 0 - 0 0 . chr1 98452094 98452095 chr1:98452095:T:G rs7514150 T G G EBF1_EBF_1 17 0 + 0 0 . chr1 98496374 98496375 chr1:98496375:C:T rs167567 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 98505397 98505398 chr1:98505398:G:C rs1304458 G C G EBF1_EBF_1 -2 0 - 0 0 . chr1 98566122 98566123 chr1:98566123:G:A rs11803669 G A G EBF1_EBF_1 30 0 - 0 0 . chr1 98904023 98904024 chr1:98904024:G:T rs11166139 G T T EBF1_EBF_1 17 0 - 0 0 . chr1 98918687 98918688 chr1:98918688:T:C rs72730322 T C T EBF1_EBF_1 -13 0 - 0 0 . chr1 98978545 98978546 chr1:98978546:A:T rs72730401 A T A EBF1_EBF_1 24 0 + 0 0 . chr1 99018829 99018830 chr1:99018830:A:C rs7413228 A C A EBF1_EBF_1 -10 0 + 0 0 . chr1 99500517 99500518 chr1:99500518:G:A rs112877409 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 99500518 99500519 chr1:99500519:G:T rs78709753 G T G EBF1_EBF_1 -11 0 + 0 0 . chr1 99500762 99500763 chr1:99500763:A:G rs12132420 A G G EBF1_EBF_1 13 1 + 6.057128808204621 4.942803236135182 CCACCCATGGGACA chr1 99526815 99526816 chr1:99526816:G:T rs11576210 G T G EBF1_EBF_1 33 0 + 0 0 . chr1 99544877 99544878 chr1:99544878:G:A rs12130109 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 99550368 99550369 chr1:99550369:T:G rs67165842 T G G EBF1_EBF_1 12 1 - 6.797888258742762 6.767706860321436 CTTCCCTTGGTAAC chr1 99634185 99634186 chr1:99634186:A:G rs116027509 A G A EBF1_EBF_1 33 0 - 0 0 . chr1 99662778 99662779 chr1:99662779:G:A rs76831347 G A G EBF1_EBF_1 5 1 - 8.353473833875025 3.031686618334356 ACCCCCGGGGGAAT chr1 99681833 99681834 chr1:99681834:A:G rs6577119 A G G EBF1_EBF_1 12 1 + 10.471469999175573 9.052652657076097 TATCCCCAGGGAAC chr1 99681834 99681835 chr1:99681835:C:T rs11585114 C T C EBF1_EBF_1 13 1 + 10.471469999175573 11.315964113761092 TATCCCCAGGGAAC chr1 99716894 99716895 chr1:99716895:T:G rs10875243 T G G EBF1_EBF_1 -16 0 - 0 0 . chr1 99728043 99728044 chr1:99728044:C:T rs74934838 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 99729074 99729075 chr1:99729075:T:G rs143364851 T G T EBF1_EBF_1 28 0 + 0 0 . chr1 99836489 99836490 chr1:99836490:A:G rs12131293 A G G EBF1_EBF_1 1 1 + 5.247364138099915 5.508062970021218 TATCCCTGGGTACC chr1 99849956 99849957 chr1:99849957:C:A rs147289059 C A C EBF1_EBF_1 11 1 + 6.365921409057461 10.78188310690985 CTTCCCCAGGGCAA chr1 99934975 99934976 chr1:99934976:C:G rs12131505 C G C EBF1_EBF_1 26 0 + 0 0 . chr1 99968244 99968245 chr1:99968245:T:G rs2182916 T G G EBF1_EBF_1 -5 0 - 0 0 . chr1 99993237 99993238 chr1:99993238:G:A rs72728114 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 100038335 100038336 chr1:100038336:G:C rs80339334 G C G EBF1_EBF_1 19 0 - 0 0 . chr1 100132882 100132883 chr1:100132883:G:C rs55863167 G C C EBF1_EBF_1 -10 0 - 0 0 . chr1 100164491 100164492 chr1:100164492:C:T rs12043287 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 100351783 100351784 chr1:100351784:A:G rs199528581 A G A EBF1_EBF_1 14 0 + 0 0 . chr1 100352249 100352250 chr1:100352250:G:C chr1:100352250:G:C G C G EBF1_EBF_1 13 1 + 4.212681372212159 5.700752393567574 GCTCCCCTGGGCCG chr1 100352907 100352908 chr1:100352908:T:C rs594529 T C C EBF1_EBF_1 -7 0 - 0 0 . chr1 100353137 100353138 chr1:100353138:A:G rs502955 A G A EBF1_EBF_1 11 1 + 5.117583604435956 2.224876760831945 CCCCTCTAGGGACT chr1 100355383 100355384 chr1:100355384:G:A rs188289686 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 100531444 100531445 chr1:100531445:A:G rs80097352 A G A EBF1_EBF_1 26 0 + 0 0 . chr1 100538453 100538454 chr1:100538454:C:T rs2809822 C T T EBF1_EBF_1 -8 0 + 0 0 . chr1 100585652 100585653 chr1:100585653:C:T rs10875318 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 100671094 100671095 chr1:100671095:C:T rs3904672 C T T EBF1_EBF_1 22 0 + 0 0 . chr1 100792268 100792269 chr1:100792269:G:A rs11166514 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 100845655 100845656 chr1:100845656:G:A rs71660945 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 101139332 101139333 chr1:101139333:C:T rs74591599 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 101139368 101139369 chr1:101139369:T:A rs192307310 T A T EBF1_EBF_1 16 0 - 0 0 . chr1 101145478 101145479 chr1:101145479:A:G rs182618609 A G A EBF1_EBF_1 -14 0 + 0 0 . chr1 101314414 101314415 chr1:101314415:A:C rs180685893 A C A EBF1_EBF_1 13 1 - 4.534768874749916 2.2022037388089823 ACCACCCAGGGACT chr1 101349557 101349558 chr1:101349558:C:T rs4908132 C T T EBF1_EBF_1 14 0 - 0 0 . chr1 101375605 101375606 chr1:101375606:C:T rs150007385 C T C EBF1_EBF_1 19 0 - 0 0 . chr1 101375641 101375642 chr1:101375642:C:A rs7532885 C A A EBF1_EBF_1 -17 0 - 0 0 . chr1 101377109 101377110 chr1:101377110:G:A rs7515468 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 101411463 101411464 chr1:101411464:C:A rs181201580 C A C EBF1_EBF_1 18 0 + 0 0 . chr1 101570808 101570809 chr1:101570809:C:T rs12124544 C T C EBF1_EBF_1 7 1 - 7.812284181826447 9.124742587115271 AACCCCAGGGGAGA chr1 101661895 101661896 chr1:101661896:C:T rs9803762 C T T EBF1_EBF_1 21 0 + 0 0 . chr1 101809876 101809877 chr1:101809877:A:G rs6678257 A G A EBF1_EBF_1 10 1 + 5.262816790755857 10.580845250022822 GCTCCCAAGGAACA chr1 101973383 101973384 chr1:101973384:T:G rs66884528 T G T EBF1_EBF_1 22 0 - 0 0 . chr1 102118316 102118317 chr1:102118317:G:A rs10782870 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 102163774 102163775 chr1:102163775:C:T rs1954652 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 102228828 102228829 chr1:102228829:G:A rs11164422 G A G EBF1_EBF_1 28 0 - 0 0 . chr1 102228855 102228856 chr1:102228856:G:T rs28432030 G T G EBF1_EBF_1 1 1 - 4.159809557691806 4.088882380339721 ACCCCCCGGGGTTC chr1 102239393 102239394 chr1:102239394:A:G rs6670742 A G G EBF1_EBF_1 33 0 - 0 0 . chr1 102414444 102414445 chr1:102414445:T:G rs11164503 T G T EBF1_EBF_1 -5 0 + 0 0 . chr1 102497909 102497910 chr1:102497910:A:G rs3939661 A G - EBF1_EBF_1 13 1 - 9.635025019251637 8.790530904666118 CTCCCCCTGGGAAT chr1 102724198 102724199 chr1:102724199:T:C rs77215071 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 102884561 102884562 chr1:102884562:G:C rs2169608 G C G EBF1_EBF_1 -18 0 + 0 0 . chr1 102884707 102884708 chr1:102884708:G:A rs1241184 G A A EBF1_EBF_1 12 1 + 5.755158531349233 7.17397587344871 CACCCCAAGGGAGG chr1 102940335 102940336 chr1:102940336:G:A rs17127270 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 102961588 102961589 chr1:102961589:C:T rs1012283 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 103106721 103106722 chr1:103106722:A:G rs776876347 A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 103106747 103106748 chr1:103106748:C:T rs72987894 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 103189689 103189690 chr1:103189690:G:A rs35286522 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 103296656 103296657 chr1:103296657:A:G rs11164768 A G G EBF1_EBF_1 2 1 - 8.761023186034445 6.8721997745922945 GTTCCCTAGAGACA chr1 103761239 103761240 chr1:103761240:C:T rs28723613 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 104056999 104057000 chr1:104057000:T:G rs1012586747 T G T EBF1_EBF_1 25 0 + 0 0 . chr1 104121474 104121475 chr1:104121475:G:T rs72689176 G T T EBF1_EBF_1 -17 0 + 0 0 . chr1 104121507 104121508 chr1:104121508:A:G rs72689177 A G G EBF1_EBF_1 16 0 + 0 0 . chr1 104262759 104262760 chr1:104262760:C:T rs903056999 C T C EBF1_EBF_1 1 1 - 3.7100446877224007 3.4493458558010985 CGTCCCCCGGGCCC chr1 104467622 104467623 chr1:104467623:C:T rs2121966 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 104586925 104586926 chr1:104586926:G:A rs72687494 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 104630819 104630820 chr1:104630820:T:C rs12405434 T C T EBF1_EBF_1 -5 0 + 0 0 . chr1 104837449 104837450 chr1:104837450:G:A rs12036702 G A g EBF1_EBF_1 25 0 + 0 0 . chr1 104945535 104945536 chr1:104945536:G:A rs7525635 G A A EBF1_EBF_1 6 1 + 5.943963633578208 8.11075806485268 ATCCCCGTGGGGCA chr1 104945560 104945561 chr1:104945561:T:C rs7541855 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 105205181 105205182 chr1:105205182:T:C rs72685577 T C T EBF1_EBF_1 33 0 - 0 0 . chr1 105326546 105326547 chr1:105326547:T:C rs2455682 T C t EBF1_EBF_1 -10 0 - 0 0 . chr1 105440983 105440984 chr1:105440984:A:G rs76091542 A G A EBF1_EBF_1 -9 0 + 0 0 . chr1 105586111 105586112 chr1:105586112:A:G rs28820699 A G G EBF1_EBF_1 14 0 - 0 0 . chr1 106265014 106265015 chr1:106265015:T:C rs7544877 T C C EBF1_EBF_1 12 1 + 5.226106943622092 6.252373514172942 TTCCCCCAGGGGTA chr1 106306797 106306798 chr1:106306798:T:C rs7520914 T C T EBF1_EBF_1 13 1 - 6.186077094102765 5.071751522033326 TATCCCTAGGTACA chr1 106397625 106397626 chr1:106397626:T:C rs10881398 T C C EBF1_EBF_1 -4 0 + 0 0 . chr1 106465796 106465797 chr1:106465797:G:C rs1359499 G C C EBF1_EBF_1 19 0 - 0 0 . chr1 106571210 106571211 chr1:106571211:A:T rs55907853 A T A EBF1_EBF_1 27 0 - 0 0 . chr1 106620492 106620493 chr1:106620493:A:G rs6694882 A G A EBF1_EBF_1 -12 0 + 0 0 . chr1 106700802 106700803 chr1:106700803:G:A rs12138646 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 106727441 106727442 chr1:106727442:G:A rs112024328 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 106976078 106976079 chr1:106976079:G:A rs981199908 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 107057956 107057957 chr1:107057957:C:T rs11555268 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 107172775 107172776 chr1:107172776:T:C rs17447233 T C T EBF1_EBF_1 18 0 + 0 0 . chr1 107175393 107175394 chr1:107175394:T:G rs72979596 T G G EBF1_EBF_1 -11 0 + 0 0 . chr1 107207408 107207409 chr1:107207409:G:T rs72699307 G T G EBF1_EBF_1 10 1 + 6.021761945426121 1.8390097591988566 CCTCCCAAAGGAAA chr1 107208603 107208604 chr1:107208604:C:T rs12132631 C T C EBF1_EBF_1 -1 0 + 0 0 . chr1 107219948 107219949 chr1:107219949:A:G rs4500348 A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 107388537 107388538 chr1:107388538:T:C rs746603 T C T EBF1_EBF_1 1 1 - 9.644733885986385 9.905432717907686 AATCCCATGAGACC chr1 107531478 107531479 chr1:107531479:A:G rs685841 A G A EBF1_EBF_1 14 0 - 0 0 . chr1 107545202 107545203 chr1:107545203:A:G rs501057 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 107804976 107804977 chr1:107804977:G:T rs78458460 G T G EBF1_EBF_1 -1 0 + 0 0 . chr1 108080137 108080138 chr1:108080138:G:C rs75713596 G C C EBF1_EBF_1 1 1 - 8.52239246410004 8.712164118669257 GCCCCCCAGGGATT chr1 108223510 108223511 chr1:108223511:A:G rs3870737 A G A EBF1_EBF_1 15 0 + 0 0 . chr1 108448176 108448177 chr1:108448177:C:A rs141758896 C A C EBF1_EBF_1 19 0 + 0 0 . chr1 108559228 108559229 chr1:108559229:C:T rs11102031 C T T EBF1_EBF_1 -20 0 + 0 0 . chr1 108559279 108559280 chr1:108559280:T:C rs11102032 T C C EBF1_EBF_1 31 0 + 0 0 . chr1 108813193 108813194 chr1:108813194:A:G rs1277046 A G G EBF1_EBF_1 15 0 - 0 0 . chr1 108816867 108816868 chr1:108816868:A:G rs1277214 A G A EBF1_EBF_1 27 0 + 0 0 . chr1 108821494 108821495 chr1:108821495:A:G rs2788437 A G A EBF1_EBF_1 23 0 - 0 0 . chr1 108827168 108827169 chr1:108827169:G:A rs11102505 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 108827174 108827175 chr1:108827175:T:G rs11102506 T G T EBF1_EBF_1 -14 0 - 0 0 . chr1 108829162 108829163 chr1:108829163:G:A rs1277227 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 108862405 108862406 chr1:108862406:G:C rs12134009 G C C EBF1_EBF_1 17 0 + 0 0 . chr1 108877601 108877602 chr1:108877602:C:G rs574269904 C G C EBF1_EBF_1 -2 0 + 0 0 . chr1 108951276 108951277 chr1:108951277:G:A rs11102684 G A G EBF1_EBF_1 3 1 - 8.543403356158539 2.1380046146052214 CCTCCCAAGGGGCT chr1 109073834 109073835 chr1:109073835:G:T rs533949787 G T - EBF1_EBF_1 10 1 + 7.168474708029847 2.9857225218025834 CATCCCCTGGGATG chr1 109076177 109076178 chr1:109076178:A:G rs569411087 A G A EBF1_EBF_1 31 0 + 0 0 . chr1 109076667 109076668 chr1:109076668:C:T rs61797007 C T C EBF1_EBF_1 6 1 - 6.66769062197567 8.834485053250146 CATCCCGGGGGACA chr1 109191918 109191919 chr1:109191919:C:T rs645128 C T T EBF1_EBF_1 33 0 + 0 0 . chr1 109239663 109239664 chr1:109239664:G:A rs72975206 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 109252985 109252986 chr1:109252986:T:C rs437444 T C C EBF1_EBF_1 25 0 - 0 0 . chr1 109263479 109263480 chr1:109263480:C:G rs79726060 C G C EBF1_EBF_1 -3 0 - 0 0 . chr1 109263927 109263928 chr1:109263928:G:A rs56197083 G A g EBF1_EBF_1 -17 0 - 0 0 . chr1 109267560 109267561 chr1:109267561:C:T rs61761209 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 109285890 109285891 chr1:109285891:A:T rs688498 A T t EBF1_EBF_1 1 1 - 6.249565627496129 5.283205009745299 ATTCCCAAAGGGCA chr1 109298700 109298701 chr1:109298701:G:C rs76569103 G C G EBF1_EBF_1 18 0 - 0 0 . chr1 109299121 109299122 chr1:109299122:G:A rs182376834 G A G EBF1_EBF_1 4 1 - 6.9291542550135 2.559767310068576 GCCCCCGAGGGAAC chr1 109299137 109299138 chr1:109299138:A:G rs680767 A G G EBF1_EBF_1 -12 0 - 0 0 . chr1 109307499 109307500 chr1:109307500:C:A rs367586 C A C EBF1_EBF_1 18 0 - 0 0 . chr1 109397880 109397881 chr1:109397881:G:C rs72646553 G C g EBF1_EBF_1 8 1 + 4.688955612299718 -0.629703248614969 GCTCCCCAGGGCCG chr1 109485253 109485254 chr1:109485254:G:A rs57026646 G A G EBF1_EBF_1 32 0 + 0 0 . chr1 109488565 109488566 chr1:109488566:T:G rs12049330 T G T EBF1_EBF_1 -20 0 + 0 0 . chr1 109494067 109494068 chr1:109494068:A:G rs920478998 A G A EBF1_EBF_1 -20 0 - 0 0 . chr1 109494375 109494376 chr1:109494376:G:C rs17575205 G C G EBF1_EBF_1 23 0 + 0 0 . chr1 109504487 109504488 chr1:109504488:C:G rs14184 C G C EBF1_EBF_1 10 1 - 6.662130441514902 -0.22867194581457273 TGTCCCTGGAGACA chr1 109509346 109509347 chr1:109509347:C:G rs193102730 C G C EBF1_EBF_1 -20 0 + 0 0 . chr1 109530695 109530696 chr1:109530696:C:T rs61798346 C T C EBF1_EBF_1 13 1 - 4.687583365969227 5.801908938038666 CCTCCCAAGGGCCG chr1 109532602 109532603 chr1:109532603:C:T rs201924776 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 109532752 109532753 chr1:109532753:C:T rs560345518 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 109619925 109619926 chr1:109619926:C:T rs55646629 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 109622589 109622590 chr1:109622590:T:A rs74113954 T A T EBF1_EBF_1 33 0 + 0 0 . chr1 109624505 109624506 chr1:109624506:C:T rs186458929 C T C EBF1_EBF_1 3 1 + 6.709485459922111 0.3040867183687931 CTTCCCCAGGGCCC chr1 109624506 109624507 chr1:109624507:C:T rs142083647 C T C EBF1_EBF_1 4 1 + 6.709485459922111 2.3400985149771865 CTTCCCCAGGGCCC chr1 109635208 109635209 chr1:109635209:G:A rs559259537 G A G EBF1_EBF_1 19 0 - 0 0 . chr1 109635225 109635226 chr1:109635226:A:G rs3895838 A G A EBF1_EBF_1 2 1 - 5.42106129672328 3.5322378852811287 ACTCCCCCAGGAGT chr1 109635246 109635247 chr1:109635247:A:G rs116084039 A G A EBF1_EBF_1 -19 0 - 0 0 . chr1 109641617 109641618 chr1:109641618:G:A rs115042790 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 109654824 109654825 chr1:109654825:G:A rs641592 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 109656044 109656045 chr1:109656045:C:G rs113077121 C G C EBF1_EBF_1 25 0 + 0 0 . chr1 109687430 109687431 chr1:109687431:T:C rs185411429 T C . EBF1_EBF_1 18 0 - 0 0 . chr1 109712112 109712113 chr1:109712113:G:C rs58877308 G C . EBF1_EBF_1 6 1 - 7.550863028991997 5.745670965518799 AGTCCCCAGGGTTC chr1 109712116 109712117 chr1:109712117:A:C rs58013442 A C . EBF1_EBF_1 2 1 - 7.550863028991997 0.8025373387915293 AGTCCCCAGGGTTC chr1 109732275 109732276 chr1:109732276:C:A rs35513350 C A A EBF1_EBF_1 -18 0 - 0 0 . chr1 109763761 109763762 chr1:109763762:G:T rs878603 G T G EBF1_EBF_1 20 0 - 0 0 . chr1 109763793 109763794 chr1:109763794:C:T rs878604 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 109771724 109771725 chr1:109771725:T:G rs370093386 T G T EBF1_EBF_1 11 1 - 7.144392811091546 2.728431113239155 AACCCCTCGGGAGC chr1 109776402 109776403 chr1:109776403:T:C rs190998744 T C T EBF1_EBF_1 15 0 + 0 0 . chr1 109776951 109776952 chr1:109776952:G:A rs571646222 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 109778406 109778407 chr1:109778407:A:G rs56145123 A G A EBF1_EBF_1 6 1 + 7.274573899651415 5.107779468376941 ATCCCCAGGGGGCA chr1 109780527 109780528 chr1:109780528:C:T rs6537876 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 109786031 109786032 chr1:109786032:G:A rs6674178 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 109786915 109786916 chr1:109786916:A:G rs60775830 A G G EBF1_EBF_1 -5 0 - 0 0 . chr1 109789105 109789106 chr1:109789106:A:G rs6698967 A G A EBF1_EBF_1 -17 0 - 0 0 . chr1 109792108 109792109 chr1:109792109:C:T rs535877521 C T C EBF1_EBF_1 19 0 - 0 0 . chr1 109792410 109792411 chr1:109792411:T:C rs997422680 T C T EBF1_EBF_1 0 1 - 4.912369123412944 3.0200852538481096 AGCCCCCAGGGCAG chr1 109792418 109792419 chr1:109792419:A:G rs574231736 A G A EBF1_EBF_1 -8 0 - 0 0 . chr1 109793057 109793058 chr1:109793058:C:T rs4970781 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 109793268 109793269 chr1:109793269:G:A rs72973087 G A g EBF1_EBF_1 17 0 + 0 0 . chr1 109793862 109793863 chr1:109793863:T:C rs74115010 T C T EBF1_EBF_1 7 1 + 7.54014740271695 5.722642656434327 AATCCCATGGGCAA chr1 109793867 109793868 chr1:109793868:A:G rs17024872 A G A EBF1_EBF_1 12 1 + 7.54014740271695 6.121330060617472 AATCCCATGGGCAA chr1 109803491 109803492 chr1:109803492:C:T rs1378805369 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 109803492 109803493 chr1:109803493:A:G rs7517624 A G G EBF1_EBF_1 -5 0 + 0 0 . chr1 109803524 109803525 chr1:109803525:T:G rs7520586 T G G EBF1_EBF_1 27 0 + 0 0 . chr1 109817568 109817569 chr1:109817569:G:A rs7534565 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 109823421 109823422 chr1:109823422:T:C rs485742 T C C EBF1_EBF_1 17 0 - 0 0 . chr1 109827755 109827756 chr1:109827756:G:A rs74226012 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 109874427 109874428 chr1:109874428:T:C rs2047900 T C T EBF1_EBF_1 17 0 + 0 0 . chr1 109876384 109876385 chr1:109876385:C:T rs79545324 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 109923843 109923844 chr1:109923844:T:C rs1058885 T C C EBF1_EBF_1 -2 0 + 0 0 . chr1 109923932 109923933 chr1:109923933:G:A rs2229165 G A G EBF1_EBF_1 5 1 - 6.33177382124364 1.00998660570297 CTCCCCAAGGGGCA chr1 109961282 109961283 chr1:109961283:A:G rs12025963 A G G EBF1_EBF_1 -4 0 + 0 0 . chr1 110035062 110035063 chr1:110035063:C:G rs117748227 C G C EBF1_EBF_1 3 1 + 5.700752393567574 -0.12701205454764308 GCTCCCCTGGGCCC chr1 110041663 110041664 chr1:110041664:C:T rs41281346 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 110068345 110068346 chr1:110068346:G:A rs115714559 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 110106581 110106582 chr1:110106582:C:T rs629711 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 110141844 110141845 chr1:110141845:G:T rs11587681 G T T EBF1_EBF_1 -7 0 - 0 0 . chr1 110171517 110171518 chr1:110171518:G:A rs59396652 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 110210083 110210084 chr1:110210084:G:A rs533445656 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 110211361 110211362 chr1:110211362:G:A rs187164679 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 110212306 110212307 chr1:110212307:C:T rs549685592 C T C EBF1_EBF_1 32 0 - 0 0 . chr1 110223831 110223832 chr1:110223832:G:A rs59123361 G A G EBF1_EBF_1 8 1 + 6.851301371406771 2.6250112825205094 TTCCCCCCGGGACA chr1 110234420 110234421 chr1:110234421:C:T rs11102065 C T C EBF1_EBF_1 10 1 - 7.166365230431764 1.8483367711647993 CGTCCCCAGGGTCT chr1 110236627 110236628 chr1:110236628:A:G rs143639787 A G A EBF1_EBF_1 -16 0 - 0 0 . chr1 110237983 110237984 chr1:110237984:A:G rs930812 A G A EBF1_EBF_1 13 1 - 5.155327518497408 4.31083340391189 AGCCCCAAGTGACT chr1 110238211 110238212 chr1:110238212:C:T rs2603590 C T T EBF1_EBF_1 -8 0 + 0 0 . chr1 110283143 110283144 chr1:110283144:A:G rs3916176 A G A EBF1_EBF_1 19 0 - 0 0 . chr1 110291699 110291700 chr1:110291700:A:C rs12139907 A C A EBF1_EBF_1 15 0 - 0 0 . chr1 110291708 110291709 chr1:110291709:C:T rs6687717 C T C EBF1_EBF_1 6 1 - 5.78929295172207 7.956087382996545 GCTCCCGAGAGAAA chr1 110310506 110310507 chr1:110310507:C:T rs977539667 C T C EBF1_EBF_1 12 1 + 4.210242162139628 3.183975591588778 CTTCCCTGGGGCCG chr1 110338035 110338036 chr1:110338036:A:G rs72972990 A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 110384638 110384639 chr1:110384639:C:T rs61787382 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 110407464 110407465 chr1:110407465:C:G rs181771749 C G C EBF1_EBF_1 10 1 - 4.067793348689938 -2.8230090386395372 CGACCCCCGGGACA chr1 110426148 110426149 chr1:110426149:C:T rs1020036604 C T C EBF1_EBF_1 27 0 - 0 0 . chr1 110463742 110463743 chr1:110463743:T:C rs78738425 T C T EBF1_EBF_1 -11 0 - 0 0 . chr1 110465472 110465473 chr1:110465473:G:C rs150864018 G C G EBF1_EBF_1 4 1 - 6.930337247755532 1.3045721466059887 ACCCCCTGGAGACC chr1 110471592 110471593 chr1:110471593:T:C rs2361309 T C C EBF1_EBF_1 14 0 + 0 0 . chr1 110479852 110479853 chr1:110479853:T:C rs6677767 T C T EBF1_EBF_1 7 1 + 6.310213290736425 4.4927085444538015 ACTCCAATGGGACT chr1 110497875 110497876 chr1:110497876:C:T rs17025931 C T T EBF1_EBF_1 8 1 - 5.202568173751708 0.9762780848654466 CACCCCTTGGGGCC chr1 110548822 110548823 chr1:110548823:T:A rs1779458 T A T EBF1_EBF_1 2 1 - 5.682499594822275 9.366967182421153 AGACCCCAGGTAAT chr1 110556030 110556031 chr1:110556031:G:A rs74117871 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 110556421 110556422 chr1:110556422:C:A rs139899117 C A C EBF1_EBF_1 -10 0 + 0 0 . chr1 110561065 110561066 chr1:110561066:G:A rs6656346 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 110561068 110561069 chr1:110561069:C:A rs76676323 C A C EBF1_EBF_1 30 0 - 0 0 . chr1 110593988 110593989 chr1:110593989:G:A rs17026168 G A A EBF1_EBF_1 19 0 + 0 0 . chr1 110621103 110621104 chr1:110621104:C:G rs537309177 C G C EBF1_EBF_1 8 1 - 9.577633302307333 4.25897444139265 AATCCCCAGAGATT chr1 110629118 110629119 chr1:110629119:C:A rs1979701 C A C EBF1_EBF_1 -1 0 - 0 0 . chr1 110675497 110675498 chr1:110675498:A:G rs7528937 A G A EBF1_EBF_1 11 1 - 5.9503270053116974 5.632279563558034 CCTCCCCAGGGTTT chr1 110772395 110772396 chr1:110772396:G:T rs12124317 G T G EBF1_EBF_1 3 1 - 8.210962416785966 1.3047883873201582 GTCCCCCAGGGAGC chr1 110781339 110781340 chr1:110781340:T:G rs140703058 T G T EBF1_EBF_1 11 1 - 8.504754140638665 4.088792442786278 AGCCCCGTGGGACC chr1 110787812 110787813 chr1:110787813:C:T rs370286785 C T C EBF1_EBF_1 -13 0 - 0 0 . chr1 110788273 110788274 chr1:110788274:C:T rs343810 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 110864376 110864377 chr1:110864377:T:C rs6703912 T C C EBF1_EBF_1 -3 0 + 0 0 . chr1 110874476 110874477 chr1:110874477:A:C rs10494122 A C A EBF1_EBF_1 25 0 + 0 0 . chr1 111008260 111008261 chr1:111008261:T:C rs1144596 T C T EBF1_EBF_1 -18 0 - 0 0 . chr1 111044698 111044699 chr1:111044699:T:C rs1774779 T C C EBF1_EBF_1 2 1 + 5.893752637039661 4.004929225597511 TCTCACAAGGGACT chr1 111044726 111044727 chr1:111044727:G:T rs1659658 G T T EBF1_EBF_1 30 0 + 0 0 . chr1 111083069 111083070 chr1:111083070:C:T rs663835 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 111140785 111140786 chr1:111140786:A:G rs112574186 A G A EBF1_EBF_1 23 0 + 0 0 . chr1 111140794 111140795 chr1:111140795:G:C rs78694489 G C G EBF1_EBF_1 32 0 + 0 0 . chr1 111223063 111223064 chr1:111223064:T:G rs370576563 T G T EBF1_EBF_1 25 0 - 0 0 . chr1 111223099 111223100 chr1:111223100:G:A rs12735522 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 111303910 111303911 chr1:111303911:C:T rs12727314 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 111346246 111346247 chr1:111346247:G:T rs148145313 G T G EBF1_EBF_1 12 1 + 5.116515494698417 5.478884867825719 GTTGCCCAGGGAGT chr1 111364374 111364375 chr1:111364375:A:G rs1337223 A G A EBF1_EBF_1 27 0 - 0 0 . chr1 111366933 111366934 chr1:111366934:C:A rs17027535 C A C EBF1_EBF_1 -17 0 - 0 0 . chr1 111449256 111449257 chr1:111449257:G:A rs144089879 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 111476034 111476035 chr1:111476035:G:A rs6703267 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 111507472 111507473 chr1:111507473:G:A rs146093832 G A G EBF1_EBF_1 2 1 - 6.1275982313623585 8.01642164280451 AACCCCAAGGGCAA chr1 111513027 111513028 chr1:111513028:C:T rs11102291 C T T EBF1_EBF_1 -1 0 + 0 0 . chr1 111602880 111602881 chr1:111602881:T:C rs12045658 T C T EBF1_EBF_1 27 0 + 0 0 . chr1 111605333 111605334 chr1:111605334:C:A rs7529641 C A C EBF1_EBF_1 -5 0 + 0 0 . chr1 111725424 111725425 chr1:111725425:G:C rs197430 G C C EBF1_EBF_1 -12 0 - 0 0 . chr1 111726887 111726888 chr1:111726888:C:T rs74400511 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 111733985 111733986 chr1:111733986:T:C rs11102329 T C T EBF1_EBF_1 7 1 - 9.077234428756007 7.764776023467181 CTTCCCCAGGGGCT chr1 111740154 111740155 chr1:111740155:G:A rs3754029 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 111748934 111748935 chr1:111748935:G:C rs12732051 G C G EBF1_EBF_1 -14 0 - 0 0 . chr1 111755130 111755131 chr1:111755131:C:T rs186760063 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 111778223 111778224 chr1:111778224:T:G rs535183 T G G EBF1_EBF_1 0 1 - 8.104494336112928 5.849235852416329 ACTCCCCAGGGGCG chr1 111805556 111805557 chr1:111805557:T:G rs1871216 T G T EBF1_EBF_1 31 0 - 0 0 . chr1 111811595 111811596 chr1:111811596:C:G rs17028659 C G G EBF1_EBF_1 -12 0 + 0 0 . chr1 111831732 111831733 chr1:111831733:G:T rs57643462 G T G EBF1_EBF_1 8 1 + 5.181496203624924 -1.7099365853522699 GGCCCCACGAGAAT chr1 111835020 111835021 chr1:111835021:G:A rs1318670 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 111848566 111848567 chr1:111848567:G:A rs148744144 G A G EBF1_EBF_1 -9 0 + 0 0 . chr1 111874855 111874856 chr1:111874856:C:G rs72692572 C G C EBF1_EBF_1 27 0 + 0 0 . chr1 111877441 111877442 chr1:111877442:C:T rs188205490 C T C EBF1_EBF_1 -20 0 - 0 0 . chr1 111883153 111883154 chr1:111883154:C:T rs58353271 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 111883174 111883175 chr1:111883175:C:T rs17028939 C T T EBF1_EBF_1 19 0 + 0 0 . chr1 111934491 111934492 chr1:111934492:G:A rs17726989 G A G EBF1_EBF_1 -19 0 + 0 0 . chr1 111984745 111984746 chr1:111984746:C:G rs3820673 C G C EBF1_EBF_1 26 0 - 0 0 . chr1 111989944 111989945 chr1:111989945:C:T rs1443921 C T C EBF1_EBF_1 9 1 - 6.005133397560531 3.3501941321129274 CCCCCCTCGGGAAA chr1 111990671 111990672 chr1:111990672:G:A rs544252828 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 112179292 112179293 chr1:112179293:G:A rs11102404 G A A EBF1_EBF_1 -2 0 - 0 0 . chr1 112235976 112235977 chr1:112235977:C:T rs12567401 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 112235981 112235982 chr1:112235982:T:C rs12564809 T C T EBF1_EBF_1 -20 0 - 0 0 . chr1 112244871 112244872 chr1:112244872:G:T rs7520845 G T T EBF1_EBF_1 3 1 - 4.008638339748402 -2.8975356897174036 CGCCCCAGGGGCCT chr1 112247136 112247137 chr1:112247137:G:A rs59302375 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 112298737 112298738 chr1:112298738:C:T rs565351337 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 112298756 112298757 chr1:112298757:C:T rs61818679 C T C EBF1_EBF_1 5 1 + 6.37914045925267 1.0573532437119995 ACCCCCAGGGGGCA chr1 112313947 112313948 chr1:112313948:C:T rs7555668 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 112314963 112314964 chr1:112314964:C:G rs3128372 C G G EBF1_EBF_1 -13 0 + 0 0 . chr1 112341716 112341717 chr1:112341717:C:T rs181029683 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 112386844 112386845 chr1:112386845:G:T rs4240532 G T g EBF1_EBF_1 -12 0 - 0 0 . chr1 112463185 112463186 chr1:112463186:T:A rs2488787 T A T EBF1_EBF_1 1 1 + 6.232787291215606 5.266426673464776 ATTCCCTAGGTGCA chr1 112504084 112504085 chr1:112504085:C:T rs72993043 C T C EBF1_EBF_1 12 1 - 6.721519149100062 8.140336491199538 CTCCCCAAGGGAGG chr1 112508808 112508809 chr1:112508809:G:C rs566116700 G C G EBF1_EBF_1 -3 0 + 0 0 . chr1 112509151 112509152 chr1:112509152:C:T rs145615759 C T C EBF1_EBF_1 28 0 - 0 0 . chr1 112509174 112509175 chr1:112509175:G:C rs572910855 G C G EBF1_EBF_1 5 1 - 4.350760740905069 -2.554120411730099 ACCCCCCTGGGGGG chr1 112522543 112522544 chr1:112522544:G:A rs77398753 G A G EBF1_EBF_1 3 1 - 7.594828090677739 1.1894293491244206 GACCCCAAGGGATA chr1 112694208 112694209 chr1:112694209:C:T rs534598660 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 112702234 112702235 chr1:112702235:C:T rs116094875 C T C EBF1_EBF_1 6 1 + 5.970171453442362 6.27145758230412 CTCCCCCAGGGGCA chr1 112705090 112705091 chr1:112705091:G:A rs35221527 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 112707431 112707432 chr1:112707432:G:A chr1:112707432:G:A G A G EBF1_EBF_1 -17 0 - 0 0 . chr1 112714948 112714949 chr1:112714949:G:A rs58318895 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 112763150 112763151 chr1:112763151:C:T rs766538420 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 112763151 112763152 chr1:112763152:G:A rs147295554 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 112763155 112763156 chr1:112763156:C:G rs534803102 C G C EBF1_EBF_1 18 0 - 0 0 . chr1 112805269 112805270 chr1:112805270:G:C rs72683410 G C G EBF1_EBF_1 -18 0 - 0 0 . chr1 112849592 112849593 chr1:112849593:C:A rs61819969 C A C EBF1_EBF_1 2 1 + 5.442266226400117 3.6466220502433924 ACCCCCTGGAGACG chr1 112955447 112955448 chr1:112955448:G:C rs41283086 G C G EBF1_EBF_1 26 0 + 0 0 . chr1 113178112 113178113 chr1:113178113:C:T rs67675355 C T C EBF1_EBF_1 22 0 - 0 0 . chr1 113178139 113178140 chr1:113178140:A:C rs67026897 A C C EBF1_EBF_1 -5 0 - 0 0 . chr1 113275497 113275498 chr1:113275498:G:A rs773573 G A . EBF1_EBF_1 3 1 - 5.73949351306281 -0.6659052284905063 TCTCCCTTGGGCTT chr1 113332133 113332134 chr1:113332134:C:T rs1935747 C T C EBF1_EBF_1 -3 0 - 0 0 . chr1 113332150 113332151 chr1:113332151:T:G rs1935746 T G T EBF1_EBF_1 -20 0 - 0 0 . chr1 113352004 113352005 chr1:113352005:G:A rs1935745 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 114003595 114003596 chr1:114003596:C:T rs61819209 C T C EBF1_EBF_1 3 1 + 9.91932073480858 3.5139219932552637 ATCCCCATGGGAAG chr1 114034130 114034131 chr1:114034131:G:A rs74112552 G A A EBF1_EBF_1 33 0 + 0 0 . chr1 114078603 114078604 chr1:114078604:A:C rs12123649 A C A EBF1_EBF_1 13 1 + 5.308205066412262 5.681950515698238 TGCCCCCTGAGACA chr1 114136513 114136514 chr1:114136514:C:G rs13375338 C G C EBF1_EBF_1 3 1 + 6.368257029522764 0.5404925814075483 CAACCCAGGGGACT chr1 114165397 114165398 chr1:114165398:G:A rs79864939 G A G EBF1_EBF_1 11 1 + 7.62756142154549 10.520268265149502 ACCCCCAGGGGGAT chr1 114222102 114222103 chr1:114222103:A:G rs76514230 A G A EBF1_EBF_1 0 1 + 7.290164197070694 5.397880327505862 AATCCCCAGGGTTC chr1 114252374 114252375 chr1:114252375:T:G rs6682019 T G T EBF1_EBF_1 11 1 - 7.7491106721830265 3.333148974330637 ATTCCCAAGATACT chr1 114338210 114338211 chr1:114338211:G:A rs10158598 G A G EBF1_EBF_1 -15 0 + 0 0 . chr1 114346209 114346210 chr1:114346210:T:G rs559692 T G G EBF1_EBF_1 -19 0 + 0 0 . chr1 114346241 114346242 chr1:114346242:C:A rs190013670 C A C EBF1_EBF_1 13 1 + 8.727472906737345 8.35372745745137 CCTCCCCTGGGATC chr1 114511289 114511290 chr1:114511290:A:G rs139556778 A G A EBF1_EBF_1 -4 0 + 0 0 . chr1 114511305 114511306 chr1:114511306:G:A rs889528909 G A G EBF1_EBF_1 12 1 + 5.548114688868992 6.9669320309684695 CCTCCCGAGGGAGG chr1 114511319 114511320 chr1:114511320:T:G rs7547048 T G G EBF1_EBF_1 26 0 + 0 0 . chr1 114582137 114582138 chr1:114582138:C:T rs188072649 C T C EBF1_EBF_1 3 1 + 3.815780928055549 -2.5896178134977688 AACCCCCCGGGCCC chr1 114757898 114757899 chr1:114757899:C:T rs138272294 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 115112736 115112737 chr1:115112737:G:A rs17479660 G A A EBF1_EBF_1 6 1 + 7.339888294303755 9.506682725578228 TTCCCCGAGGGACA chr1 115112753 115112754 chr1:115112754:C:A rs72697962 C A C EBF1_EBF_1 23 0 + 0 0 . chr1 115115177 115115178 chr1:115115178:C:T rs12124533 C T C EBF1_EBF_1 6 1 - 6.11850293554354 8.285297366818014 AGCCCCGAGGGGAC chr1 115118517 115118518 chr1:115118518:C:A rs1750402 C A C EBF1_EBF_1 -20 0 - 0 0 . chr1 115168782 115168783 chr1:115168783:T:C rs114900266 T C C EBF1_EBF_1 -1 0 - 0 0 . chr1 115181370 115181371 chr1:115181371:C:G rs1286207 C G C EBF1_EBF_1 -8 0 - 0 0 . chr1 115210694 115210695 chr1:115210695:T:C rs1419076 T C T EBF1_EBF_1 -10 0 + 0 0 . chr1 115216872 115216873 chr1:115216873:G:A rs139289339 G A G EBF1_EBF_1 -17 0 - 0 0 . chr1 115218282 115218283 chr1:115218283:A:G rs12131229 A G A EBF1_EBF_1 -1 0 - 0 0 . chr1 115266813 115266814 chr1:115266814:C:T rs147029862 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 115266817 115266818 chr1:115266818:A:C rs77267109 A C C EBF1_EBF_1 -7 0 + 0 0 . chr1 115300536 115300537 chr1:115300537:G:A rs72991986 G A G EBF1_EBF_1 9 1 + 10.177124856960297 7.522185591512693 CATCCCAAGGGAAA chr1 115313292 115313293 chr1:115313293:G:T rs4240543 G T T EBF1_EBF_1 -16 0 + 0 0 . chr1 115313293 115313294 chr1:115313294:T:G rs4240544 T G G EBF1_EBF_1 -15 0 + 0 0 . chr1 115409927 115409928 chr1:115409928:G:A rs17163881 G A C EBF1_EBF_1 22 0 + 0 0 . chr1 115442505 115442506 chr1:115442506:C:T rs2334916 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 115445145 115445146 chr1:115445146:A:G rs538861 A G A EBF1_EBF_1 14 0 + 0 0 . chr1 115453999 115454000 chr1:115454000:A:G rs17033841 A G A EBF1_EBF_1 25 0 + 0 0 . chr1 115457434 115457435 chr1:115457435:C:T rs112929653 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 115467547 115467548 chr1:115467548:T:C chr1:115467548:T:C T C T EBF1_EBF_1 11 1 - 7.991953925319243 5.099247081715232 GTTCCCATAGGACT chr1 115475824 115475825 chr1:115475825:C:T rs147077035 C T C EBF1_EBF_1 -11 0 - 0 0 . chr1 115479356 115479357 chr1:115479357:C:T rs151328532 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 115514535 115514536 chr1:115514536:T:C rs36016267 T C t EBF1_EBF_1 6 1 - 4.992233207274359 2.825438775999884 ATCCCCAATGGAAC chr1 115529666 115529667 chr1:115529667:C:T rs72699578 C T C EBF1_EBF_1 3 1 + 5.982633981792597 -0.42276475976071937 ATTCTCCAGAGACA chr1 115666322 115666323 chr1:115666323:C:T rs12136690 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 115666668 115666669 chr1:115666669:C:T rs4839470 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 115669988 115669989 chr1:115669989:G:A rs12137858 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 115671163 115671164 chr1:115671164:T:C rs11590278 T C T EBF1_EBF_1 31 0 - 0 0 . chr1 115676397 115676398 chr1:115676398:T:C rs2999460 T C t EBF1_EBF_1 6 1 - 6.199271829806209 4.032477398531733 ACTGCCATGGGAAA chr1 115712119 115712120 chr1:115712120:C:T rs10923251 C T C EBF1_EBF_1 27 0 - 0 0 . chr1 115766816 115766817 chr1:115766817:T:G rs10801991 T G T EBF1_EBF_1 -11 0 - 0 0 . chr1 115768278 115768279 chr1:115768279:C:T rs12067339 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 115796143 115796144 chr1:115796144:C:T rs9428236 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 115805941 115805942 chr1:115805942:T:C rs10923504 T C T EBF1_EBF_1 -18 0 - 0 0 . chr1 115810934 115810935 chr1:115810935:A:C rs2797184 A C A EBF1_EBF_1 -16 0 - 0 0 . chr1 115834034 115834035 chr1:115834035:G:A rs146588118 G A G EBF1_EBF_1 0 1 - 5.841655963326179 6.123858024056757 CTTCCCACAGGAAT chr1 115880198 115880199 chr1:115880199:C:T rs115059501 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 115911948 115911949 chr1:115911949:T:C rs6685351 T C C EBF1_EBF_1 21 0 - 0 0 . chr1 115911949 115911950 chr1:115911950:G:A rs10923779 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 116046888 116046889 chr1:116046889:G:A rs72996755 G A G EBF1_EBF_1 17 0 - 0 0 . chr1 116134348 116134349 chr1:116134349:C:A rs7521251 C A C EBF1_EBF_1 -1 0 + 0 0 . chr1 116165526 116165527 chr1:116165527:G:A rs7544935 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 116168080 116168081 chr1:116168081:C:G rs557327343 C G C EBF1_EBF_1 7 1 - 5.356100446075731 4.374779864994375 CGTCCCAGGAGAAG chr1 116170271 116170272 chr1:116170272:C:T rs10737764 C T T EBF1_EBF_1 1 1 + 10.954590699308797 11.850024139707541 GCTCCCAAGGGACC chr1 116226275 116226276 chr1:116226276:A:G rs10754436 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 116263409 116263410 chr1:116263410:A:G rs9428192 A G A EBF1_EBF_1 -11 0 - 0 0 . chr1 116324903 116324904 chr1:116324904:A:G rs6704339 A G G EBF1_EBF_1 11 1 + 7.126373528161723 4.233666684557712 TGTCCCAGGAGAAC chr1 116372256 116372257 chr1:116372257:G:A rs145622218 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 116374002 116374003 chr1:116374003:C:T rs974358825 C T C EBF1_EBF_1 6 1 + 6.268395052727517 6.5696811815892735 CTTCCCCTGGGGCG chr1 116374006 116374007 chr1:116374007:G:T rs569948355 G T G EBF1_EBF_1 10 1 + 6.268395052727517 2.0856428665002515 CTTCCCCTGGGGCG chr1 116374862 116374863 chr1:116374863:G:A rs61788009 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 116433224 116433225 chr1:116433225:A:G rs561492306 A G A EBF1_EBF_1 20 0 - 0 0 . chr1 116433231 116433232 chr1:116433232:G:A rs7550944 G A G EBF1_EBF_1 13 1 - 5.535500222171555 6.379994336757073 GATCCCTAGGAAAC chr1 116433251 116433252 chr1:116433252:G:C rs75422880 G C G EBF1_EBF_1 -7 0 - 0 0 . chr1 116433570 116433571 chr1:116433571:A:G rs78461186 A G A EBF1_EBF_1 14 0 - 0 0 . chr1 116449005 116449006 chr1:116449006:G:A rs76597784 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 116451935 116451936 chr1:116451936:C:A rs61789168 C A C EBF1_EBF_1 1 1 + 9.931784586880632 9.860857409528547 ACCCCCAAGGGATC chr1 116472944 116472945 chr1:116472945:C:T rs58330488 C T C EBF1_EBF_1 2 1 + 7.237914118481113 9.126737529923265 GGCCCCCGGGGAAA chr1 116490160 116490161 chr1:116490161:G:A rs4839073 G A G EBF1_EBF_1 1 1 + 8.970942588884164 8.710243756962862 CGTCCCAAGAGACT chr1 116570929 116570930 chr1:116570930:T:C rs17426456 T C C EBF1_EBF_1 -4 0 + 0 0 . chr1 116643590 116643591 chr1:116643591:G:T rs61787762 G T G EBF1_EBF_1 13 1 - 5.023561481911114 4.649816032625138 ATCCCCAGGAGGAC chr1 116755858 116755859 chr1:116755859:G:A rs191667083 G A G EBF1_EBF_1 3 1 - 4.600369089841048 -1.8050296517122695 ATCCCCCCGGGCTT chr1 116762453 116762454 chr1:116762454:C:T rs3136706 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 116785352 116785353 chr1:116785353:G:A rs798048 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 116817723 116817724 chr1:116817724:C:T rs145663852 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 116825145 116825146 chr1:116825146:C:T rs76981618 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 116920318 116920319 chr1:116920319:T:C rs2250849 T C C EBF1_EBF_1 -20 0 - 0 0 . chr1 116966498 116966499 chr1:116966499:A:G rs10923185 A G A EBF1_EBF_1 -8 0 - 0 0 . chr1 116987854 116987855 chr1:116987855:G:A rs10801923 G A A EBF1_EBF_1 -7 0 - 0 0 . chr1 117036127 117036128 chr1:117036128:C:T rs2296449 C T T EBF1_EBF_1 -2 0 - 0 0 . chr1 117036140 117036141 chr1:117036141:A:T rs2296448 A T A EBF1_EBF_1 -15 0 - 0 0 . chr1 117062232 117062233 chr1:117062233:A:G rs75261221 A G A EBF1_EBF_1 11 1 + 6.528813598984788 3.636106755380778 TTCCCCAAAGGAAT chr1 117087656 117087657 chr1:117087657:C:T rs3820493 C T C EBF1_EBF_1 1 1 + 4.833061141517624 5.728494581916369 CCTCCCTGGGGCAC chr1 117094499 117094500 chr1:117094500:A:C rs115942879 A C A EBF1_EBF_1 32 0 - 0 0 . chr1 117094537 117094538 chr1:117094538:C:T rs17036849 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 117122530 117122531 chr1:117122531:G:A rs192346485 G A G EBF1_EBF_1 3 1 - 4.872834353787488 -1.5325643877658293 ACTCCCCCCGGACC chr1 117541625 117541626 chr1:117541626:C:T rs10923336 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 117561392 117561393 chr1:117561393:G:A rs75193808 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 117571748 117571749 chr1:117571749:A:G rs75175267 A G A EBF1_EBF_1 -16 0 + 0 0 . chr1 117571760 117571761 chr1:117571761:C:T rs114946073 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 117582260 117582261 chr1:117582261:C:T rs851808 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 117598808 117598809 chr1:117598809:C:T rs768443050 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 117598819 117598820 chr1:117598820:T:G rs56336457 T G T EBF1_EBF_1 15 0 - 0 0 . chr1 117599208 117599209 chr1:117599209:T:C rs12407159 T C T EBF1_EBF_1 8 1 - 6.579404753964651 10.805694842850912 GTCCCCAAAGGACT chr1 117599218 117599219 chr1:117599219:G:A rs12040533 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 117599224 117599225 chr1:117599225:A:T rs12037427 A T A EBF1_EBF_1 -8 0 - 0 0 . chr1 117605398 117605399 chr1:117605399:C:T rs746716341 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 117605621 117605622 chr1:117605622:C:T rs75068678 C T C EBF1_EBF_1 6 1 + 6.8826987932391 7.183984922100858 AATCCCCAGAGAGG chr1 117614911 117614912 chr1:117614912:C:T rs112599772 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 117676945 117676946 chr1:117676946:C:T rs12116919 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 117678311 117678312 chr1:117678312:C:T rs12059523 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 117686356 117686357 chr1:117686357:C:G rs12402794 C G C EBF1_EBF_1 5 1 + 5.438805768277434 -1.4660753843577334 GCTCCCTGGAGACG chr1 117714008 117714009 chr1:117714009:A:T rs35152453 A T A EBF1_EBF_1 0 1 + 5.420317141648654 3.4472607186826307 ACTCCCTAGGAGCT chr1 117719223 117719224 chr1:117719224:A:G rs11582010 A G A EBF1_EBF_1 -6 0 - 0 0 . chr1 117780542 117780543 chr1:117780543:T:G rs4373746 T G T EBF1_EBF_1 25 0 - 0 0 . chr1 117815012 117815013 chr1:117815013:T:C rs851311 T C T EBF1_EBF_1 6 1 + 5.76102916044427 5.459743031582512 TACCCCTGGAGAAT chr1 117821103 117821104 chr1:117821104:G:T rs10754362 G T T EBF1_EBF_1 23 0 - 0 0 . chr1 117822398 117822399 chr1:117822399:C:T rs1361782 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 117827436 117827437 chr1:117827437:C:T rs927218 C T C EBF1_EBF_1 10 1 - 5.802869813755428 0.484841354488462 CTTCCCAAAGGAAG chr1 117861103 117861104 chr1:117861104:A:G rs74113063 A G G EBF1_EBF_1 -18 0 - 0 0 . chr1 117861773 117861774 chr1:117861774:T:C rs4141472 T C T EBF1_EBF_1 -11 0 + 0 0 . chr1 117929582 117929583 chr1:117929583:T:C rs116428293 T C T EBF1_EBF_1 18 0 + 0 0 . chr1 118008312 118008313 chr1:118008313:C:T rs1925832 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 118110574 118110575 chr1:118110575:C:G rs28711542 C G G EBF1_EBF_1 26 0 + 0 0 . chr1 118310351 118310352 chr1:118310352:C:T rs12731372 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 118377885 118377886 chr1:118377886:G:A rs7515407 G A G EBF1_EBF_1 4 1 - 6.188486290381701 1.8190993454367776 AGCCCCCAGGGCTT chr1 118377888 118377889 chr1:118377889:C:A rs10923558 C A C EBF1_EBF_1 1 1 - 6.188486290381701 6.894148076211228 AGCCCCCAGGGCTT chr1 118462076 118462077 chr1:118462077:T:C rs139514291 T C T EBF1_EBF_1 21 0 - 0 0 . chr1 118557357 118557358 chr1:118557358:A:G rs11590559 A G G EBF1_EBF_1 32 0 - 0 0 . chr1 118589377 118589378 chr1:118589378:C:T rs1343297 C T C EBF1_EBF_1 7 1 - 8.131211095721632 9.443669501010454 ATCCCCCGGGGGCT chr1 118786754 118786755 chr1:118786755:A:G rs2712270 A G G EBF1_EBF_1 28 0 + 0 0 . chr1 118948449 118948450 chr1:118948450:G:A rs116087191 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 118952691 118952692 chr1:118952692:T:C rs12139133 T C t EBF1_EBF_1 -4 0 + 0 0 . chr1 118952693 118952694 chr1:118952694:A:G rs12138343 A G a EBF1_EBF_1 -2 0 + 0 0 . chr1 118987158 118987159 chr1:118987159:T:G rs78368506 T G T EBF1_EBF_1 -5 0 + 0 0 . chr1 118988779 118988780 chr1:118988780:T:C rs4144544 T C T EBF1_EBF_1 25 0 + 0 0 . chr1 119020548 119020549 chr1:119020549:G:C rs12025128 G C A EBF1_EBF_1 -9 0 + 0 0 . chr1 119080820 119080821 chr1:119080821:G:A rs1387686829 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 119112206 119112207 chr1:119112207:C:G rs78270676 C G C EBF1_EBF_1 31 0 + 0 0 . chr1 119116747 119116748 chr1:119116748:G:A rs34231706 G A G EBF1_EBF_1 5 1 - 5.516540727529711 0.19475351198904112 CTTCCCTGGGGCTT chr1 119116751 119116752 chr1:119116752:A:C rs74603689 A C A EBF1_EBF_1 1 1 - 5.516540727529711 4.810878941700183 CTTCCCTGGGGCTT chr1 119117225 119117226 chr1:119117226:A:G rs6677940 A G G EBF1_EBF_1 21 0 + 0 0 . chr1 119138965 119138966 chr1:119138966:C:T rs4508061 C T C EBF1_EBF_1 3 1 - 5.19961813002305 4.12120854867246 ACTGCCCAGGGAAG chr1 119182562 119182563 chr1:119182563:G:A rs11484962 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 119210135 119210136 chr1:119210136:A:G rs4556405 A G G EBF1_EBF_1 22 0 - 0 0 . chr1 119244395 119244396 chr1:119244396:C:T rs61807016 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 119291835 119291836 chr1:119291836:C:T chr1:119291836:C:T C T - EBF1_EBF_1 3 1 + 6.271702567640129 -0.13369617391318733 CTTCCCCGGGGCAT chr1 119291839 119291840 chr1:119291840:G:A rs7555778 G A A EBF1_EBF_1 7 1 + 6.271702567640129 7.584160972928955 CTTCCCCGGGGCAT chr1 119304400 119304401 chr1:119304401:C:T rs10754394 C T T EBF1_EBF_1 19 0 + 0 0 . chr1 119318944 119318945 chr1:119318945:C:T rs192965219 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 119348142 119348143 chr1:119348143:A:G rs838536 A G G EBF1_EBF_1 -5 0 + 0 0 . chr1 119368899 119368900 chr1:119368900:A:C rs115518036 A C C EBF1_EBF_1 -16 0 - 0 0 . chr1 119372084 119372085 chr1:119372085:G:T rs116269557 G T G EBF1_EBF_1 19 0 - 0 0 . chr1 119444639 119444640 chr1:119444640:G:A rs2050891 G A . EBF1_EBF_1 16 0 + 0 0 . chr1 119453104 119453105 chr1:119453105:T:C rs56183667 T C . EBF1_EBF_1 -20 0 - 0 0 . chr1 119468457 119468458 chr1:119468458:A:G rs12406851 A G . EBF1_EBF_1 27 0 + 0 0 . chr1 119483111 119483112 chr1:119483112:T:C rs7517402 T C . EBF1_EBF_1 30 0 - 0 0 . chr1 119483125 119483126 chr1:119483126:C:T rs115880530 C T . EBF1_EBF_1 16 0 - 0 0 . chr1 119485512 119485513 chr1:119485513:C:T rs114168943 C T . EBF1_EBF_1 11 1 + 7.171517432488634 7.489564874242298 TTTCCCAAGGGCTT chr1 119509457 119509458 chr1:119509458:G:A rs190325978 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 119515555 119515556 chr1:119515556:A:G rs34035441 A G A EBF1_EBF_1 12 1 - 10.41121587554219 11.437482446093039 GCTCCCCAGGGATT chr1 119517312 119517313 chr1:119517313:G:T rs78272405 G T G EBF1_EBF_1 9 1 + 4.732362851883712 -2.104626556205097 CTTCCCAGGGGCTC chr1 119517862 119517863 chr1:119517863:G:A rs56673765 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 119534769 119534770 chr1:119534770:A:G rs3737652 A G G EBF1_EBF_1 -4 0 - 0 0 . chr1 119586040 119586041 chr1:119586041:G:A rs346670 G A G EBF1_EBF_1 9 1 + 6.863453448060485 4.208514182612882 AATCCCAGAGGACA chr1 119586059 119586060 chr1:119586060:T:A rs76117647 T A T EBF1_EBF_1 28 0 + 0 0 . chr1 119611446 119611447 chr1:119611447:G:C rs6656016 G C G EBF1_EBF_1 32 0 - 0 0 . chr1 119631625 119631626 chr1:119631626:C:T rs911921685 C T C EBF1_EBF_1 2 1 + 4.912369123412944 6.801192534855095 AGCCCCCAGGGCAG chr1 119633503 119633504 chr1:119633504:A:G rs838987 A G G EBF1_EBF_1 7 1 + 5.308603426718218 3.9961450214293923 AGACCCAAGAGAGC chr1 119673401 119673402 chr1:119673402:A:G rs612430 A G G EBF1_EBF_1 -13 0 - 0 0 . chr1 119715572 119715573 chr1:119715573:T:A chr1:119715573:T:A T A T EBF1_EBF_1 -19 0 + 0 0 . chr1 119721019 119721020 chr1:119721020:T:C rs550174 T C T EBF1_EBF_1 -2 0 - 0 0 . chr1 119721033 119721034 chr1:119721034:A:G rs894079 A G A EBF1_EBF_1 -16 0 - 0 0 . chr1 119796870 119796871 chr1:119796871:G:A rs1163544 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 119943437 119943438 chr1:119943438:G:A rs6672942 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 119966073 119966074 chr1:119966074:T:A rs7513674 T A T EBF1_EBF_1 -5 0 - 0 0 . chr1 120115189 120115190 chr1:120115190:G:A rs113736263 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 120341099 120341100 chr1:120341100:G:C rs1325636877 G C g EBF1_EBF_1 28 0 - 0 0 . chr1 120341169 120341170 chr1:120341170:G:A rs1431591355 G A g EBF1_EBF_1 10 1 - 6.851505621942902 9.55955582304511 ATTCCCAAGGCACC chr1 120341239 120341240 chr1:120341240:G:A rs1290343197 G A g EBF1_EBF_1 27 0 - 0 0 . chr1 120450397 120450398 chr1:120450398:C:T rs1197496743 C T c EBF1_EBF_1 15 0 - 0 0 . chr1 120737699 120737700 chr1:120737700:G:T rs1196433316 G T g EBF1_EBF_1 -12 0 - 0 0 . chr1 120810371 120810372 chr1:120810372:A:G rs782734924 A G a EBF1_EBF_1 15 0 - 0 0 . chr1 120914038 120914039 chr1:120914039:G:C rs1290403270 G C g EBF1_EBF_1 0 1 + 6.536827952173213 6.173853338041447 GCTCCCCCGGGAGA chr1 121097292 121097293 chr1:121097293:G:A rs190680999 G A g EBF1_EBF_1 -15 0 + 0 0 . chr1 121097298 121097299 chr1:121097299:G:A rs1483347921 G A g EBF1_EBF_1 -9 0 + 0 0 . chr1 121097320 121097321 chr1:121097321:C:G rs587716550 C G c EBF1_EBF_1 13 1 + 3.64599759079538 2.1579265694399656 AGCGCCCGGGGACC chr1 143698181 143698182 chr1:143698182:G:C rs114134021 G C . EBF1_EBF_1 27 0 - 0 0 . chr1 143736302 143736303 chr1:143736303:G:C rs4083927 G C . EBF1_EBF_1 -17 0 - 0 0 . chr1 145281454 145281455 chr1:145281455:G:T rs587695384 G T . EBF1_EBF_1 3 1 - 6.548040876051277 -0.3581331534145295 CCTCCCAAGAGAGC chr1 145425004 145425005 chr1:145425005:G:T rs1276843454 G T . EBF1_EBF_1 20 0 + 0 0 . chr1 145607871 145607872 chr1:145607872:C:T rs1466111078 C T . EBF1_EBF_1 -17 0 + 0 0 . chr1 145607909 145607910 chr1:145607910:G:C rs768711082 G C . EBF1_EBF_1 21 0 + 0 0 . chr1 145845519 145845520 chr1:145845520:G:T rs149748783 G T G EBF1_EBF_1 20 0 - 0 0 . chr1 145845551 145845552 chr1:145845552:C:G rs1887803 C G C EBF1_EBF_1 -12 0 - 0 0 . chr1 145857482 145857483 chr1:145857483:C:G rs12756687 C G T EBF1_EBF_1 7 1 + 7.39615420269643 8.377474783777785 AGCCCCACGGGAAG chr1 145870853 145870854 chr1:145870854:G:T rs10752825 G T G EBF1_EBF_1 -4 0 - 0 0 . chr1 145872577 145872578 chr1:145872578:C:T rs146166584 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 145892820 145892821 chr1:145892821:T:C rs75496347 T C T EBF1_EBF_1 -6 0 + 0 0 . chr1 145901761 145901762 chr1:145901762:A:G rs2274619 A G G EBF1_EBF_1 -3 0 - 0 0 . chr1 145957434 145957435 chr1:145957435:T:A rs142902143 T A T EBF1_EBF_1 -8 0 - 0 0 . chr1 145962854 145962855 chr1:145962855:G:C rs1277115060 G C G EBF1_EBF_1 -20 0 - 0 0 . chr1 146443379 146443380 chr1:146443380:C:A chr1:146443380:C:A C A C EBF1_EBF_1 -4 0 - 0 0 . chr1 146486948 146486949 chr1:146486949:G:A rs1200573540 G A . EBF1_EBF_1 -11 0 - 0 0 . chr1 146939229 146939230 chr1:146939230:C:T rs374767647 C T . EBF1_EBF_1 19 0 - 0 0 . chr1 146948829 146948830 chr1:146948830:T:C rs369969307 T C . EBF1_EBF_1 0 1 - 5.03860360144962 3.1463197318847858 ATTGCCCAGGGATG chr1 146962290 146962291 chr1:146962291:G:T rs1171705395 G T . EBF1_EBF_1 -7 0 - 0 0 . chr1 147064017 147064018 chr1:147064018:A:T rs554708 A T . EBF1_EBF_1 0 1 + 6.4178568773880125 4.44480045442199 ACTCACTAGGGAGT chr1 147080714 147080715 chr1:147080715:A:C rs618622 A C . EBF1_EBF_1 -6 0 + 0 0 . chr1 147098421 147098422 chr1:147098422:C:T rs61838936 C T C EBF1_EBF_1 6 1 + 9.249001306603693 9.550287435465451 ACTCCCCAGGGGAA chr1 147106724 147106725 chr1:147106725:A:T rs11809339 A T A EBF1_EBF_1 31 0 + 0 0 . chr1 147247059 147247060 chr1:147247060:A:G rs12047915 A G A EBF1_EBF_1 11 1 + 8.586031791215925 5.693324947611914 TTTCCCTGGAGACT chr1 147270689 147270690 chr1:147270690:T:C rs12026756 T C C EBF1_EBF_1 9 1 - 8.286839317180533 10.941778582628135 ATTCCCAGGAGACG chr1 147389301 147389302 chr1:147389302:C:A rs587749338 C A C EBF1_EBF_1 20 0 + 0 0 . chr1 147510243 147510244 chr1:147510244:T:A rs74125710 T A T EBF1_EBF_1 18 0 - 0 0 . chr1 147598662 147598663 chr1:147598663:C:T rs73009861 C T C EBF1_EBF_1 10 1 - 6.472358786945685 1.1543303276787191 TCTCCCTGGAGACA chr1 147611944 147611945 chr1:147611945:T:C rs677977 T C C EBF1_EBF_1 -13 0 - 0 0 . chr1 147669573 147669574 chr1:147669574:C:T rs79495255 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 147719894 147719895 chr1:147719895:A:T rs34739004 A T A EBF1_EBF_1 7 1 - 6.524354541831157 7.0006287819187145 AACCTCCTGGGACT chr1 147722328 147722329 chr1:147722329:T:C rs6668159 T C C EBF1_EBF_1 21 0 - 0 0 . chr1 147734279 147734280 chr1:147734280:C:T rs587656051 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 147737410 147737411 chr1:147737411:C:T rs1891504 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 147752747 147752748 chr1:147752748:C:T rs4950343 C T T EBF1_EBF_1 -14 0 + 0 0 . chr1 147771917 147771918 chr1:147771918:A:G rs10793707 A G G EBF1_EBF_1 26 0 + 0 0 . chr1 147811692 147811693 chr1:147811693:C:T rs12072205 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 147890432 147890433 chr1:147890433:G:A rs149060239 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 147890453 147890454 chr1:147890454:C:G rs587743191 C G T EBF1_EBF_1 5 1 + 5.444654995928131 -1.4602261567070365 CACCCCGTGGGAGT chr1 147890472 147890473 chr1:147890473:T:C rs7552436 T C T EBF1_EBF_1 24 0 + 0 0 . chr1 147890473 147890474 chr1:147890474:C:T rs114400747 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 147892695 147892696 chr1:147892696:C:T rs78220215 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 148151501 148151502 chr1:148151502:G:T rs1774476 G T . EBF1_EBF_1 19 0 + 0 0 . chr1 148379232 148379233 chr1:148379233:G:T rs1388259899 G T . EBF1_EBF_1 29 0 - 0 0 . chr1 148379815 148379816 chr1:148379816:A:C rs28542177 A C . EBF1_EBF_1 -15 0 + 0 0 . chr1 148459033 148459034 chr1:148459034:C:A rs1376147550 C A c EBF1_EBF_1 31 0 - 0 0 . chr1 148459056 148459057 chr1:148459057:C:T chr1:148459057:C:T C T c EBF1_EBF_1 8 1 - 6.851505621942902 2.6252155330566405 ATTCCCAAGGCACC chr1 148522952 148522953 chr1:148522953:G:A rs1425948893 G A g EBF1_EBF_1 -10 0 - 0 0 . chr1 149176846 149176847 chr1:149176847:T:C rs1828015 T C N EBF1_EBF_1 7 1 + 8.354332698455837 6.536827952173213 GCTCCCCTGGGAGA chr1 149176872 149176873 chr1:149176873:G:C rs1377941624 G C g EBF1_EBF_1 33 0 + 0 0 . chr1 149607989 149607990 chr1:149607990:G:T rs1398375719 G T g EBF1_EBF_1 -14 0 + 0 0 . chr1 149608009 149608010 chr1:149608010:G:C rs1204855493 G C g EBF1_EBF_1 6 1 + 4.212346027978194 6.017538091451393 ACCCCCGGGGGGCA chr1 149712858 149712859 chr1:149712859:T:C rs1349680882 T C t EBF1_EBF_1 -2 0 - 0 0 . chr1 149792665 149792666 chr1:149792666:A:G rs1443903488 A G A EBF1_EBF_1 -7 0 + 0 0 . chr1 149936280 149936281 chr1:149936281:A:G rs61807549 A G a EBF1_EBF_1 0 1 + 6.563880642734764 4.671596773169931 ACTCCCCAGAGGCA chr1 149942457 149942458 chr1:149942458:G:A rs1061955 G A A EBF1_EBF_1 -14 0 + 0 0 . chr1 150001773 150001774 chr1:150001774:T:C rs74126208 T C T EBF1_EBF_1 9 1 - 7.012618269392809 9.667557534840412 CTTCCCCAGAGAAG chr1 150067345 150067346 chr1:150067346:T:A rs74127404 T A T EBF1_EBF_1 -13 0 + 0 0 . chr1 150149738 150149739 chr1:150149739:C:G rs76413654 C G C EBF1_EBF_1 9 1 - 6.311645544225359 1.2359563978798949 GCTCCCCAGGGGGC chr1 150215039 150215040 chr1:150215040:T:C rs6680040 T C C EBF1_EBF_1 -12 0 + 0 0 . chr1 150236398 150236399 chr1:150236399:C:T rs782141471 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 150257054 150257055 chr1:150257055:G:A rs17646887 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 150257932 150257933 chr1:150257933:G:C rs116085084 G C G EBF1_EBF_1 -19 0 + 0 0 . chr1 150262257 150262258 chr1:150262258:G:T rs34714364 G T G EBF1_EBF_1 4 1 - 8.10214321894548 2.277583595492012 ACCCCCCTGGGGAT chr1 150272678 150272679 chr1:150272679:G:A rs139708128 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 150289239 150289240 chr1:150289240:C:G rs12739706 C G C EBF1_EBF_1 -6 0 - 0 0 . chr1 150319892 150319893 chr1:150319893:G:A rs1694380 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 150363990 150363991 chr1:150363991:C:T rs74854214 C T . EBF1_EBF_1 21 0 - 0 0 . chr1 150363991 150363992 chr1:150363992:G:T rs12046899 G T . EBF1_EBF_1 20 0 - 0 0 . chr1 150364005 150364006 chr1:150364006:G:A rs372809278 G A . EBF1_EBF_1 6 1 - 6.370258746347033 6.671544875208789 AGCCCCCAGGGCCC chr1 150364006 150364007 chr1:150364007:G:T rs587665678 G T . EBF1_EBF_1 5 1 - 6.370258746347033 -0.5346224062881363 AGCCCCCAGGGCCC chr1 150515320 150515321 chr1:150515321:C:A rs78312541 C A C EBF1_EBF_1 8 1 - 11.856641646404226 4.965208857427033 GTTCCCCTGGGACT chr1 150549637 150549638 chr1:150549638:G:C rs74124915 G C G EBF1_EBF_1 33 0 - 0 0 . chr1 150553916 150553917 chr1:150553917:G:A rs76075180 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 150553929 150553930 chr1:150553930:C:T rs6681639 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 150604664 150604665 chr1:150604665:A:T rs369640074 A T A EBF1_EBF_1 -13 0 - 0 0 . chr1 150619733 150619734 chr1:150619734:C:T rs114321702 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 150971886 150971887 chr1:150971887:A:G rs41266595 A G A EBF1_EBF_1 13 1 + 6.412496161964927 5.298170589895488 CTTCTCCAGGGAAA chr1 150981404 150981405 chr1:150981405:G:A rs587687315 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 150981784 150981785 chr1:150981785:G:T rs3754210 G T G EBF1_EBF_1 -15 0 - 0 0 . chr1 151001685 151001686 chr1:151001686:G:A rs771204 G A A EBF1_EBF_1 1 1 + 6.169754026010246 5.909055194088944 AGCCCCTTGGGTAA chr1 151144160 151144161 chr1:151144161:G:A rs74127533 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 151145234 151145235 chr1:151145235:C:T rs114240274 C T C EBF1_EBF_1 19 0 - 0 0 . chr1 151145262 151145263 chr1:151145263:T:G chr1:151145263:T:G T G T EBF1_EBF_1 -9 0 - 0 0 . chr1 151255005 151255006 chr1:151255006:C:G rs11204789 C G C EBF1_EBF_1 1 1 + 6.783067306959972 6.972838961529188 ACCCCCAGGGGGAC chr1 151282457 151282458 chr1:151282458:A:C rs949928737 A C A EBF1_EBF_1 -12 0 - 0 0 . chr1 151282508 151282509 chr1:151282509:C:G rs587738055 C G C EBF1_EBF_1 6 1 + 3.673909855455838 1.86871779198264 CGCCCCCTGGGGCG chr1 151282513 151282514 chr1:151282514:G:T rs78053585 G T G EBF1_EBF_1 11 1 + 3.673909855455838 2.4687024429611233 CGCCCCCTGGGGCG chr1 151292718 151292719 chr1:151292719:C:T rs187446905 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 151292766 151292767 chr1:151292767:G:A rs905571830 G A G EBF1_EBF_1 -17 0 - 0 0 . chr1 151458572 151458573 chr1:151458573:G:A rs112526645 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 151458616 151458617 chr1:151458617:C:T rs567017499 C T C EBF1_EBF_1 32 0 + 0 0 . chr1 151458618 151458619 chr1:151458619:T:C rs1164664133 T C T EBF1_EBF_1 33 0 + 0 0 . chr1 151548949 151548950 chr1:151548950:C:T chr1:151548950:C:T C T C EBF1_EBF_1 24 0 + 0 0 . chr1 151565419 151565420 chr1:151565420:T:C rs12029082 T C T EBF1_EBF_1 7 1 - 5.208081241796802 3.895622836507977 CCCCTCAAGGGAAT chr1 151596601 151596602 chr1:151596602:C:T rs11204859 C T C EBF1_EBF_1 4 1 + 10.705008448631595 6.335621503686672 ACTCCCCAGAGAAT chr1 151654497 151654498 chr1:151654498:G:A rs140472749 G A G EBF1_EBF_1 11 1 + 6.219496914665496 9.112203758269507 TCTCCCCAGGGGTA chr1 151709158 151709159 chr1:151709159:T:C rs2280475 T C C EBF1_EBF_1 0 1 - 4.869489372053789 2.9772055024889537 ACTCCCTAGGCATC chr1 151728046 151728047 chr1:151728047:G:C rs59527020 G C G EBF1_EBF_1 9 1 + 6.284488684247925 1.2087995379024603 ACTCCCCAGGTGAT chr1 151791290 151791291 chr1:151791291:C:T rs3811415 C T C EBF1_EBF_1 8 1 - 10.95645540078618 6.730165311899921 AATCCCCAGGGAAG chr1 151799352 151799353 chr1:151799353:A:G rs33911740 A G G EBF1_EBF_1 -13 0 + 0 0 . chr1 151799386 151799387 chr1:151799387:C:A chr1:151799387:C:A C A C EBF1_EBF_1 21 0 + 0 0 . chr1 151800690 151800691 chr1:151800691:G:A rs12409493 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 151801374 151801375 chr1:151801375:T:A rs61746299 T A T EBF1_EBF_1 29 0 + 0 0 . chr1 151820337 151820338 chr1:151820338:G:A rs949969 G A G EBF1_EBF_1 8 1 + 5.202323937123937 0.9760338482376767 CTACCCCTGGGAGA chr1 151839430 151839431 chr1:151839431:C:A rs7551806 C A A EBF1_EBF_1 1 1 + 9.74642910025667 9.675501922904585 ACCCCCCTGGGACA chr1 151839520 151839521 chr1:151839521:C:T rs149863909 C T C EBF1_EBF_1 0 1 + 9.20046796386254 9.482670024593117 CGCCCCTTGGGACT chr1 151848158 151848159 chr1:151848159:A:G rs201306459 A G A EBF1_EBF_1 0 1 + 3.7396731076666203 1.8473892381017871 ATGCCCCAGGGGCC chr1 151858961 151858962 chr1:151858962:T:G rs4845629 T G G EBF1_EBF_1 18 0 + 0 0 . chr1 151981286 151981287 chr1:151981287:C:T rs2932590 C T C EBF1_EBF_1 10 1 - 6.105852993515372 0.7878245342484058 AACCGCAAGGGACT chr1 151999230 151999231 chr1:151999231:T:C rs2999557 T C C EBF1_EBF_1 -7 0 - 0 0 . chr1 152005810 152005811 chr1:152005811:G:A rs2999535 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 152024131 152024132 chr1:152024132:C:T rs1532133 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 152026870 152026871 chr1:152026871:G:T rs3007671 G T T EBF1_EBF_1 7 1 + 7.9703329800835485 8.806517145284815 ATTCCCCGGGGTCC chr1 152105187 152105188 chr1:152105188:T:C rs74495500 T C T EBF1_EBF_1 -15 0 + 0 0 . chr1 152183083 152183084 chr1:152183084:C:T rs183868216 C T . EBF1_EBF_1 9 1 - 6.721386267605426 4.0664470021578225 TCACCCAGGGGACT chr1 152307364 152307365 chr1:152307365:G:C rs3126074 G C - EBF1_EBF_1 13 1 - 5.729561545658411 4.241490524302996 CCTCCCATGGGCAC chr1 152310317 152310318 chr1:152310318:G:A rs12750081 G A - EBF1_EBF_1 1 1 - 7.3429149905393905 8.238348430938135 ACACCCCAGGGAAG chr1 152399338 152399339 chr1:152399339:T:G rs61592477 T G T EBF1_EBF_1 27 0 - 0 0 . chr1 152480337 152480338 chr1:152480338:C:T rs114268824 C T C EBF1_EBF_1 13 1 + 8.692136251776871 9.53663036636239 ATCCCCTTGAGAAC chr1 152486647 152486648 chr1:152486648:C:T rs1199155 C T C EBF1_EBF_1 -10 0 + 0 0 . chr1 152515861 152515862 chr1:152515862:A:T rs115253947 A T A EBF1_EBF_1 18 0 - 0 0 . chr1 152565881 152565882 chr1:152565882:G:C rs17659359 G C G EBF1_EBF_1 3 1 - 7.228301282469933 1.4005368343547164 AATCCCAAGAGGCC chr1 152622717 152622718 chr1:152622718:G:A rs12032251 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 152628279 152628280 chr1:152628280:C:T rs55644922 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 152645304 152645305 chr1:152645305:G:A rs942826 G A G EBF1_EBF_1 1 1 - 5.664487190447669 6.559920630846414 ACGCCCTAGGGACA chr1 152679374 152679375 chr1:152679375:A:G rs7546815 A G G EBF1_EBF_1 33 0 + 0 0 . chr1 152786338 152786339 chr1:152786339:T:C rs76539966 T C T EBF1_EBF_1 -13 0 - 0 0 . chr1 152905712 152905713 chr1:152905713:C:T rs79007216 C T C EBF1_EBF_1 10 1 - 6.126435478675269 0.8084070194083038 TCTCCCCAGGGCAC chr1 152909212 152909213 chr1:152909213:A:G rs7528862 A G G EBF1_EBF_1 -1 0 + 0 0 . chr1 152909658 152909659 chr1:152909659:A:G rs11205132 A G G EBF1_EBF_1 22 0 - 0 0 . chr1 152917977 152917978 chr1:152917978:T:C chr1:152917978:T:C T C T EBF1_EBF_1 -20 0 + 0 0 . chr1 152917993 152917994 chr1:152917994:G:A rs11586313 G A G EBF1_EBF_1 -4 0 + 0 0 . chr1 152928651 152928652 chr1:152928652:T:A rs71517761 T A T EBF1_EBF_1 12 1 - 6.013385003240469 4.956937034268293 CTCCCCCAGGGTAT chr1 153088758 153088759 chr1:153088759:C:T rs310120 C T T EBF1_EBF_1 25 0 + 0 0 . chr1 153123223 153123224 chr1:153123224:A:T rs112133620 A T A EBF1_EBF_1 -3 0 + 0 0 . chr1 153223188 153223189 chr1:153223189:T:C rs61410792 T C C EBF1_EBF_1 -14 0 + 0 0 . chr1 153223206 153223207 chr1:153223207:G:A rs183362995 G A G EBF1_EBF_1 4 1 + 4.14928306662281 3.9504885443188864 AGCCGCTGGGGACC chr1 153254700 153254701 chr1:153254701:G:A rs873234 G A A EBF1_EBF_1 18 0 + 0 0 . chr1 153293336 153293337 chr1:153293337:C:T rs74325847 C T C EBF1_EBF_1 -7 0 - 0 0 . chr1 153304946 153304947 chr1:153304947:C:T rs843971 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 153346420 153346421 chr1:153346421:G:A rs56209554 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 153346595 153346596 chr1:153346596:C:T rs74484248 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 153346623 153346624 chr1:153346624:T:G rs7535250 T G T EBF1_EBF_1 -3 0 - 0 0 . chr1 153346670 153346671 chr1:153346671:G:C rs73016415 G C G EBF1_EBF_1 3 1 - 4.937252911111031 -0.8905115370041865 TCCCCCATGGGCCT chr1 153348871 153348872 chr1:153348872:G:T rs3806231 G T G EBF1_EBF_1 3 1 - 4.551795070830982 -2.3543789586348263 GGTCCCCAGGTGCT chr1 153365571 153365572 chr1:153365572:A:T rs1427619731 A T A EBF1_EBF_1 15 0 - 0 0 . chr1 153398182 153398183 chr1:153398183:C:G rs59678238 C G G EBF1_EBF_1 21 0 + 0 0 . chr1 153398440 153398441 chr1:153398441:C:T rs6702735 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 153419825 153419826 chr1:153419826:G:T rs3006416 G T t EBF1_EBF_1 4 1 - 5.343225170470158 -0.4813344529833092 AGCCCCAAGGGCGC chr1 153495530 153495531 chr1:153495531:C:A rs182286886 C A C EBF1_EBF_1 18 0 + 0 0 . chr1 153511017 153511018 chr1:153511018:C:T chr1:153511018:C:T C T C EBF1_EBF_1 3 1 - 6.152162168193035 5.073752586842445 ATTGCCAAGGGAGA chr1 153533632 153533633 chr1:153533633:A:G rs80001770 A G A EBF1_EBF_1 -15 0 + 0 0 . chr1 153542402 153542403 chr1:153542403:T:A rs113362244 T A T EBF1_EBF_1 16 0 - 0 0 . chr1 153542420 153542421 chr1:153542421:C:G rs779733978 C G C EBF1_EBF_1 -2 0 - 0 0 . chr1 153549243 153549244 chr1:153549244:T:A rs185248915 T A T EBF1_EBF_1 -18 0 - 0 0 . chr1 153549475 153549476 chr1:153549476:G:A rs75928889 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 153565461 153565462 chr1:153565462:G:A rs74444764 G A G EBF1_EBF_1 5 1 - 5.956995784954988 0.6352085694143175 ATCCCCGTGGGTCT chr1 153566740 153566741 chr1:153566741:G:A rs141570064 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 153568127 153568128 chr1:153568128:C:T rs116160185 C T C EBF1_EBF_1 8 1 - 6.981712529566354 2.7554224406800927 ACTCCTCAGGGATT chr1 153568654 153568655 chr1:153568655:G:T rs142360307 G T G EBF1_EBF_1 33 0 - 0 0 . chr1 153633910 153633911 chr1:153633911:G:A rs1888115 G A g EBF1_EBF_1 16 0 + 0 0 . chr1 153633917 153633918 chr1:153633918:A:G rs9427340 A G A EBF1_EBF_1 23 0 + 0 0 . chr1 153634490 153634491 chr1:153634491:A:T chr1:153634491:A:T A T A EBF1_EBF_1 -17 0 - 0 0 . chr1 153634736 153634737 chr1:153634737:C:T rs540357589 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 153645272 153645273 chr1:153645273:C:T rs41313936 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 153669895 153669896 chr1:153669896:G:T rs79913857 G T G EBF1_EBF_1 -8 0 + 0 0 . chr1 153686003 153686004 chr1:153686004:G:A rs11264236 G A G EBF1_EBF_1 3 1 - 3.8543014307291292 -2.5510973108241877 CACCCCCAGGGCCC chr1 153706509 153706510 chr1:153706510:G:A rs11264277 G A G EBF1_EBF_1 8 1 + 9.20435238782937 4.978062298943109 GCTCCCCAGGGAGC chr1 153775684 153775685 chr1:153775685:G:C rs34527123 G C G EBF1_EBF_1 3 1 - 6.348697448425181 0.5209330003099644 CCCCCCTCGGGACC chr1 153783132 153783133 chr1:153783133:G:C rs1250617597 G C G EBF1_EBF_1 -4 0 - 0 0 . chr1 153783668 153783669 chr1:153783669:C:A rs12564315 C A C EBF1_EBF_1 31 0 + 0 0 . chr1 153799870 153799871 chr1:153799871:G:A rs555198334 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 153800616 153800617 chr1:153800617:C:A rs74545118 C A C EBF1_EBF_1 12 1 + 7.527078528340961 7.557259926762287 CGTCCCCAGGGGCC chr1 153954793 153954794 chr1:153954794:T:C rs10908558 T C T EBF1_EBF_1 -17 0 + 0 0 . chr1 153959598 153959599 chr1:153959599:G:A rs75940909 G A g EBF1_EBF_1 -3 0 + 0 0 . chr1 153962956 153962957 chr1:153962957:G:A rs764469323 G A G EBF1_EBF_1 32 0 + 0 0 . chr1 153963066 153963067 chr1:153963067:G:A rs2072704 G A G EBF1_EBF_1 -4 0 + 0 0 . chr1 153977610 153977611 chr1:153977611:G:A rs575872459 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 153977648 153977649 chr1:153977649:T:C rs773718450 T C T EBF1_EBF_1 -16 0 - 0 0 . chr1 154099810 154099811 chr1:154099811:G:T rs7537213 G T G EBF1_EBF_1 -6 0 + 0 0 . chr1 154322328 154322329 chr1:154322329:T:C rs1194608 T C C EBF1_EBF_1 17 0 - 0 0 . chr1 154337571 154337572 chr1:154337572:C:G rs1760795 C G C EBF1_EBF_1 10 1 - 7.056779266233558 0.16597687890408203 ACTCCCTGGAGAGA chr1 154352717 154352718 chr1:154352718:C:G rs373494880 C G C EBF1_EBF_1 -19 0 - 0 0 . chr1 154405536 154405537 chr1:154405537:A:C rs879914408 A C A EBF1_EBF_1 32 0 + 0 0 . chr1 154420105 154420106 chr1:154420106:C:A rs114932585 C A C EBF1_EBF_1 24 0 - 0 0 . chr1 154422946 154422947 chr1:154422947:C:T rs74524514 C T C EBF1_EBF_1 12 1 + 6.73807569543061 5.7118091248797604 ATTCCCAGGGAACA chr1 154427918 154427919 chr1:154427919:T:C rs115949775 T C T EBF1_EBF_1 13 1 + 5.527962367860875 4.683468253275357 AGTCCCAGGAGCAT chr1 154544000 154544001 chr1:154544001:G:A rs12750774 G A G EBF1_EBF_1 -7 0 - 0 0 . chr1 154568240 154568241 chr1:154568241:A:G rs12062226 A G G EBF1_EBF_1 -12 0 + 0 0 . chr1 154576515 154576516 chr1:154576516:C:T rs45490696 C T C EBF1_EBF_1 9 1 - 5.317650332177489 2.662711066729884 CTCCCCCAGGGGTC chr1 154670972 154670973 chr1:154670973:T:C rs4845659 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 154709473 154709474 chr1:154709474:A:G rs6695232 A G A EBF1_EBF_1 7 1 + 6.632379951270632 5.319921545981807 ATGCCCCAGGGACC chr1 154728929 154728930 chr1:154728930:C:T rs12402320 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 154749687 154749688 chr1:154749688:A:G rs4463657 A G A EBF1_EBF_1 29 0 + 0 0 . chr1 154753785 154753786 chr1:154753786:C:A rs4845389 C A A EBF1_EBF_1 -2 0 + 0 0 . chr1 154767398 154767399 chr1:154767399:G:A rs74859699 G A G EBF1_EBF_1 3 1 - 7.887058669330614 1.481659927777297 ATTCCCTTCGGACC chr1 154777295 154777296 chr1:154777296:T:C rs573699516 T C T EBF1_EBF_1 32 0 + 0 0 . chr1 154780724 154780725 chr1:154780725:A:C rs10752608 A C A EBF1_EBF_1 8 1 + 5.7964414039057734 4.704072631877349 TTTCCCTGAGGACA chr1 154780729 154780730 chr1:154780730:A:G rs12130204 A G A EBF1_EBF_1 13 1 + 5.7964414039057734 4.6821158318363345 TTTCCCTGAGGACA chr1 154802767 154802768 chr1:154802768:C:T rs61811867 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 154803935 154803936 chr1:154803936:A:G rs6426944 A G G EBF1_EBF_1 12 1 + 8.233740913518616 6.81492357141914 ATTCCCAGAGGAAC chr1 154803945 154803946 chr1:154803946:A:G rs78100213 A G A EBF1_EBF_1 22 0 + 0 0 . chr1 154808656 154808657 chr1:154808657:C:T rs74115879 C T C EBF1_EBF_1 7 1 - 4.843655495604539 6.156113900893365 CTCCCCTGAGGACT chr1 154831702 154831703 chr1:154831703:G:A rs115661499 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 154842061 154842062 chr1:154842062:C:G rs6691463 C G C EBF1_EBF_1 5 1 + 6.152655452579881 -0.7522257000552866 AGACCCCCGGGAGT chr1 154842780 154842781 chr1:154842781:C:A rs6426987 C A C EBF1_EBF_1 19 0 - 0 0 . chr1 154940347 154940348 chr1:154940348:C:G rs145190477 C G C EBF1_EBF_1 17 0 + 0 0 . chr1 154944607 154944608 chr1:154944608:G:T rs12064595 G T G EBF1_EBF_1 -17 0 + 0 0 . chr1 154956931 154956932 chr1:154956932:G:T rs78431420 G T G EBF1_EBF_1 3 1 - 6.495074075408796 -0.4110999540570114 GCTCCCCAGGGTCC chr1 154970771 154970772 chr1:154970772:C:A rs559986354 C A C EBF1_EBF_1 -15 0 + 0 0 . chr1 154970807 154970808 chr1:154970808:A:G rs563399497 A G A EBF1_EBF_1 21 0 + 0 0 . chr1 154974339 154974340 chr1:154974340:C:T rs545853241 C T C EBF1_EBF_1 -10 0 + 0 0 . chr1 154974377 154974378 chr1:154974378:C:T chr1:154974378:C:T C T C EBF1_EBF_1 28 0 + 0 0 . chr1 155005452 155005453 chr1:155005453:A:G rs4845402 A G G EBF1_EBF_1 -13 0 - 0 0 . chr1 155005894 155005895 chr1:155005895:C:T rs928898692 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 155008954 155008955 chr1:155008955:G:A rs543138269 G A G EBF1_EBF_1 16 0 + 0 0 . chr1 155011155 155011156 chr1:155011156:A:G rs60897422 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 155017460 155017461 chr1:155017461:C:T rs551718709 C T C EBF1_EBF_1 3 1 + 4.127848164948564 -2.2775505766047535 CCTCCCCGGGGCCA chr1 155041231 155041232 chr1:155041232:G:A rs79380792 G A G EBF1_EBF_1 16 0 + 0 0 . chr1 155049720 155049721 chr1:155049721:G:T rs114978918 G T T EBF1_EBF_1 9 1 + 8.137467764099963 1.3004783560111548 TTTCCCTAGGGCCT chr1 155054465 155054466 chr1:155054466:A:C rs6427128 A C C EBF1_EBF_1 -11 0 + 0 0 . chr1 155061742 155061743 chr1:155061743:A:G rs527680262 A G A EBF1_EBF_1 9 1 + 6.725054762554617 9.37999402800222 CCTCCCCTGAGACA chr1 155078676 155078677 chr1:155078677:A:C chr1:155078677:A:C A C A EBF1_EBF_1 33 0 - 0 0 . chr1 155084990 155084991 chr1:155084991:A:G rs55965191 A G G EBF1_EBF_1 23 0 - 0 0 . chr1 155085006 155085007 chr1:155085007:T:C rs1283742843 T C T EBF1_EBF_1 7 1 - 6.132099461277029 4.819641055988205 CCTCCCCAGGGTCC chr1 155085022 155085023 chr1:155085023:A:C chr1:155085023:A:C A C A EBF1_EBF_1 -9 0 - 0 0 . chr1 155085022 155085023 chr1:155085023:A:G rs3766918 A G A EBF1_EBF_1 -9 0 - 0 0 . chr1 155088418 155088419 chr1:155088419:C:T rs57157364 C T C EBF1_EBF_1 11 1 + 5.758629422434562 6.076676864188226 CTTCCCAGGGGCCC chr1 155088858 155088859 chr1:155088859:G:A rs72702276 G A G EBF1_EBF_1 28 0 + 0 0 . chr1 155167032 155167033 chr1:155167033:T:C rs7556304 T C C EBF1_EBF_1 27 0 + 0 0 . chr1 155173191 155173192 chr1:155173192:C:T rs74980878 C T C EBF1_EBF_1 1 1 + 5.06870118309197 5.9641346234907155 ACTTCCTGGGGACC chr1 155173210 155173211 chr1:155173211:G:C chr1:155173211:G:C G C G EBF1_EBF_1 20 0 + 0 0 . chr1 155175304 155175305 chr1:155175305:G:A rs7528026 G A G EBF1_EBF_1 5 1 - 6.07855076857912 0.75676355303845 ATACCCTAGGGGTC chr1 155185238 155185239 chr1:155185239:G:A rs2070803 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 155207236 155207237 chr1:155207237:G:A rs539260377 G A G EBF1_EBF_1 8 1 + 9.454714672544274 5.228424583658011 TCTCCCACGGGAAT chr1 155207255 155207256 chr1:155207256:C:T rs76545395 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 155244681 155244682 chr1:155244682:A:G rs12034326 A G g EBF1_EBF_1 -10 0 - 0 0 . chr1 155321471 155321472 chr1:155321472:G:T rs74118444 G T G EBF1_EBF_1 28 0 - 0 0 . chr1 155392789 155392790 chr1:155392790:G:T rs539452659 G T G EBF1_EBF_1 3 1 - 4.807958371321944 -2.0982156581438627 ACCCTCCCGGGAAT chr1 155507778 155507779 chr1:155507779:T:C rs11582072 T C T EBF1_EBF_1 27 0 - 0 0 . chr1 155562947 155562948 chr1:155562948:C:A rs553828691 C A C EBF1_EBF_1 3 1 + 5.086713370675743 -1.819460658790064 CCCCCCGCGGGACT chr1 155688566 155688567 chr1:155688567:G:A rs189141963 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 155688575 155688576 chr1:155688576:C:T rs566359631 C T C EBF1_EBF_1 1 1 + 4.925752970359878 5.8211864107586235 TCACCCACGGGAAC chr1 155860167 155860168 chr1:155860168:C:T chr1:155860168:C:T C T C EBF1_EBF_1 21 0 + 0 0 . chr1 155860318 155860319 chr1:155860319:G:A chr1:155860319:G:A G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 155981710 155981711 chr1:155981711:A:G rs1889532 A G A EBF1_EBF_1 13 1 - 6.057686350379847 5.213192235794329 CTTGCCATGGGAAT chr1 156008933 156008934 chr1:156008934:C:T rs915178 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 156054702 156054703 chr1:156054703:C:T rs1384414793 C T C EBF1_EBF_1 32 0 + 0 0 . chr1 156098915 156098916 chr1:156098916:G:C rs74116482 G C G EBF1_EBF_1 -8 0 - 0 0 . chr1 156114130 156114131 chr1:156114131:C:A rs114186689 C A C EBF1_EBF_1 -6 0 + 0 0 . chr1 156124097 156124098 chr1:156124098:G:A rs192144695 G A G EBF1_EBF_1 4 1 - 5.990355519603677 1.6209685746587543 CTTCCCTTGGGCGT chr1 156126584 156126585 chr1:156126585:G:A rs6657367 G A G EBF1_EBF_1 26 0 + 0 0 . chr1 156139470 156139471 chr1:156139471:C:T rs74116489 C T C EBF1_EBF_1 -13 0 + 0 0 . chr1 156181823 156181824 chr1:156181824:G:A chr1:156181824:G:A G A G EBF1_EBF_1 20 0 - 0 0 . chr1 156216842 156216843 chr1:156216843:C:G rs2475757 C G - EBF1_EBF_1 20 0 - 0 0 . chr1 156232508 156232509 chr1:156232509:C:T rs2251615 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 156281977 156281978 chr1:156281978:C:T rs112437780 C T C EBF1_EBF_1 5 1 + 5.599584825810298 0.2777976102696261 AGTCCCCAGGTGCC chr1 156291361 156291362 chr1:156291362:T:A rs7532219 T A T EBF1_EBF_1 18 0 + 0 0 . chr1 156294148 156294149 chr1:156294149:T:C rs10908495 T C C EBF1_EBF_1 -9 0 - 0 0 . chr1 156372023 156372024 chr1:156372024:G:C rs55745020 G C G EBF1_EBF_1 27 0 + 0 0 . chr1 156385559 156385560 chr1:156385560:A:C rs569122756 A C A EBF1_EBF_1 16 0 - 0 0 . chr1 156456542 156456543 chr1:156456543:G:A rs371066535 G A G EBF1_EBF_1 4 1 - 4.665014895448715 0.2956279505037912 ACTGCCTCGGGACC chr1 156490442 156490443 chr1:156490443:C:G rs949485154 C G C EBF1_EBF_1 23 0 + 0 0 . chr1 156500267 156500268 chr1:156500268:G:C rs532503964 G C G EBF1_EBF_1 31 0 + 0 0 . chr1 156500290 156500291 chr1:156500291:C:T rs112963281 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 156501403 156501404 chr1:156501404:G:A rs12073250 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 156503678 156503679 chr1:156503679:A:G chr1:156503679:A:G A G A EBF1_EBF_1 32 0 + 0 0 . chr1 156503859 156503860 chr1:156503860:T:A chr1:156503860:T:A T A T EBF1_EBF_1 -17 0 + 0 0 . chr1 156525208 156525209 chr1:156525209:G:A rs7550381 G A G EBF1_EBF_1 6 1 - 5.343841956591418 5.645128085453176 TTCCCCCGGGGGAC chr1 156583767 156583768 chr1:156583768:C:T rs41267379 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 156624007 156624008 chr1:156624008:C:T rs143721728 C T C EBF1_EBF_1 9 1 - 4.863195982035817 2.2082567165882123 CCTCCCAGGGGCCC chr1 156661493 156661494 chr1:156661494:A:G rs369053619 A G A EBF1_EBF_1 1 1 - 5.837600096928861 4.942166656530115 CTTCCCCAGGAAAC chr1 156661496 156661497 chr1:156661497:C:T rs151086619 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 156661510 156661511 chr1:156661511:G:T rs1254103718 G T G EBF1_EBF_1 -16 0 - 0 0 . chr1 156664846 156664847 chr1:156664847:A:G rs4661210 A G G EBF1_EBF_1 -12 0 - 0 0 . chr1 156670363 156670364 chr1:156670364:G:A rs3748570 G A A EBF1_EBF_1 13 1 - 5.270728468703363 6.115222583288881 ATCCCCGAGGGCCC chr1 156670710 156670711 chr1:156670711:C:T rs3828043 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 156677508 156677509 chr1:156677509:C:G chr1:156677509:C:G C G C EBF1_EBF_1 -15 0 + 0 0 . chr1 156680230 156680231 chr1:156680231:T:G rs4559494 T G G EBF1_EBF_1 -14 0 + 0 0 . chr1 156683347 156683348 chr1:156683348:A:G rs77672820 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 156683355 156683356 chr1:156683356:G:A rs11264521 G A A EBF1_EBF_1 -11 0 + 0 0 . chr1 156693332 156693333 chr1:156693333:G:C rs12035162 G C C EBF1_EBF_1 -16 0 + 0 0 . chr1 156705733 156705734 chr1:156705734:C:T rs12021737 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 156705769 156705770 chr1:156705770:C:A rs116587898 C A C EBF1_EBF_1 -18 0 - 0 0 . chr1 156706387 156706388 chr1:156706388:G:C rs114732032 G C G EBF1_EBF_1 7 1 - 4.68498251796692 5.6663030990482754 ACCCCCTCGGGGTC chr1 156710975 156710976 chr1:156710976:C:T rs12021512 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 156711538 156711539 chr1:156711539:G:T rs149373775 G T G EBF1_EBF_1 28 0 + 0 0 . chr1 156736466 156736467 chr1:156736467:C:T rs12036794 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 156736492 156736493 chr1:156736493:T:G rs3934562 T G T EBF1_EBF_1 20 0 + 0 0 . chr1 156740962 156740963 chr1:156740963:G:A rs143046307 G A G EBF1_EBF_1 5 1 - 5.9503270053116974 0.6285397897710279 CCTCCCCAGGGTTT chr1 156747014 156747015 chr1:156747015:C:A rs149842171 C A C EBF1_EBF_1 33 0 - 0 0 . chr1 156747535 156747536 chr1:156747536:C:T rs1300134705 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 156749060 156749061 chr1:156749061:G:C rs140884804 G C G EBF1_EBF_1 24 0 + 0 0 . chr1 156749323 156749324 chr1:156749324:C:A rs7529283 C A C EBF1_EBF_1 26 0 - 0 0 . chr1 156767203 156767204 chr1:156767204:T:G rs115313900 T G T EBF1_EBF_1 -17 0 + 0 0 . chr1 156767226 156767227 chr1:156767227:T:A rs536121669 T A T EBF1_EBF_1 6 1 + 7.7724470974587065 7.8327633363982265 CTACCCTAGGGAAC chr1 156805888 156805889 chr1:156805889:C:T rs12077774 C T C EBF1_EBF_1 9 1 - 6.649593321139353 3.994654055691749 AATCCCCTCGGAAC chr1 156815953 156815954 chr1:156815954:G:T rs114004093 G T G EBF1_EBF_1 -1 0 - 0 0 . chr1 156829038 156829039 chr1:156829039:G:A rs113624986 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 156856781 156856782 chr1:156856782:G:T rs11264574 G T G EBF1_EBF_1 29 0 - 0 0 . chr1 156859793 156859794 chr1:156859794:C:T rs3753213 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 156875077 156875078 chr1:156875078:G:A rs1387922844 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 156896811 156896812 chr1:156896812:C:T rs569968653 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 156897534 156897535 chr1:156897535:T:C rs72698679 T C T EBF1_EBF_1 -13 0 + 0 0 . chr1 156899444 156899445 chr1:156899445:C:T rs56303495 C T C EBF1_EBF_1 8 1 - 5.674451478111062 1.4481613892248013 GCTCCCCCGAGAAC chr1 156915974 156915975 chr1:156915975:A:G rs12139641 A G A EBF1_EBF_1 12 1 + 6.9661709115417025 5.547353569442225 TTTCCCCAGGGTAA chr1 156920601 156920602 chr1:156920602:C:T rs567193194 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 156939445 156939446 chr1:156939446:C:T rs115785833 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 156960260 156960261 chr1:156960261:C:T rs56372341 C T C EBF1_EBF_1 -17 0 + 0 0 . chr1 157043356 157043357 chr1:157043357:T:A rs12137049 T A T EBF1_EBF_1 6 1 - 6.497194007019791 6.436877768080272 CTCCCCATGAGAAC chr1 157043368 157043369 chr1:157043369:T:C rs58782148 T C T EBF1_EBF_1 -6 0 - 0 0 . chr1 157074269 157074270 chr1:157074270:C:G rs143018724 C G C EBF1_EBF_1 32 0 + 0 0 . chr1 157074839 157074840 chr1:157074840:G:T rs12071649 G T G EBF1_EBF_1 19 0 - 0 0 . chr1 157092903 157092904 chr1:157092904:G:T rs1176537 G T G EBF1_EBF_1 3 1 - 5.707690096621335 -1.1984839328444714 CCTCCCAGGGGCCT chr1 157092946 157092947 chr1:157092947:C:G rs12136960 C G C EBF1_EBF_1 8 1 - 5.044398140202253 -0.2742607207124339 GCTCCCAGGGGCTT chr1 157095136 157095137 chr1:157095137:C:T rs7544345 C T C EBF1_EBF_1 24 0 - 0 0 . chr1 157102533 157102534 chr1:157102534:G:A rs16838089 G A C EBF1_EBF_1 13 1 - 7.081686304198391 7.926180418783909 ATTCCCTGGGAAAC chr1 157103419 157103420 chr1:157103420:T:C rs566593053 T C T EBF1_EBF_1 0 1 - 6.511816721161001 4.619532851596167 ATCCACCAGGGACT chr1 157108177 157108178 chr1:157108178:C:T rs72700786 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 157117863 157117864 chr1:157117864:G:A rs4661087 G A A EBF1_EBF_1 -17 0 + 0 0 . chr1 157138790 157138791 chr1:157138791:C:T rs551195473 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 157138804 157138805 chr1:157138805:C:T rs577920126 C T C EBF1_EBF_1 12 1 - 5.059527765972008 6.478345108071486 CCTCCCCCGGGAGG chr1 157155806 157155807 chr1:157155807:G:T rs116745272 G T g EBF1_EBF_1 12 1 - 7.890053142472728 7.920234540894054 GGTCCCCAGGGGCC chr1 157155807 157155808 chr1:157155808:C:T rs77341324 C T c EBF1_EBF_1 11 1 - 7.890053142472728 10.782759986076737 GGTCCCCAGGGGCC chr1 157163345 157163346 chr1:157163346:G:A rs10796972 G A g EBF1_EBF_1 -8 0 + 0 0 . chr1 157163358 157163359 chr1:157163359:C:T rs6700498 C T c EBF1_EBF_1 5 1 + 5.493071739361873 0.1712845238212028 ACACCCGTGGGATC chr1 157163684 157163685 chr1:157163685:G:A rs1176542 G A g EBF1_EBF_1 22 0 + 0 0 . chr1 157184174 157184175 chr1:157184175:C:T rs10908540 C T c EBF1_EBF_1 27 0 - 0 0 . chr1 157202759 157202760 chr1:157202760:A:G rs112136786 A G N EBF1_EBF_1 -10 0 + 0 0 . chr1 157232436 157232437 chr1:157232437:C:T rs79955617 C T c EBF1_EBF_1 -15 0 - 0 0 . chr1 157270135 157270136 chr1:157270136:C:T rs112632855 C T - EBF1_EBF_1 0 1 + 6.186438508249999 6.468640568980578 CCTCCCCAGAGAGC chr1 157272665 157272666 chr1:157272666:T:G rs74117798 T G - EBF1_EBF_1 33 0 + 0 0 . chr1 157301056 157301057 chr1:157301057:G:A rs141298849 G A g EBF1_EBF_1 -6 0 - 0 0 . chr1 157377466 157377467 chr1:157377467:C:T rs10796978 C T c EBF1_EBF_1 17 0 - 0 0 . chr1 157377500 157377501 chr1:157377501:C:G rs71630657 C G N EBF1_EBF_1 -17 0 - 0 0 . chr1 157403634 157403635 chr1:157403635:C:T chr1:157403635:C:T C T c EBF1_EBF_1 -2 0 + 0 0 . chr1 157407787 157407788 chr1:157407788:A:G rs12062592 A G a EBF1_EBF_1 17 0 - 0 0 . chr1 157520378 157520379 chr1:157520379:C:T rs146035176 C T C EBF1_EBF_1 0 1 - 5.820266066464 7.712549936028833 GCTCCCTTGGGCTT chr1 157566589 157566590 chr1:157566590:A:G rs12734708 A G A EBF1_EBF_1 -13 0 - 0 0 . chr1 157575256 157575257 chr1:157575257:A:G rs849828 A G A EBF1_EBF_1 -1 0 - 0 0 . chr1 157748989 157748990 chr1:157748990:A:G rs3818814 A G G EBF1_EBF_1 1 1 + 6.540493702933792 6.801192534855095 AATCCCCAGGGCAG chr1 157748995 157748996 chr1:157748996:A:G rs199944062 A G A EBF1_EBF_1 7 1 + 6.540493702933792 5.228035297644967 AATCCCCAGGGCAG chr1 157780920 157780921 chr1:157780921:C:G rs721231 C G C EBF1_EBF_1 0 1 - 5.845933204041445 5.4829585899096775 GTCCCCTAGAGATA chr1 157805106 157805107 chr1:157805107:T:C rs6427403 T C C EBF1_EBF_1 -8 0 + 0 0 . chr1 157812032 157812033 chr1:157812033:A:G rs6692836 A G A EBF1_EBF_1 29 0 - 0 0 . chr1 157894951 157894952 chr1:157894952:C:T rs4614251 C T T EBF1_EBF_1 -6 0 + 0 0 . chr1 157968756 157968757 chr1:157968757:G:A rs2777813 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 157968796 157968797 chr1:157968797:A:G rs143832427 A G A EBF1_EBF_1 26 0 + 0 0 . chr1 157984695 157984696 chr1:157984696:T:C rs146402760 T C T EBF1_EBF_1 1 1 - 5.77171507767978 6.032413909601082 AATCCCAGGGAACA chr1 157993764 157993765 chr1:157993765:C:A rs901561474 C A C EBF1_EBF_1 2 1 + 4.332339977088736 2.5366958009320077 TTCCCCCCGGGGCC chr1 158068965 158068966 chr1:158068966:G:T rs11264892 G T G EBF1_EBF_1 21 0 - 0 0 . chr1 158069634 158069635 chr1:158069635:A:T rs17420551 A T A EBF1_EBF_1 22 0 + 0 0 . chr1 158087389 158087390 chr1:158087390:G:C rs115808459 G C G EBF1_EBF_1 -17 0 + 0 0 . chr1 158113345 158113346 chr1:158113346:A:G rs77963656 A G A EBF1_EBF_1 -15 0 - 0 0 . chr1 158116110 158116111 chr1:158116111:G:A rs1324660 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 158117932 158117933 chr1:158117933:C:T rs181721738 C T C EBF1_EBF_1 -18 0 + 0 0 . chr1 158117958 158117959 chr1:158117959:G:T rs12733819 G T G EBF1_EBF_1 8 1 + 4.581535509764211 -2.3098972792129837 CTCCCCCAGGGGGA chr1 158117959 158117960 chr1:158117960:G:A rs12060234 G A G EBF1_EBF_1 9 1 + 4.581535509764211 1.926596244316607 CTCCCCCAGGGGGA chr1 158117983 158117984 chr1:158117984:C:G rs12071001 C G C EBF1_EBF_1 33 0 + 0 0 . chr1 158119267 158119268 chr1:158119268:A:G rs12098144 A G A EBF1_EBF_1 2 1 - 7.353256640100903 5.464433228658752 AGTCCTCAGGGACC chr1 158125310 158125311 chr1:158125311:G:A rs73028522 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 158212572 158212573 chr1:158212573:C:T rs449838 C T . EBF1_EBF_1 -11 0 + 0 0 . chr1 158212590 158212591 chr1:158212591:T:A rs34120202 T A . EBF1_EBF_1 7 1 + 5.495046125691543 5.971320365779101 GCTGCCATGGGACT chr1 158212616 158212617 chr1:158212617:C:T rs594364 C T . EBF1_EBF_1 33 0 + 0 0 . chr1 158494820 158494821 chr1:158494821:T:G rs4614256 T G G EBF1_EBF_1 -14 0 + 0 0 . chr1 158494843 158494844 chr1:158494844:G:A rs4373761 G A G EBF1_EBF_1 9 1 + 6.9840738788663135 4.32913461341871 ACTCCCAACGGAGT chr1 158512251 158512252 chr1:158512252:A:C rs12041348 A C C EBF1_EBF_1 16 0 + 0 0 . chr1 158654850 158654851 chr1:158654851:C:T rs74714820 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 158794391 158794392 chr1:158794392:C:T rs115310474 C T C EBF1_EBF_1 -18 0 + 0 0 . chr1 158961592 158961593 chr1:158961593:A:G rs111922896 A G A EBF1_EBF_1 12 1 + 5.16682998097334 3.7480126388738624 GCTCACCTGGGAAT chr1 158961674 158961675 chr1:158961675:C:T rs75327000 C T c EBF1_EBF_1 -14 0 - 0 0 . chr1 159015525 159015526 chr1:159015526:C:G rs1361564 C G G EBF1_EBF_1 -16 0 - 0 0 . chr1 159030988 159030989 chr1:159030989:C:T rs12059564 C T C EBF1_EBF_1 4 1 + 5.639253163120372 1.2698662181754479 ATTCCCCTTGGACA chr1 159201683 159201684 chr1:159201684:G:A rs114621346 G A G EBF1_EBF_1 10 1 + 5.424703743321583 0.1066752840546174 ATTCCCCCCGGAAA chr1 159205402 159205403 chr1:159205403:A:G rs3027016 A G A EBF1_EBF_1 0 1 + 10.619404453121465 8.727120583556633 ATTCCCAGGAGACT chr1 159225046 159225047 chr1:159225047:C:T rs78529711 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 159225047 159225048 chr1:159225048:A:G rs4083420 A G G EBF1_EBF_1 -9 0 - 0 0 . chr1 159598686 159598687 chr1:159598687:G:A rs115038100 G A G EBF1_EBF_1 8 1 + 8.18440562721004 3.9581155383237796 AATCCCTTGAGATA chr1 159829404 159829405 chr1:159829405:C:A rs78779199 C A C EBF1_EBF_1 13 1 + 6.626367232387645 6.252621783101669 GTTCCCCTGGGCAC chr1 159831320 159831321 chr1:159831321:G:A rs7516146 G A G EBF1_EBF_1 8 1 + 6.169418528925189 1.9431284400389282 ATCCCCCCGAGACA chr1 159854414 159854415 chr1:159854415:C:T rs11807946 C T C EBF1_EBF_1 3 1 + 3.1655164714933726 -3.2398822700599452 GGCCCCCGGGGCCC chr1 159854429 159854430 chr1:159854430:C:T rs74535973 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 159854524 159854525 chr1:159854525:G:A rs148708976 G A G EBF1_EBF_1 1 1 - 4.6147417575384635 5.510175197937209 GCACCCCCGGGACC chr1 159854533 159854534 chr1:159854534:G:A rs545979876 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 159862335 159862336 chr1:159862336:G:A rs7519222 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 159867131 159867132 chr1:159867132:G:A rs4233359 G A A EBF1_EBF_1 18 0 - 0 0 . chr1 159909495 159909496 chr1:159909496:G:A rs72700680 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 159911893 159911894 chr1:159911894:C:T rs16842816 C T C EBF1_EBF_1 -16 0 + 0 0 . chr1 159922660 159922661 chr1:159922661:G:A rs61823219 G A G EBF1_EBF_1 -7 0 - 0 0 . chr1 159927847 159927848 chr1:159927848:T:C rs77570237 T C T EBF1_EBF_1 -3 0 - 0 0 . chr1 159946092 159946093 chr1:159946093:C:G rs74988004 C G C EBF1_EBF_1 25 0 + 0 0 . chr1 159952403 159952404 chr1:159952404:A:G rs71628159 A G G EBF1_EBF_1 0 1 + 7.435721850694642 5.5434379811298085 ATCCCCCCGGGAGA chr1 159954777 159954778 chr1:159954778:C:A rs68093531 C A C EBF1_EBF_1 -17 0 - 0 0 . chr1 160018329 160018330 chr1:160018330:C:T rs4656869 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 160046754 160046755 chr1:160046755:A:C rs1186681 A C C EBF1_EBF_1 24 0 - 0 0 . chr1 160069868 160069869 chr1:160069869:G:A rs2820585 G A G EBF1_EBF_1 26 0 + 0 0 . chr1 160080990 160080991 chr1:160080991:G:T rs73023611 G T G EBF1_EBF_1 -3 0 + 0 0 . chr1 160086991 160086992 chr1:160086992:T:C rs79862033 T C T EBF1_EBF_1 -17 0 + 0 0 . chr1 160116286 160116287 chr1:160116287:T:C rs73023696 T C T EBF1_EBF_1 0 1 - 8.18280158770539 6.290517718140556 ACTCCCAGAGGAAT chr1 160148286 160148287 chr1:160148287:A:C rs74895789 A C A EBF1_EBF_1 14 0 - 0 0 . chr1 160168090 160168091 chr1:160168091:G:C rs11265341 G C G EBF1_EBF_1 -10 0 - 0 0 . chr1 160170983 160170984 chr1:160170984:A:G rs16827472 A G A EBF1_EBF_1 -18 0 + 0 0 . chr1 160171477 160171478 chr1:160171478:T:C rs12058214 T C T EBF1_EBF_1 26 0 - 0 0 . chr1 160171494 160171495 chr1:160171495:C:T rs669162 C T C EBF1_EBF_1 9 1 - 5.42773617067063 2.772796905223026 TCACCCCAGGGATA chr1 160171495 160171496 chr1:160171496:C:G rs625129 C G G EBF1_EBF_1 8 1 - 5.42773617067063 0.10907730975594393 TCACCCCAGGGATA chr1 160172139 160172140 chr1:160172140:A:G rs6665935 A G A EBF1_EBF_1 11 1 + 5.988322558522949 3.095615714918938 ATCCCTCAGGGATT chr1 160175100 160175101 chr1:160175101:G:A rs58499496 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 160175104 160175105 chr1:160175105:C:T rs11265343 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 160189679 160189680 chr1:160189680:G:A rs190401521 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 160219559 160219560 chr1:160219560:C:T rs542520210 C T C EBF1_EBF_1 9 1 - 6.528213975069106 3.8732747096215014 TTCCCCCTGGGATG chr1 160349684 160349685 chr1:160349685:G:A rs368983429 G A G EBF1_EBF_1 9 1 + 5.811033141039716 3.1560938755921124 TGTCCCAAAGGATC chr1 160354890 160354891 chr1:160354891:G:T rs6700962 G T T EBF1_EBF_1 6 1 + 4.530547947162938 6.637026139497891 CTTCCCGAGGGCCA chr1 160354893 160354894 chr1:160354894:G:A rs78110462 G A G EBF1_EBF_1 9 1 + 4.530547947162938 1.8756086817153332 CTTCCCGAGGGCCA chr1 160357495 160357496 chr1:160357496:T:C rs73031412 T C C EBF1_EBF_1 7 1 - 7.603408782567256 6.29095037727843 AAACCCAAGGGACG chr1 160411037 160411038 chr1:160411038:C:G rs114653704 C G C EBF1_EBF_1 -4 0 + 0 0 . chr1 160424114 160424115 chr1:160424115:A:G rs12086448 A G G EBF1_EBF_1 0 1 + 5.853170471087829 3.9608866015229935 AAACCCCAGGGAGG chr1 160502348 160502349 chr1:160502349:A:G rs17385337 A G A EBF1_EBF_1 1 1 - 5.495926478402976 4.60049303800423 GTTCCCCAGTGACT chr1 160606511 160606512 chr1:160606512:G:T rs72708852 G T G EBF1_EBF_1 31 0 + 0 0 . chr1 160627939 160627940 chr1:160627940:G:C rs115747080 G C G EBF1_EBF_1 4 1 - 9.745842461759796 4.120077360610253 ACTCCCATGAGAAC chr1 160646912 160646913 chr1:160646913:G:T rs2295612 G T G EBF1_EBF_1 -16 0 + 0 0 . chr1 160652426 160652427 chr1:160652427:C:T rs11265457 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 160652623 160652624 chr1:160652624:G:A rs11265458 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 160652973 160652974 chr1:160652974:A:G rs1847397 A G G EBF1_EBF_1 -14 0 + 0 0 . chr1 160691286 160691287 chr1:160691287:G:A rs11265469 G A g EBF1_EBF_1 16 0 - 0 0 . chr1 160708930 160708931 chr1:160708931:T:G rs352680 T G C EBF1_EBF_1 -19 0 - 0 0 . chr1 160712040 160712041 chr1:160712041:C:T rs116656566 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 160712045 160712046 chr1:160712046:C:G rs352685 C G C EBF1_EBF_1 12 1 - 5.0288095854375765 6.417445529115728 GCCCCCCTGAGAGT chr1 160712072 160712073 chr1:160712073:G:T rs2070931 G T G EBF1_EBF_1 -15 0 - 0 0 . chr1 160727037 160727038 chr1:160727038:G:A rs78837289 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 160727043 160727044 chr1:160727044:C:A rs528023170 C A C EBF1_EBF_1 3 1 + 11.618324248506973 4.712150219041167 ATTCCCCAGGGAGA chr1 160727045 160727046 chr1:160727046:C:G rs192164542 C G C EBF1_EBF_1 5 1 + 11.618324248506973 4.7134430958718045 ATTCCCCAGGGAGA chr1 160728485 160728486 chr1:160728486:G:A rs564141 G A G EBF1_EBF_1 8 1 + 8.629994110412293 4.403704021526032 AATCCCCTGGTAAT chr1 160790101 160790102 chr1:160790102:A:G rs74673667 A G a EBF1_EBF_1 -20 0 - 0 0 . chr1 160818340 160818341 chr1:160818341:T:C rs3817407 T C T EBF1_EBF_1 -19 0 + 0 0 . chr1 160820457 160820458 chr1:160820458:A:G rs492874 A G A EBF1_EBF_1 -9 0 + 0 0 . chr1 160827311 160827312 chr1:160827312:G:A rs16832432 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 160835143 160835144 chr1:160835144:C:G rs7518342 C G C EBF1_EBF_1 29 0 - 0 0 . chr1 160858494 160858495 chr1:160858495:C:T rs11265498 C T T EBF1_EBF_1 -10 0 - 0 0 . chr1 160858553 160858554 chr1:160858554:C:T rs12048113 C T T EBF1_EBF_1 8 1 - 8.427616706362729 4.201326617476468 ATTGCCCAGGGAAT chr1 160863070 160863071 chr1:160863071:A:G rs12036670 A G G EBF1_EBF_1 32 0 + 0 0 . chr1 160867740 160867741 chr1:160867741:G:A rs11265503 G A G EBF1_EBF_1 0 1 - 4.662435250146068 4.9446373108766455 CTCCCCCTGGGTCC chr1 160874905 160874906 chr1:160874906:C:A rs959047 C A C EBF1_EBF_1 -14 0 - 0 0 . chr1 160949487 160949488 chr1:160949488:C:T rs570022462 C T . EBF1_EBF_1 -20 0 + 0 0 . chr1 160954635 160954636 chr1:160954636:G:A rs12401642 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 160971654 160971655 chr1:160971655:G:A rs61802225 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 160978859 160978860 chr1:160978860:C:T rs541598312 C T C EBF1_EBF_1 9 1 - 3.928271413192846 1.2733321477452415 CACCCCCCGGGGCT chr1 160982219 160982220 chr1:160982220:G:C rs149931994 G C G EBF1_EBF_1 10 1 + 7.130182935339973 0.23938054801049752 CGCCCCAAGGGAGA chr1 160982918 160982919 chr1:160982919:C:T rs2250304 C T C EBF1_EBF_1 4 1 + 8.184149355917345 3.814762410972421 ATCCCCGTGGGATC chr1 161054991 161054992 chr1:161054992:C:T rs12728349 C T T EBF1_EBF_1 26 0 - 0 0 . chr1 161055031 161055032 chr1:161055032:A:C rs12748157 A C A EBF1_EBF_1 -14 0 - 0 0 . chr1 161077429 161077430 chr1:161077430:T:C rs11265548 T C T EBF1_EBF_1 17 0 + 0 0 . chr1 161083144 161083145 chr1:161083145:C:T rs61801075 C T C EBF1_EBF_1 32 0 + 0 0 . chr1 161098449 161098450 chr1:161098450:G:A rs3813611 G A G EBF1_EBF_1 0 1 - 4.49496029321871 4.777162353949287 CTTCCCCGGGAACC chr1 161133654 161133655 chr1:161133655:T:G rs10797093 T G T EBF1_EBF_1 26 0 - 0 0 . chr1 161139965 161139966 chr1:161139966:A:G rs74124649 A G A EBF1_EBF_1 26 0 - 0 0 . chr1 161159449 161159450 chr1:161159450:G:T rs3813615 G T G EBF1_EBF_1 24 0 + 0 0 . chr1 161194219 161194220 chr1:161194220:C:T rs774244512 C T C EBF1_EBF_1 4 1 + 4.913115544504709 0.543728599559786 AGTGCCCTGGGAAG chr1 161225535 161225536 chr1:161225536:T:C chr1:161225536:T:C T C T EBF1_EBF_1 13 1 - 6.37935764340563 5.265032071336191 GAACCCATGGGAAA chr1 161225540 161225541 chr1:161225541:C:G chr1:161225541:C:G C G C EBF1_EBF_1 8 1 - 6.37935764340563 1.060698782490944 GAACCCATGGGAAA chr1 161236107 161236108 chr1:161236108:G:T rs6686001 G T G EBF1_EBF_1 3 1 - 7.259090852154452 0.3529168226886451 CACCCCTGGGGACC chr1 161236111 161236112 chr1:161236112:G:A rs35835071 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 161236130 161236131 chr1:161236131:C:G rs34887199 C G C EBF1_EBF_1 -20 0 - 0 0 . chr1 161260982 161260983 chr1:161260983:A:G rs150752639 A G a EBF1_EBF_1 6 1 - 5.082393815114653 4.781107686252895 GGCCCCTAGAGAAG chr1 161310458 161310459 chr1:161310459:A:G rs3813630 A G A EBF1_EBF_1 7 1 - 8.988804354485332 7.171299608202708 ACTCCCATAGGACT chr1 161316142 161316143 chr1:161316143:G:T rs945133732 G T G EBF1_EBF_1 10 1 + 5.03860360144962 0.8558514152223555 ATTGCCCAGGGATG chr1 161324013 161324014 chr1:161324014:T:C rs55747162 T C T EBF1_EBF_1 11 1 - 6.856879146885347 3.9641723032813356 CTTCCCTAAGGAAA chr1 161387680 161387681 chr1:161387681:A:G rs16832922 A G G EBF1_EBF_1 -8 0 + 0 0 . chr1 161400108 161400109 chr1:161400109:G:A rs115980927 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 161428686 161428687 chr1:161428687:A:C rs10157070 A C A EBF1_EBF_1 14 0 - 0 0 . chr1 161472644 161472645 chr1:161472645:C:T rs9427060 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 161482167 161482168 chr1:161482168:C:T rs112160189 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 161511770 161511771 chr1:161511771:C:T rs10800357 C T C EBF1_EBF_1 4 1 + 6.90642047517118 2.5370335302262568 TCTCCCAGGAGACC chr1 161511776 161511777 chr1:161511777:G:T rs11590749 G T G EBF1_EBF_1 10 1 + 6.90642047517118 2.723668288943915 TCTCCCAGGAGACC chr1 161530661 161530662 chr1:161530662:T:G rs547447310 T G T EBF1_EBF_1 -19 0 - 0 0 . chr1 161542407 161542408 chr1:161542408:C:T rs556866217 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 161542995 161542996 chr1:161542996:C:T rs1126552 C T C EBF1_EBF_1 2 1 + 7.967439325437659 9.85626273687981 ACCCCCATGGGATG chr1 161594302 161594303 chr1:161594303:A:G rs61801819 A G t EBF1_EBF_1 16 0 - 0 0 . chr1 161594315 161594316 chr1:161594316:G:A rs115566966 G A G EBF1_EBF_1 3 1 - 6.214559890677042 -0.190838850876276 AACCCCCGGAGAAA chr1 161612251 161612252 chr1:161612252:C:G rs182040445 C G C EBF1_EBF_1 -4 0 - 0 0 . chr1 161621435 161621436 chr1:161621436:C:T rs566358987 C T c EBF1_EBF_1 28 0 + 0 0 . chr1 161631504 161631505 chr1:161631505:C:A rs61803028 C A C EBF1_EBF_1 -20 0 - 0 0 . chr1 161706409 161706410 chr1:161706410:G:A rs185457671 G A C EBF1_EBF_1 23 0 + 0 0 . chr1 161710995 161710996 chr1:161710996:T:C rs12043179 T C C EBF1_EBF_1 0 1 - 6.9028475794935655 5.010563709928731 ATTTCCTAGGGACA chr1 161711000 161711001 chr1:161711001:T:C rs12043181 T C C EBF1_EBF_1 -5 0 - 0 0 . chr1 161711077 161711078 chr1:161711078:T:C rs1934908 T C T EBF1_EBF_1 -2 0 - 0 0 . chr1 161721642 161721643 chr1:161721643:C:T rs1891019 C T C EBF1_EBF_1 23 0 + 0 0 . chr1 161730694 161730695 chr1:161730695:A:C rs1503811 A C A EBF1_EBF_1 -17 0 - 0 0 . chr1 161735956 161735957 chr1:161735957:G:A rs112876729 G A G EBF1_EBF_1 1 1 - 5.96092645802152 6.856359898420266 GCTCCCAGGAGATC chr1 161781950 161781951 chr1:161781951:A:G rs1058405 A G A EBF1_EBF_1 12 1 - 4.929805610993308 5.956072181544158 ACTCCCAAGGCATC chr1 161965260 161965261 chr1:161965261:A:G rs2490441 A G g EBF1_EBF_1 7 1 - 4.780292847824388 2.9627881015417636 CTCCCCTTGGGGGC chr1 161970880 161970881 chr1:161970881:G:A rs61809498 G A G EBF1_EBF_1 3 1 - 5.305034856817418 -1.1003638847358987 TGTCCCTGGGGCAC chr1 161973094 161973095 chr1:161973095:G:A rs12076482 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 162009970 162009971 chr1:162009971:C:G rs72712102 C G C EBF1_EBF_1 12 1 + 8.668806117689394 7.280170174011244 TTCCCCCTGGGACA chr1 162011361 162011362 chr1:162011362:G:A rs543197213 G A G EBF1_EBF_1 3 1 - 4.068287938609078 -2.33711080294424 CCCCCCTTGGGTCC chr1 162024470 162024471 chr1:162024471:T:C rs115593450 T C T EBF1_EBF_1 32 0 - 0 0 . chr1 162024475 162024476 chr1:162024476:G:T rs149417773 G T G EBF1_EBF_1 27 0 - 0 0 . chr1 162024500 162024501 chr1:162024501:G:A rs372440220 G A G EBF1_EBF_1 2 1 - 6.541628489593963 8.43045190103611 TTCCCCATGGGGAC chr1 162080545 162080546 chr1:162080546:A:G rs78958053 A G A EBF1_EBF_1 30 0 - 0 0 . chr1 162105530 162105531 chr1:162105531:T:C rs7521236 T C T EBF1_EBF_1 -12 0 + 0 0 . chr1 162144884 162144885 chr1:162144885:C:T rs16857061 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 162152657 162152658 chr1:162152658:C:T rs12064771 C T C EBF1_EBF_1 3 1 + 6.531903405634306 0.12650466408098737 GTCCCCTTGGGGCC chr1 162163811 162163812 chr1:162163812:A:G rs10918797 A G A EBF1_EBF_1 27 0 + 0 0 . chr1 162191548 162191549 chr1:162191549:C:G rs4657166 C G C EBF1_EBF_1 28 0 + 0 0 . chr1 162193383 162193384 chr1:162193384:A:C rs148053378 A C A EBF1_EBF_1 2 1 - 5.458018267224549 -1.290307422975917 TATGCCCAGGGACT chr1 162200736 162200737 chr1:162200737:C:A rs7415748 C A C EBF1_EBF_1 17 0 - 0 0 . chr1 162240680 162240681 chr1:162240681:A:G rs4431825 A G A EBF1_EBF_1 -18 0 + 0 0 . chr1 162250195 162250196 chr1:162250196:C:G rs114111277 C G C EBF1_EBF_1 10 1 - 7.263541452091044 0.3727390647615693 GTCCCCTCGGGAAA chr1 162322419 162322420 chr1:162322420:T:C rs78475010 T C T EBF1_EBF_1 28 0 + 0 0 . chr1 162347722 162347723 chr1:162347723:G:A rs347273 G A G EBF1_EBF_1 -8 0 - 0 0 . chr1 162350395 162350396 chr1:162350396:G:A rs12049272 G A G EBF1_EBF_1 5 1 - 6.359916781298784 1.0381295657581138 CTCCCCCAGGGAGG chr1 162356032 162356033 chr1:162356033:C:T rs386231 C T C EBF1_EBF_1 4 1 - 5.298157784047862 5.099363261743939 AACCGCCTGGGAAT chr1 162382320 162382321 chr1:162382321:G:A rs164189 G A G EBF1_EBF_1 12 1 + 8.271115846967135 9.689933189066611 AGCCCCAAGGGAGG chr1 162421884 162421885 chr1:162421885:C:A rs182968 C A A EBF1_EBF_1 24 0 - 0 0 . chr1 162444729 162444730 chr1:162444730:G:A rs59596817 G A G EBF1_EBF_1 3 1 - 5.199483984190973 -1.2059147573623434 TACCCCCTGGGAGG chr1 162541738 162541739 chr1:162541739:C:A rs6700298 C A A EBF1_EBF_1 20 0 + 0 0 . chr1 162541739 162541740 chr1:162541740:G:C rs4657203 G C G EBF1_EBF_1 21 0 + 0 0 . chr1 162541747 162541748 chr1:162541748:A:G rs568053740 A G A EBF1_EBF_1 29 0 + 0 0 . chr1 162688849 162688850 chr1:162688850:C:T rs12036048 C T C EBF1_EBF_1 1 1 - 4.942852456476824 4.682153624555522 GGTGCCAAGGGACA chr1 162703914 162703915 chr1:162703915:C:T rs17433759 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 162772719 162772720 chr1:162772720:A:G rs1704763 A G A EBF1_EBF_1 27 0 + 0 0 . chr1 162788815 162788816 chr1:162788816:G:A rs116543936 G A G EBF1_EBF_1 12 1 - 4.182625420426012 3.156358849875162 AGCCCATAGGGACC chr1 162788826 162788827 chr1:162788827:C:T rs1780011 C T C EBF1_EBF_1 1 1 - 4.182625420426012 3.921926588504709 AGCCCATAGGGACC chr1 162843058 162843059 chr1:162843059:G:A rs72713319 G A G EBF1_EBF_1 10 1 + 7.485178204173429 2.1671497449064634 ATTCCCCTGCGAAA chr1 162882263 162882264 chr1:162882264:T:A rs61810595 T A T EBF1_EBF_1 -11 0 - 0 0 . chr1 162885627 162885628 chr1:162885628:G:T rs12737877 G T T EBF1_EBF_1 22 0 - 0 0 . chr1 162888913 162888914 chr1:162888914:C:G rs2343483 C G C EBF1_EBF_1 18 0 + 0 0 . chr1 162909247 162909248 chr1:162909248:G:A rs10753592 G A G EBF1_EBF_1 5 1 - 7.826063281074925 2.5042760655342553 AATCACAAGGGAAT chr1 162913832 162913833 chr1:162913833:C:T rs12098210 C T C EBF1_EBF_1 10 1 - 5.0204231395828 -0.29760531968416526 GCTCCCTAGGGCAG chr1 162918075 162918076 chr1:162918076:A:C rs35977677 A C C EBF1_EBF_1 -10 0 + 0 0 . chr1 162989218 162989219 chr1:162989219:G:A rs2841973 G A A EBF1_EBF_1 32 0 + 0 0 . chr1 163182775 163182776 chr1:163182776:T:C rs11585077 T C C EBF1_EBF_1 7 1 + 9.222458560059064 7.404953813776441 ATTCCCATGAGAGC chr1 163211875 163211876 chr1:163211876:C:T rs35792769 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 163211893 163211894 chr1:163211894:C:T rs35047999 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 163303777 163303778 chr1:163303778:T:C rs78447487 T C T EBF1_EBF_1 0 1 + 6.716338069821599 6.434136009091021 TCTCCCATGAGATC chr1 163303794 163303795 chr1:163303795:T:C rs11582919 T C C EBF1_EBF_1 17 0 + 0 0 . chr1 163361515 163361516 chr1:163361516:T:C rs2999857 T C C EBF1_EBF_1 -7 0 - 0 0 . chr1 163473555 163473556 chr1:163473556:C:T rs12067251 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 163474113 163474114 chr1:163474114:G:A rs12116819 G A G EBF1_EBF_1 12 1 - 5.428194829864871 4.401928259314021 GATCCCAGGAGACG chr1 163702274 163702275 chr1:163702275:A:G rs140778731 A G A EBF1_EBF_1 6 1 + 6.792795145986209 4.626000714711735 CTTCCCAGAGGACT chr1 163729865 163729866 chr1:163729866:A:G rs1339453 A G G EBF1_EBF_1 21 0 - 0 0 . chr1 163834221 163834222 chr1:163834222:A:C rs6667646 A C C EBF1_EBF_1 -10 0 - 0 0 . chr1 163979134 163979135 chr1:163979135:A:G rs115215181 A G A EBF1_EBF_1 31 0 + 0 0 . chr1 163979135 163979136 chr1:163979136:C:A rs1479640 C A A EBF1_EBF_1 32 0 + 0 0 . chr1 163999176 163999177 chr1:163999177:T:C rs260913 T C T EBF1_EBF_1 -4 0 + 0 0 . chr1 164061149 164061150 chr1:164061150:G:C rs573561434 G C G EBF1_EBF_1 0 1 + 7.257226740146321 6.894252126014555 GTTCCCCAGCGACT chr1 164064920 164064921 chr1:164064921:C:A rs149780365 C A C EBF1_EBF_1 -13 0 - 0 0 . chr1 164423208 164423209 chr1:164423209:T:C rs12030918 T C T EBF1_EBF_1 12 1 - 5.638018157103013 4.219200815003536 TCTCCCATGGGCAA chr1 164576722 164576723 chr1:164576723:G:A rs139340211 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 164576725 164576726 chr1:164576726:G:C rs116742666 G C G EBF1_EBF_1 0 1 + 4.0490766834972325 3.6861020693654654 GCCCCCCAGGGGGA chr1 164647522 164647523 chr1:164647523:A:G rs12747830 A G a EBF1_EBF_1 23 0 + 0 0 . chr1 164648137 164648138 chr1:164648138:C:T rs781218384 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 164661307 164661308 chr1:164661308:G:C rs12118935 G C C EBF1_EBF_1 -14 0 - 0 0 . chr1 164707679 164707680 chr1:164707680:G:C rs1780366 G C G EBF1_EBF_1 -13 0 + 0 0 . chr1 164712598 164712599 chr1:164712599:G:A rs1446646287 G A G EBF1_EBF_1 17 0 - 0 0 . chr1 164748849 164748850 chr1:164748850:C:T rs2171696 C T T EBF1_EBF_1 29 0 + 0 0 . chr1 164834728 164834729 chr1:164834729:C:A rs16835292 C A A EBF1_EBF_1 28 0 - 0 0 . chr1 164841544 164841545 chr1:164841545:C:G rs10918077 C G G EBF1_EBF_1 -15 0 + 0 0 . chr1 165073603 165073604 chr1:165073604:G:A rs937301389 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 165288412 165288413 chr1:165288413:G:A rs16842092 G A G EBF1_EBF_1 -9 0 + 0 0 . chr1 165316690 165316691 chr1:165316691:G:A rs76895517 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 165325934 165325935 chr1:165325935:G:T rs2134942 G T T EBF1_EBF_1 9 1 + 4.364767147738703 -2.472222260350107 CCTCCCCCGGGCCT chr1 165345018 165345019 chr1:165345019:C:T rs556302463 C T C EBF1_EBF_1 8 1 - 4.344387808392403 0.11809771950614047 CTCCCCCTGGGCCC chr1 165366529 165366530 chr1:165366530:C:T rs12042661 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 165372611 165372612 chr1:165372612:A:G rs12081765 A G G EBF1_EBF_1 -17 0 + 0 0 . chr1 165393189 165393190 chr1:165393190:A:G rs113166134 A G A EBF1_EBF_1 -13 0 - 0 0 . chr1 165393190 165393191 chr1:165393191:G:A rs77141749 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 165401773 165401774 chr1:165401774:C:T rs190046252 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 165404291 165404292 chr1:165404292:G:A rs901156953 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 165439434 165439435 chr1:165439435:T:C rs166897 T C T EBF1_EBF_1 -8 0 - 0 0 . chr1 165439438 165439439 chr1:165439439:G:A rs61800589 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 165445035 165445036 chr1:165445036:G:A rs186748103 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 165492579 165492580 chr1:165492580:A:G rs285494 A G G EBF1_EBF_1 -10 0 - 0 0 . chr1 165495441 165495442 chr1:165495442:G:A rs375975050 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 165592732 165592733 chr1:165592733:A:C rs2138351 A C A EBF1_EBF_1 11 1 - 7.3818248924455245 8.587032304940237 ATCCCCAAGGGTCA chr1 165632228 165632229 chr1:165632229:G:A rs9333378 G A G EBF1_EBF_1 7 1 + 9.740529432061455 11.05298783735028 CTTCCCTGGGGACA chr1 165668303 165668304 chr1:165668304:T:C rs2348728 T C C EBF1_EBF_1 -9 0 + 0 0 . chr1 165717937 165717938 chr1:165717938:T:C rs4657475 T C C EBF1_EBF_1 33 0 - 0 0 . chr1 165717967 165717968 chr1:165717968:G:A rs4656461 G A G EBF1_EBF_1 3 1 - 4.490512028299967 -1.9148867132533516 GGTCCCCTGGGCTA chr1 165827428 165827429 chr1:165827429:A:C chr1:165827429:A:C A C A EBF1_EBF_1 33 0 + 0 0 . chr1 166053556 166053557 chr1:166053557:A:G rs112504009 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 166257447 166257448 chr1:166257448:G:A rs6658303 G A A EBF1_EBF_1 -2 0 - 0 0 . chr1 166292885 166292886 chr1:166292886:T:C rs10494457 T C T EBF1_EBF_1 27 0 - 0 0 . chr1 166379017 166379018 chr1:166379018:G:A rs76037332 G A G EBF1_EBF_1 28 0 - 0 0 . chr1 166467735 166467736 chr1:166467736:G:T rs6427006 G T T EBF1_EBF_1 5 1 - 5.968453101216579 -0.936428051418589 TCCCCCCAGAGACC chr1 166479165 166479166 chr1:166479166:T:G rs16857176 T G T EBF1_EBF_1 -1 0 - 0 0 . chr1 166479181 166479182 chr1:166479182:T:G rs549295326 T G T EBF1_EBF_1 -17 0 - 0 0 . chr1 166487466 166487467 chr1:166487467:T:C rs7552777 T C C EBF1_EBF_1 19 0 + 0 0 . chr1 166490708 166490709 chr1:166490709:G:A rs35874613 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 166815169 166815170 chr1:166815170:A:C rs61815081 A C A EBF1_EBF_1 16 0 + 0 0 . chr1 166839294 166839295 chr1:166839295:A:T rs149964546 A T A EBF1_EBF_1 -12 0 + 0 0 . chr1 166839522 166839523 chr1:166839523:G:A rs936793386 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 167061452 167061453 chr1:167061453:G:A rs539749402 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 167173377 167173378 chr1:167173378:G:C rs12058086 G C G EBF1_EBF_1 -7 0 + 0 0 . chr1 167221823 167221824 chr1:167221824:C:G rs999979793 C G C EBF1_EBF_1 9 1 - 4.827034980992628 -0.24865416535283646 ACCCCCCAGGGGGG chr1 167433974 167433975 chr1:167433975:G:A rs952963 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 167462114 167462115 chr1:167462115:C:T rs2988277 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 167464239 167464240 chr1:167464240:C:T rs12038168 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 167482768 167482769 chr1:167482769:G:A rs149343174 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 167510471 167510472 chr1:167510472:G:T rs111449402 G T G EBF1_EBF_1 31 0 + 0 0 . chr1 167510527 167510528 chr1:167510528:A:C rs858550 A C C EBF1_EBF_1 0 1 + 7.809202797055171 5.5539443133585715 AAACCCAGGGGAAC chr1 167527359 167527360 chr1:167527360:C:T rs2143301 C T C EBF1_EBF_1 8 1 - 6.2888567224520635 2.062566633565803 TAACCCCGGGGACT chr1 167530361 167530362 chr1:167530362:T:C rs1003815 T C C EBF1_EBF_1 11 1 - 4.8159996441462685 1.923292800542257 AAGCCCCTGGGACA chr1 167537061 167537062 chr1:167537062:G:C rs58946921 G C G EBF1_EBF_1 31 0 + 0 0 . chr1 167603320 167603321 chr1:167603321:C:T rs4657679 C T T EBF1_EBF_1 26 0 + 0 0 . chr1 167629393 167629394 chr1:167629394:A:G rs78297610 A G A EBF1_EBF_1 7 1 - 6.147036836694548 4.329532090411924 ATTCCCATAGGGCC chr1 167637659 167637660 chr1:167637660:T:G rs1229391 T G G EBF1_EBF_1 -8 0 - 0 0 . chr1 167662069 167662070 chr1:167662070:G:A rs1234557 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 167666584 167666585 chr1:167666585:C:T rs74548778 C T c EBF1_EBF_1 18 0 + 0 0 . chr1 167666591 167666592 chr1:167666592:C:G rs74680680 C G C EBF1_EBF_1 25 0 + 0 0 . chr1 167722485 167722486 chr1:167722486:G:A rs55861261 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 167722512 167722513 chr1:167722513:G:T rs75653497 G T G EBF1_EBF_1 6 1 - 4.779293351198551 5.140895718999829 CGCCCCCCGGGAAG chr1 167722518 167722519 chr1:167722519:G:T rs575992648 G T G EBF1_EBF_1 0 1 - 4.779293351198551 7.0345518348951535 CGCCCCCCGGGAAG chr1 167727821 167727822 chr1:167727822:C:A rs12566176 C A C EBF1_EBF_1 -8 0 - 0 0 . chr1 167757186 167757187 chr1:167757187:T:G rs551388940 T G T EBF1_EBF_1 14 0 + 0 0 . chr1 167763707 167763708 chr1:167763708:A:T rs111726146 A T A EBF1_EBF_1 -1 0 + 0 0 . chr1 167791735 167791736 chr1:167791736:T:C rs143146901 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 167793216 167793217 chr1:167793217:T:C rs74120686 T C T EBF1_EBF_1 6 1 - 8.04333024676418 5.876535815489706 GGTCCCATGAGAAC chr1 167832829 167832830 chr1:167832830:A:G rs3738234 A G a EBF1_EBF_1 -17 0 + 0 0 . chr1 167842622 167842623 chr1:167842623:G:A rs204266 G A A EBF1_EBF_1 10 1 + 6.714543091152478 1.3965146318855126 TAACCCTAGGGAAA chr1 167926570 167926571 chr1:167926571:C:G rs77553401 C G C EBF1_EBF_1 4 1 + 5.356940073774196 -0.2688250273753501 TCCCCCCAGGGGCA chr1 167927684 167927685 chr1:167927685:T:C rs547776992 T C T EBF1_EBF_1 -3 0 - 0 0 . chr1 167936453 167936454 chr1:167936454:T:C chr1:167936454:T:C T C T EBF1_EBF_1 -2 0 + 0 0 . chr1 168090627 168090628 chr1:168090628:A:G rs275149 A G G EBF1_EBF_1 -5 0 - 0 0 . chr1 168105507 168105508 chr1:168105508:A:G rs3820400 A G G EBF1_EBF_1 26 0 - 0 0 . chr1 168105514 168105515 chr1:168105515:T:C rs3820401 T C C EBF1_EBF_1 19 0 - 0 0 . chr1 168137477 168137478 chr1:168137478:T:C rs6664903 T C C EBF1_EBF_1 22 0 - 0 0 . chr1 168137544 168137545 chr1:168137545:C:T rs41271651 C T C EBF1_EBF_1 4 1 + 5.174750884296966 0.8053639393520415 ACTCCCTCGGAACC chr1 168178229 168178230 chr1:168178230:A:T rs112625462 A T A EBF1_EBF_1 -1 0 - 0 0 . chr1 168225865 168225866 chr1:168225866:G:A rs375822601 G A G EBF1_EBF_1 -9 0 + 0 0 . chr1 168225875 168225876 chr1:168225876:G:A rs533195692 G A G EBF1_EBF_1 1 1 + 6.23718297413264 5.976484142211339 CGCCCCCCGGGACC chr1 168225905 168225906 chr1:168225906:G:A rs146355359 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 168225906 168225907 chr1:168225907:C:G chr1:168225907:C:G C G C EBF1_EBF_1 32 0 + 0 0 . chr1 168270553 168270554 chr1:168270554:G:A rs61808484 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 168284678 168284679 chr1:168284679:G:A rs9427169 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 168338918 168338919 chr1:168338919:C:T rs12137679 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 168342594 168342595 chr1:168342595:T:C rs6661771 T C T EBF1_EBF_1 -10 0 - 0 0 . chr1 168342603 168342604 chr1:168342604:G:A rs6697708 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 168371576 168371577 chr1:168371577:T:C rs748447056 T C T EBF1_EBF_1 14 0 + 0 0 . chr1 168414218 168414219 chr1:168414219:C:T rs74120905 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 168422613 168422614 chr1:168422614:T:A rs858111 T A T EBF1_EBF_1 18 0 + 0 0 . chr1 168483760 168483761 chr1:168483761:C:T rs180682654 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 168488360 168488361 chr1:168488361:T:C rs76979145 T C T EBF1_EBF_1 33 0 - 0 0 . chr1 168554216 168554217 chr1:168554217:A:G rs1933110 A G G EBF1_EBF_1 -14 0 + 0 0 . chr1 168585331 168585332 chr1:168585332:C:T rs74120963 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 168603935 168603936 chr1:168603936:T:C rs12042962 T C T EBF1_EBF_1 31 0 - 0 0 . chr1 168603954 168603955 chr1:168603955:G:C rs988725 G C C EBF1_EBF_1 12 1 - 4.949517739261256 3.5608817955831045 AGCCACAAGGGACA chr1 168690491 168690492 chr1:168690492:A:G rs890472578 A G . EBF1_EBF_1 -12 0 - 0 0 . chr1 168691570 168691571 chr1:168691571:A:C rs881059 A C . EBF1_EBF_1 20 0 - 0 0 . chr1 168744573 168744574 chr1:168744574:T:C rs489585 T C t EBF1_EBF_1 19 0 + 0 0 . chr1 168750135 168750136 chr1:168750136:G:C rs12739280 G C C EBF1_EBF_1 -9 0 - 0 0 . chr1 168762908 168762909 chr1:168762909:C:G rs2744658 C G G EBF1_EBF_1 14 0 + 0 0 . chr1 168843807 168843808 chr1:168843808:G:C rs6670747 G C G EBF1_EBF_1 8 1 + 8.145859037565764 2.8272001766510777 GGTCCCAAGAGAAA chr1 168900054 168900055 chr1:168900055:C:T rs6657987 C T C EBF1_EBF_1 9 1 - 6.141667831279156 3.486728565831551 TTTCCCCTGGGCCA chr1 169004155 169004156 chr1:169004156:G:C rs2208746 G C G EBF1_EBF_1 33 0 - 0 0 . chr1 169004438 169004439 chr1:169004439:A:C rs77834252 A C A EBF1_EBF_1 17 0 - 0 0 . chr1 169045371 169045372 chr1:169045372:G:A rs116140155 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 169105497 169105498 chr1:169105498:G:C rs1534984 G C G EBF1_EBF_1 8 1 + 6.67670837014932 1.3580495092346332 ACCCCCAAGGGGGC chr1 169113969 169113970 chr1:169113970:C:T rs67777478 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 169134364 169134365 chr1:169134365:T:C rs181866261 T C T EBF1_EBF_1 22 0 - 0 0 . chr1 169147462 169147463 chr1:169147463:C:T rs12145939 C T T EBF1_EBF_1 9 1 - 6.335740010636135 3.680800745188532 CTTCCCCAGGGCCA chr1 169200617 169200618 chr1:169200618:C:T rs9633334 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 169367101 169367102 chr1:169367102:T:G rs4656670 T G T EBF1_EBF_1 -19 0 + 0 0 . chr1 169498371 169498372 chr1:169498372:G:A rs1894691 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 169513421 169513422 chr1:169513422:T:C rs9332674 T C T EBF1_EBF_1 33 0 + 0 0 . chr1 169560734 169560735 chr1:169560735:C:T rs6029 C T C EBF1_EBF_1 9 1 - 5.288493111165218 2.633553845717615 ATTCCCTGCGGAGA chr1 169586734 169586735 chr1:169586735:G:C rs16862404 G C G EBF1_EBF_1 23 0 + 0 0 . chr1 169667134 169667135 chr1:169667135:A:C rs11484820 A C A EBF1_EBF_1 -12 0 + 0 0 . chr1 169699466 169699467 chr1:169699467:A:G rs4987345 A G A EBF1_EBF_1 32 0 - 0 0 . chr1 169742435 169742436 chr1:169742436:T:C rs76991533 T C T EBF1_EBF_1 -4 0 - 0 0 . chr1 169753798 169753799 chr1:169753799:T:G rs77507144 T G G EBF1_EBF_1 -11 0 - 0 0 . chr1 169763522 169763523 chr1:169763523:T:G rs79018991 T G T EBF1_EBF_1 -5 0 + 0 0 . chr1 169882346 169882347 chr1:169882347:C:T rs35275808 C T t EBF1_EBF_1 7 1 + 5.92681824631561 7.744322992598233 CTTCCCACGGGGCA chr1 169915292 169915293 chr1:169915293:G:A rs1883437 G A A EBF1_EBF_1 20 0 + 0 0 . chr1 170145022 170145023 chr1:170145023:C:G rs55679092 C G C EBF1_EBF_1 5 1 + 5.188881922977921 -1.7159992296572477 CTCCCCCTGGGCCT chr1 170149505 170149506 chr1:170149506:A:G rs10919306 A G A EBF1_EBF_1 26 0 + 0 0 . chr1 170311120 170311121 chr1:170311121:A:C rs78773569 A C A EBF1_EBF_1 -6 0 + 0 0 . chr1 170318939 170318940 chr1:170318940:T:G rs116430232 T G T EBF1_EBF_1 -17 0 + 0 0 . chr1 170545633 170545634 chr1:170545634:T:C rs112380395 T C T EBF1_EBF_1 24 0 + 0 0 . chr1 170920526 170920527 chr1:170920527:G:C rs2206559 G C g EBF1_EBF_1 16 0 + 0 0 . chr1 171091596 171091597 chr1:171091597:A:C rs35849478 A C C EBF1_EBF_1 -2 0 + 0 0 . chr1 171108230 171108231 chr1:171108231:C:G rs2066534 C G C EBF1_EBF_1 4 1 + 7.834555724141784 2.2087906229922387 ACTCCCCGGGTACT chr1 171127772 171127773 chr1:171127773:A:T rs74122225 A T A EBF1_EBF_1 7 1 + 8.593195205294283 8.116920965206724 AATCCCAAGGTACC chr1 171141445 171141446 chr1:171141446:C:T rs140032470 C T C EBF1_EBF_1 8 1 - 6.850714732909896 2.6244246440236356 TTTCCCACGAGAAC chr1 171142138 171142139 chr1:171142139:C:G rs186436610 C G C EBF1_EBF_1 -3 0 + 0 0 . chr1 171142170 171142171 chr1:171142171:C:T rs145891956 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 171210530 171210531 chr1:171210531:C:G rs28369918 C G C EBF1_EBF_1 -2 0 + 0 0 . chr1 171220654 171220655 chr1:171220655:G:A rs370566978 G A G EBF1_EBF_1 14 0 + 0 0 . chr1 171392592 171392593 chr1:171392593:G:A rs10798332 G A g EBF1_EBF_1 8 1 + 6.223584146558964 1.997294057672702 TCCCCCTGGGGAGC chr1 171424576 171424577 chr1:171424577:A:G rs1470114681 A G A EBF1_EBF_1 7 1 + 9.546199318807442 8.233740913518616 ATTCCCAAAGGAAC chr1 171716145 171716146 chr1:171716146:C:T rs58963709 C T C EBF1_EBF_1 24 0 - 0 0 . chr1 171841376 171841377 chr1:171841377:C:T rs142211926 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 171928453 171928454 chr1:171928454:G:A rs181819784 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 172108359 172108360 chr1:172108360:C:T rs927836213 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 172137056 172137057 chr1:172137057:C:T rs2819531 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 172261639 172261640 chr1:172261640:A:G rs2422073 A G G EBF1_EBF_1 -3 0 - 0 0 . chr1 172299295 172299296 chr1:172299296:G:A rs114027258 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 172308784 172308785 chr1:172308785:C:T rs34870740 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 172351017 172351018 chr1:172351018:A:G rs79517397 A G A EBF1_EBF_1 13 1 + 5.407223553733073 4.292897981663634 ACCCTCCTGGGAAA chr1 172387296 172387297 chr1:172387297:A:G rs6688654 A G G EBF1_EBF_1 -18 0 - 0 0 . chr1 172399169 172399170 chr1:172399170:A:G rs7546252 A G A EBF1_EBF_1 -5 0 - 0 0 . chr1 172431981 172431982 chr1:172431982:T:A rs144377136 T A T EBF1_EBF_1 -5 0 - 0 0 . chr1 172473704 172473705 chr1:172473705:A:G rs115006834 A G A EBF1_EBF_1 -8 0 - 0 0 . chr1 172478021 172478022 chr1:172478022:C:T rs76048283 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 172500282 172500283 chr1:172500283:A:T rs6424954 A T A EBF1_EBF_1 12 1 + 6.370148960707969 5.313700991735793 TCTCCCTAGGAAAT chr1 172589383 172589384 chr1:172589384:A:G rs41264546 A G A EBF1_EBF_1 -11 0 + 0 0 . chr1 172596886 172596887 chr1:172596887:C:T rs2157420 C T T EBF1_EBF_1 12 1 + 4.670153734430381 3.6438871638795316 TGCCCCCAGAGACG chr1 172620850 172620851 chr1:172620851:C:T rs116184688 C T C EBF1_EBF_1 4 1 + 7.839380843094909 3.469993898149986 CTACCCCTGGGAAT chr1 172679621 172679622 chr1:172679622:G:A rs183959812 G A G EBF1_EBF_1 7 1 - 7.285061446702034 9.102566192984657 GCCCCCCCGGGAAT chr1 172727825 172727826 chr1:172727826:C:T rs73038085 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 172818928 172818929 chr1:172818929:A:C rs76504288 A C A EBF1_EBF_1 -12 0 - 0 0 . chr1 172823978 172823979 chr1:172823979:G:A rs17299020 G A A EBF1_EBF_1 29 0 - 0 0 . chr1 172851310 172851311 chr1:172851311:A:G rs60037102 A G A EBF1_EBF_1 1 1 - 9.113870232134897 8.218436791736151 TTTCCCCCGGGACC chr1 172960301 172960302 chr1:172960302:A:G rs12097832 A G A EBF1_EBF_1 2 1 - 5.032431875373087 3.1436084639309367 TTTTCCCAGGGAAC chr1 173099283 173099284 chr1:173099284:G:A rs997331 G A - EBF1_EBF_1 -19 0 + 0 0 . chr1 173205294 173205295 chr1:173205295:C:T rs56217303 C T C EBF1_EBF_1 4 1 + 5.8567040391956535 1.48731709425073 CTCCCCTAGAGATC chr1 173275722 173275723 chr1:173275723:G:T rs10912577 G T T EBF1_EBF_1 -12 0 - 0 0 . chr1 173334800 173334801 chr1:173334801:T:G rs12137137 T G T EBF1_EBF_1 22 0 + 0 0 . chr1 173366956 173366957 chr1:173366957:G:A rs1935326 G A g EBF1_EBF_1 31 0 - 0 0 . chr1 173378834 173378835 chr1:173378835:G:C rs72724986 G C g EBF1_EBF_1 -15 0 + 0 0 . chr1 173380585 173380586 chr1:173380586:A:C rs2105325 A C C EBF1_EBF_1 -14 0 + 0 0 . chr1 173416046 173416047 chr1:173416047:T:C rs10737300 T C T EBF1_EBF_1 16 0 - 0 0 . chr1 173474678 173474679 chr1:173474679:G:A rs61826756 G A G EBF1_EBF_1 30 0 - 0 0 . chr1 173477975 173477976 chr1:173477976:T:G rs148293085 T G T EBF1_EBF_1 15 0 - 0 0 . chr1 173528312 173528313 chr1:173528313:C:T rs35607505 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 173715176 173715177 chr1:173715177:G:A rs1040980847 G A G EBF1_EBF_1 2 1 - 5.25211357753702 7.140936988979169 GACCCCATGGGGCA chr1 174400720 174400721 chr1:174400721:T:C rs926327 T C T EBF1_EBF_1 -19 0 + 0 0 . chr1 174401965 174401966 chr1:174401966:T:A rs61826865 T A T EBF1_EBF_1 13 1 - 7.898940623401373 9.117180187272867 ACTCCCATGGGTCA chr1 174654239 174654240 chr1:174654240:T:C rs138879540 T C T EBF1_EBF_1 16 0 + 0 0 . chr1 174839715 174839716 chr1:174839716:T:C rs16847563 T C t EBF1_EBF_1 -18 0 + 0 0 . chr1 174964455 174964456 chr1:174964456:C:T rs763245 C T T EBF1_EBF_1 -17 0 + 0 0 . chr1 175000356 175000357 chr1:175000357:T:C rs6671849 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 175077652 175077653 chr1:175077653:A:G rs2072032 A G G EBF1_EBF_1 -4 0 - 0 0 . chr1 175093055 175093056 chr1:175093056:C:T rs763249 C T T EBF1_EBF_1 -10 0 + 0 0 . chr1 175118633 175118634 chr1:175118634:G:A rs61742152 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 175128774 175128775 chr1:175128775:T:A rs6664308 T A T EBF1_EBF_1 -3 0 - 0 0 . chr1 175217780 175217781 chr1:175217781:T:C rs926217 T C C EBF1_EBF_1 28 0 - 0 0 . chr1 175220945 175220946 chr1:175220946:C:T rs926216 C T T EBF1_EBF_1 15 0 + 0 0 . chr1 175270671 175270672 chr1:175270672:T:C rs11801549 T C T EBF1_EBF_1 -2 0 + 0 0 . chr1 175274444 175274445 chr1:175274445:C:T rs34075941 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 175313109 175313110 chr1:175313110:G:C rs12566648 G C G EBF1_EBF_1 8 1 + 9.785341090737692 4.466682229823007 CATCCCCAGGGACA chr1 175319216 175319217 chr1:175319217:T:A rs6672214 T A T EBF1_EBF_1 11 1 - 9.623778733448004 5.5258644773492795 ATACCCTAGGGACA chr1 175327710 175327711 chr1:175327711:C:T rs11579742 C T C EBF1_EBF_1 28 0 - 0 0 . chr1 175327715 175327716 chr1:175327716:G:T rs3766678 G T G EBF1_EBF_1 23 0 - 0 0 . chr1 175449335 175449336 chr1:175449336:A:G rs1872441 A G A EBF1_EBF_1 -16 0 + 0 0 . chr1 175497669 175497670 chr1:175497670:G:C rs142445766 G C G EBF1_EBF_1 8 1 + 6.031871656242048 0.7132127953273624 ACTCCCTGGGGCTC chr1 175530343 175530344 chr1:175530344:G:A rs115963235 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 175629250 175629251 chr1:175629251:C:A rs16848874 C A C EBF1_EBF_1 33 0 - 0 0 . chr1 175649659 175649660 chr1:175649660:G:A rs12092094 G A A EBF1_EBF_1 13 1 - 5.079515446553087 5.924009561138605 TCTCCCATGGAACC chr1 175680168 175680169 chr1:175680169:C:T rs539129374 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 175683783 175683784 chr1:175683784:A:G rs17312104 A G A EBF1_EBF_1 21 0 + 0 0 . chr1 175695237 175695238 chr1:175695238:A:C rs74993378 A C A EBF1_EBF_1 7 1 + 5.5847807803246985 3.291001793954516 ACTCTCAAGGGGCC chr1 175811715 175811716 chr1:175811716:G:A rs2222419 G A A EBF1_EBF_1 26 0 + 0 0 . chr1 175941395 175941396 chr1:175941396:T:G rs61820918 T G T EBF1_EBF_1 -13 0 + 0 0 . chr1 176207136 176207137 chr1:176207137:G:A rs537731620 G A A EBF1_EBF_1 25 0 - 0 0 . chr1 176207164 176207165 chr1:176207165:G:A rs567341355 G A G EBF1_EBF_1 -3 0 - 0 0 . chr1 176417362 176417363 chr1:176417363:G:A rs2504479 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 176434692 176434693 chr1:176434693:T:C rs114978241 T C T EBF1_EBF_1 16 0 + 0 0 . chr1 176522540 176522541 chr1:176522541:C:T rs11801416 C T T EBF1_EBF_1 18 0 + 0 0 . chr1 176602259 176602260 chr1:176602260:G:A rs12066567 G A A EBF1_EBF_1 -9 0 - 0 0 . chr1 176897775 176897776 chr1:176897776:A:T rs11804827 A T A EBF1_EBF_1 -6 0 + 0 0 . chr1 176920676 176920677 chr1:176920677:T:C rs6682133 T C T EBF1_EBF_1 17 0 + 0 0 . chr1 177007645 177007646 chr1:177007646:G:A rs735607 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 177008350 177008351 chr1:177008351:C:T rs61369335 C T C EBF1_EBF_1 9 1 - 5.878740999708048 3.2238017342604453 CTTCCCCTAGGAGT chr1 177034101 177034102 chr1:177034102:A:G rs742123 A G G EBF1_EBF_1 25 0 + 0 0 . chr1 177083899 177083900 chr1:177083900:G:A rs2902093 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 177145274 177145275 chr1:177145275:G:A chr1:177145275:G:A G A G EBF1_EBF_1 12 1 - 6.885325597750658 5.859059027199808 TTTCCCAGGGGCCT chr1 177163946 177163947 chr1:177163947:C:A rs58372053 C A C EBF1_EBF_1 -4 0 + 0 0 . chr1 177173027 177173028 chr1:177173028:T:C rs138957799 T C T EBF1_EBF_1 -6 0 + 0 0 . chr1 177256325 177256326 chr1:177256326:A:G rs188233060 A G A EBF1_EBF_1 -12 0 - 0 0 . chr1 177305349 177305350 chr1:177305350:A:C rs970764 A C C EBF1_EBF_1 -4 0 + 0 0 . chr1 177384876 177384877 chr1:177384877:T:C rs141332400 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 177385996 177385997 chr1:177385997:C:T rs10429832 C T T EBF1_EBF_1 17 0 - 0 0 . chr1 177525605 177525606 chr1:177525606:A:C rs190535744 A C A EBF1_EBF_1 25 0 + 0 0 . chr1 177526694 177526695 chr1:177526695:A:G rs139983192 A G A EBF1_EBF_1 16 0 - 0 0 . chr1 177571279 177571280 chr1:177571280:A:G rs6683070 A G G EBF1_EBF_1 -13 0 + 0 0 . chr1 177657149 177657150 chr1:177657150:G:T rs1252066 G T g EBF1_EBF_1 -2 0 - 0 0 . chr1 177785353 177785354 chr1:177785354:T:C rs34944316 T C T EBF1_EBF_1 -19 0 - 0 0 . chr1 177809789 177809790 chr1:177809790:T:C rs6676139 T C C EBF1_EBF_1 18 0 + 0 0 . chr1 177880572 177880573 chr1:177880573:T:C rs80198917 T C T EBF1_EBF_1 23 0 - 0 0 . chr1 177946362 177946363 chr1:177946363:G:A rs10159204 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 177946642 177946643 chr1:177946643:A:G rs74128490 A G A EBF1_EBF_1 -1 0 + 0 0 . chr1 177952298 177952299 chr1:177952299:T:A rs4652282 T A A EBF1_EBF_1 -15 0 + 0 0 . chr1 177977613 177977614 chr1:177977614:G:T rs11576081 G T T EBF1_EBF_1 9 1 + 7.580306474487343 0.7433170663985336 AGTCACATGGGACT chr1 177984341 177984342 chr1:177984342:A:G chr1:177984342:A:G A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 178037854 178037855 chr1:178037855:T:C rs10798592 T C C EBF1_EBF_1 6 1 - 5.493222902908267 3.3264284716337906 AGTCCCAGGGTGCT chr1 178048783 178048784 chr1:178048784:T:C rs12403139 T C T EBF1_EBF_1 20 0 - 0 0 . chr1 178052284 178052285 chr1:178052285:C:T rs12083531 C T C EBF1_EBF_1 4 1 + 5.731258048162444 1.3618711032175215 TTCCCCAGGAGATT chr1 178056275 178056276 chr1:178056276:T:A rs60845952 T A T EBF1_EBF_1 -11 0 - 0 0 . chr1 178056496 178056497 chr1:178056497:A:G rs16852393 A G A EBF1_EBF_1 9 1 - 4.651432363817458 6.412732625560803 GTTCCCCAGTGACC chr1 178061221 178061222 chr1:178061222:C:T rs189307842 C T A EBF1_EBF_1 -9 0 + 0 0 . chr1 178061222 178061223 chr1:178061223:G:A rs61813777 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 178486878 178486879 chr1:178486879:C:T rs114168682 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 178498974 178498975 chr1:178498975:C:A rs74129216 C A C EBF1_EBF_1 25 0 - 0 0 . chr1 178501949 178501950 chr1:178501950:G:A rs4652299 G A G EBF1_EBF_1 28 0 + 0 0 . chr1 178501951 178501952 chr1:178501952:C:A rs4652300 C A C EBF1_EBF_1 30 0 + 0 0 . chr1 178581595 178581596 chr1:178581596:G:A rs775106362 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 178613537 178613538 chr1:178613538:C:T rs143547997 C T C EBF1_EBF_1 3 1 + 10.580845250022822 4.175446508469506 GCTCCCAAGGGACA chr1 178634547 178634548 chr1:178634548:G:A chr1:178634548:G:A G A G EBF1_EBF_1 12 1 + 5.662868962098914 7.081686304198391 ATTCCCTGGGAAGC chr1 178688446 178688447 chr1:178688447:C:T rs2811249 C T C EBF1_EBF_1 1 1 + 5.410405048379867 6.305838488778613 ACCCCCAAGAGGCC chr1 178692921 178692922 chr1:178692922:A:G rs2811260 A G G EBF1_EBF_1 -7 0 + 0 0 . chr1 178939702 178939703 chr1:178939703:A:G rs7538382 A G A EBF1_EBF_1 23 0 - 0 0 . chr1 178955291 178955292 chr1:178955292:T:G rs2039536 T G G EBF1_EBF_1 2 1 - 6.03919267434348 7.834836850500206 AGACCCATGAGATT chr1 178958576 178958577 chr1:178958577:T:C rs12760302 T C T EBF1_EBF_1 20 0 + 0 0 . chr1 178960980 178960981 chr1:178960981:T:G chr1:178960981:T:G T G T EBF1_EBF_1 17 0 - 0 0 . chr1 178961212 178961213 chr1:178961213:A:G rs61823774 A G A EBF1_EBF_1 20 0 + 0 0 . chr1 179002970 179002971 chr1:179002971:T:A rs150196315 T A T EBF1_EBF_1 30 0 - 0 0 . chr1 179014385 179014386 chr1:179014386:A:G rs55669440 A G A EBF1_EBF_1 0 1 + 6.801092489252006 4.908808619687171 ACTCCCCAAGGAAG chr1 179073164 179073165 chr1:179073165:C:A rs4652352 C A C EBF1_EBF_1 13 1 + 8.16881830370909 7.795072854423115 GTTCCCAAGAGATC chr1 179090814 179090815 chr1:179090815:G:A rs114888965 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 179091972 179091973 chr1:179091973:G:A rs1570807 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 179337487 179337488 chr1:179337488:G:A rs116728155 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 179364912 179364913 chr1:179364913:G:A rs117427994 G A G EBF1_EBF_1 12 1 - 7.155008385514417 6.1287418149635675 ACCCCCTTGGGGCA chr1 179365552 179365553 chr1:179365553:A:G rs548681568 A G A EBF1_EBF_1 0 1 - 6.788364691614501 6.5061626308839235 TTTCCCCTGAGACG chr1 179365557 179365558 chr1:179365558:G:A rs568569881 G A A EBF1_EBF_1 -5 0 - 0 0 . chr1 179369981 179369982 chr1:179369982:T:C rs780420013 T C T EBF1_EBF_1 -2 0 - 0 0 . chr1 179370126 179370127 chr1:179370127:C:G rs16854009 C G C EBF1_EBF_1 26 0 - 0 0 . chr1 179443241 179443242 chr1:179443242:C:G rs11582293 C G C EBF1_EBF_1 14 0 - 0 0 . chr1 179443250 179443251 chr1:179443251:G:A rs10913760 G A G EBF1_EBF_1 5 1 - 7.016683119283251 1.6948959037425815 AGCCCCGTGGGACG chr1 179484531 179484532 chr1:179484532:C:T rs10913795 C T C EBF1_EBF_1 12 1 + 5.707690096621335 4.6814235260704855 CCTCCCAGGGGCCT chr1 179551433 179551434 chr1:179551434:C:T rs5005771 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 179575914 179575915 chr1:179575915:C:A rs12406197 C A c EBF1_EBF_1 22 0 - 0 0 . chr1 179575915 179575916 chr1:179575916:G:C rs78541594 G C g EBF1_EBF_1 21 0 - 0 0 . chr1 179575979 179575980 chr1:179575980:G:A rs1079291 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 179678122 179678123 chr1:179678123:C:A rs939787901 C A c EBF1_EBF_1 -1 0 + 0 0 . chr1 179708529 179708530 chr1:179708530:T:A rs72706791 T A T EBF1_EBF_1 0 1 - 11.962044256831616 9.988987833865597 ATTCCCAAGAGAAT chr1 179709373 179709374 chr1:179709374:G:A rs113837582 G A A EBF1_EBF_1 -11 0 + 0 0 . chr1 179709959 179709960 chr1:179709960:A:C rs113159432 A C A EBF1_EBF_1 33 0 + 0 0 . chr1 179743372 179743373 chr1:179743373:C:G rs559182935 C G C EBF1_EBF_1 5 1 + 4.96313549547438 -1.9417456571607887 GACCCCGTGGGAGC chr1 179755689 179755690 chr1:179755690:A:G rs12408882 A G a EBF1_EBF_1 7 1 - 10.305608866822293 8.48810412053967 CTTCCCCTGGGAAA chr1 179781511 179781512 chr1:179781512:A:G rs10913887 A G G EBF1_EBF_1 24 0 - 0 0 . chr1 179781577 179781578 chr1:179781578:C:G rs12040638 C G G EBF1_EBF_1 29 0 - 0 0 . chr1 179782121 179782122 chr1:179782122:A:C rs7528152 A C A EBF1_EBF_1 -1 0 - 0 0 . chr1 179784011 179784012 chr1:179784012:A:G rs6680679 A G G EBF1_EBF_1 6 1 + 11.274264830478723 9.107470399204248 AGTCCCAAGGGAGA chr1 179872617 179872618 chr1:179872618:T:C rs7411457 T C C EBF1_EBF_1 -18 0 - 0 0 . chr1 179882567 179882568 chr1:179882568:G:A rs763572841 G A G EBF1_EBF_1 9 1 + 3.8543014307291292 1.1993621652815265 CACCCCCAGGGCCC chr1 179882571 179882572 chr1:179882572:C:G rs146976883 C G C EBF1_EBF_1 13 1 + 3.8543014307291292 2.3662304093737148 CACCCCCAGGGCCC chr1 179882591 179882592 chr1:179882592:C:T rs748169407 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 179954975 179954976 chr1:179954976:G:A rs78339243 G A G EBF1_EBF_1 9 1 + 4.855932259372266 2.200992993924662 CTTCCCAGCGGACC chr1 180135792 180135793 chr1:180135793:T:C rs3845389 T C C EBF1_EBF_1 -5 0 + 0 0 . chr1 180157324 180157325 chr1:180157325:C:T rs56150169 C T C EBF1_EBF_1 33 0 - 0 0 . chr1 180157914 180157915 chr1:180157915:C:T rs149058138 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 180157921 180157922 chr1:180157922:T:A rs74919047 T A T EBF1_EBF_1 22 0 - 0 0 . chr1 180181766 180181767 chr1:180181767:A:G rs3820307 A G A EBF1_EBF_1 -15 0 - 0 0 . chr1 180202530 180202531 chr1:180202531:A:G rs2319651 A G A EBF1_EBF_1 27 0 - 0 0 . chr1 180225075 180225076 chr1:180225076:G:C rs60907094 G C G EBF1_EBF_1 14 0 + 0 0 . chr1 180232886 180232887 chr1:180232887:C:T rs191320174 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 180234011 180234012 chr1:180234012:G:A rs3856050 G A G EBF1_EBF_1 3 1 - 6.006654661721486 -0.39874407983183047 CAACCCCGGGGACT chr1 180234519 180234520 chr1:180234520:G:C rs924767768 G C G EBF1_EBF_1 8 1 + 8.466096703914204 3.147437842999521 ACTCCCAAGGGGCG chr1 180235835 180235836 chr1:180235836:G:A rs61809112 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 180244816 180244817 chr1:180244817:C:T rs56209326 C T C EBF1_EBF_1 2 1 + 7.68374885088518 9.57257226232733 GCCCCCCTGGGAGT chr1 180259832 180259833 chr1:180259833:T:C rs822723 T C T EBF1_EBF_1 22 0 - 0 0 . chr1 180520938 180520939 chr1:180520939:T:A rs10914017 T A T EBF1_EBF_1 -10 0 + 0 0 . chr1 180550559 180550560 chr1:180550560:C:T rs12069581 C T T EBF1_EBF_1 13 1 + 8.708214533844147 9.552708648429666 AATCCCAAGGGTAC chr1 180572226 180572227 chr1:180572227:T:G rs12030731 T G G EBF1_EBF_1 0 1 + 5.624889050351929 5.705661603753117 TCCCCCCAGAGAAA chr1 180592507 180592508 chr1:180592508:G:A rs10737348 G A A EBF1_EBF_1 29 0 - 0 0 . chr1 180604045 180604046 chr1:180604046:A:G rs6679173 A G A EBF1_EBF_1 -11 0 + 0 0 . chr1 180915662 180915663 chr1:180915663:G:A rs3908047 G A G EBF1_EBF_1 7 1 + 4.4233560598509305 5.735814465139756 AACCCCCGGGGCCA chr1 180922482 180922483 chr1:180922483:G:C rs3845411 G C G EBF1_EBF_1 5 1 - 7.244834213750788 0.3399530611156204 ACCCCCCAAGGAAT chr1 180923185 180923186 chr1:180923186:T:C rs537954307 T C T EBF1_EBF_1 -18 0 - 0 0 . chr1 180923815 180923816 chr1:180923816:G:T rs12748054 G T G EBF1_EBF_1 -10 0 + 0 0 . chr1 180926763 180926764 chr1:180926764:G:A rs4651077 G A A EBF1_EBF_1 18 0 - 0 0 . chr1 180981732 180981733 chr1:180981733:C:T rs2331903 C T T EBF1_EBF_1 -16 0 + 0 0 . chr1 181022923 181022924 chr1:181022924:G:A rs558149475 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 181022925 181022926 chr1:181022926:G:C rs572658455 G C G EBF1_EBF_1 -16 0 + 0 0 . chr1 181038547 181038548 chr1:181038548:A:G rs148233157 A G A EBF1_EBF_1 31 0 - 0 0 . chr1 181049662 181049663 chr1:181049663:A:C rs10797666 A C C EBF1_EBF_1 28 0 - 0 0 . chr1 181063094 181063095 chr1:181063095:C:T rs10910854 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 181088490 181088491 chr1:181088491:G:A rs115707264 G A G EBF1_EBF_1 9 1 + 4.273906496553659 1.6189672311060546 ACTCCCCACGGGCC chr1 181090161 181090162 chr1:181090162:C:T rs762060319 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 181095089 181095090 chr1:181095090:T:C rs73054327 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 181096394 181096395 chr1:181096395:C:G rs73054329 C G C EBF1_EBF_1 19 0 + 0 0 . chr1 181096839 181096840 chr1:181096840:G:T rs79802353 G T G EBF1_EBF_1 16 0 + 0 0 . chr1 181131296 181131297 chr1:181131297:A:G rs148620964 A G G EBF1_EBF_1 -13 0 - 0 0 . chr1 181169744 181169745 chr1:181169745:C:T rs3904753 C T T EBF1_EBF_1 10 1 - 5.307971327136845 -0.01005713213012098 ACCCCCCACGGAAC chr1 181174310 181174311 chr1:181174311:C:G rs61811733 C G C EBF1_EBF_1 -7 0 - 0 0 . chr1 181177118 181177119 chr1:181177119:C:T rs34731132 C T C EBF1_EBF_1 5 1 + 7.036185710224407 1.7143984946837356 CCTCCCGAGGGAGC chr1 181190322 181190323 chr1:181190323:T:C rs3827707 T C C EBF1_EBF_1 18 0 + 0 0 . chr1 181191040 181191041 chr1:181191041:C:A rs61746380 C A C EBF1_EBF_1 -1 0 - 0 0 . chr1 181191109 181191110 chr1:181191110:A:G rs3747947 A G A EBF1_EBF_1 1 1 - 7.787780963271541 6.892347522872797 CTCCCCAAGAGACT chr1 181215713 181215714 chr1:181215714:C:T rs80322580 C T C EBF1_EBF_1 19 0 - 0 0 . chr1 181215734 181215735 chr1:181215735:C:T rs1538626 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 181221879 181221880 chr1:181221880:G:T rs10797695 G T G EBF1_EBF_1 16 0 - 0 0 . chr1 181308254 181308255 chr1:181308255:A:G rs10910921 A G A EBF1_EBF_1 13 1 + 5.194391281659504 4.080065709590065 CATCCCTTGGGCCA chr1 181363050 181363051 chr1:181363051:G:C rs113585711 G C G EBF1_EBF_1 15 0 - 0 0 . chr1 181391668 181391669 chr1:181391669:C:T chr1:181391669:C:T C T C EBF1_EBF_1 17 0 + 0 0 . chr1 181391705 181391706 chr1:181391706:G:T rs114042167 G T G EBF1_EBF_1 1 1 - 10.161800462907834 10.090873285555748 ACTCCCTAGAGAAC chr1 181397756 181397757 chr1:181397757:C:G rs79700207 C G C EBF1_EBF_1 27 0 - 0 0 . chr1 181440349 181440350 chr1:181440350:G:T rs11582261 G T G EBF1_EBF_1 -17 0 - 0 0 . chr1 181508966 181508967 chr1:181508967:G:A rs55833647 G A g EBF1_EBF_1 20 0 - 0 0 . chr1 181545620 181545621 chr1:181545621:T:C rs2152855 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 181554703 181554704 chr1:181554704:G:A chr1:181554704:G:A G A A EBF1_EBF_1 23 0 - 0 0 . chr1 181554703 181554704 chr1:181554704:G:C rs10910956 G C A EBF1_EBF_1 23 0 - 0 0 . chr1 181646888 181646889 chr1:181646889:C:T rs73059762 C T C EBF1_EBF_1 23 0 + 0 0 . chr1 181710945 181710946 chr1:181710946:G:A rs17743547 G A G EBF1_EBF_1 12 1 - 5.104355126780861 4.0780885562300115 ATTCCCTGTGGACA chr1 181809193 181809194 chr1:181809194:C:A rs610100 C A C EBF1_EBF_1 -13 0 + 0 0 . chr1 181849947 181849948 chr1:181849948:G:A rs13375273 G A G EBF1_EBF_1 -11 0 + 0 0 . chr1 182045788 182045789 chr1:182045789:A:C rs57856931 A C A EBF1_EBF_1 -10 0 + 0 0 . chr1 182294733 182294734 chr1:182294734:C:T rs77284529 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 182300832 182300833 chr1:182300833:A:G rs3001267 A G G EBF1_EBF_1 19 0 - 0 0 . chr1 182304289 182304290 chr1:182304290:C:T rs2477194 C T T EBF1_EBF_1 27 0 - 0 0 . chr1 182358364 182358365 chr1:182358365:T:G rs12354128 T G T EBF1_EBF_1 16 0 + 0 0 . chr1 182392207 182392208 chr1:182392208:A:G rs59310772 A G A EBF1_EBF_1 19 0 - 0 0 . chr1 182392208 182392209 chr1:182392209:G:A rs151203513 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 182392341 182392342 chr1:182392342:C:T rs541848354 C T C EBF1_EBF_1 0 1 - 5.398014658299118 7.29029852786395 GTTCCCTCGGGTCC chr1 182392342 182392343 chr1:182392343:T:C rs4652706 T C C EBF1_EBF_1 -1 0 - 0 0 . chr1 182397378 182397379 chr1:182397379:G:T rs71632140 G T G EBF1_EBF_1 7 1 + 4.93339391780328 5.769578083004546 ACCCCCCGGGGGAG chr1 182397408 182397409 chr1:182397409:A:G chr1:182397409:A:G A G A EBF1_EBF_1 31 0 - 0 0 . chr1 182416043 182416044 chr1:182416044:G:A rs76503562 G A G EBF1_EBF_1 5 1 - 5.406502501533329 0.08471528599265778 GCTCCCCCGGGGCC chr1 182483977 182483978 chr1:182483978:G:T rs12048823 G T G EBF1_EBF_1 21 0 - 0 0 . chr1 182484497 182484498 chr1:182484498:G:A rs73057380 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 182484819 182484820 chr1:182484820:C:T rs616428 C T C EBF1_EBF_1 9 1 - 6.451130852233117 3.796191586785512 TTCCCCTTGGGGCC chr1 182527885 182527886 chr1:182527886:C:A rs266511 C A C EBF1_EBF_1 31 0 + 0 0 . chr1 182549128 182549129 chr1:182549129:G:C rs10911095 G C G EBF1_EBF_1 28 0 - 0 0 . chr1 182604652 182604653 chr1:182604653:A:C chr1:182604653:A:C A C A EBF1_EBF_1 -4 0 - 0 0 . chr1 182784833 182784834 chr1:182784834:C:T rs116286843 C T C EBF1_EBF_1 32 0 - 0 0 . chr1 182853668 182853669 chr1:182853669:A:G rs139323481 A G A EBF1_EBF_1 27 0 - 0 0 . chr1 182868036 182868037 chr1:182868037:A:C chr1:182868037:A:C A C A EBF1_EBF_1 -1 0 - 0 0 . chr1 182956511 182956512 chr1:182956512:A:G rs16859855 A G A EBF1_EBF_1 25 0 + 0 0 . chr1 182962710 182962711 chr1:182962711:G:A rs4652752 G A C EBF1_EBF_1 -2 0 + 0 0 . chr1 182965318 182965319 chr1:182965319:A:C rs17532421 A C A EBF1_EBF_1 22 0 + 0 0 . chr1 183022903 183022904 chr1:183022904:G:A rs61808070 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 183051626 183051627 chr1:183051627:G:A rs4422969 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 183089110 183089111 chr1:183089111:G:A chr1:183089111:G:A G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 183130565 183130566 chr1:183130566:G:A rs2296300 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 183130570 183130571 chr1:183130571:A:G chr1:183130571:A:G A G A EBF1_EBF_1 28 0 - 0 0 . chr1 183161487 183161488 chr1:183161488:C:T rs12118964 C T C EBF1_EBF_1 10 1 - 4.902171114782032 -0.41585734448493383 CACCCCATGGGTCT chr1 183197834 183197835 chr1:183197835:T:C rs3118181 T C C EBF1_EBF_1 1 1 + 6.322402605743352 5.426969165344606 TTACCCAAGGGAGA chr1 183218391 183218392 chr1:183218392:A:T rs12037099 A T A EBF1_EBF_1 11 1 + 9.315002006912415 5.217087750813691 CTTCCCCAGAGACT chr1 183239706 183239707 chr1:183239707:T:G rs648809 T G T EBF1_EBF_1 -18 0 - 0 0 . chr1 183244634 183244635 chr1:183244635:G:C rs74129665 G C G EBF1_EBF_1 -10 0 + 0 0 . chr1 183246662 183246663 chr1:183246663:C:A rs75394497 C A C EBF1_EBF_1 10 1 - 5.888464090710936 1.7057119044836704 CCTCCCAAGAGGCT chr1 183263882 183263883 chr1:183263883:A:G rs779153 A G A EBF1_EBF_1 0 1 + 7.4176897028030755 5.525405833238243 ATTCCCCAGATAAT chr1 183270753 183270754 chr1:183270754:G:C rs549360984 G C G EBF1_EBF_1 27 0 + 0 0 . chr1 183272309 183272310 chr1:183272310:T:G rs16860722 T G T EBF1_EBF_1 -8 0 - 0 0 . chr1 183277886 183277887 chr1:183277887:G:C rs12404011 G C G EBF1_EBF_1 -13 0 - 0 0 . chr1 183291523 183291524 chr1:183291524:A:G rs946173 A G A EBF1_EBF_1 17 0 + 0 0 . chr1 183303159 183303160 chr1:183303160:T:C rs6687056 T C C EBF1_EBF_1 28 0 - 0 0 . chr1 183328690 183328691 chr1:183328691:A:C rs12122568 A C A EBF1_EBF_1 -11 0 - 0 0 . chr1 183330487 183330488 chr1:183330488:T:C rs485677 T C C EBF1_EBF_1 -18 0 + 0 0 . chr1 183330491 183330492 chr1:183330492:C:G rs113410944 C G C EBF1_EBF_1 -14 0 + 0 0 . chr1 183331690 183331691 chr1:183331691:A:G rs659195 A G A EBF1_EBF_1 -14 0 + 0 0 . chr1 183385952 183385953 chr1:183385953:C:T rs7551809 C T T EBF1_EBF_1 10 1 - 5.083427674668137 -0.23460078459882994 ATCCCCTCGGGCCC chr1 183552147 183552148 chr1:183552148:C:T rs699245 C T T EBF1_EBF_1 14 0 + 0 0 . chr1 183568798 183568799 chr1:183568799:A:G rs699243 A G G EBF1_EBF_1 -14 0 - 0 0 . chr1 183569395 183569396 chr1:183569396:A:G rs888922200 A G A EBF1_EBF_1 13 1 + 6.036490695994281 4.922165123924842 GCTCCCCAGGTACA chr1 183635456 183635457 chr1:183635457:C:T rs531493253 C T C EBF1_EBF_1 10 1 - 6.279785972742019 0.9617575134750525 CTTCTCCTGGGACC chr1 183635460 183635461 chr1:183635461:G:A rs114167613 G A G EBF1_EBF_1 6 1 - 6.279785972742019 6.581072101603777 CTTCTCCTGGGACC chr1 183635461 183635462 chr1:183635462:G:A rs116303286 G A G EBF1_EBF_1 5 1 - 6.279785972742019 0.9579987572013491 CTTCTCCTGGGACC chr1 183694148 183694149 chr1:183694149:A:G rs77404665 A G A EBF1_EBF_1 29 0 - 0 0 . chr1 183694167 183694168 chr1:183694168:C:G rs10797901 C G C EBF1_EBF_1 10 1 - 8.458364810842198 1.5675624235127232 CTTCCCAAGAGACA chr1 183734778 183734779 chr1:183734779:A:G rs145593548 A G C EBF1_EBF_1 -12 0 + 0 0 . chr1 183735033 183735034 chr1:183735034:G:T rs561663746 G T G EBF1_EBF_1 -1 0 - 0 0 . chr1 183783452 183783453 chr1:183783453:T:C rs10911436 T C T EBF1_EBF_1 6 1 + 5.697703382582186 5.396417253720428 AGTCCATAGGGACA chr1 183855286 183855287 chr1:183855287:C:T rs9425320 C T C EBF1_EBF_1 7 1 + 5.836410877014596 7.65391562329722 TTCCCCCCGGGAGC chr1 183937694 183937695 chr1:183937695:C:T rs3828028 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 183987597 183987598 chr1:183987598:G:C rs80263077 G C G EBF1_EBF_1 15 0 + 0 0 . chr1 184025701 184025702 chr1:184025702:C:T rs10911495 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 184116482 184116483 chr1:184116483:C:T rs190692015 C T G EBF1_EBF_1 25 0 + 0 0 . chr1 184154239 184154240 chr1:184154240:T:C rs4651172 T C C EBF1_EBF_1 -18 0 - 0 0 . chr1 184156594 184156595 chr1:184156595:A:G rs4650654 A G G EBF1_EBF_1 17 0 - 0 0 . chr1 184162638 184162639 chr1:184162639:C:T rs73053575 C T C EBF1_EBF_1 2 1 + 4.9577092255727 6.84653263701485 GCCCCCTTGGGCCT chr1 184219555 184219556 chr1:184219556:C:T rs1327139 C T T EBF1_EBF_1 -10 0 + 0 0 . chr1 184219583 184219584 chr1:184219584:G:A rs12756256 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 184223504 184223505 chr1:184223505:C:G rs1328310160 C G C EBF1_EBF_1 -6 0 - 0 0 . chr1 184271037 184271038 chr1:184271038:A:G rs10737250 A G G EBF1_EBF_1 2 1 - 5.083043497822906 3.194220086380757 GTTCCCTAGGAAGC chr1 184387912 184387913 chr1:184387913:T:C rs530827226 T C T EBF1_EBF_1 -17 0 - 0 0 . chr1 184464851 184464852 chr1:184464852:C:T rs68189478 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 184495029 184495030 chr1:184495030:A:T rs4651211 A T T EBF1_EBF_1 27 0 + 0 0 . chr1 184621511 184621512 chr1:184621512:G:T chr1:184621512:G:T G T G EBF1_EBF_1 13 1 - 5.868235845974089 5.494490396688113 AGTCCCCAGTGAAC chr1 184627449 184627450 chr1:184627450:C:A rs7535067 C A A EBF1_EBF_1 21 0 + 0 0 . chr1 184633612 184633613 chr1:184633613:A:G rs4651227 A G a EBF1_EBF_1 -12 0 + 0 0 . chr1 184636180 184636181 chr1:184636181:T:C rs141013085 T C T EBF1_EBF_1 -10 0 + 0 0 . chr1 184754586 184754587 chr1:184754587:T:G rs193034365 T G G EBF1_EBF_1 23 0 - 0 0 . chr1 184754596 184754597 chr1:184754597:G:C rs200912232 G C G EBF1_EBF_1 13 1 - 5.024096681882652 3.536025660527237 GTTCCCCAGCGAGC chr1 184770355 184770356 chr1:184770356:T:A rs861591 T A t EBF1_EBF_1 29 0 + 0 0 . chr1 184901716 184901717 chr1:184901717:T:C rs4141458 T C T EBF1_EBF_1 -20 0 + 0 0 . chr1 184973924 184973925 chr1:184973925:C:A chr1:184973925:C:A C A c EBF1_EBF_1 26 0 + 0 0 . chr1 184974212 184974213 chr1:184974213:C:T rs116780150 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 184982331 184982332 chr1:184982332:T:C chr1:184982332:T:C T C T EBF1_EBF_1 -19 0 + 0 0 . chr1 184982355 184982356 chr1:184982356:C:T rs531654829 C T C EBF1_EBF_1 5 1 + 4.062450599167583 -1.2593366163730881 CTCCCCGTGGGGCC chr1 185158202 185158203 chr1:185158203:G:T rs1320106183 G T G EBF1_EBF_1 -19 0 - 0 0 . chr1 185208651 185208652 chr1:185208652:G:C rs150628181 G C g EBF1_EBF_1 33 0 - 0 0 . chr1 185292830 185292831 chr1:185292831:C:T rs149344643 C T c EBF1_EBF_1 -10 0 - 0 0 . chr1 185322293 185322294 chr1:185322294:T:G rs10911704 T G T EBF1_EBF_1 13 1 - 10.144434747002437 10.518180196288412 ATTCCCCAGGGGAA chr1 185349681 185349682 chr1:185349682:A:G rs12044943 A G A EBF1_EBF_1 0 1 + 7.334397368727143 5.44211349916231 ATTCCCCAGGCACT chr1 185463144 185463145 chr1:185463145:C:G rs67822321 C G G EBF1_EBF_1 -11 0 - 0 0 . chr1 185532930 185532931 chr1:185532931:T:G rs75202394 T G T EBF1_EBF_1 7 1 - 5.564542530806701 3.2707635444365204 CTTTCCCAGGGACT chr1 185534040 185534041 chr1:185534041:C:G rs4142136 C G C EBF1_EBF_1 22 0 - 0 0 . chr1 185538508 185538509 chr1:185538509:A:C rs4518850 A C C EBF1_EBF_1 17 0 + 0 0 . chr1 185595239 185595240 chr1:185595240:G:T rs554250518 G T G EBF1_EBF_1 12 1 - 9.637979108493553 9.66816050691488 ATTCCCCTGGGGCA chr1 185596916 185596917 chr1:185596917:A:G rs1321988 A G A EBF1_EBF_1 -7 0 - 0 0 . chr1 185596921 185596922 chr1:185596922:A:C rs1321989 A C A EBF1_EBF_1 -12 0 - 0 0 . chr1 185646477 185646478 chr1:185646478:T:G chr1:185646478:T:G T G T EBF1_EBF_1 -7 0 - 0 0 . chr1 185788640 185788641 chr1:185788641:A:G rs56156323 A G A EBF1_EBF_1 6 1 - 7.237615774794867 6.93632964593311 TATCCCTTGAGACA chr1 185844746 185844747 chr1:185844747:A:C rs61829887 A C A EBF1_EBF_1 29 0 - 0 0 . chr1 185897105 185897106 chr1:185897106:T:C rs1322354 T C C EBF1_EBF_1 24 0 - 0 0 . chr1 185912693 185912694 chr1:185912694:T:C rs10465500 T C T EBF1_EBF_1 20 0 + 0 0 . chr1 186027180 186027181 chr1:186027181:A:G rs4626827 A G G EBF1_EBF_1 23 0 - 0 0 . chr1 186062867 186062868 chr1:186062868:T:G rs138112134 T G T EBF1_EBF_1 30 0 + 0 0 . chr1 186207100 186207101 chr1:186207101:G:A rs78145870 G A A EBF1_EBF_1 22 0 - 0 0 . chr1 186222119 186222120 chr1:186222120:C:T rs1743662 C T T EBF1_EBF_1 -11 0 - 0 0 . chr1 186308394 186308395 chr1:186308395:T:C rs75928540 T C T EBF1_EBF_1 2 1 + 7.7186177641758835 5.8297943527337335 AGTCCCAAGGAACC chr1 186579484 186579485 chr1:186579485:T:C rs10911890 T C C EBF1_EBF_1 30 0 - 0 0 . chr1 186606011 186606012 chr1:186606012:G:A chr1:186606012:G:A G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 186618016 186618017 chr1:186618017:C:G rs12061722 C G C EBF1_EBF_1 8 1 + 5.775327389606772 11.093986250521455 ATTCCCCTCAGACT chr1 186633510 186633511 chr1:186633511:C:A rs1883652 C A C EBF1_EBF_1 20 0 - 0 0 . chr1 186633514 186633515 chr1:186633515:C:T rs1227979705 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 186636917 186636918 chr1:186636918:A:G rs16825639 A G G EBF1_EBF_1 0 1 + 6.19189208769861 4.299608218133779 ATCCCCAAGGAAAA chr1 186762804 186762805 chr1:186762805:A:G rs2891254 A G C EBF1_EBF_1 28 0 + 0 0 . chr1 186872828 186872829 chr1:186872829:G:C rs72707570 G C G EBF1_EBF_1 31 0 + 0 0 . chr1 186978987 186978988 chr1:186978988:T:A rs10798077 T A A EBF1_EBF_1 -4 0 + 0 0 . chr1 186999633 186999634 chr1:186999634:C:T rs148010464 C T C EBF1_EBF_1 -15 0 + 0 0 . chr1 186999646 186999647 chr1:186999647:C:A rs78881204 C A C EBF1_EBF_1 -2 0 + 0 0 . chr1 187027697 187027698 chr1:187027698:T:C rs6665895 T C C EBF1_EBF_1 32 0 - 0 0 . chr1 187027720 187027721 chr1:187027721:C:T rs11582916 C T c EBF1_EBF_1 9 1 - 5.732354007017072 3.0774147415694677 GATCCCCAGGGCCA chr1 187091505 187091506 chr1:187091506:T:C rs7545603 T C T EBF1_EBF_1 -20 0 + 0 0 . chr1 187185337 187185338 chr1:187185338:C:T rs1924724 C T T EBF1_EBF_1 21 0 - 0 0 . chr1 187408442 187408443 chr1:187408443:G:T rs34995038 G T G EBF1_EBF_1 14 0 + 0 0 . chr1 187472142 187472143 chr1:187472143:T:C rs6680154 T C C EBF1_EBF_1 29 0 - 0 0 . chr1 187505020 187505021 chr1:187505021:G:C rs116489940 G C G EBF1_EBF_1 -19 0 + 0 0 . chr1 187560705 187560706 chr1:187560706:G:A rs55763939 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 187646212 187646213 chr1:187646213:A:G rs2066082 A G A EBF1_EBF_1 16 0 - 0 0 . chr1 187764333 187764334 chr1:187764334:A:G rs3095422 A G A EBF1_EBF_1 25 0 + 0 0 . chr1 187871335 187871336 chr1:187871336:G:A rs1372339184 G A G EBF1_EBF_1 32 0 + 0 0 . chr1 187883577 187883578 chr1:187883578:A:G rs16827680 A G A EBF1_EBF_1 32 0 + 0 0 . chr1 188154899 188154900 chr1:188154900:C:T rs2025282 C T C EBF1_EBF_1 7 1 - 4.972208368974993 6.284666774263819 TGTCCCCGGAGAGA chr1 188154920 188154921 chr1:188154921:G:A rs16828180 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 188182210 188182211 chr1:188182211:C:T rs10912258 C T C EBF1_EBF_1 6 1 - 7.829832955778438 9.996627387052914 CTCCCCGTGGGAAT chr1 188290219 188290220 chr1:188290220:A:G rs10753039 A G G EBF1_EBF_1 22 0 - 0 0 . chr1 188489682 188489683 chr1:188489683:G:T rs78879414 G T G EBF1_EBF_1 4 1 - 5.1739330467579565 -0.6506265766955119 ACCCCCAGGGGTCA chr1 188584467 188584468 chr1:188584468:C:A rs1609772 C A C EBF1_EBF_1 30 0 - 0 0 . chr1 188668245 188668246 chr1:188668246:A:T chr1:188668246:A:T A T A EBF1_EBF_1 7 1 + 4.538804552734221 4.062530312646663 CCTCCCTAGTGACT chr1 189015935 189015936 chr1:189015936:C:A rs4442366 C A A EBF1_EBF_1 22 0 - 0 0 . chr1 189336765 189336766 chr1:189336766:A:C rs116495972 A C C EBF1_EBF_1 14 0 + 0 0 . chr1 189617196 189617197 chr1:189617197:C:T rs9287137 C T T EBF1_EBF_1 31 0 - 0 0 . chr1 189955091 189955092 chr1:189955092:C:T rs6688175 C T G EBF1_EBF_1 19 0 + 0 0 . chr1 190019311 190019312 chr1:190019312:C:T rs576742187 C T c EBF1_EBF_1 10 1 - 6.5723717509688795 1.254343291701913 ACTCCCAAAGGGCT chr1 190029644 190029645 chr1:190029645:A:G rs10920361 A G G EBF1_EBF_1 -10 0 - 0 0 . chr1 190416390 190416391 chr1:190416391:G:C rs72731152 G C G EBF1_EBF_1 -1 0 + 0 0 . chr1 190416419 190416420 chr1:190416420:C:T rs1171043 C T T EBF1_EBF_1 28 0 + 0 0 . chr1 190838358 190838359 chr1:190838359:G:A rs1780668 G A A EBF1_EBF_1 27 0 + 0 0 . chr1 191238923 191238924 chr1:191238924:G:A rs1670789 G A G EBF1_EBF_1 24 0 - 0 0 . chr1 191852433 191852434 chr1:191852434:G:A rs2185394 G A A EBF1_EBF_1 10 1 + 6.8756875903251125 1.5576591310581465 ACACCCATGAGACT chr1 192235313 192235314 chr1:192235314:G:A rs370633277 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 192235332 192235333 chr1:192235333:G:A rs1175115 G A G EBF1_EBF_1 1 1 - 8.117878977895863 9.013312418294609 GCTCCCAAGAGATT chr1 192492418 192492419 chr1:192492419:C:T rs186999752 C T C EBF1_EBF_1 4 1 + 7.464243840276479 3.0948568953315574 GTTCCCAAGGGCAC chr1 192492436 192492437 chr1:192492437:C:A rs74130659 C A C EBF1_EBF_1 22 0 + 0 0 . chr1 192609632 192609633 chr1:192609633:T:C rs7538087 T C T EBF1_EBF_1 -2 0 - 0 0 . chr1 192609912 192609913 chr1:192609913:G:T rs72734959 G T G EBF1_EBF_1 -15 0 + 0 0 . chr1 192620408 192620409 chr1:192620409:G:A rs74955465 G A G EBF1_EBF_1 12 1 + 7.751377952008463 9.170195294107941 CTTCCCTTGAGAGT chr1 192626705 192626706 chr1:192626706:A:C rs12760031 A C A EBF1_EBF_1 19 0 - 0 0 . chr1 192920091 192920092 chr1:192920092:T:C rs12027058 T C T EBF1_EBF_1 -20 0 + 0 0 . chr1 193122404 193122405 chr1:193122405:C:T rs1023316608 C T c EBF1_EBF_1 24 0 - 0 0 . chr1 193122424 193122425 chr1:193122425:G:A rs548951347 G A g EBF1_EBF_1 4 1 - 10.197462141676752 5.828075196731829 ATCCCCAGGGGAAA chr1 193322803 193322804 chr1:193322804:C:T rs531327 C T T EBF1_EBF_1 0 1 - 6.3852927996679085 8.277576669232742 GTACCCAAGAGAAT chr1 193569879 193569880 chr1:193569880:G:A rs113293776 G A G EBF1_EBF_1 2 1 - 7.103622406251289 8.992445817693438 TACCCCAAGAGACT chr1 193601816 193601817 chr1:193601817:A:C rs7538798 A C C EBF1_EBF_1 0 1 + 6.202787036644006 3.9475285529474053 ACTCCTGAGGGACT chr1 193795912 193795913 chr1:193795913:C:T rs7530097 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 194052935 194052936 chr1:194052936:A:C rs4657901 A C A EBF1_EBF_1 14 0 - 0 0 . chr1 194052938 194052939 chr1:194052939:T:C rs74131282 T C T EBF1_EBF_1 11 1 - 8.249646917378207 5.356940073774196 TCCCCCCAGGGACA chr1 194076866 194076867 chr1:194076867:A:T rs16836180 A T A EBF1_EBF_1 -20 0 - 0 0 . chr1 194104637 194104638 chr1:194104638:T:C rs73064754 T C T EBF1_EBF_1 8 1 - 6.145033037651858 10.371323126538119 ACTCCCACAGGATT chr1 194477495 194477496 chr1:194477496:G:C rs6663494 G C g EBF1_EBF_1 19 0 + 0 0 . chr1 194534049 194534050 chr1:194534050:A:G rs4393136 A G G EBF1_EBF_1 24 0 + 0 0 . chr1 194723482 194723483 chr1:194723483:A:G rs6661665 A G A EBF1_EBF_1 -19 0 - 0 0 . chr1 194841458 194841459 chr1:194841459:A:G rs6686114 A G A EBF1_EBF_1 6 1 + 6.454277649974979 4.287483218700505 CTTCCCATGGTACA chr1 194855319 194855320 chr1:194855320:G:A rs34912337 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 195485426 195485427 chr1:195485427:G:A rs4657983 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 195732589 195732590 chr1:195732590:G:C rs10921906 G C C EBF1_EBF_1 -2 0 + 0 0 . chr1 195738848 195738849 chr1:195738849:T:A rs142589146 T A T EBF1_EBF_1 16 0 + 0 0 . chr1 195856427 195856428 chr1:195856428:T:G rs61820611 T G G EBF1_EBF_1 21 0 - 0 0 . chr1 196071776 196071777 chr1:196071777:G:T rs5009971 G T T EBF1_EBF_1 5 1 - 5.840487837478589 -1.0643933151565783 ACCCCCCTGGGTTT chr1 196100163 196100164 chr1:196100164:C:A rs12566117 C A C EBF1_EBF_1 21 0 + 0 0 . chr1 196516470 196516471 chr1:196516471:G:A rs111856858 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 196599139 196599140 chr1:196599140:G:T rs4658043 G T G EBF1_EBF_1 4 1 - 8.874617918775023 3.050058295321557 GTCCCCTAGGGATC chr1 196639027 196639028 chr1:196639028:A:G rs565088 A G G EBF1_EBF_1 -9 0 + 0 0 . chr1 197246045 197246046 chr1:197246046:G:A rs67402921 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 197253266 197253267 chr1:197253267:C:T rs113025182 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 197621059 197621060 chr1:197621060:A:G rs4282851 A G A EBF1_EBF_1 -3 0 - 0 0 . chr1 197789092 197789093 chr1:197789093:T:G rs1775462 T G G EBF1_EBF_1 28 0 + 0 0 . chr1 197798830 197798831 chr1:197798831:T:A rs111891253 T A T EBF1_EBF_1 13 1 - 8.11913809726712 9.337377661138614 AGCCCCAAGAGACA chr1 197798847 197798848 chr1:197798848:C:G rs55873039 C G C EBF1_EBF_1 -4 0 - 0 0 . chr1 197799376 197799377 chr1:197799377:T:C rs1499593 T C T EBF1_EBF_1 -19 0 + 0 0 . chr1 197799379 197799380 chr1:197799380:G:C rs71633809 G C G EBF1_EBF_1 -16 0 + 0 0 . chr1 197819295 197819296 chr1:197819296:C:T rs112997107 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 197843538 197843539 chr1:197843539:G:A rs6692930 G A G EBF1_EBF_1 12 1 - 5.602173663182791 4.575907092631941 CTTCACTAGGGACC chr1 197907972 197907973 chr1:197907973:A:G rs4147201 A G A EBF1_EBF_1 -3 0 + 0 0 . chr1 197914678 197914679 chr1:197914679:G:C rs111694034 G C C EBF1_EBF_1 -1 0 - 0 0 . chr1 197938070 197938071 chr1:197938071:G:A rs12065018 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 198042266 198042267 chr1:198042267:A:G rs2093531 A G G EBF1_EBF_1 -2 0 + 0 0 . chr1 198108125 198108126 chr1:198108126:G:T rs76564275 G T G EBF1_EBF_1 -2 0 + 0 0 . chr1 198599253 198599254 chr1:198599254:C:T rs1409365 C T T EBF1_EBF_1 -15 0 + 0 0 . chr1 198676547 198676548 chr1:198676548:C:G chr1:198676548:C:G C G C EBF1_EBF_1 9 1 - 4.629558650638621 -0.44613049570684266 CCTCCCCTGGGTTC chr1 198700693 198700694 chr1:198700694:G:A rs77647448 G A A EBF1_EBF_1 -1 0 - 0 0 . chr1 198701425 198701426 chr1:198701426:T:C rs17623914 T C T EBF1_EBF_1 12 1 + 5.991253274525823 7.017519845076673 TGACCCCAGGGATC chr1 198810993 198810994 chr1:198810994:G:A rs72739727 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 198901808 198901809 chr1:198901809:T:G rs72739767 T G T EBF1_EBF_1 -11 0 + 0 0 . chr1 198913922 198913923 chr1:198913923:A:C rs569330703 A C A EBF1_EBF_1 20 0 + 0 0 . chr1 198949515 198949516 chr1:198949516:G:T rs73073227 G T G EBF1_EBF_1 0 1 + 5.25635199458891 5.175579441187721 GCTCCCAGGGGCAC chr1 199041591 199041592 chr1:199041592:C:T rs1434282 C T T EBF1_EBF_1 12 1 + 8.893635936639829 7.8673693660889805 AGTCCCAAGAGACG chr1 199093391 199093392 chr1:199093392:T:C rs322892 T C C EBF1_EBF_1 11 1 - 8.668921377896664 5.776214534292651 AACCCCCGGGGAGT chr1 199116879 199116880 chr1:199116880:T:C rs322904 T C T EBF1_EBF_1 12 1 - 7.2609210112382945 5.842103669138817 GTTCCCATGAGAAG chr1 199218801 199218802 chr1:199218802:G:A rs1369502758 G A G EBF1_EBF_1 14 0 + 0 0 . chr1 199221361 199221362 chr1:199221362:G:A rs76307272 G A G EBF1_EBF_1 2 1 - 6.927405092320675 8.81622850376282 TTCCCCTAGGGGCC chr1 199242173 199242174 chr1:199242174:T:A rs2078150 T A T EBF1_EBF_1 28 0 + 0 0 . chr1 199309077 199309078 chr1:199309078:A:G rs56332920 A G A EBF1_EBF_1 18 0 - 0 0 . chr1 199336694 199336695 chr1:199336695:T:C rs73068275 T C T EBF1_EBF_1 28 0 + 0 0 . chr1 199400404 199400405 chr1:199400405:C:A rs12059985 C A A EBF1_EBF_1 24 0 - 0 0 . chr1 199448092 199448093 chr1:199448093:C:T rs1044740434 C T C EBF1_EBF_1 1 1 + 5.45958179939686 6.355015239795606 CCTCCCCAAGGAGT chr1 199475277 199475278 chr1:199475278:C:T rs1337732 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 199567789 199567790 chr1:199567790:C:T rs2786727 C T T EBF1_EBF_1 33 0 - 0 0 . chr1 199632997 199632998 chr1:199632998:G:T rs7524980 G T T EBF1_EBF_1 -5 0 + 0 0 . chr1 199660309 199660310 chr1:199660310:G:T rs4623735 G T T EBF1_EBF_1 -8 0 + 0 0 . chr1 199775013 199775014 chr1:199775014:A:G rs17162742 A G A EBF1_EBF_1 6 1 + 6.061762231905259 3.894967800630785 CTTCCCAGGAGAAG chr1 199808201 199808202 chr1:199808202:T:A rs265452 T A T EBF1_EBF_1 -19 0 + 0 0 . chr1 199808235 199808236 chr1:199808236:A:C rs1319224264 A C A EBF1_EBF_1 15 0 + 0 0 . chr1 200029461 200029462 chr1:200029462:C:T rs12086241 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 200042689 200042690 chr1:200042690:C:T rs2817006 C T C EBF1_EBF_1 -13 0 - 0 0 . chr1 200222207 200222208 chr1:200222208:A:G rs940394 A G G EBF1_EBF_1 -17 0 - 0 0 . chr1 200251686 200251687 chr1:200251687:C:A rs79537944 C A C EBF1_EBF_1 -19 0 + 0 0 . chr1 200251719 200251720 chr1:200251720:C:T rs72468016 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 200258795 200258796 chr1:200258796:C:T rs16846374 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 200291210 200291211 chr1:200291211:G:T rs7518301 G T T EBF1_EBF_1 16 0 - 0 0 . chr1 200293994 200293995 chr1:200293995:G:A rs4915423 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 200294025 200294026 chr1:200294026:A:G rs4915200 A G A EBF1_EBF_1 -5 0 - 0 0 . chr1 200337126 200337127 chr1:200337127:G:A rs186661284 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 200374850 200374851 chr1:200374851:A:G rs771758470 A G A EBF1_EBF_1 0 1 + 8.238462088515742 6.34617821895091 ATCCCCCAGGGTCT chr1 200531371 200531372 chr1:200531372:G:C rs74136210 G C G EBF1_EBF_1 22 0 + 0 0 . chr1 200739639 200739640 chr1:200739640:C:T rs559720349 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 200774399 200774400 chr1:200774400:G:A rs17694768 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 200869806 200869807 chr1:200869807:C:T rs56047722 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 200891483 200891484 chr1:200891484:C:T rs41314551 C T C EBF1_EBF_1 -16 0 + 0 0 . chr1 200894322 200894323 chr1:200894323:G:A rs867291 G A G EBF1_EBF_1 1 1 - 5.76175599137358 6.6571894317723235 TCCCCCAAGGGTAT chr1 200935826 200935827 chr1:200935827:A:G rs141942179 A G A EBF1_EBF_1 32 0 - 0 0 . chr1 200965430 200965431 chr1:200965431:C:T rs1472713 C T T EBF1_EBF_1 -17 0 + 0 0 . chr1 201005911 201005912 chr1:201005912:T:C rs6657915 T C C EBF1_EBF_1 6 1 + 9.485883079867923 9.184596951006165 ATTCCCTAAGGAAC chr1 201005935 201005936 chr1:201005936:G:A rs10920091 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 201010468 201010469 chr1:201010469:G:A rs1335980783 G A G EBF1_EBF_1 19 0 - 0 0 . chr1 201016522 201016523 chr1:201016523:A:G rs75775268 A G A EBF1_EBF_1 21 0 - 0 0 . chr1 201021630 201021631 chr1:201021631:T:C rs11804082 T C T EBF1_EBF_1 13 1 + 7.596521156967893 6.752027042382375 AATCCCATGAGGCT chr1 201023572 201023573 chr1:201023573:G:A rs185073142 G A G EBF1_EBF_1 2 1 - 4.775863131833388 6.664686543275538 AGCCCCGGGGGGCC chr1 201023581 201023582 chr1:201023582:G:C rs189297674 G C G EBF1_EBF_1 -7 0 - 0 0 . chr1 201025231 201025232 chr1:201025232:T:G rs61817967 T G T EBF1_EBF_1 29 0 + 0 0 . chr1 201029690 201029691 chr1:201029691:T:C rs6701469 T C C EBF1_EBF_1 2 1 + 7.542659884489861 5.653836473047712 GCTCCCTAAGGAAT chr1 201053421 201053422 chr1:201053422:C:T rs766079623 C T C EBF1_EBF_1 3 1 + 4.5591905097930745 -1.846208231760242 CCTCCCCAGGTACG chr1 201056146 201056147 chr1:201056147:C:T rs150035964 C T C EBF1_EBF_1 0 1 + 6.5129306299056555 6.795132690636233 CGCCCCCAGGGGAT chr1 201056152 201056153 chr1:201056153:C:G rs148806907 C G C EBF1_EBF_1 5 1 + 6.727042312026973 -0.17783884060819616 GCCCCCAGGGGATC chr1 201056180 201056181 chr1:201056181:G:A rs372788279 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 201073024 201073025 chr1:201073025:C:T rs3767506 C T C EBF1_EBF_1 7 1 + 5.39435942004863 7.211864166331253 GCTCCCACGGGGCA chr1 201077933 201077934 chr1:201077934:G:A rs4915476 G A G EBF1_EBF_1 6 1 - 4.783244188540376 5.084530317402133 ACACCCCTGGGCAT chr1 201077946 201077947 chr1:201077947:A:G rs4915477 A G G EBF1_EBF_1 -7 0 - 0 0 . chr1 201136339 201136340 chr1:201136340:G:A rs74873661 G A G EBF1_EBF_1 5 1 - 5.466187443870953 0.14440022833028227 TGTCCCCTGGGCAA chr1 201136359 201136360 chr1:201136360:G:A rs6693143 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 201136775 201136776 chr1:201136776:G:A rs10920127 G A G EBF1_EBF_1 6 1 + 6.296951155472282 8.463745586746757 ACCCCCGTGGGGAT chr1 201138198 201138199 chr1:201138199:C:T rs16847818 C T C EBF1_EBF_1 8 1 - 6.061762806135534 1.8354727172492735 GCTCCCCTGAGATA chr1 201138637 201138638 chr1:201138638:A:C rs141763103 A C A EBF1_EBF_1 -3 0 - 0 0 . chr1 201140419 201140420 chr1:201140420:T:C rs6671071 T C C EBF1_EBF_1 29 0 - 0 0 . chr1 201140456 201140457 chr1:201140457:C:T rs141612034 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 201141825 201141826 chr1:201141826:A:G rs4915218 A G A EBF1_EBF_1 -20 0 - 0 0 . chr1 201170836 201170837 chr1:201170837:A:G rs188559916 A G A EBF1_EBF_1 23 0 + 0 0 . chr1 201184086 201184087 chr1:201184087:C:A rs831761 C A A EBF1_EBF_1 -9 0 + 0 0 . chr1 201208117 201208118 chr1:201208118:T:C rs72751165 T C t EBF1_EBF_1 -17 0 - 0 0 . chr1 201209629 201209630 chr1:201209630:G:C rs113788027 G C - EBF1_EBF_1 -10 0 - 0 0 . chr1 201214140 201214141 chr1:201214141:C:T rs139450898 C T G EBF1_EBF_1 17 0 - 0 0 . chr1 201216508 201216509 chr1:201216509:C:T rs141101331 C T C EBF1_EBF_1 -18 0 + 0 0 . chr1 201219610 201219611 chr1:201219611:T:G rs2039959 T G T EBF1_EBF_1 27 0 - 0 0 . chr1 201222528 201222529 chr1:201222529:C:G rs6427886 C G T EBF1_EBF_1 31 0 - 0 0 . chr1 201225045 201225046 chr1:201225046:A:G rs12134361 A G G EBF1_EBF_1 -5 0 + 0 0 . chr1 201228202 201228203 chr1:201228203:G:A rs3753971 G A G EBF1_EBF_1 6 1 + 4.82092689998572 6.987721331260194 TTCCCCGAGGGGCC chr1 201228229 201228230 chr1:201228230:G:C rs3753972 G C G EBF1_EBF_1 33 0 + 0 0 . chr1 201228547 201228548 chr1:201228548:G:A rs3738274 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 201229621 201229622 chr1:201229622:C:G rs35305166 C G C EBF1_EBF_1 7 1 - 4.370760484082435 3.38943990300108 CTTCCCCGGGGCTC chr1 201253789 201253790 chr1:201253790:G:A rs6684262 G A G EBF1_EBF_1 8 1 - 3.995713860419568 2.4229399323570586 AGTCCCCGCGGGCT chr1 201253791 201253792 chr1:201253792:G:A rs55927583 G A G EBF1_EBF_1 6 1 - 3.995713860419568 4.296999989281326 AGTCCCCGCGGGCT chr1 201258837 201258838 chr1:201258838:C:T rs74136363 C T C EBF1_EBF_1 5 1 + 6.263896823445002 0.9421096079043325 AGCCCCAGGGGCCT chr1 201258853 201258854 chr1:201258854:G:A rs12139554 G A A EBF1_EBF_1 21 0 + 0 0 . chr1 201276053 201276054 chr1:201276054:G:A rs150311192 G A G EBF1_EBF_1 26 0 - 0 0 . chr1 201283971 201283972 chr1:201283972:C:T rs890320873 C T C EBF1_EBF_1 -13 0 + 0 0 . chr1 201283990 201283991 chr1:201283991:C:G rs12083583 C G C EBF1_EBF_1 6 1 + 6.33229054282858 4.527098479355382 CATCCCCGGGGATG chr1 201285833 201285834 chr1:201285834:T:G rs75888275 T G T EBF1_EBF_1 -20 0 + 0 0 . chr1 201288480 201288481 chr1:201288481:A:G rs832172 A G G EBF1_EBF_1 33 0 + 0 0 . chr1 201290516 201290517 chr1:201290517:G:A rs832175 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 201302093 201302094 chr1:201302094:C:A rs706494 C A C EBF1_EBF_1 -15 0 - 0 0 . chr1 201302599 201302600 chr1:201302600:C:T rs117207417 C T C EBF1_EBF_1 0 1 + 4.82066204847996 5.10286410921054 CTCCCCCAGGGCCC chr1 201309733 201309734 chr1:201309734:G:C chr1:201309734:G:C G C G EBF1_EBF_1 5 1 - 4.933992713477786 -1.9708884391573827 GGTCCCGAGAGAGC chr1 201309733 201309734 chr1:201309734:G:T rs1772847 G T G EBF1_EBF_1 5 1 - 4.933992713477786 -1.9708884391573827 GGTCCCGAGAGAGC chr1 201321088 201321089 chr1:201321089:C:T rs1772828 C T C EBF1_EBF_1 13 1 + 4.9264774514272185 5.7709715660127365 GATCGCCAGGGAAC chr1 201330619 201330620 chr1:201330620:G:A rs10920174 G A G EBF1_EBF_1 5 1 - 4.604151586706848 -0.7176356288338213 CACCCCTGGAGACC chr1 201330723 201330724 chr1:201330724:A:G rs1105331 A G A EBF1_EBF_1 -17 0 - 0 0 . chr1 201346772 201346773 chr1:201346773:T:C rs7526201 T C C EBF1_EBF_1 -4 0 - 0 0 . chr1 201362206 201362207 chr1:201362207:A:G rs11807637 A G G EBF1_EBF_1 30 0 + 0 0 . chr1 201364944 201364945 chr1:201364945:C:T rs10920182 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 201379214 201379215 chr1:201379215:T:C rs2799691 T C C EBF1_EBF_1 26 0 + 0 0 . chr1 201394523 201394524 chr1:201394524:C:T rs11580685 C T C EBF1_EBF_1 3 1 + 9.332963818116669 2.9275650765633543 ATTCCCCTGGGCCT chr1 201398121 201398122 chr1:201398122:G:A rs113832607 G A G EBF1_EBF_1 0 1 - 4.9015413133720855 5.183743374102663 CATCGCCTGGGACT chr1 201400119 201400120 chr1:201400120:A:G rs2719177 A G G EBF1_EBF_1 7 1 + 6.55559301802359 5.243134612734764 CTTCCCCAAGGAAA chr1 201409359 201409360 chr1:201409360:G:A rs12048410 G A G EBF1_EBF_1 26 0 + 0 0 . chr1 201454953 201454954 chr1:201454954:A:G rs3888929 A G G EBF1_EBF_1 28 0 + 0 0 . chr1 201455030 201455031 chr1:201455031:C:T rs4584425 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 201459608 201459609 chr1:201459609:A:G rs184896671 A G A EBF1_EBF_1 -12 0 - 0 0 . chr1 201459956 201459957 chr1:201459957:T:G rs6427908 T G G EBF1_EBF_1 26 0 - 0 0 . chr1 201459980 201459981 chr1:201459981:C:A rs189799968 C A C EBF1_EBF_1 2 1 - 4.003376018288056 10.751701708488524 CTGCCCCAGGGACA chr1 201466713 201466714 chr1:201466714:C:T rs138090486 C T C EBF1_EBF_1 3 1 + 6.182271894021325 -0.22312684753199186 CCTCCCCTGGGCCT chr1 201468348 201468349 chr1:201468349:A:T rs1256930 A T A EBF1_EBF_1 -12 0 - 0 0 . chr1 201480226 201480227 chr1:201480227:T:G rs544594 T G G EBF1_EBF_1 -14 0 - 0 0 . chr1 201480757 201480758 chr1:201480758:A:C rs111877698 A C A EBF1_EBF_1 12 1 - 5.734837536030342 5.37246816290304 CACCCCTAGAGATT chr1 201496134 201496135 chr1:201496135:G:A chr1:201496135:G:A G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 201513484 201513485 chr1:201513485:G:T rs11585089 G T G EBF1_EBF_1 26 0 - 0 0 . chr1 201535349 201535350 chr1:201535350:C:G rs571738 C G C EBF1_EBF_1 14 0 + 0 0 . chr1 201539296 201539297 chr1:201539297:G:T rs1226520216 G T G EBF1_EBF_1 -11 0 - 0 0 . chr1 201539297 201539298 chr1:201539298:C:T rs1251741771 C T C EBF1_EBF_1 -12 0 - 0 0 . chr1 201541051 201541052 chr1:201541052:A:G rs12563729 A G A EBF1_EBF_1 24 0 - 0 0 . chr1 201541080 201541081 chr1:201541081:T:A rs74136639 T A T EBF1_EBF_1 -5 0 - 0 0 . chr1 201557080 201557081 chr1:201557081:A:G rs149902206 A G A EBF1_EBF_1 16 0 - 0 0 . chr1 201566598 201566599 chr1:201566599:T:C rs10920217 T C C EBF1_EBF_1 17 0 - 0 0 . chr1 201578403 201578404 chr1:201578404:G:A rs617053 G A A EBF1_EBF_1 18 0 - 0 0 . chr1 201584890 201584891 chr1:201584891:C:T rs4145538 C T C EBF1_EBF_1 -11 0 + 0 0 . chr1 201597746 201597747 chr1:201597747:G:A rs74675471 G A G EBF1_EBF_1 8 1 - 4.524511289992315 2.9517373619298066 CTTCCCCGCGGAAC chr1 201663155 201663156 chr1:201663156:C:G rs741973 C G C EBF1_EBF_1 26 0 + 0 0 . chr1 201675623 201675624 chr1:201675624:G:A rs10800790 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 201692814 201692815 chr1:201692815:A:G rs937860810 A G A EBF1_EBF_1 -11 0 - 0 0 . chr1 201693093 201693094 chr1:201693094:A:G rs2007411 A G A EBF1_EBF_1 30 0 - 0 0 . chr1 201693105 201693106 chr1:201693106:A:T chr1:201693106:A:T A T A EBF1_EBF_1 18 0 - 0 0 . chr1 201704389 201704390 chr1:201704390:A:G chr1:201704390:A:G A G A EBF1_EBF_1 -13 0 + 0 0 . chr1 201705513 201705514 chr1:201705514:T:C rs473819 T C T EBF1_EBF_1 27 0 - 0 0 . chr1 201709746 201709747 chr1:201709747:C:T rs548298672 C T C EBF1_EBF_1 1 1 + 5.6702040965858425 6.565637536984586 ACCCCCAAGGAAAC chr1 201716193 201716194 chr1:201716194:G:A rs576018867 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 201720269 201720270 chr1:201720270:A:G rs732814 A G A EBF1_EBF_1 4 1 - 5.569015148632391 9.938402093577315 ACCCTCCTGGGATT chr1 201731933 201731934 chr1:201731934:T:C rs10920243 T C C EBF1_EBF_1 -18 0 - 0 0 . chr1 201737983 201737984 chr1:201737984:C:T rs6667811 C T T EBF1_EBF_1 29 0 + 0 0 . chr1 201793368 201793369 chr1:201793369:T:A rs116368817 T A T EBF1_EBF_1 18 0 - 0 0 . chr1 201913148 201913149 chr1:201913149:G:C rs2644120 G C C EBF1_EBF_1 -16 0 + 0 0 . chr1 201918592 201918593 chr1:201918593:T:C rs17432675 T C C EBF1_EBF_1 -3 0 - 0 0 . chr1 201923128 201923129 chr1:201923129:G:A rs16849442 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 201935460 201935461 chr1:201935461:T:C rs2820327 T C t EBF1_EBF_1 13 1 - 6.199271829806209 5.08494625773677 ACTGCCATGGGAAA chr1 201938816 201938817 chr1:201938817:T:C rs7533340 T C T EBF1_EBF_1 23 0 - 0 0 . chr1 201978658 201978659 chr1:201978659:G:A rs61821526 G A G EBF1_EBF_1 30 0 - 0 0 . chr1 201988631 201988632 chr1:201988632:C:A rs7535446 C A A EBF1_EBF_1 32 0 - 0 0 . chr1 202010241 202010242 chr1:202010242:G:A rs114873844 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 202018674 202018675 chr1:202018675:C:T rs57265565 C T c EBF1_EBF_1 -8 0 + 0 0 . chr1 202035012 202035013 chr1:202035013:C:T rs184493012 C T C EBF1_EBF_1 8 1 - 8.749834385142206 4.5235442962559445 TCTCCCCAGGGAGA chr1 202037835 202037836 chr1:202037836:A:G rs944981047 A G A EBF1_EBF_1 33 0 - 0 0 . chr1 202075903 202075904 chr1:202075904:T:C rs75479494 T C T EBF1_EBF_1 21 0 - 0 0 . chr1 202076101 202076102 chr1:202076102:A:C rs10158382 A C A EBF1_EBF_1 2 1 - 5.922190334095243 -0.8261353561052247 AGTCCCCAGAGTCC chr1 202076856 202076857 chr1:202076857:T:G rs9427478 T G G EBF1_EBF_1 12 1 - 8.268643486937068 8.238462088515742 ATCCCCCAGGGTAT chr1 202086016 202086017 chr1:202086017:C:T rs143275557 C T C EBF1_EBF_1 -15 0 + 0 0 . chr1 202087305 202087306 chr1:202087306:T:G rs200839083 T G G EBF1_EBF_1 14 0 - 0 0 . chr1 202130345 202130346 chr1:202130346:T:A rs532294501 T A t EBF1_EBF_1 -7 0 - 0 0 . chr1 202159292 202159293 chr1:202159293:C:T rs115136927 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 202160525 202160526 chr1:202160526:C:A rs114880984 C A C EBF1_EBF_1 27 0 + 0 0 . chr1 202160529 202160530 chr1:202160530:C:G rs111924024 C G C EBF1_EBF_1 31 0 + 0 0 . chr1 202160766 202160767 chr1:202160767:G:A rs3935295 G A G EBF1_EBF_1 12 1 - 5.623750123361171 4.5974835528103215 ATTCCCAGGGAACG chr1 202162160 202162161 chr1:202162161:G:A rs35587010 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 202163634 202163635 chr1:202163635:C:G rs73085649 C G C EBF1_EBF_1 8 1 - 10.738345600915618 5.419686740000934 ACTCCCTAGGGGCT chr1 202163648 202163649 chr1:202163649:G:T rs12737056 G T G EBF1_EBF_1 -6 0 - 0 0 . chr1 202164404 202164405 chr1:202164405:C:T rs78838637 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 202169387 202169388 chr1:202169388:C:T rs3935010 C T C EBF1_EBF_1 10 1 - 4.466510504166933 -0.8515179551000338 CTTCCCGGGGGCAT chr1 202199642 202199643 chr1:202199643:T:C rs79386474 T C T EBF1_EBF_1 30 0 - 0 0 . chr1 202200193 202200194 chr1:202200194:G:A rs2924108 G A G EBF1_EBF_1 -4 0 - 0 0 . chr1 202201660 202201661 chr1:202201661:C:T rs61823734 C T T EBF1_EBF_1 31 0 + 0 0 . chr1 202202071 202202072 chr1:202202072:C:T rs2993430 C T T EBF1_EBF_1 20 0 - 0 0 . chr1 202202210 202202211 chr1:202202211:G:A rs3010096 G A G EBF1_EBF_1 -15 0 + 0 0 . chr1 202222463 202222464 chr1:202222464:C:T rs774380672 C T C EBF1_EBF_1 10 1 - 5.730685523060172 0.4126570637932053 TCCCCCCAGGGGCC chr1 202226697 202226698 chr1:202226698:A:G rs896548 A G A EBF1_EBF_1 29 0 + 0 0 . chr1 202235084 202235085 chr1:202235085:G:A rs16849773 G A G EBF1_EBF_1 -17 0 - 0 0 . chr1 202239242 202239243 chr1:202239243:C:T rs72748706 C T C EBF1_EBF_1 27 0 - 0 0 . chr1 202245167 202245168 chr1:202245168:C:T rs79820393 C T T EBF1_EBF_1 1 1 - 9.408613095517904 9.1479142635966 CGTCCCTGGGGACC chr1 202311986 202311987 chr1:202311987:C:T rs551561894 C T C EBF1_EBF_1 7 1 - 7.913394505889315 9.22585291117814 GTCCCCCGGGGACA chr1 202438893 202438894 chr1:202438894:A:G rs575501132 A G A EBF1_EBF_1 24 0 + 0 0 . chr1 202528664 202528665 chr1:202528665:C:T rs12127516 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 202591400 202591401 chr1:202591401:G:T rs71635587 G T G EBF1_EBF_1 -19 0 - 0 0 . chr1 202591529 202591530 chr1:202591530:A:G rs4950780 A G G EBF1_EBF_1 -19 0 - 0 0 . chr1 202597071 202597072 chr1:202597072:T:C rs9633344 T C C EBF1_EBF_1 6 1 - 4.287718297660259 2.1209238663857835 AGCCCCAGGAGGCC chr1 202600245 202600246 chr1:202600246:C:T rs150534182 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 202603257 202603258 chr1:202603258:C:G rs113678855 C G C EBF1_EBF_1 -6 0 + 0 0 . chr1 202617715 202617716 chr1:202617716:A:G rs74456470 A G G EBF1_EBF_1 -14 0 + 0 0 . chr1 202620063 202620064 chr1:202620064:C:T rs147559062 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 202623192 202623193 chr1:202623193:G:A rs75595765 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 202623194 202623195 chr1:202623195:C:T rs58987897 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 202623214 202623215 chr1:202623215:A:G rs74697367 A G G EBF1_EBF_1 6 1 + 9.614828964778543 7.448034533504068 ATCCCCATGGGAGA chr1 202629599 202629600 chr1:202629600:G:A rs1877486 G A A EBF1_EBF_1 10 1 + 4.956170028942268 -0.3618584303246972 CTCCCCAAGGGTGT chr1 202633600 202633601 chr1:202633601:T:C rs10920428 T C C EBF1_EBF_1 7 1 - 7.616815105248978 6.304356699960152 GCCCCCTAGGGAGC chr1 202643033 202643034 chr1:202643034:T:A rs111293041 T A T EBF1_EBF_1 13 1 + 4.6828145894923665 3.4645750256208725 CCTCCCCCGGGTCT chr1 202648712 202648713 chr1:202648713:T:A rs867314 T A A EBF1_EBF_1 0 1 - 11.522063628450274 9.549007205484255 ATCCCCCAGGGAAC chr1 202658956 202658957 chr1:202658957:A:G rs6669326 A G A EBF1_EBF_1 20 0 - 0 0 . chr1 202685978 202685979 chr1:202685979:A:G rs12566297 A G G EBF1_EBF_1 1 1 + 4.969717733245306 5.230416565166608 AACCCCCAGGGTAG chr1 202686966 202686967 chr1:202686967:C:T rs4950788 C T C EBF1_EBF_1 11 1 + 5.913048867359343 6.231096309113006 ATTCCCTTGGGCGG chr1 202712005 202712006 chr1:202712006:C:G rs61820945 C G C EBF1_EBF_1 10 1 - 5.91135952146989 -0.9794428658595842 ACTCCCCAGAGGTC chr1 202717792 202717793 chr1:202717793:G:A rs12093894 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 202718948 202718949 chr1:202718949:A:G rs7529811 A G A EBF1_EBF_1 29 0 + 0 0 . chr1 202812615 202812616 chr1:202812616:G:C rs67437898 G C G EBF1_EBF_1 -15 0 + 0 0 . chr1 202825939 202825940 chr1:202825940:C:T rs10800870 C T C EBF1_EBF_1 8 1 - 8.935593629023558 4.709303540137296 CCTCCCAGGGGAAA chr1 202836581 202836582 chr1:202836582:T:C rs2363958 T C T EBF1_EBF_1 -11 0 - 0 0 . chr1 202836860 202836861 chr1:202836861:G:C rs12040619 G C G EBF1_EBF_1 33 0 - 0 0 . chr1 202888686 202888687 chr1:202888687:C:T rs117582890 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 202888718 202888719 chr1:202888719:C:A rs527391335 C A C EBF1_EBF_1 20 0 + 0 0 . chr1 202888720 202888721 chr1:202888721:G:C rs547551567 G C G EBF1_EBF_1 22 0 + 0 0 . chr1 202958114 202958115 chr1:202958115:G:C rs77677178 G C G EBF1_EBF_1 -6 0 + 0 0 . chr1 202966894 202966895 chr1:202966895:G:A rs143624135 G A G EBF1_EBF_1 26 0 + 0 0 . chr1 203051903 203051904 chr1:203051904:G:A rs116121047 G A g EBF1_EBF_1 4 1 - 5.485428442794899 1.1160414978499755 ACTCCCTGAGGAGA chr1 203051925 203051926 chr1:203051926:G:A rs191180399 G A g EBF1_EBF_1 -18 0 - 0 0 . chr1 203052262 203052263 chr1:203052263:G:A rs76525090 G A g EBF1_EBF_1 32 0 - 0 0 . chr1 203052536 203052537 chr1:203052537:C:T rs4950874 C T t EBF1_EBF_1 -6 0 - 0 0 . chr1 203056080 203056081 chr1:203056081:G:C rs11590950 G C g EBF1_EBF_1 -17 0 - 0 0 . chr1 203069329 203069330 chr1:203069330:C:T rs149060971 C T . EBF1_EBF_1 32 0 - 0 0 . chr1 203069380 203069381 chr1:203069381:G:A rs1874143 G A . EBF1_EBF_1 -19 0 - 0 0 . chr1 203070665 203070666 chr1:203070666:T:G rs871297 T G T EBF1_EBF_1 25 0 - 0 0 . chr1 203084534 203084535 chr1:203084535:A:G rs11807924 A G G EBF1_EBF_1 7 1 + 6.666725456526765 5.35426705123794 GGTCCCTAGAGAGA chr1 203123494 203123495 chr1:203123495:T:C rs80286231 T C T EBF1_EBF_1 -4 0 - 0 0 . chr1 203124208 203124209 chr1:203124209:C:T rs76716335 C T C EBF1_EBF_1 5 1 + 4.428019156361356 -0.8937680591793153 CTTCCCGTGGGCCC chr1 203135886 203135887 chr1:203135887:G:A rs149731468 G A G EBF1_EBF_1 11 1 + 6.912971531850138 9.805678375454148 ATCCCCCGGGGGCA chr1 203142968 203142969 chr1:203142969:G:A rs148030620 G A G EBF1_EBF_1 13 1 - 7.943080537147039 8.787574651732557 GGCCCCAGGGGACC chr1 203147730 203147731 chr1:203147731:T:C rs56016695 T C T EBF1_EBF_1 31 0 - 0 0 . chr1 203153685 203153686 chr1:203153686:C:A rs73075707 C A C EBF1_EBF_1 -10 0 + 0 0 . chr1 203167961 203167962 chr1:203167962:C:T rs73075725 C T C EBF1_EBF_1 11 1 - 6.422295163308404 9.315002006912415 CTTCCCCAGAGGCT chr1 203176280 203176281 chr1:203176281:C:G rs61821143 C G C EBF1_EBF_1 -12 0 + 0 0 . chr1 203207758 203207759 chr1:203207759:C:A rs2494303 C A C EBF1_EBF_1 27 0 - 0 0 . chr1 203212164 203212165 chr1:203212165:G:A rs138430675 G A G EBF1_EBF_1 30 0 - 0 0 . chr1 203235040 203235041 chr1:203235041:A:G rs6658255 A G A EBF1_EBF_1 24 0 - 0 0 . chr1 203267709 203267710 chr1:203267710:G:C rs575105210 G C G EBF1_EBF_1 7 1 + 6.546536459595687 5.565215878514333 CTTCCCCGGGGGCA chr1 203268998 203268999 chr1:203268999:C:T rs76561658 C T C EBF1_EBF_1 8 1 - 5.723185201038435 1.4968951121521739 ATTCCCAGGGAAGC chr1 203273165 203273166 chr1:203273166:C:T rs190287456 C T C EBF1_EBF_1 3 1 + 6.73883890009935 0.33344015854603315 CATCCCACGGGACG chr1 203278830 203278831 chr1:203278831:A:T rs2486933 A T T EBF1_EBF_1 0 1 + 6.268889890598465 4.295833467632444 ACCCCCTTGGTAAC chr1 203279908 203279909 chr1:203279909:G:A rs2494274 G A A EBF1_EBF_1 8 1 + 6.190574739353544 1.9642846504672826 ATTCCCATGGTGCC chr1 203283139 203283140 chr1:203283140:T:C rs6427998 T C T EBF1_EBF_1 22 0 - 0 0 . chr1 203289669 203289670 chr1:203289670:T:C chr1:203289670:T:C T C T EBF1_EBF_1 31 0 - 0 0 . chr1 203289707 203289708 chr1:203289708:G:A rs78830133 G A G EBF1_EBF_1 7 1 + 5.392038818750156 6.704497224038982 TTTCCCCGGAGGCT chr1 203296034 203296035 chr1:203296035:G:A rs144871389 G A g EBF1_EBF_1 26 0 + 0 0 . chr1 203296669 203296670 chr1:203296670:G:A rs75607058 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 203313533 203313534 chr1:203313534:A:T rs112695319 A T A EBF1_EBF_1 -5 0 - 0 0 . chr1 203340344 203340345 chr1:203340345:A:C rs562943126 A C A EBF1_EBF_1 28 0 - 0 0 . chr1 203340345 203340346 chr1:203340346:C:A rs3738019 C A C EBF1_EBF_1 27 0 - 0 0 . chr1 203340383 203340384 chr1:203340384:G:T rs3738020 G T G EBF1_EBF_1 -11 0 - 0 0 . chr1 203366935 203366936 chr1:203366936:T:C rs12043300 T C T EBF1_EBF_1 -12 0 + 0 0 . chr1 203373603 203373604 chr1:203373604:G:A rs1105285 G A A EBF1_EBF_1 4 1 - 5.123578152820509 0.7541912078755848 TTCCCCCTGGGGTC chr1 203379781 203379782 chr1:203379782:G:A rs4081379 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 203427692 203427693 chr1:203427693:C:A rs6702330 C A A EBF1_EBF_1 5 1 + 7.258482680891259 0.35360152825609054 AATCCCAAGAGGAC chr1 203452076 203452077 chr1:203452077:C:T rs28439597 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 203453355 203453356 chr1:203453356:C:G rs1539148 C G G EBF1_EBF_1 1 1 - 6.737812530620494 6.548040876051277 CGTCCCAAGAGAGC chr1 203480742 203480743 chr1:203480743:C:T rs1340765902 C T C EBF1_EBF_1 22 0 - 0 0 . chr1 203487302 203487303 chr1:203487303:C:T rs147884793 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 203556545 203556546 chr1:203556546:A:G rs1245540001 A G A EBF1_EBF_1 -13 0 + 0 0 . chr1 203593784 203593785 chr1:203593785:G:A rs143580365 G A G EBF1_EBF_1 17 0 - 0 0 . chr1 203629809 203629810 chr1:203629810:T:C rs76590819 T C T EBF1_EBF_1 -20 0 + 0 0 . chr1 203636266 203636267 chr1:203636267:T:C rs12029011 T C T EBF1_EBF_1 11 1 - 5.115143248367929 2.2224364047639176 CTTGCCAAGGGAGT chr1 203637376 203637377 chr1:203637377:A:G rs186939099 A G A EBF1_EBF_1 -13 0 - 0 0 . chr1 203664648 203664649 chr1:203664649:C:T rs113462515 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 203666796 203666797 chr1:203666797:G:A rs16852011 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 203667703 203667704 chr1:203667704:C:T rs74694093 C T C EBF1_EBF_1 5 1 + 5.220535563981901 -0.10125165155876803 ACTGCCGTGGGACT chr1 203672124 203672125 chr1:203672125:G:A rs72743697 G A A EBF1_EBF_1 -4 0 - 0 0 . chr1 203778623 203778624 chr1:203778624:G:A rs2185080 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 203778643 203778644 chr1:203778644:G:A rs2185079 G A G EBF1_EBF_1 5 1 - 5.3085724360956235 -0.013214779445046454 TTCCCCTGGAGAGT chr1 203869080 203869081 chr1:203869081:C:T rs7542275 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 203968908 203968909 chr1:203968909:G:A rs114001913 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 204026042 204026043 chr1:204026043:A:T rs76920601 A T a EBF1_EBF_1 30 0 - 0 0 . chr1 204029105 204029106 chr1:204029106:G:A rs56200794 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 204037051 204037052 chr1:204037052:C:G rs532017579 C G C EBF1_EBF_1 4 1 + 6.362458412786701 0.7366933116371577 CATCCCTAGGGTCC chr1 204041336 204041337 chr1:204041337:A:C chr1:204041337:A:C A C A EBF1_EBF_1 21 0 + 0 0 . chr1 204045478 204045479 chr1:204045479:T:C rs12133634 T C T EBF1_EBF_1 7 1 - 9.689933189066611 8.377474783777785 AGCCCCAAGGGAAG chr1 204046756 204046757 chr1:204046757:G:A rs80157555 G A G EBF1_EBF_1 5 1 - 4.920824086147437 -0.4009631293932334 AGTCCCCAGGTCCT chr1 204046770 204046771 chr1:204046771:C:A rs77837701 C A C EBF1_EBF_1 -9 0 - 0 0 . chr1 204082312 204082313 chr1:204082313:C:T rs16852778 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 204089329 204089330 chr1:204089330:A:G rs11240662 A G G EBF1_EBF_1 -8 0 + 0 0 . chr1 204089345 204089346 chr1:204089346:G:A rs142132039 G A G EBF1_EBF_1 8 1 + 6.801708679161204 2.575418590274943 ATTCCCATGCGAGC chr1 204094671 204094672 chr1:204094672:G:T rs6662933 G T G EBF1_EBF_1 0 1 - 7.503928384625949 9.759186868322548 CGCCCCAAGGGAGC chr1 204097174 204097175 chr1:204097175:T:C rs12075866 T C T EBF1_EBF_1 17 0 - 0 0 . chr1 204104308 204104309 chr1:204104309:C:A rs74458057 C A C EBF1_EBF_1 1 1 + 5.154220521226966 5.083293343874882 ACCCCCCAGGGCTC chr1 204128617 204128618 chr1:204128618:C:T rs10900550 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 204134340 204134341 chr1:204134341:T:C rs947529008 T C T EBF1_EBF_1 -7 0 + 0 0 . chr1 204147975 204147976 chr1:204147976:G:T rs114654555 G T G EBF1_EBF_1 19 0 + 0 0 . chr1 204152199 204152200 chr1:204152200:C:A rs1018450607 C A C EBF1_EBF_1 22 0 + 0 0 . chr1 204159703 204159704 chr1:204159704:C:T rs11571084 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 204188298 204188299 chr1:204188299:C:T rs72749731 C T C EBF1_EBF_1 -17 0 + 0 0 . chr1 204190782 204190783 chr1:204190783:A:G chr1:204190783:A:G A G A EBF1_EBF_1 27 0 + 0 0 . chr1 204191897 204191898 chr1:204191898:A:G rs7538038 A G G EBF1_EBF_1 12 1 - 5.257495733120313 6.283762303671163 ACTGCCCAGGGATA chr1 204206394 204206395 chr1:204206395:C:T rs75731611 C T C EBF1_EBF_1 3 1 + 6.010117211959222 -0.3952815295940948 ACCCCCATGGGTCA chr1 204214349 204214350 chr1:204214350:G:C rs116367174 G C G EBF1_EBF_1 -17 0 - 0 0 . chr1 204231357 204231358 chr1:204231358:A:G rs6593997 A G G EBF1_EBF_1 15 0 - 0 0 . chr1 204251903 204251904 chr1:204251904:C:T rs4951332 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 204255365 204255366 chr1:204255366:A:G rs58045683 A G A EBF1_EBF_1 -16 0 - 0 0 . chr1 204273133 204273134 chr1:204273134:C:T rs4951346 C T C EBF1_EBF_1 1 1 - 5.722535518330181 5.46183668640888 AGCCCCAGGAGAAG chr1 204288202 204288203 chr1:204288203:G:A rs114747701 G A G EBF1_EBF_1 6 1 - 4.771889166176737 5.073175295038495 ACCCACCAGGGACC chr1 204301580 204301581 chr1:204301581:G:T rs12748797 G T G EBF1_EBF_1 10 1 + 7.996851182795748 3.814098996568484 ACTCCCTAGGGCCA chr1 204306535 204306536 chr1:204306536:C:T rs78469480 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 204320537 204320538 chr1:204320538:C:G rs7513133 C G C EBF1_EBF_1 -18 0 + 0 0 . chr1 204320774 204320775 chr1:204320775:G:A rs7549429 G A G EBF1_EBF_1 13 1 - 6.316318346735528 7.160812461321046 ATACCCTAGAGATC chr1 204341395 204341396 chr1:204341396:A:G rs12023102 A G G EBF1_EBF_1 14 0 + 0 0 . chr1 204358765 204358766 chr1:204358766:G:A rs10900583 G A G EBF1_EBF_1 6 1 - 4.460847835303781 4.762133964165538 CATCCCCGGGGCAC chr1 204363552 204363553 chr1:204363553:G:C rs11803361 G C G EBF1_EBF_1 14 0 - 0 0 . chr1 204377212 204377213 chr1:204377213:G:A rs933689564 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 204411919 204411920 chr1:204411920:T:C chr1:204411920:T:C T C T EBF1_EBF_1 19 0 - 0 0 . chr1 204411944 204411945 chr1:204411945:T:A rs376451743 T A T EBF1_EBF_1 -6 0 - 0 0 . chr1 204444619 204444620 chr1:204444620:C:T rs3014633 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 204446647 204446648 chr1:204446648:C:T rs144789599 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 204448788 204448789 chr1:204448789:T:C rs12407668 T C T EBF1_EBF_1 13 1 + 7.720779749238505 6.876285634652987 TCTCCCTGGAGAAT chr1 204448788 204448789 chr1:204448789:T:G chr1:204448789:T:G T G T EBF1_EBF_1 13 1 + 7.720779749238505 5.388214613297572 TCTCCCTGGAGAAT chr1 204449810 204449811 chr1:204449811:C:T rs3014637 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 204480803 204480804 chr1:204480804:C:T chr1:204480804:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 204480823 204480824 chr1:204480824:T:C rs7519417 T C C EBF1_EBF_1 1 1 + 9.550447026711874 8.655013586313128 CTTCCCTTGGGATA chr1 204528284 204528285 chr1:204528285:A:G rs2045623 A G G EBF1_EBF_1 12 1 + 4.7244781044615465 3.3056607623620686 GATGCCCAGGGAAC chr1 204528363 204528364 chr1:204528364:C:G rs1476525954 C G C EBF1_EBF_1 -5 0 + 0 0 . chr1 204579003 204579004 chr1:204579004:C:A rs12730457 C A C EBF1_EBF_1 28 0 - 0 0 . chr1 204579041 204579042 chr1:204579042:G:C rs181074555 G C G EBF1_EBF_1 -10 0 - 0 0 . chr1 204602271 204602272 chr1:204602272:G:C rs75973977 G C G EBF1_EBF_1 -13 0 + 0 0 . chr1 204619539 204619540 chr1:204619540:G:A rs34224191 G A G EBF1_EBF_1 -15 0 - 0 0 . chr1 204630720 204630721 chr1:204630721:C:T rs875094 C T C EBF1_EBF_1 32 0 - 0 0 . chr1 204637965 204637966 chr1:204637966:G:A rs998619570 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 204663204 204663205 chr1:204663205:T:C rs2149819 T C T EBF1_EBF_1 -6 0 - 0 0 . chr1 204726579 204726580 chr1:204726580:T:C rs72755817 T C T EBF1_EBF_1 31 0 - 0 0 . chr1 204733111 204733112 chr1:204733112:T:C rs1329633 T C T EBF1_EBF_1 -13 0 - 0 0 . chr1 204826759 204826760 chr1:204826760:G:T rs7539437 G T G EBF1_EBF_1 15 0 + 0 0 . chr1 204855635 204855636 chr1:204855636:G:A rs11240295 G A G EBF1_EBF_1 17 0 + 0 0 . chr1 204867732 204867733 chr1:204867733:G:A rs7512381 G A A EBF1_EBF_1 28 0 + 0 0 . chr1 204922291 204922292 chr1:204922292:C:T rs78381758 C T C EBF1_EBF_1 7 1 - 4.349581212261023 5.662039617549847 AGCCCCCGGGGTTC chr1 204975976 204975977 chr1:204975977:G:A rs72753409 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 204977539 204977540 chr1:204977540:T:C rs6663231 T C T EBF1_EBF_1 8 1 + 5.031383229559817 6.604157157622326 ATTCCCCATGGAAG chr1 204983608 204983609 chr1:204983609:T:C rs11240323 T C C EBF1_EBF_1 -20 0 - 0 0 . chr1 205001715 205001716 chr1:205001716:C:A rs4951153 C A C EBF1_EBF_1 -9 0 - 0 0 . chr1 205047834 205047835 chr1:205047835:G:T rs562872712 G T G EBF1_EBF_1 30 0 - 0 0 . chr1 205049132 205049133 chr1:205049133:G:A rs3767283 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 205052325 205052326 chr1:205052326:C:T rs3767292 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 205052965 205052966 chr1:205052966:C:T rs115695961 C T C EBF1_EBF_1 9 1 - 6.096254080253943 3.441314814806339 TCTCCCCAGGGCCC chr1 205053885 205053886 chr1:205053886:G:A rs895932 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 205061458 205061459 chr1:205061459:C:T rs41310859 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 205068225 205068226 chr1:205068226:G:A rs3767298 G A G EBF1_EBF_1 9 1 - 6.448159284348323 4.686859022604978 CTTCCCCTGCGAAT chr1 205073454 205073455 chr1:205073455:C:T rs1002926 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 205122173 205122174 chr1:205122174:G:A rs6688659 G A G EBF1_EBF_1 25 0 + 0 0 . chr1 205199764 205199765 chr1:205199765:T:C rs755227728 T C T EBF1_EBF_1 2 1 + 5.75722067441933 3.8683972629771803 CATCCCTAGAGGCT chr1 205237831 205237832 chr1:205237832:G:T rs74139129 G T G EBF1_EBF_1 25 0 - 0 0 . chr1 205237886 205237887 chr1:205237887:G:A rs1172160 G A A EBF1_EBF_1 7 1 + 5.427208453054611 6.739666858343437 CTTCCCCGGGGCAC chr1 205239461 205239462 chr1:205239462:G:A rs61823976 G A G EBF1_EBF_1 3 1 - 7.58800310999787 1.1826043684445533 ATCCCCTGGGGGCC chr1 205257159 205257160 chr1:205257160:T:C rs1172113 T C C EBF1_EBF_1 -12 0 - 0 0 . chr1 205291887 205291888 chr1:205291888:G:A rs72745216 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 205291891 205291892 chr1:205291892:C:T rs4423078 C T C EBF1_EBF_1 2 1 + 7.711741144630079 9.600564556072229 AGCCCCCAGGGGTT chr1 205298693 205298694 chr1:205298694:C:T rs117953064 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 205313944 205313945 chr1:205313945:T:C rs986116431 T C T EBF1_EBF_1 12 1 - 5.407223553733073 3.9884062116335954 ACCCTCCTGGGAAA chr1 205315120 205315121 chr1:205315121:G:A rs78080112 G A G EBF1_EBF_1 8 1 + 6.150698112590737 1.924408023704476 GGTCCCAGGAGATC chr1 205317937 205317938 chr1:205317938:C:A rs900694860 C A C EBF1_EBF_1 26 0 + 0 0 . chr1 205319543 205319544 chr1:205319544:C:G rs79086203 C G C EBF1_EBF_1 -4 0 + 0 0 . chr1 205339462 205339463 chr1:205339463:C:T rs1105388 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 205341449 205341450 chr1:205341450:C:T rs115864301 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 205343475 205343476 chr1:205343476:G:A rs116727173 G A G EBF1_EBF_1 0 1 - 4.372846697553296 4.655048758283874 CGTCCCCATGGACT chr1 205432711 205432712 chr1:205432712:G:A rs12119166 G A G EBF1_EBF_1 6 1 - 5.662848494628958 5.9641346234907155 ATTTCCCGGGGACC chr1 205435557 205435558 chr1:205435558:T:G rs7537336 T G T EBF1_EBF_1 -8 0 + 0 0 . chr1 205443909 205443910 chr1:205443910:G:A rs78650663 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 205446143 205446144 chr1:205446144:G:A rs16855619 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 205477535 205477536 chr1:205477536:G:C rs10793735 G C C EBF1_EBF_1 14 0 - 0 0 . chr1 205500973 205500974 chr1:205500974:C:T rs35488126 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 205501431 205501432 chr1:205501432:T:C rs114931367 T C T EBF1_EBF_1 -15 0 + 0 0 . chr1 205508037 205508038 chr1:205508038:T:C rs76188763 T C T EBF1_EBF_1 -19 0 + 0 0 . chr1 205514376 205514377 chr1:205514377:C:T rs56017731 C T C EBF1_EBF_1 7 1 - 7.631527610937984 8.94398601622681 ACTCCCCGGGGCAT chr1 205528635 205528636 chr1:205528636:G:C rs2275348 G C G EBF1_EBF_1 10 1 + 6.78770601713462 -0.10309637019485429 TTCCCCAGGAGAAT chr1 205534219 205534220 chr1:205534220:A:T rs957525660 A T A EBF1_EBF_1 24 0 - 0 0 . chr1 205545538 205545539 chr1:205545539:C:G rs10751440 C G C EBF1_EBF_1 17 0 + 0 0 . chr1 205556309 205556310 chr1:205556310:A:G rs10082150 A G A EBF1_EBF_1 7 1 + 8.46679208369194 7.154333678403113 AGTCCCAAAGGAAA chr1 205564391 205564392 chr1:205564392:C:T rs4951243 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 205566828 205566829 chr1:205566829:C:A rs12069429 C A C EBF1_EBF_1 29 0 + 0 0 . chr1 205568741 205568742 chr1:205568742:G:A rs567351196 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 205588063 205588064 chr1:205588064:A:T rs7527740 A T T EBF1_EBF_1 24 0 - 0 0 . chr1 205591616 205591617 chr1:205591617:G:C rs535885812 G C G EBF1_EBF_1 -15 0 + 0 0 . chr1 205592644 205592645 chr1:205592645:C:A rs12735654 C A C EBF1_EBF_1 23 0 + 0 0 . chr1 205592644 205592645 chr1:205592645:C:G chr1:205592645:C:G C G C EBF1_EBF_1 23 0 + 0 0 . chr1 205594247 205594248 chr1:205594248:G:A rs7540462 G A A EBF1_EBF_1 26 0 - 0 0 . chr1 205598151 205598152 chr1:205598152:C:G rs41315571 C G C EBF1_EBF_1 -19 0 - 0 0 . chr1 205631516 205631517 chr1:205631517:G:A rs7523896 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 205631516 205631517 chr1:205631517:G:C chr1:205631517:G:C G C G EBF1_EBF_1 -18 0 - 0 0 . chr1 205631614 205631615 chr1:205631615:C:T rs565051669 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 205645066 205645067 chr1:205645067:G:A rs58949275 G A G EBF1_EBF_1 8 1 + 7.07592053217656 2.849630443290299 ATCCCCCAGGGCCC chr1 205659144 205659145 chr1:205659145:G:A rs745996307 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 205659179 205659180 chr1:205659180:G:A rs17347787 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 205670063 205670064 chr1:205670064:C:A rs137948218 C A C EBF1_EBF_1 24 0 + 0 0 . chr1 205676181 205676182 chr1:205676182:G:A rs78347477 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 205749505 205749506 chr1:205749506:T:G rs370218853 T G G EBF1_EBF_1 7 1 - 5.287630775302983 2.993851788932801 TTCCCCCAGCGACT chr1 205750728 205750729 chr1:205750729:A:T rs865402 A T T EBF1_EBF_1 14 0 + 0 0 . chr1 205775543 205775544 chr1:205775544:C:T rs16856324 C T C EBF1_EBF_1 11 1 - 5.753641186551433 8.646348030155444 TTTCCCAGGAGGCT chr1 205804523 205804524 chr1:205804524:C:T rs823072 C T C EBF1_EBF_1 5 1 + 6.179128131218474 0.8573409156778036 ACCCCCCAGAGAGA chr1 205860119 205860120 chr1:205860120:C:A rs139573720 C A C EBF1_EBF_1 0 1 + 7.88917626330584 10.144434747002437 CTTCCCCAGGGGAA chr1 205917651 205917652 chr1:205917652:C:T rs16830370 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 205917684 205917685 chr1:205917685:C:A rs6421774 C A A EBF1_EBF_1 -18 0 - 0 0 . chr1 205919302 205919303 chr1:205919303:C:T rs60001177 C T C EBF1_EBF_1 13 1 + 7.265592141142689 8.110086255728207 ATCCCCCAAGGACC chr1 205921550 205921551 chr1:205921551:T:C rs12759719 T C C EBF1_EBF_1 2 1 + 6.111762125166198 4.222938713724048 GTTCCCGAGGGCCT chr1 205922992 205922993 chr1:205922993:C:T rs150343360 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 205923314 205923315 chr1:205923315:C:T rs532310381 C T C EBF1_EBF_1 10 1 - 6.588182432869041 1.2701539736020748 AGTCCCTGGCGACC chr1 205939701 205939702 chr1:205939702:G:T rs537372380 G T G EBF1_EBF_1 1 1 + 5.379892788336208 6.085554574165736 AGTCCCAGGGAATC chr1 205951459 205951460 chr1:205951460:C:T rs139250856 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 205955080 205955081 chr1:205955081:G:A rs903838421 G A A EBF1_EBF_1 10 1 + 4.855574854223927 -0.4624536050430392 AGCCCCATGGGCTA chr1 205962810 205962811 chr1:205962811:C:A rs950590735 C A C EBF1_EBF_1 4 1 + 8.617213703361564 2.7926540799081 ATCCCCAAGGGGAA chr1 205992707 205992708 chr1:205992708:G:A rs1051841224 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 205994342 205994343 chr1:205994343:C:T rs1002630746 C T C EBF1_EBF_1 0 1 - 8.550372523769939 10.442656393334772 GGCCCCCAGGGAAA chr1 206109291 206109292 chr1:206109292:G:A rs542265102 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 206111731 206111732 chr1:206111732:T:A rs28575468 T A T EBF1_EBF_1 -12 0 - 0 0 . chr1 206116319 206116320 chr1:206116320:C:G rs33990840 C G . EBF1_EBF_1 15 0 + 0 0 . chr1 206117676 206117677 chr1:206117677:G:T rs370735087 G T . EBF1_EBF_1 32 0 - 0 0 . chr1 206118033 206118034 chr1:206118034:A:G rs33911258 A G . EBF1_EBF_1 13 1 + 9.587455278979418 8.47312970690998 GTCCCCAAGGGACA chr1 206404730 206404731 chr1:206404731:C:A rs113850522 C A C EBF1_EBF_1 28 0 + 0 0 . chr1 206416654 206416655 chr1:206416655:G:A rs148344212 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 206453481 206453482 chr1:206453482:G:C rs2483058 G C G EBF1_EBF_1 6 1 - 7.5219694900608625 5.716777426587664 ACCCCCCAGGGGTT chr1 206470337 206470338 chr1:206470338:C:T rs532443318 C T C EBF1_EBF_1 4 1 + 7.296172857371149 2.926785912426225 CTACCCTTGGGAAC chr1 206489986 206489987 chr1:206489987:C:T rs578081977 C T C EBF1_EBF_1 6 1 - 5.69042555761472 7.857219988889195 AGTCCCGTGAGGCT chr1 206535391 206535392 chr1:206535392:T:C rs6666087 T C T EBF1_EBF_1 6 1 - 6.03919267434348 3.8723982430690045 AGACCCATGAGATT chr1 206547223 206547224 chr1:206547224:C:T rs35785716 C T C EBF1_EBF_1 -18 0 + 0 0 . chr1 206631419 206631420 chr1:206631420:A:C rs6666436 A C A EBF1_EBF_1 19 0 + 0 0 . chr1 206658648 206658649 chr1:206658649:C:T rs57165632 C T C EBF1_EBF_1 5 1 + 4.342049579382021 -0.9797376361586506 TCCCCCCAGGGGGC chr1 206658673 206658674 chr1:206658674:A:G rs189199075 A G A EBF1_EBF_1 30 0 + 0 0 . chr1 206667222 206667223 chr1:206667223:T:G rs11119264 T G G EBF1_EBF_1 17 0 + 0 0 . chr1 206672128 206672129 chr1:206672129:G:A rs111423734 G A G EBF1_EBF_1 24 0 - 0 0 . chr1 206674870 206674871 chr1:206674871:T:G chr1:206674871:T:G T G T EBF1_EBF_1 11 1 - 10.11427488134743 5.698313183495043 CTTCCCTGGGGACC chr1 206674960 206674961 chr1:206674961:T:C rs4074957 T C T EBF1_EBF_1 7 1 - 7.444241321776048 6.1317829164872215 CTTCCCCAGAGATC chr1 206679773 206679774 chr1:206679774:C:G rs115835379 C G C EBF1_EBF_1 -11 0 + 0 0 . chr1 206684998 206684999 chr1:206684999:G:T rs1263978304 G T G EBF1_EBF_1 12 1 - 4.418227117771346 4.448408516192672 TCCCCCCGGGGGCC chr1 206684999 206685000 chr1:206685000:C:T rs1487992917 C T C EBF1_EBF_1 11 1 - 4.418227117771346 7.3109339613753574 TCCCCCCGGGGGCC chr1 206691227 206691228 chr1:206691228:G:A rs6683282 G A G EBF1_EBF_1 4 1 - 4.513875489322225 0.14448854437730063 GGTCCCAGGGAACC chr1 206706542 206706543 chr1:206706543:C:T rs192278092 C T C EBF1_EBF_1 27 0 - 0 0 . chr1 206722996 206722997 chr1:206722997:A:G rs4240846 A G G EBF1_EBF_1 -15 0 - 0 0 . chr1 206728504 206728505 chr1:206728505:C:G rs114469608 C G C EBF1_EBF_1 3 1 + 6.056457847895628 0.22869339978041248 GGCCCCAAGAGAGT chr1 206734677 206734678 chr1:206734678:A:C rs7515374 A C A EBF1_EBF_1 10 1 - 4.90769533464185 9.090447520869112 GCTCCCCTGGTATC chr1 206782678 206782679 chr1:206782679:G:A rs1482419240 G A G EBF1_EBF_1 5 1 - 8.090913616722018 2.7691264011813486 ACTCCCATGGGTTT chr1 206798350 206798351 chr1:206798351:G:A rs11805136 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 206798394 206798395 chr1:206798395:A:C rs78618975 A C A EBF1_EBF_1 -13 0 - 0 0 . chr1 206826617 206826618 chr1:206826618:G:C rs908703 G C G EBF1_EBF_1 -2 0 + 0 0 . chr1 206832868 206832869 chr1:206832869:C:G rs2243156 C G C EBF1_EBF_1 10 1 - 9.574371550706912 2.6835691633774372 AAACCCCAGGGACT chr1 206840164 206840165 chr1:206840165:C:G rs2243183 C G C EBF1_EBF_1 -3 0 + 0 0 . chr1 206847365 206847366 chr1:206847366:G:C rs142115980 G C G EBF1_EBF_1 23 0 - 0 0 . chr1 206892424 206892425 chr1:206892425:T:C rs6540701 T C T EBF1_EBF_1 33 0 + 0 0 . chr1 206905303 206905304 chr1:206905304:C:T rs187976079 C T C EBF1_EBF_1 9 1 - 6.598371306031054 3.94343204058345 AATCCCCAGGGCTA chr1 206905448 206905449 chr1:206905449:G:T rs974181083 G T G EBF1_EBF_1 5 1 - 7.668658835958586 0.7637776833234174 ATCCCCGAGGGGAT chr1 206907908 206907909 chr1:206907909:G:A rs142276608 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 206916625 206916626 chr1:206916626:T:A rs483850 T A A EBF1_EBF_1 2 1 + 7.0134513455099565 3.32898375791108 AGTCCCCAGGAAAA chr1 206922734 206922735 chr1:206922735:C:T rs17552052 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 206940409 206940410 chr1:206940410:G:C rs2007272 G C G EBF1_EBF_1 14 0 - 0 0 . chr1 206969950 206969951 chr1:206969951:C:T rs1890864 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 207022389 207022390 chr1:207022390:C:T rs6693011 C T T EBF1_EBF_1 33 0 - 0 0 . chr1 207024889 207024890 chr1:207024890:G:A rs79235823 G A G EBF1_EBF_1 6 1 - 6.731335488820154 7.032621617681912 ACTCCCCGGGGTAA chr1 207050648 207050649 chr1:207050649:C:T rs202149100 C T C EBF1_EBF_1 3 1 + 5.673210413299364 -0.7321883282539534 ATCCTCCCGGGACT chr1 207072658 207072659 chr1:207072659:A:G rs6667268 A G A EBF1_EBF_1 13 1 - 10.603680982908067 9.759186868322548 AGCCCCAAGGGAGT chr1 207251261 207251262 chr1:207251262:C:A rs4844585 C A C EBF1_EBF_1 5 1 + 4.92087382895928 -1.9840073236758882 ACCCCCCCAGGACT chr1 207291716 207291717 chr1:207291717:C:T rs2782851 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 207298243 207298244 chr1:207298244:C:G rs2802234 C G C EBF1_EBF_1 26 0 + 0 0 . chr1 207313052 207313053 chr1:207313053:T:C rs11120690 T C T EBF1_EBF_1 -10 0 + 0 0 . chr1 207337250 207337251 chr1:207337251:G:A rs10746463 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 207337275 207337276 chr1:207337276:A:G rs922186269 A G A EBF1_EBF_1 7 1 + 7.388210347967808 6.075751942678984 ATTCCCCAGTGACT chr1 207502857 207502858 chr1:207502858:A:G rs56146462 A G A EBF1_EBF_1 15 0 + 0 0 . chr1 207511773 207511774 chr1:207511774:C:T rs143638065 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 207526880 207526881 chr1:207526881:C:A rs377225165 C A C EBF1_EBF_1 -6 0 + 0 0 . chr1 207681206 207681207 chr1:207681207:T:C rs2147024 T C - EBF1_EBF_1 15 0 - 0 0 . chr1 207819302 207819303 chr1:207819303:C:A rs548887579 C A C EBF1_EBF_1 17 0 + 0 0 . chr1 207823829 207823830 chr1:207823830:C:T rs557910548 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 207834502 207834503 chr1:207834503:G:A rs12073356 G A G EBF1_EBF_1 10 1 + 5.28459368817106 -0.03343477109590587 CCTCCCTTAGGAGT chr1 207848766 207848767 chr1:207848767:C:G rs72729066 C G C EBF1_EBF_1 15 0 + 0 0 . chr1 207890780 207890781 chr1:207890781:C:A rs140877927 C A C EBF1_EBF_1 5 1 + 5.237674551432481 -1.6672066012026876 AACCCCCAGGAAAC chr1 207890995 207890996 chr1:207890996:G:A rs2745955 G A G EBF1_EBF_1 4 1 - 7.088029376686384 2.71864243174146 GCTCCCCTGAGACA chr1 207924436 207924437 chr1:207924437:A:G rs76809214 A G A EBF1_EBF_1 -19 0 + 0 0 . chr1 207924450 207924451 chr1:207924451:G:A rs12041505 G A G EBF1_EBF_1 -5 0 + 0 0 . chr1 207959127 207959128 chr1:207959128:T:C rs2785633 T C C EBF1_EBF_1 -11 0 - 0 0 . chr1 207964073 207964074 chr1:207964074:G:A rs144821513 G A G EBF1_EBF_1 -9 0 - 0 0 . chr1 207964709 207964710 chr1:207964710:A:G rs2745935 A G G EBF1_EBF_1 -13 0 + 0 0 . chr1 207964905 207964906 chr1:207964906:G:A rs77990200 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 207968735 207968736 chr1:207968736:C:A rs2745939 C A C EBF1_EBF_1 26 0 - 0 0 . chr1 208003331 208003332 chr1:208003332:G:A rs11799522 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 208025279 208025280 chr1:208025280:C:T rs76191691 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 208025283 208025284 chr1:208025284:C:A rs72731345 C A C EBF1_EBF_1 26 0 - 0 0 . chr1 208025289 208025290 chr1:208025290:C:T rs11118974 C T C EBF1_EBF_1 20 0 - 0 0 . chr1 208029616 208029617 chr1:208029617:T:A rs1193092 T A T EBF1_EBF_1 14 0 + 0 0 . chr1 208037518 208037519 chr1:208037519:C:T rs12087306 C T T EBF1_EBF_1 6 1 - 5.6828433939310905 7.8496378252055665 AGACCCGTGGGATC chr1 208038541 208038542 chr1:208038542:T:C rs905100 T C T EBF1_EBF_1 -6 0 + 0 0 . chr1 208039895 208039896 chr1:208039896:C:T rs41283118 C T T EBF1_EBF_1 8 1 - 6.84888491618918 2.6225948273029176 CCTCCCTCGGGAGC chr1 208062887 208062888 chr1:208062888:C:T rs114079829 C T C EBF1_EBF_1 4 1 + 6.085862002964409 1.7164750580194854 TTTCCTCAGGGACC chr1 208070092 208070093 chr1:208070093:C:G rs12063673 C G G EBF1_EBF_1 12 1 + 7.488562534459875 6.099926590781724 TGCCCCAGGGGACA chr1 208080537 208080538 chr1:208080538:A:G rs7540179 A G G EBF1_EBF_1 -12 0 + 0 0 . chr1 208103924 208103925 chr1:208103925:C:A rs115755723 C A C EBF1_EBF_1 26 0 + 0 0 . chr1 208120702 208120703 chr1:208120703:G:A rs55733348 G A G EBF1_EBF_1 4 1 - 12.271227401221774 7.901840456276853 CTTCCCTAGGGACT chr1 208153394 208153395 chr1:208153395:C:T rs580906 C T T EBF1_EBF_1 -7 0 - 0 0 . chr1 208155532 208155533 chr1:208155533:G:A rs660668 G A A EBF1_EBF_1 17 0 + 0 0 . chr1 208164749 208164750 chr1:208164750:G:A rs2801201 G A G EBF1_EBF_1 28 0 - 0 0 . chr1 208164757 208164758 chr1:208164758:G:A rs551511841 G A G EBF1_EBF_1 20 0 - 0 0 . chr1 208164763 208164764 chr1:208164764:G:A rs75304634 G A G EBF1_EBF_1 14 0 - 0 0 . chr1 208164772 208164773 chr1:208164773:G:T rs74779285 G T G EBF1_EBF_1 5 1 - 7.42452807755525 0.5196469249200805 TGCCCCCAGGGAGC chr1 208174865 208174866 chr1:208174866:C:A rs2016717 C A C EBF1_EBF_1 6 1 + 7.498393096431364 7.859995464232641 ATTCCCCGAGGAAA chr1 208203400 208203401 chr1:208203401:A:G rs2590698 A G a EBF1_EBF_1 28 0 - 0 0 . chr1 208204260 208204261 chr1:208204261:C:T rs2590697 C T C EBF1_EBF_1 18 0 - 0 0 . chr1 208209663 208209664 chr1:208209664:G:A rs1318276 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 208232177 208232178 chr1:208232178:G:T rs760105741 G T G EBF1_EBF_1 -10 0 - 0 0 . chr1 208233448 208233449 chr1:208233449:C:T rs71635176 C T C EBF1_EBF_1 2 1 + 9.738430689220106 11.627254100662256 GGCCCCCAGGGACT chr1 208279999 208280000 chr1:208280000:C:A rs146238997 C A C EBF1_EBF_1 -10 0 + 0 0 . chr1 208280009 208280010 chr1:208280010:A:G rs11811180 A G A EBF1_EBF_1 0 1 + 5.7549848278085145 3.862700958243681 ACGCCCAAGGGAAA chr1 208316531 208316532 chr1:208316532:C:A rs6674279 C A C EBF1_EBF_1 32 0 + 0 0 . chr1 208381367 208381368 chr1:208381368:A:G rs61457416 A G a EBF1_EBF_1 6 1 + 4.31801577179144 2.151221340516964 ACTGCCAGGGGAGC chr1 208475647 208475648 chr1:208475648:A:G chr1:208475648:A:G A G A EBF1_EBF_1 -11 0 - 0 0 . chr1 208475656 208475657 chr1:208475657:C:T rs79445772 C T C EBF1_EBF_1 -20 0 - 0 0 . chr1 208527443 208527444 chr1:208527444:T:C rs1417954 T C T EBF1_EBF_1 23 0 - 0 0 . chr1 208527471 208527472 chr1:208527472:A:G rs7533121 A G G EBF1_EBF_1 -5 0 - 0 0 . chr1 208529846 208529847 chr1:208529847:A:G rs885020 A G A EBF1_EBF_1 24 0 + 0 0 . chr1 208533846 208533847 chr1:208533847:G:A rs141985856 G A T EBF1_EBF_1 6 1 + 5.031601929987614 7.198396361262087 ATCCCCGAGGGGGA chr1 208534078 208534079 chr1:208534079:A:G rs111677783 A G A EBF1_EBF_1 -6 0 - 0 0 . chr1 208535613 208535614 chr1:208535614:C:T chr1:208535614:C:T C T C EBF1_EBF_1 4 1 + 6.213787840086274 1.844400895141352 TATCCCATGAGAAG chr1 208615632 208615633 chr1:208615633:A:T rs78292160 A T T EBF1_EBF_1 -13 0 - 0 0 . chr1 208652535 208652536 chr1:208652536:G:T rs12145816 G T G EBF1_EBF_1 10 1 + 7.568237952967736 3.385485766740472 TTTCCCAAGCGAAT chr1 208851555 208851556 chr1:208851556:A:T rs11119137 A T A EBF1_EBF_1 32 0 - 0 0 . chr1 208942594 208942595 chr1:208942595:T:C rs17187876 T C T EBF1_EBF_1 1 1 + 6.751692480574204 5.85625904017546 ATTCCCTAGGGCTG chr1 209050442 209050443 chr1:209050443:T:G rs2093787 T G T EBF1_EBF_1 30 0 - 0 0 . chr1 209080476 209080477 chr1:209080477:C:T rs1411405 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 209141733 209141734 chr1:209141734:G:A rs80111712 G A - EBF1_EBF_1 -11 0 - 0 0 . chr1 209155898 209155899 chr1:209155899:A:G rs116714176 A G A EBF1_EBF_1 33 0 - 0 0 . chr1 209186338 209186339 chr1:209186339:C:T rs10863761 C T C EBF1_EBF_1 3 1 + 6.766795415875445 0.3613966743221257 GCCCCCTTGGGAGA chr1 209421190 209421191 chr1:209421191:T:A rs6678605 T A T EBF1_EBF_1 7 1 - 6.335740010636135 5.859465770548578 CTTCCCCAGGGCCA chr1 209428673 209428674 chr1:209428674:G:C rs148007886 G C G EBF1_EBF_1 29 0 - 0 0 . chr1 209538127 209538128 chr1:209538128:C:T rs6684288 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 209573526 209573527 chr1:209573527:G:T rs736137 G T T EBF1_EBF_1 12 1 - 13.620441506107065 13.650622904528392 AATCCCAAGGGACT chr1 209609199 209609200 chr1:209609200:A:G rs9430006 A G A EBF1_EBF_1 2 1 - 11.366555268740955 9.477731857298805 GATCCCCAGGGACT chr1 209627612 209627613 chr1:209627613:G:A rs773094691 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 209627630 209627631 chr1:209627631:C:T rs2072938 C T C EBF1_EBF_1 2 1 + 5.04555721397042 6.93438062541257 ACCCCCAGAGGACA chr1 209645738 209645739 chr1:209645739:G:C rs59514811 G C G EBF1_EBF_1 -14 0 - 0 0 . chr1 209651361 209651362 chr1:209651362:A:T rs10779510 A T T EBF1_EBF_1 0 1 + 6.7305021535889695 4.757445730622946 AGCCCCAAGAGAGA chr1 209651373 209651374 chr1:209651374:G:A rs41274830 G A G EBF1_EBF_1 12 1 + 6.7305021535889695 8.149319495688447 AGCCCCAAGAGAGA chr1 209670937 209670938 chr1:209670938:G:C rs555615484 G C G EBF1_EBF_1 -13 0 + 0 0 . chr1 209734554 209734555 chr1:209734555:T:C rs113327343 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 209758453 209758454 chr1:209758454:C:T rs1007693 C T C EBF1_EBF_1 8 1 - 8.909045936086397 4.682755847200139 ATTCCCCAGGGTCA chr1 209803046 209803047 chr1:209803047:G:A rs150411337 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 209816641 209816642 chr1:209816642:G:A rs13375020 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 209836187 209836188 chr1:209836188:G:T rs592694 G T T EBF1_EBF_1 12 1 + 9.170452270503795 9.532821643631095 ATTCCCTGGAGAGT chr1 209850419 209850420 chr1:209850420:A:G rs17015379 A G A EBF1_EBF_1 -3 0 + 0 0 . chr1 209850441 209850442 chr1:209850442:G:A rs10489344 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 209850588 209850589 chr1:209850589:C:A rs184735371 C A C EBF1_EBF_1 -10 0 - 0 0 . chr1 209938623 209938624 chr1:209938624:G:A rs7556078 G A g EBF1_EBF_1 8 1 + 5.212990102788968 0.9867000139027065 GACCCCACGGGACG chr1 210188056 210188057 chr1:210188057:G:A rs61827921 G A - EBF1_EBF_1 5 1 - 5.909648219620263 0.5878610040795939 ACTCCCGAAGGAGT chr1 210233058 210233059 chr1:210233059:G:A rs555190869 G A g EBF1_EBF_1 27 0 - 0 0 . chr1 210233068 210233069 chr1:210233069:C:T rs186507330 C T c EBF1_EBF_1 17 0 - 0 0 . chr1 210237222 210237223 chr1:210237223:C:T rs112723477 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 210268184 210268185 chr1:210268185:T:C rs60422648 T C T EBF1_EBF_1 6 1 + 7.389315505548141 7.088029376686384 GCTCCCTTGAGACA chr1 210343608 210343609 chr1:210343609:G:A rs742688 G A A EBF1_EBF_1 16 0 - 0 0 . chr1 210374140 210374141 chr1:210374141:T:C rs546291241 T C T EBF1_EBF_1 -16 0 + 0 0 . chr1 210374141 210374142 chr1:210374142:A:G rs12138565 A G A EBF1_EBF_1 -15 0 + 0 0 . chr1 210374144 210374145 chr1:210374145:A:C rs12087955 A C A EBF1_EBF_1 -12 0 + 0 0 . chr1 210374160 210374161 chr1:210374161:C:G rs6540590 C G G EBF1_EBF_1 4 1 + 5.470487968658715 -0.15527713249082892 CCTCCCCAGGGCAA chr1 210374172 210374173 chr1:210374173:C:T rs12062126 C T C EBF1_EBF_1 16 0 + 0 0 . chr1 210374176 210374177 chr1:210374177:C:T rs146301586 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 210374186 210374187 chr1:210374187:G:A rs111627456 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 210407738 210407739 chr1:210407739:C:T rs7519683 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 210407743 210407744 chr1:210407744:A:C rs3765858 A C A EBF1_EBF_1 20 0 - 0 0 . chr1 210433507 210433508 chr1:210433508:C:T rs144126688 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 210434646 210434647 chr1:210434647:C:T rs926578 C T C EBF1_EBF_1 -11 0 - 0 0 . chr1 210437162 210437163 chr1:210437163:A:T rs11810188 A T A EBF1_EBF_1 -11 0 - 0 0 . chr1 210516451 210516452 chr1:210516452:T:C rs10863839 T C T EBF1_EBF_1 -5 0 - 0 0 . chr1 210524423 210524424 chr1:210524424:G:T rs7411586 G T G EBF1_EBF_1 10 1 + 6.506920181323455 2.324167995096191 AGTCACCAGGGAAA chr1 210525746 210525747 chr1:210525747:A:G rs6701536 A G A EBF1_EBF_1 28 0 - 0 0 . chr1 210633808 210633809 chr1:210633809:C:T rs77762608 C T C EBF1_EBF_1 -3 0 + 0 0 . chr1 210633823 210633824 chr1:210633824:G:A rs151211549 G A G EBF1_EBF_1 12 1 + 4.421115551057452 5.839932893156929 TGTCCCCTGGGCGC chr1 210655140 210655141 chr1:210655141:C:T rs61827432 C T C EBF1_EBF_1 6 1 + 4.26534264343406 4.566628772295818 CTTCCCCGGAGGCC chr1 210666356 210666357 chr1:210666357:C:A rs7413508 C A C EBF1_EBF_1 26 0 - 0 0 . chr1 210681006 210681007 chr1:210681007:A:G rs11119569 A G G EBF1_EBF_1 -20 0 + 0 0 . chr1 210683773 210683774 chr1:210683774:C:A rs115026899 C A C EBF1_EBF_1 3 1 + 5.273495351103793 -1.632678678362015 CCCCCCTTGGGGCC chr1 210683802 210683803 chr1:210683803:C:T rs112047226 C T C EBF1_EBF_1 32 0 + 0 0 . chr1 210687215 210687216 chr1:210687216:C:T rs12141923 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 210727899 210727900 chr1:210727900:T:A rs1934624 T A T EBF1_EBF_1 -8 0 - 0 0 . chr1 210943917 210943918 chr1:210943918:C:T rs11119636 C T C EBF1_EBF_1 -11 0 - 0 0 . chr1 211034279 211034280 chr1:211034280:G:A rs12139930 G A G EBF1_EBF_1 -15 0 + 0 0 . chr1 211099570 211099571 chr1:211099571:C:T rs71638200 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 211112314 211112315 chr1:211112315:C:T rs188283093 C T C EBF1_EBF_1 6 1 + 5.043527887401563 5.34481401626332 CCTCCCCCGGGGCC chr1 211127389 211127390 chr1:211127390:A:G rs12061710 A G A EBF1_EBF_1 -12 0 - 0 0 . chr1 211127848 211127849 chr1:211127849:A:C rs2066024 A C A EBF1_EBF_1 6 1 + 6.163903664962502 5.8023012971612244 ACACCCAAGAGAAA chr1 211133443 211133444 chr1:211133444:C:G rs12406301 C G C EBF1_EBF_1 14 0 - 0 0 . chr1 211133449 211133450 chr1:211133450:C:A rs1373463172 C A C EBF1_EBF_1 8 1 - 8.749834385142206 1.8584015961650113 TCTCCCCAGGGAGA chr1 211222597 211222598 chr1:211222598:G:A rs138933916 G A G EBF1_EBF_1 13 1 + 6.06487017200047 7.179195744069909 ATTGCCCAGGGACG chr1 211222730 211222731 chr1:211222731:T:C rs6686281 T C T EBF1_EBF_1 15 0 + 0 0 . chr1 211343859 211343860 chr1:211343860:G:A rs2280079 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 211383041 211383042 chr1:211383042:G:A rs780818220 G A G EBF1_EBF_1 6 1 - 6.3359689255466956 6.6372550544084525 AGTCCCCTCGGAGT chr1 211416983 211416984 chr1:211416984:A:G rs12039683 A G a EBF1_EBF_1 -20 0 - 0 0 . chr1 211479412 211479413 chr1:211479413:T:A rs11119749 T A T EBF1_EBF_1 19 0 + 0 0 . chr1 211501957 211501958 chr1:211501958:G:A rs12568639 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 211517330 211517331 chr1:211517331:C:A rs34293509 C A C EBF1_EBF_1 21 0 + 0 0 . chr1 211531754 211531755 chr1:211531755:A:C rs1777828 A C A EBF1_EBF_1 6 1 - 9.249022839370014 7.1425446470350575 CCTCCCTGGGGAAC chr1 211537344 211537345 chr1:211537345:T:C rs17017716 T C T EBF1_EBF_1 15 0 - 0 0 . chr1 211538829 211538830 chr1:211538830:C:T rs113657943 C T C EBF1_EBF_1 7 1 + 4.894632030943676 6.712136777226299 CTCCCCCCGGGGCT chr1 211592142 211592143 chr1:211592143:G:A rs6664630 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 211602251 211602252 chr1:211602252:C:T rs150653318 C T C EBF1_EBF_1 1 1 + 8.387357944973628 9.282791385372372 ACTCCCCAGGGTCC chr1 211606181 211606182 chr1:211606182:T:C rs676520 T C T EBF1_EBF_1 -2 0 + 0 0 . chr1 211611546 211611547 chr1:211611547:G:T rs7546760 G T G EBF1_EBF_1 10 1 + 6.311100280692037 2.1283480944647737 GGTCCCCAGGGTCA chr1 211638818 211638819 chr1:211638819:T:C rs10158749 T C C EBF1_EBF_1 27 0 + 0 0 . chr1 211642985 211642986 chr1:211642986:A:G rs12758005 A G G EBF1_EBF_1 5 1 - 6.326990069550053 11.648777285090722 AGTCCTCAGGGATC chr1 211697482 211697483 chr1:211697483:C:T rs7513065 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 211711253 211711254 chr1:211711254:C:T rs112859511 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 211829446 211829447 chr1:211829447:T:C rs151259797 T C T EBF1_EBF_1 24 0 - 0 0 . chr1 211829464 211829465 chr1:211829465:G:C rs78187247 G C G EBF1_EBF_1 6 1 - 6.51405432000579 4.7088622565325915 TTTCCCCTGAGAGA chr1 211830428 211830429 chr1:211830429:G:A rs368753113 G A G EBF1_EBF_1 7 1 + 5.346087728820058 6.658546134108883 CCTCCCCGGGGCCT chr1 211830448 211830449 chr1:211830449:C:T rs1044380690 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 211830757 211830758 chr1:211830758:C:G rs113584287 C G G EBF1_EBF_1 27 0 + 0 0 . chr1 211885878 211885879 chr1:211885879:T:A rs72748518 T A T EBF1_EBF_1 24 0 - 0 0 . chr1 211888913 211888914 chr1:211888914:A:G rs2808379 A G G EBF1_EBF_1 -5 0 - 0 0 . chr1 211920709 211920710 chr1:211920710:T:C rs6670738 T C T EBF1_EBF_1 7 1 + 7.65391562329722 5.836410877014596 TTCCCCCTGGGAGC chr1 212037031 212037032 chr1:212037032:C:G rs11119836 C G C EBF1_EBF_1 -9 0 + 0 0 . chr1 212099087 212099088 chr1:212099088:G:A rs61830761 G A G EBF1_EBF_1 -16 0 - 0 0 . chr1 212167145 212167146 chr1:212167146:A:G rs187374833 A G A EBF1_EBF_1 23 0 - 0 0 . chr1 212220236 212220237 chr1:212220237:G:A rs373553550 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 212248177 212248178 chr1:212248178:T:A rs11119891 T A T EBF1_EBF_1 24 0 - 0 0 . chr1 212285119 212285120 chr1:212285120:C:G rs962555799 C G C EBF1_EBF_1 33 0 + 0 0 . chr1 212364359 212364360 chr1:212364360:G:A rs3767862 G A G EBF1_EBF_1 4 1 - 6.149784790740104 1.7803978457951803 ACTCCCAAGGTATG chr1 212364364 212364365 chr1:212364365:C:T rs3767863 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 212368061 212368062 chr1:212368062:G:A rs79706257 G A G EBF1_EBF_1 -14 0 + 0 0 . chr1 212433664 212433665 chr1:212433665:C:T rs114534742 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 212458370 212458371 chr1:212458371:C:T rs115583854 C T C EBF1_EBF_1 8 1 - 5.069987509703093 0.8436974208168324 TCTCCCCAGGGCTC chr1 212484949 212484950 chr1:212484950:A:T rs76659772 A T A EBF1_EBF_1 12 1 + 5.825364740637889 4.7689167716657135 CTCCCCTTGGGGAA chr1 212484967 212484968 chr1:212484968:G:C rs75581915 G C G EBF1_EBF_1 14 0 - 0 0 . chr1 212484969 212484970 chr1:212484970:T:C rs115922407 T C T EBF1_EBF_1 12 1 - 6.558529371968365 5.139712029868887 ACCCTCTAGGGAAC chr1 212513954 212513955 chr1:212513955:C:A rs147924819 C A C EBF1_EBF_1 33 0 + 0 0 . chr1 212515279 212515280 chr1:212515280:A:C rs7412910 A C C EBF1_EBF_1 33 0 - 0 0 . chr1 212515330 212515331 chr1:212515331:T:C rs879726958 T C T EBF1_EBF_1 -18 0 - 0 0 . chr1 212519791 212519792 chr1:212519792:C:A rs766342 C A C EBF1_EBF_1 -19 0 - 0 0 . chr1 212520394 212520395 chr1:212520395:G:T rs554466 G T G EBF1_EBF_1 18 0 - 0 0 . chr1 212520425 212520426 chr1:212520426:G:A rs11119957 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 212558633 212558634 chr1:212558634:C:A rs537643802 C A C EBF1_EBF_1 30 0 + 0 0 . chr1 212558917 212558918 chr1:212558918:C:T rs118158269 C T C EBF1_EBF_1 20 0 - 0 0 . chr1 212558924 212558925 chr1:212558925:G:T rs951002232 G T G EBF1_EBF_1 13 1 - 6.016360625248707 5.642615175962731 CTTCCCTGGGGTCC chr1 212558934 212558935 chr1:212558935:G:C rs774659161 G C G EBF1_EBF_1 3 1 - 6.016360625248707 0.18859617713349042 CTTCCCTGGGGTCC chr1 212558946 212558947 chr1:212558947:G:A rs12136405 G A G EBF1_EBF_1 -9 0 - 0 0 . chr1 212583020 212583021 chr1:212583021:G:A rs10863981 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 212613749 212613750 chr1:212613750:A:G rs11571538 A G A EBF1_EBF_1 -3 0 - 0 0 . chr1 212637127 212637128 chr1:212637128:G:A rs77842706 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 212638532 212638533 chr1:212638533:C:T rs3923950 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 212665307 212665308 chr1:212665308:C:T rs35834901 C T C EBF1_EBF_1 6 1 + 11.154440318697382 11.455726447559138 AGCCCCCTGGGACT chr1 212667873 212667874 chr1:212667874:G:C rs187500457 G C G EBF1_EBF_1 3 1 - 5.489146710014282 -0.3386177381009355 TTTCCCCTGGGCTC chr1 212692890 212692891 chr1:212692891:G:A rs12075398 G A G EBF1_EBF_1 18 0 - 0 0 . chr1 212701714 212701715 chr1:212701715:T:C rs3951343 T C C EBF1_EBF_1 25 0 + 0 0 . chr1 212750101 212750102 chr1:212750102:C:G rs115640768 C G C EBF1_EBF_1 26 0 + 0 0 . chr1 212759936 212759937 chr1:212759937:C:T rs78894972 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 212792089 212792090 chr1:212792090:C:T rs141571022 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 212833254 212833255 chr1:212833255:A:G rs6689604 A G A EBF1_EBF_1 -4 0 - 0 0 . chr1 212858605 212858606 chr1:212858606:G:C rs11120047 G C G EBF1_EBF_1 1 1 + 6.226412138978431 6.036640484409214 GGCCCCCCGGGACA chr1 212916027 212916028 chr1:212916028:T:C rs1770777 T C C EBF1_EBF_1 -17 0 - 0 0 . chr1 212925328 212925329 chr1:212925329:A:C chr1:212925329:A:C A C A EBF1_EBF_1 -11 0 + 0 0 . chr1 212925364 212925365 chr1:212925365:C:G rs12028620 C G C EBF1_EBF_1 25 0 + 0 0 . chr1 212979758 212979759 chr1:212979759:G:A rs73084279 G A G EBF1_EBF_1 30 0 - 0 0 . chr1 212981026 212981027 chr1:212981027:G:T rs7530271 G T G EBF1_EBF_1 -4 0 + 0 0 . chr1 213008573 213008574 chr1:213008574:T:C rs3002284 T C T EBF1_EBF_1 22 0 - 0 0 . chr1 213140447 213140448 chr1:213140448:A:G rs13313025 A G A EBF1_EBF_1 -19 0 - 0 0 . chr1 213187992 213187993 chr1:213187993:G:T rs61834136 G T G EBF1_EBF_1 2 1 - 5.99510690326248 4.199462727105754 ATCCCTTTGGGACC chr1 213231939 213231940 chr1:213231940:C:T rs77357910 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 213378025 213378026 chr1:213378026:A:G rs10746441 A G A EBF1_EBF_1 11 1 + 6.193911674561891 3.3012048309578796 AGCCCCTTGAGAGA chr1 213416225 213416226 chr1:213416226:G:T rs6695404 G T G EBF1_EBF_1 3 1 - 7.20085710410094 0.2946830746351315 GCCCCCATGGGAGC chr1 213418927 213418928 chr1:213418928:T:C rs320455 T C C EBF1_EBF_1 6 1 - 7.18042514126427 5.013630709989796 AGCCCCAGGAGACC chr1 213484519 213484520 chr1:213484520:A:G rs320471 A G A EBF1_EBF_1 21 0 + 0 0 . chr1 213598146 213598147 chr1:213598147:G:A rs17021061 G A G EBF1_EBF_1 28 0 + 0 0 . chr1 213690221 213690222 chr1:213690222:C:G rs35655955 C G C EBF1_EBF_1 -13 0 - 0 0 . chr1 213744467 213744468 chr1:213744468:A:G rs11120204 A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 213986494 213986495 chr1:213986495:T:C rs181715739 T C T EBF1_EBF_1 1 1 + 5.487256346032325 4.591822905633581 ATTCCCTAGGAAGG chr1 213999253 213999254 chr1:213999254:T:A rs72753592 T A T EBF1_EBF_1 32 0 - 0 0 . chr1 214058127 214058128 chr1:214058128:G:A rs6663125 G A A EBF1_EBF_1 21 0 + 0 0 . chr1 214103620 214103621 chr1:214103621:C:A rs192809126 C A C EBF1_EBF_1 10 1 - 6.4762801221678945 2.2935279359406295 CCCCCCCTGGGAGC chr1 214193862 214193863 chr1:214193863:C:T rs17022170 C T C EBF1_EBF_1 27 0 + 0 0 . chr1 214207412 214207413 chr1:214207413:C:T rs11120274 C T C EBF1_EBF_1 27 0 - 0 0 . chr1 214259979 214259980 chr1:214259980:C:G rs12131852 C G C EBF1_EBF_1 24 0 + 0 0 . chr1 214297275 214297276 chr1:214297276:C:T rs11589775 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 214303392 214303393 chr1:214303393:C:G rs10864092 C G G EBF1_EBF_1 24 0 + 0 0 . chr1 214318617 214318618 chr1:214318618:C:T rs12124666 C T T EBF1_EBF_1 0 1 + 4.788892446242958 5.071094506973536 CGTCCCAAGTGACT chr1 214425924 214425925 chr1:214425925:G:A rs147728392 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 214438379 214438380 chr1:214438380:G:T rs6698662 G T G EBF1_EBF_1 -20 0 + 0 0 . chr1 214471698 214471699 chr1:214471699:C:T rs10158378 C T C EBF1_EBF_1 20 0 + 0 0 . chr1 214525398 214525399 chr1:214525399:A:T rs78701147 A T A EBF1_EBF_1 27 0 - 0 0 . chr1 214551505 214551506 chr1:214551506:G:A rs1028869629 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 214569632 214569633 chr1:214569633:G:A rs113709304 G A G EBF1_EBF_1 11 1 - 5.6418787238211126 5.959926165574776 ATACCCAAGGGCCC chr1 214605566 214605567 chr1:214605567:G:A rs117198057 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 214683945 214683946 chr1:214683946:T:C rs454647 T C T EBF1_EBF_1 -2 0 + 0 0 . chr1 214707530 214707531 chr1:214707531:C:T rs335580 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 214767674 214767675 chr1:214767675:G:T rs11120394 G T T EBF1_EBF_1 18 0 + 0 0 . chr1 215285578 215285579 chr1:215285579:T:G rs61819747 T G T EBF1_EBF_1 -10 0 + 0 0 . chr1 215583603 215583604 chr1:215583604:A:G rs527376473 A G A EBF1_EBF_1 -11 0 - 0 0 . chr1 215631612 215631613 chr1:215631613:G:A rs184020723 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 215748718 215748719 chr1:215748719:C:T rs10864192 C T C EBF1_EBF_1 12 1 + 6.693562439960892 5.667295869410042 TTTCCCAAGCGACC chr1 215749599 215749600 chr1:215749600:G:C rs188309379 G C G EBF1_EBF_1 9 1 + 5.945421561919268 0.8697324155738051 AGCCCCCTGGTATT chr1 215767196 215767197 chr1:215767197:T:G rs55869451 T G t EBF1_EBF_1 -9 0 + 0 0 . chr1 215890831 215890832 chr1:215890832:C:A rs61486625 C A C EBF1_EBF_1 -6 0 + 0 0 . chr1 216051579 216051580 chr1:216051580:A:T rs74143918 A T A EBF1_EBF_1 23 0 + 0 0 . chr1 216132784 216132785 chr1:216132785:C:A rs301737 C A C EBF1_EBF_1 13 1 - 5.17034120219438 7.502906338135313 TGTCCCCAGAGAGG chr1 216132802 216132803 chr1:216132803:A:G rs301738 A G G EBF1_EBF_1 -5 0 - 0 0 . chr1 216140994 216140995 chr1:216140995:C:T rs80274141 C T C EBF1_EBF_1 10 1 - 9.485182202229458 4.167153742962493 TCTCCCAAGGGAGC chr1 216141335 216141336 chr1:216141336:A:G rs368107 A G G EBF1_EBF_1 24 0 - 0 0 . chr1 216145525 216145526 chr1:216145526:C:T rs141535717 C T C EBF1_EBF_1 3 1 + 5.141756669154914 -1.2636420723984032 ATCCCCCTGGGCAG chr1 216528926 216528927 chr1:216528927:A:G rs6604629 A G G EBF1_EBF_1 7 1 - 6.079319174600798 4.261814428318173 ACTCCCTTGGTGCT chr1 216528941 216528942 chr1:216528942:C:T rs181860500 C T C EBF1_EBF_1 -8 0 - 0 0 . chr1 217011300 217011301 chr1:217011301:G:A rs946438 G A G EBF1_EBF_1 1 1 + 5.85609276448939 5.595393932568089 AGTCCCAAGTGAAA chr1 217017472 217017473 chr1:217017473:T:G rs1890554 T G G EBF1_EBF_1 26 0 + 0 0 . chr1 217072980 217072981 chr1:217072981:C:A rs924649 C A C EBF1_EBF_1 17 0 + 0 0 . chr1 217137021 217137022 chr1:217137022:A:G rs74368712 A G G EBF1_EBF_1 -14 0 + 0 0 . chr1 217138300 217138301 chr1:217138301:C:T rs116957545 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 217188725 217188726 chr1:217188726:C:A rs12067927 C A C EBF1_EBF_1 30 0 + 0 0 . chr1 217191570 217191571 chr1:217191571:A:T rs79438966 A T A EBF1_EBF_1 28 0 - 0 0 . chr1 217214690 217214691 chr1:217214691:G:A rs6694391 G A A EBF1_EBF_1 -13 0 - 0 0 . chr1 217228711 217228712 chr1:217228712:A:G rs61819292 A G A EBF1_EBF_1 23 0 + 0 0 . chr1 217348886 217348887 chr1:217348887:T:A rs2815222 T A T EBF1_EBF_1 -20 0 + 0 0 . chr1 217499044 217499045 chr1:217499045:G:A rs148070569 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 217630901 217630902 chr1:217630902:G:A rs113729051 G A G EBF1_EBF_1 10 1 + 4.3259809981679505 -0.992047461099016 CCTCCCCAGGGCCG chr1 217631792 217631793 chr1:217631793:A:C rs11117899 A C A EBF1_EBF_1 30 0 - 0 0 . chr1 217735418 217735419 chr1:217735419:A:C rs11117918 A C C EBF1_EBF_1 32 0 + 0 0 . chr1 217896795 217896796 chr1:217896796:T:G rs58839584 T G T EBF1_EBF_1 21 0 + 0 0 . chr1 217989159 217989160 chr1:217989160:T:C rs10495090 T C T EBF1_EBF_1 8 1 - 6.324420320618367 10.550710409504628 GCTCCCTAAGGAAA chr1 218101751 218101752 chr1:218101752:G:A rs61824560 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 218120058 218120059 chr1:218120059:G:C rs11118039 G C G EBF1_EBF_1 15 0 + 0 0 . chr1 218180169 218180170 chr1:218180170:A:G rs1953756 A G G EBF1_EBF_1 -18 0 + 0 0 . chr1 218368716 218368717 chr1:218368717:T:G rs6662137 T G G EBF1_EBF_1 32 0 - 0 0 . chr1 218377680 218377681 chr1:218377681:C:T rs137947726 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 218377686 218377687 chr1:218377687:C:T rs12063836 C T C EBF1_EBF_1 0 1 + 4.801153921324448 5.083355982055026 CGACCCCTGGGAAG chr1 218467419 218467420 chr1:218467420:A:G rs59380830 A G A EBF1_EBF_1 21 0 - 0 0 . chr1 218501385 218501386 chr1:218501386:A:G rs1377175518 A G A EBF1_EBF_1 -11 0 - 0 0 . chr1 218601128 218601129 chr1:218601129:C:T rs17048259 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 218674362 218674363 chr1:218674363:G:A rs115864318 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 218735454 218735455 chr1:218735455:T:C rs114761185 T C T EBF1_EBF_1 27 0 - 0 0 . chr1 218752252 218752253 chr1:218752253:G:T rs11485147 G T T EBF1_EBF_1 12 1 - 8.532033568838553 8.56221496725988 AGTCCCCAGAGACG chr1 218936847 218936848 chr1:218936848:G:A rs12025994 G A G EBF1_EBF_1 13 1 + 10.30509733753529 11.41942290960473 ATCCCCTAGGGACG chr1 218969190 218969191 chr1:218969191:G:A rs116039872 G A G EBF1_EBF_1 -9 0 + 0 0 . chr1 218969192 218969193 chr1:218969193:A:G rs139791949 A G A EBF1_EBF_1 -7 0 + 0 0 . chr1 219040925 219040926 chr1:219040926:C:T rs12119493 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 219066268 219066269 chr1:219066269:C:T rs75215874 C T C EBF1_EBF_1 7 1 - 5.539047216654078 6.851505621942902 ATTCCCAGGGCACC chr1 219071441 219071442 chr1:219071442:C:T rs12038660 C T c EBF1_EBF_1 3 1 + 8.054300987355921 1.6489022458026028 GCACCCTAGGGACT chr1 219121542 219121543 chr1:219121543:A:G chr1:219121543:A:G A G A EBF1_EBF_1 0 1 + 6.139635413540319 4.247351543975483 ACTCCCATGGTGCT chr1 219313142 219313143 chr1:219313143:G:T rs12135592 G T G EBF1_EBF_1 19 0 - 0 0 . chr1 219392036 219392037 chr1:219392037:C:T rs79222190 C T C EBF1_EBF_1 8 1 - 6.348547660010247 2.1222575711239857 CCTCCCTAGGTACC chr1 219459310 219459311 chr1:219459311:C:T rs73084044 C T C EBF1_EBF_1 10 1 - 9.363145216537996 4.045116757271032 ATTCCCCTGGGCAT chr1 219476428 219476429 chr1:219476429:T:G rs1340098 T G G EBF1_EBF_1 15 0 + 0 0 . chr1 219580166 219580167 chr1:219580167:T:C rs2820443 T C T EBF1_EBF_1 25 0 + 0 0 . chr1 219586138 219586139 chr1:219586139:G:A rs4445477 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 219732931 219732932 chr1:219732932:G:A rs1416004 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 219741581 219741582 chr1:219741582:A:C rs7542546 A C C EBF1_EBF_1 18 0 - 0 0 . chr1 219741823 219741824 chr1:219741824:T:G rs1766388 T G G EBF1_EBF_1 1 1 + 5.761331115737628 5.055669329908099 TTTCCCATGAGAGG chr1 219790104 219790105 chr1:219790105:C:T rs11118418 C T C EBF1_EBF_1 4 1 + 7.058107142017128 2.688720197072204 TAACCCTAGGGACC chr1 219790128 219790129 chr1:219790129:A:C rs10863502 A C A EBF1_EBF_1 28 0 + 0 0 . chr1 219793499 219793500 chr1:219793500:C:T rs111338364 C T c EBF1_EBF_1 9 1 - 5.591007752335281 2.936068486887677 AACCCCTTGGGCAA chr1 219794393 219794394 chr1:219794394:A:G rs4846600 A G G EBF1_EBF_1 22 0 + 0 0 . chr1 220485361 220485362 chr1:220485362:C:A rs12563560 C A N EBF1_EBF_1 27 0 + 0 0 . chr1 220528900 220528901 chr1:220528901:C:T chr1:220528901:C:T C T C EBF1_EBF_1 13 1 + 6.84888491618918 7.693379030774698 CCTCCCTCGGGAGC chr1 220528916 220528917 chr1:220528917:C:T rs73101847 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 220685211 220685212 chr1:220685212:G:A rs79055944 G A g EBF1_EBF_1 24 0 - 0 0 . chr1 220709154 220709155 chr1:220709155:T:C rs75131119 T C t EBF1_EBF_1 8 1 - 6.505878742210615 10.732168831096875 TGTCCCCAAGGAAC chr1 220748659 220748660 chr1:220748660:A:G rs72472371 A G a EBF1_EBF_1 -18 0 - 0 0 . chr1 220767938 220767939 chr1:220767939:A:C rs337150 A C C EBF1_EBF_1 11 1 + 5.546570245512521 1.1306085476601315 AGTCCCCAGAAACT chr1 220876525 220876526 chr1:220876526:C:A rs2784249 C A C EBF1_EBF_1 32 0 - 0 0 . chr1 220878449 220878450 chr1:220878450:C:T rs3806325 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 220878827 220878828 chr1:220878828:T:C rs535599092 T C T EBF1_EBF_1 11 1 - 5.597951843889753 2.7052450002857413 CCTCCCAGGAGATC chr1 220883815 220883816 chr1:220883816:C:A chr1:220883816:C:A C A C EBF1_EBF_1 23 0 - 0 0 . chr1 220883830 220883831 chr1:220883831:C:T rs34180575 C T C EBF1_EBF_1 8 1 - 8.701513164903165 4.4752230760169045 ACCCCCATGAGAAT chr1 220914644 220914645 chr1:220914645:T:C rs72739017 T C T EBF1_EBF_1 -20 0 + 0 0 . chr1 220970541 220970542 chr1:220970542:A:C rs114715093 A C A EBF1_EBF_1 -11 0 + 0 0 . chr1 220983281 220983282 chr1:220983282:C:T rs17009209 C T C EBF1_EBF_1 -9 0 + 0 0 . chr1 221059480 221059481 chr1:221059481:G:A rs533953987 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 221166037 221166038 chr1:221166038:C:T rs11581606 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 221213279 221213280 chr1:221213280:T:C rs4846690 T C t EBF1_EBF_1 7 1 + 6.307623291022123 4.4901185447395 CTTCCCATGAGGCT chr1 221335753 221335754 chr1:221335754:A:T rs73111435 A T A EBF1_EBF_1 14 0 + 0 0 . chr1 221335874 221335875 chr1:221335875:C:T rs186156705 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 221371955 221371956 chr1:221371956:T:C rs770965894 T C T EBF1_EBF_1 16 0 + 0 0 . chr1 221543835 221543836 chr1:221543836:A:T rs1052124081 A T A EBF1_EBF_1 -14 0 + 0 0 . chr1 221801371 221801372 chr1:221801372:A:G rs67220535 A G A EBF1_EBF_1 25 0 - 0 0 . chr1 221836132 221836133 chr1:221836133:C:T rs61825195 C T C EBF1_EBF_1 3 1 + 5.464164864081583 -0.9412338774717341 GCCCCCTAGGGCAT chr1 221839912 221839913 chr1:221839913:G:A rs4561044 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 221839947 221839948 chr1:221839948:C:T rs34411394 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 221840701 221840702 chr1:221840702:C:T chr1:221840702:C:T C T C EBF1_EBF_1 21 0 + 0 0 . chr1 222045418 222045419 chr1:222045419:C:T rs12135286 C T C EBF1_EBF_1 9 1 - 6.396525044952458 3.741585779504855 TCTCCTAAGGGACT chr1 222085206 222085207 chr1:222085207:A:G rs573782895 A G A EBF1_EBF_1 30 0 + 0 0 . chr1 222097982 222097983 chr1:222097983:T:C rs7517086 T C C EBF1_EBF_1 13 1 + 7.3374691127345155 6.4929749981489975 CATCCCTGGAGACT chr1 222214456 222214457 chr1:222214457:G:T rs72743790 G T G EBF1_EBF_1 5 1 - 9.617636677400744 2.712755524765577 GTCCCCAAGGGAAA chr1 222231989 222231990 chr1:222231990:G:A rs158715 G A G EBF1_EBF_1 6 1 - 6.589158544293858 6.890444673155614 TGTCCCCAGAGAAG chr1 222335280 222335281 chr1:222335281:T:C rs35045587 T C C EBF1_EBF_1 30 0 - 0 0 . chr1 222540278 222540279 chr1:222540279:C:T rs3748665 C T C EBF1_EBF_1 -7 0 - 0 0 . chr1 222540435 222540436 chr1:222540436:C:T rs73120996 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 222618303 222618304 chr1:222618304:C:T rs3008609 C T C EBF1_EBF_1 9 1 - 3.8040904380685028 1.149151172620899 AGACCCCCGGGGCC chr1 222642137 222642138 chr1:222642138:A:G rs80065637 A G A EBF1_EBF_1 23 0 - 0 0 . chr1 222644456 222644457 chr1:222644457:C:T rs17531007 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 222644507 222644508 chr1:222644508:C:A rs906113849 C A C EBF1_EBF_1 -6 0 + 0 0 . chr1 222644546 222644547 chr1:222644547:G:A rs552748893 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 222713401 222713402 chr1:222713402:G:T rs569189528 G T G EBF1_EBF_1 33 0 - 0 0 . chr1 222772861 222772862 chr1:222772862:C:A rs3922385 C A A EBF1_EBF_1 13 1 + 7.550096023665972 7.176350574379996 AGTCCCAAGGGTGC chr1 222814473 222814474 chr1:222814474:A:T rs35497286 A T A EBF1_EBF_1 -5 0 + 0 0 . chr1 222814489 222814490 chr1:222814490:A:T rs74145574 A T A EBF1_EBF_1 11 1 + 7.036185710224407 2.9382714541256805 CCTCCCGAGGGAGC chr1 223021353 223021354 chr1:223021354:C:T rs17538400 C T C EBF1_EBF_1 -15 0 - 0 0 . chr1 223038989 223038990 chr1:223038990:G:C rs4440860 G C G EBF1_EBF_1 0 1 + 5.385807410218607 5.022832796086841 GGTCCCTGGGGCAC chr1 223041904 223041905 chr1:223041905:T:C rs72744168 T C T EBF1_EBF_1 -10 0 + 0 0 . chr1 223051521 223051522 chr1:223051522:C:T rs7546189 C T C EBF1_EBF_1 22 0 - 0 0 . chr1 223111185 223111186 chr1:223111186:A:G rs5744174 A G G EBF1_EBF_1 12 1 + 6.105119569095116 4.686302226995639 AAACCCCAGAGAAC chr1 223119289 223119290 chr1:223119290:C:A rs1100886 C A A EBF1_EBF_1 31 0 + 0 0 . chr1 223123964 223123965 chr1:223123965:A:G rs138534085 A G A EBF1_EBF_1 -17 0 - 0 0 . chr1 223133064 223133065 chr1:223133065:T:C rs851179 T C C EBF1_EBF_1 -18 0 - 0 0 . chr1 223166346 223166347 chr1:223166347:A:C rs2247421 A C C EBF1_EBF_1 18 0 - 0 0 . chr1 223189969 223189970 chr1:223189970:T:C rs1773719 T C C EBF1_EBF_1 25 0 + 0 0 . chr1 223200859 223200860 chr1:223200860:T:C rs712865 T C C EBF1_EBF_1 11 1 - 4.959221752378069 2.0665149087740566 GTTCCCAAGGCACC chr1 223230425 223230426 chr1:223230426:T:C rs77804492 T C T EBF1_EBF_1 20 0 - 0 0 . chr1 223230455 223230456 chr1:223230456:C:A rs35778136 C A C EBF1_EBF_1 -10 0 - 0 0 . chr1 223231140 223231141 chr1:223231141:C:T rs1418151 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 223231141 223231142 chr1:223231142:G:A rs570993886 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 223339853 223339854 chr1:223339854:A:G rs35318812 A G G EBF1_EBF_1 31 0 + 0 0 . chr1 223364875 223364876 chr1:223364876:G:C rs144084575 G C G EBF1_EBF_1 1 1 + 9.03114942826682 8.841377773697603 CGTCCCCAGGGAGC chr1 223391377 223391378 chr1:223391378:G:A rs11579995 G A G EBF1_EBF_1 3 1 - 6.935989513120377 0.5305907715670595 TTTCCCCAGGGTCA chr1 223420891 223420892 chr1:223420892:G:A rs2176507 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 223466960 223466961 chr1:223466961:C:G rs4287168 C G C EBF1_EBF_1 4 1 + 12.111526751786378 6.485761650636835 ACTCCCCAGGGACA chr1 223486035 223486036 chr1:223486036:T:C rs76095184 T C C EBF1_EBF_1 -11 0 + 0 0 . chr1 223490162 223490163 chr1:223490163:A:G rs6690293 A G A EBF1_EBF_1 30 0 - 0 0 . chr1 223490212 223490213 chr1:223490213:C:T rs6685694 C T C EBF1_EBF_1 -20 0 - 0 0 . chr1 223550679 223550680 chr1:223550680:C:A rs74145911 C A C EBF1_EBF_1 1 1 - 8.725684501841771 9.431346287671298 AGTCCCAGGAGAAA chr1 223550682 223550683 chr1:223550683:A:T rs74145912 A T A EBF1_EBF_1 -2 0 - 0 0 . chr1 223646535 223646536 chr1:223646536:G:C rs12059740 G C g EBF1_EBF_1 10 1 + 7.809909388704472 0.9191070013749975 ATCCCCAAGAGAGC chr1 223706539 223706540 chr1:223706540:C:T rs918679866 C T C EBF1_EBF_1 -13 0 + 0 0 . chr1 223745191 223745192 chr1:223745192:C:G rs12069561 C G G EBF1_EBF_1 26 0 - 0 0 . chr1 223748125 223748126 chr1:223748126:G:A rs28370057 G A . EBF1_EBF_1 19 0 - 0 0 . chr1 223748789 223748790 chr1:223748790:G:A rs187661975 G A . EBF1_EBF_1 14 0 + 0 0 . chr1 223762059 223762060 chr1:223762060:G:A rs10159015 G A G EBF1_EBF_1 22 0 - 0 0 . chr1 223775740 223775741 chr1:223775741:C:T rs28370183 C T C EBF1_EBF_1 9 1 - 7.2429353492144415 4.587996083766837 TTTCCCAAGGTAAA chr1 223821101 223821102 chr1:223821102:C:T rs34268065 C T C EBF1_EBF_1 -17 0 + 0 0 . chr1 224184008 224184009 chr1:224184009:C:T rs115338990 C T C EBF1_EBF_1 4 1 + 4.471172305844726 0.10178536089980139 TGCCCCCCGGGGCT chr1 224213972 224213973 chr1:224213973:T:A rs74803853 T A T EBF1_EBF_1 17 0 + 0 0 . chr1 224214210 224214211 chr1:224214211:C:T rs116023917 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 224517210 224517211 chr1:224517211:A:C rs10916629 A C A EBF1_EBF_1 6 1 + 9.104148035896086 8.742545668094808 ACTCCCATGGGGCA chr1 224554265 224554266 chr1:224554266:G:T rs2786562 G T T EBF1_EBF_1 8 1 + 5.84087428666668 -1.050558502310514 ACTCACCTGGGAAA chr1 224605246 224605247 chr1:224605247:G:A rs4654054 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 224638311 224638312 chr1:224638312:A:G rs577659231 A G A EBF1_EBF_1 13 1 + 13.3685625599864 12.254236987916961 ATTCCCAAGGGACA chr1 224641164 224641165 chr1:224641165:C:G rs16850786 C G C EBF1_EBF_1 -18 0 - 0 0 . chr1 224645347 224645348 chr1:224645348:A:C rs149427048 A C A EBF1_EBF_1 -14 0 + 0 0 . chr1 224684227 224684228 chr1:224684228:G:C rs17514252 G C G EBF1_EBF_1 -13 0 - 0 0 . chr1 224716781 224716782 chr1:224716782:C:T rs61825970 C T T EBF1_EBF_1 30 0 - 0 0 . chr1 224723059 224723060 chr1:224723060:G:A rs12036311 G A A EBF1_EBF_1 18 0 + 0 0 . chr1 224733784 224733785 chr1:224733785:C:T rs6426156 C T c EBF1_EBF_1 32 0 - 0 0 . chr1 224880629 224880630 chr1:224880630:G:A rs78449100 G A A EBF1_EBF_1 18 0 + 0 0 . chr1 225013372 225013373 chr1:225013373:C:T rs2929626 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 225113580 225113581 chr1:225113581:C:G rs75229902 C G c EBF1_EBF_1 5 1 + 4.626589869122981 -2.2782912835121887 TTCCCCCTGGGCCC chr1 225138129 225138130 chr1:225138130:G:A rs12758571 G A G EBF1_EBF_1 27 0 + 0 0 . chr1 225156394 225156395 chr1:225156395:G:T rs117814109 G T g EBF1_EBF_1 18 0 + 0 0 . chr1 225367809 225367810 chr1:225367810:C:T rs11578981 C T c EBF1_EBF_1 -7 0 + 0 0 . chr1 225427045 225427046 chr1:225427046:G:A rs141850888 G A G EBF1_EBF_1 2 1 - 7.581478169345763 9.470301580787913 GGCCCCCGGGGACC chr1 225443255 225443256 chr1:225443256:A:G rs111423193 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 225449595 225449596 chr1:225449596:A:G chr1:225449596:A:G A G A EBF1_EBF_1 0 1 + 5.769478113794213 3.877194244229379 AGCCCCTAGGAACC chr1 225462923 225462924 chr1:225462924:G:A rs10799311 G A G EBF1_EBF_1 8 1 + 6.731242522565709 2.5049524336794478 GACCCCACGGGAAC chr1 225464835 225464836 chr1:225464836:C:T rs116111072 C T C EBF1_EBF_1 -17 0 + 0 0 . chr1 225467334 225467335 chr1:225467335:G:A rs116129812 G A G EBF1_EBF_1 -11 0 - 0 0 . chr1 225469189 225469190 chr1:225469190:A:G rs3893033 A G A EBF1_EBF_1 26 0 - 0 0 . chr1 225481079 225481080 chr1:225481080:C:T rs75601149 C T C EBF1_EBF_1 10 1 - 8.809446100769634 3.491417641502668 GGCCCCATGGGAAC chr1 225649987 225649988 chr1:225649988:C:T rs12039453 C T T EBF1_EBF_1 0 1 + 5.1058127790753245 5.388014839805902 CGTCCCACAGGACT chr1 225756171 225756172 chr1:225756172:C:T rs10915871 C T C EBF1_EBF_1 12 1 - 6.088036978585144 7.506854320684622 ATTCCCCAGGGCGG chr1 225839621 225839622 chr1:225839622:T:C rs4149226 T C C EBF1_EBF_1 -14 0 + 0 0 . chr1 225847587 225847588 chr1:225847588:T:A rs3753663 T A T EBF1_EBF_1 -10 0 - 0 0 . chr1 225864447 225864448 chr1:225864448:A:G rs61295362 A G A EBF1_EBF_1 19 0 + 0 0 . chr1 225867894 225867895 chr1:225867895:G:A rs2292564 G A G EBF1_EBF_1 1 1 + 6.667123385072846 6.406424553151544 AGTCCCCTGAGAGG chr1 225876982 225876983 chr1:225876983:A:G chr1:225876983:A:G A G A EBF1_EBF_1 16 0 + 0 0 . chr1 225877664 225877665 chr1:225877665:G:A rs78293929 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 225877708 225877709 chr1:225877709:G:A rs360095 G A G EBF1_EBF_1 -12 0 - 0 0 . chr1 225877822 225877823 chr1:225877823:G:T chr1:225877823:G:T G T G EBF1_EBF_1 -14 0 - 0 0 . chr1 225882954 225882955 chr1:225882955:T:G chr1:225882955:T:G T G T EBF1_EBF_1 -15 0 + 0 0 . chr1 225882981 225882982 chr1:225882982:C:T rs12563427 C T C EBF1_EBF_1 12 1 + 6.006474991396771 4.980208420845921 CGCCCCCTGGGGCT chr1 225889218 225889219 chr1:225889219:G:T rs360058 G T G EBF1_EBF_1 -11 0 + 0 0 . chr1 225891160 225891161 chr1:225891161:C:T rs12031212 C T C EBF1_EBF_1 22 0 + 0 0 . chr1 225977597 225977598 chr1:225977598:A:G rs2755094 A G G EBF1_EBF_1 26 0 - 0 0 . chr1 225999427 225999428 chr1:225999428:C:T rs73100512 C T C EBF1_EBF_1 -6 0 + 0 0 . chr1 225999457 225999458 chr1:225999458:G:A rs559169366 G A G EBF1_EBF_1 24 0 + 0 0 . chr1 225999459 225999460 chr1:225999460:C:G rs541090958 C G C EBF1_EBF_1 26 0 + 0 0 . chr1 225999460 225999461 chr1:225999461:G:C rs187014150 G C G EBF1_EBF_1 27 0 + 0 0 . chr1 226110165 226110166 chr1:226110166:G:A chr1:226110166:G:A G A - EBF1_EBF_1 -15 0 + 0 0 . chr1 226110207 226110208 chr1:226110208:G:T rs576034340 G T - EBF1_EBF_1 27 0 + 0 0 . chr1 226110211 226110212 chr1:226110212:A:G rs543413435 A G - EBF1_EBF_1 31 0 + 0 0 . chr1 226125231 226125232 chr1:226125232:A:G rs71646769 A G A EBF1_EBF_1 16 0 - 0 0 . chr1 226125265 226125266 chr1:226125266:G:A rs992859227 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 226186295 226186296 chr1:226186296:G:A rs3738797 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 226223430 226223431 chr1:226223431:C:A rs747450964 C A C EBF1_EBF_1 -16 0 - 0 0 . chr1 226223433 226223434 chr1:226223434:G:A rs10915961 G A G EBF1_EBF_1 -19 0 - 0 0 . chr1 226305727 226305728 chr1:226305728:T:C rs2615803 T C T EBF1_EBF_1 12 1 + 7.514055537276549 8.540322107827398 TACCCCAAGGGATA chr1 226379024 226379025 chr1:226379025:A:C rs907190 A C A EBF1_EBF_1 -7 0 + 0 0 . chr1 226390397 226390398 chr1:226390398:T:C rs1805403 T C t EBF1_EBF_1 22 0 + 0 0 . chr1 226423661 226423662 chr1:226423662:C:T rs1527365 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 226429169 226429170 chr1:226429170:C:T rs114145104 C T C EBF1_EBF_1 -5 0 + 0 0 . chr1 226430120 226430121 chr1:226430121:G:C rs137977670 G C G EBF1_EBF_1 18 0 + 0 0 . chr1 226457360 226457361 chr1:226457361:C:A rs2056722 C A C EBF1_EBF_1 -15 0 + 0 0 . chr1 226457361 226457362 chr1:226457362:G:T rs2056723 G T G EBF1_EBF_1 -14 0 + 0 0 . chr1 226489034 226489035 chr1:226489035:G:A rs11811075 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 226520866 226520867 chr1:226520867:G:T rs149200221 G T G EBF1_EBF_1 22 0 + 0 0 . chr1 226565343 226565344 chr1:226565344:C:A rs953273637 C A C EBF1_EBF_1 -5 0 - 0 0 . chr1 226577631 226577632 chr1:226577632:A:G rs986303034 A G A EBF1_EBF_1 0 1 + 4.377765888838609 2.4854820192737748 AGCCCCTCGGGCCC chr1 226595155 226595156 chr1:226595156:G:A chr1:226595156:G:A G A G EBF1_EBF_1 3 1 - 7.692992643638304 1.287593902084985 GCCCCCTGGGGACC chr1 226602495 226602496 chr1:226602496:G:A rs12086463 G A G EBF1_EBF_1 28 0 - 0 0 . chr1 226616901 226616902 chr1:226616902:A:G rs631483 A G G EBF1_EBF_1 29 0 - 0 0 . chr1 226629997 226629998 chr1:226629998:A:G rs697846 A G A EBF1_EBF_1 26 0 + 0 0 . chr1 226633235 226633236 chr1:226633236:C:T rs3738722 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 226636156 226636157 chr1:226636157:T:G rs74796699 T G G EBF1_EBF_1 30 0 - 0 0 . chr1 226636702 226636703 chr1:226636703:G:T rs76669284 G T G EBF1_EBF_1 -13 0 + 0 0 . chr1 226655510 226655511 chr1:226655511:T:C rs3754387 T C C EBF1_EBF_1 -13 0 + 0 0 . chr1 226655550 226655551 chr1:226655551:G:A rs3754388 G A G EBF1_EBF_1 27 0 + 0 0 . chr1 226660934 226660935 chr1:226660935:C:T rs75918055 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 226664548 226664549 chr1:226664549:G:A rs1054174388 G A G EBF1_EBF_1 23 0 - 0 0 . chr1 226675243 226675244 chr1:226675244:C:A rs185729325 C A C EBF1_EBF_1 2 1 + 6.232035743511259 4.436391567354533 AACCCCAAGGGGGA chr1 226675262 226675263 chr1:226675263:G:A rs150275852 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 226702122 226702123 chr1:226702123:C:A rs835663 C A C EBF1_EBF_1 33 0 + 0 0 . chr1 226723780 226723781 chr1:226723781:T:G chr1:226723781:T:G T G T EBF1_EBF_1 11 1 - 7.7896323370464104 3.37367063919402 ACACCCTGGGGACC chr1 226737238 226737239 chr1:226737239:C:T rs77775944 C T C EBF1_EBF_1 3 1 + 4.031533770507358 -2.3738649710459603 AGCCCCCGGGGCTC chr1 226763788 226763789 chr1:226763789:T:C rs12066190 T C C EBF1_EBF_1 27 0 + 0 0 . chr1 226791574 226791575 chr1:226791575:G:A rs139879894 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 226799539 226799540 chr1:226799540:G:A rs10916041 G A G EBF1_EBF_1 5 1 - 5.083023030352949 -0.23876418518772058 GTTTCCCAGGGACC chr1 226808563 226808564 chr1:226808564:A:C chr1:226808564:A:C A C A EBF1_EBF_1 15 0 - 0 0 . chr1 226875100 226875101 chr1:226875101:C:T rs114815412 C T c EBF1_EBF_1 -8 0 + 0 0 . chr1 226875129 226875130 chr1:226875130:G:A rs2073488 G A G EBF1_EBF_1 21 0 + 0 0 . chr1 226881168 226881169 chr1:226881169:A:G rs115752022 A G A EBF1_EBF_1 -1 0 - 0 0 . chr1 226882501 226882502 chr1:226882502:C:G rs79812497 C G C EBF1_EBF_1 -5 0 + 0 0 . chr1 226886423 226886424 chr1:226886424:G:A rs2236913 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 226890890 226890891 chr1:226890891:C:T rs1800678 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 226892750 226892751 chr1:226892751:G:A rs3820649 G A G EBF1_EBF_1 8 1 + 5.697177691982522 1.4708876030962597 GATCCCACGGGGCC chr1 226924519 226924520 chr1:226924520:G:T chr1:226924520:G:T G T G EBF1_EBF_1 17 0 + 0 0 . chr1 226963442 226963443 chr1:226963443:C:T rs35606438 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 226963559 226963560 chr1:226963560:C:G rs2490269 C G G EBF1_EBF_1 14 0 - 0 0 . chr1 226981511 226981512 chr1:226981512:G:C rs2777833 G C C EBF1_EBF_1 0 1 + 5.795968950050177 5.432994335918411 GTTCCCTTGAGGAC chr1 226988460 226988461 chr1:226988461:C:G rs34267809 C G G EBF1_EBF_1 -19 0 + 0 0 . chr1 226988465 226988466 chr1:226988466:G:A rs375634509 G A - EBF1_EBF_1 -14 0 + 0 0 . chr1 226995732 226995733 chr1:226995733:T:C rs6664955 T C T EBF1_EBF_1 7 1 - 8.738649556279741 7.426191150990916 ATTCCCCAGGGTGT chr1 227285701 227285702 chr1:227285702:A:C rs77915777 A C A EBF1_EBF_1 -9 0 - 0 0 . chr1 227318630 227318631 chr1:227318631:G:A rs576468728 G A G EBF1_EBF_1 8 1 + 4.784424500584486 0.5581344116982244 GCCCCCAAGGGGGC chr1 227429817 227429818 chr1:227429818:C:T rs6664147 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 227436826 227436827 chr1:227436827:C:T rs12128364 C T C EBF1_EBF_1 2 1 + 9.050864799952341 10.939688211394488 GTCCCCTTGGGACA chr1 227436839 227436840 chr1:227436840:G:A rs10916146 G A A EBF1_EBF_1 15 0 + 0 0 . chr1 227447818 227447819 chr1:227447819:C:T rs114182036 C T c EBF1_EBF_1 7 1 - 11.130535873780637 12.442994279069463 ACTCCCTGGGGAAA chr1 227447896 227447897 chr1:227447897:G:A rs6682883 G A g EBF1_EBF_1 7 1 - 4.9886005886199225 6.806105334902546 CATCCCCCGGGAGG chr1 227474444 227474445 chr1:227474445:G:A rs61833894 G A N EBF1_EBF_1 7 1 - 5.6003764553240405 7.417881201606665 ATTCCCCCGGGTGC chr1 227541712 227541713 chr1:227541713:C:A rs12023298 C A C EBF1_EBF_1 3 1 + 4.881831199318618 -2.02434283014719 ACACCCCAGGGGTC chr1 227543915 227543916 chr1:227543916:G:A rs75981895 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 227544957 227544958 chr1:227544958:G:A rs7526007 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 227546311 227546312 chr1:227546312:C:T rs116237951 C T C EBF1_EBF_1 -13 0 - 0 0 . chr1 227546607 227546608 chr1:227546608:G:A rs1495847 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 227546623 227546624 chr1:227546624:G:A rs1495848 G A A EBF1_EBF_1 10 1 + 4.833414455884875 -0.48461400338209093 CACCCCCAGGGGGT chr1 227666443 227666444 chr1:227666444:G:C rs6660432 G C C EBF1_EBF_1 26 0 - 0 0 . chr1 227729943 227729944 chr1:227729944:G:A rs1570429 G A G EBF1_EBF_1 10 1 + 9.133657625192939 3.815629165925974 ACTCCCCAAGGAAT chr1 227732745 227732746 chr1:227732746:C:T rs751748 C T c EBF1_EBF_1 -5 0 + 0 0 . chr1 227734880 227734881 chr1:227734881:G:A rs771966376 G A G EBF1_EBF_1 -5 0 + 0 0 . chr1 227734913 227734914 chr1:227734914:C:T rs1013045296 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 227735593 227735594 chr1:227735594:G:A chr1:227735594:G:A G A G EBF1_EBF_1 28 0 - 0 0 . chr1 227769889 227769890 chr1:227769890:C:T rs10916205 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 227778879 227778880 chr1:227778880:T:C rs10799447 T C C EBF1_EBF_1 0 1 - 5.419675812286903 3.5273919427220686 ACACCCTCGGGAGC chr1 227793432 227793433 chr1:227793433:G:A rs147153517 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 227794877 227794878 chr1:227794878:C:G rs536846088 C G c EBF1_EBF_1 -18 0 + 0 0 . chr1 227795747 227795748 chr1:227795748:C:A rs1412474425 C A - EBF1_EBF_1 29 0 + 0 0 . chr1 227888054 227888055 chr1:227888055:G:T chr1:227888055:G:T G T G EBF1_EBF_1 3 1 - 5.336785848567983 -1.5693881808978238 AGCCCCCAGCGACA chr1 227892551 227892552 chr1:227892552:G:A rs10916235 G A A EBF1_EBF_1 25 0 - 0 0 . chr1 227893219 227893220 chr1:227893220:G:C rs111754135 G C G EBF1_EBF_1 8 1 + 5.262487562824249 -0.05617129809043757 AGTCCCCTGAGGGC chr1 227899937 227899938 chr1:227899938:C:T rs7514295 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 227905317 227905318 chr1:227905318:G:C rs877727 G C G EBF1_EBF_1 24 0 - 0 0 . chr1 227905500 227905501 chr1:227905501:C:T rs989745300 C T C EBF1_EBF_1 -17 0 - 0 0 . chr1 227915332 227915333 chr1:227915333:A:G rs884335 A G A EBF1_EBF_1 24 0 + 0 0 . chr1 227927470 227927471 chr1:227927471:G:A rs71650368 G A G EBF1_EBF_1 24 0 - 0 0 . chr1 227927489 227927490 chr1:227927490:G:C rs116216380 G C G EBF1_EBF_1 5 1 - 5.091951557867741 -1.8129295947674267 GACCCCCAGGGCAT chr1 227939525 227939526 chr1:227939526:T:C rs680997 T C C EBF1_EBF_1 17 0 + 0 0 . chr1 227941495 227941496 chr1:227941496:T:C rs631768 T C C EBF1_EBF_1 6 1 + 4.569218772010119 4.267932643148362 ACTCCATGGGGACC chr1 227952837 227952838 chr1:227952838:G:A rs150763524 G A G EBF1_EBF_1 19 0 - 0 0 . chr1 227958428 227958429 chr1:227958429:G:A rs79321094 G A G EBF1_EBF_1 3 1 - 5.256234398744953 -1.149164342808365 CTCCCCACGGGGCT chr1 227960968 227960969 chr1:227960969:T:C rs644794 T C C EBF1_EBF_1 -15 0 + 0 0 . chr1 228010064 228010065 chr1:228010065:C:T rs116287210 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 228010065 228010066 chr1:228010066:G:A rs74563687 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 228010075 228010076 chr1:228010076:T:C rs75572684 T C T EBF1_EBF_1 30 0 + 0 0 . chr1 228010344 228010345 chr1:228010345:C:T rs708115 C T C EBF1_EBF_1 4 1 + 6.132099461277029 1.7627125163321056 CCTCCCCAGGGTCC chr1 228010494 228010495 chr1:228010495:C:A rs708116 C A C EBF1_EBF_1 18 0 + 0 0 . chr1 228015566 228015567 chr1:228015567:C:G rs708119 C G C EBF1_EBF_1 3 1 + 10.044251487403026 4.216487039287809 TCTCCCCGGGGACT chr1 228016209 228016210 chr1:228016210:A:G rs7516358 A G A EBF1_EBF_1 19 0 + 0 0 . chr1 228037474 228037475 chr1:228037475:C:T rs71650378 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 228043647 228043648 chr1:228043648:A:G rs10916261 A G G EBF1_EBF_1 20 0 - 0 0 . chr1 228047306 228047307 chr1:228047307:A:G rs13374948 A G G EBF1_EBF_1 13 1 - 5.222260003424127 4.377765888838609 AGCCCCTCGGGCCT chr1 228047311 228047312 chr1:228047312:C:T rs13376036 C T C EBF1_EBF_1 8 1 - 5.222260003424127 0.9959699145378655 AGCCCCTCGGGCCT chr1 228059744 228059745 chr1:228059745:T:C rs562684180 T C T EBF1_EBF_1 -5 0 - 0 0 . chr1 228062197 228062198 chr1:228062198:G:A rs1771465 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 228064030 228064031 chr1:228064031:G:C rs1745420 G C C EBF1_EBF_1 -17 0 - 0 0 . chr1 228066407 228066408 chr1:228066408:G:A rs74600086 G A g EBF1_EBF_1 7 1 + 6.029918931822344 7.342377337111169 GATCCCAGGGGCAT chr1 228080329 228080330 chr1:228080330:T:C rs822735 T C T EBF1_EBF_1 15 0 + 0 0 . chr1 228082416 228082417 chr1:228082417:T:C rs115647813 T C T EBF1_EBF_1 -10 0 + 0 0 . chr1 228082436 228082437 chr1:228082437:G:A rs187966915 G A G EBF1_EBF_1 10 1 + 4.92097387456237 -0.3970545847045961 AGCCCCCCGGGCCT chr1 228090406 228090407 chr1:228090407:G:T rs3768329 G T G EBF1_EBF_1 -6 0 - 0 0 . chr1 228091477 228091478 chr1:228091478:G:A rs117972114 G A G EBF1_EBF_1 16 0 + 0 0 . chr1 228098906 228098907 chr1:228098907:C:T chr1:228098907:C:T C T C EBF1_EBF_1 3 1 + 7.405091643012847 0.9996929014595295 AGCCCCTCGGGAGC chr1 228102247 228102248 chr1:228102248:G:C rs902280027 G C G EBF1_EBF_1 -9 0 - 0 0 . chr1 228102610 228102611 chr1:228102611:C:A rs768965232 C A C EBF1_EBF_1 -15 0 + 0 0 . chr1 228109767 228109768 chr1:228109768:T:C rs74140969 T C C EBF1_EBF_1 30 0 - 0 0 . chr1 228142056 228142057 chr1:228142057:G:A rs139257690 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 228147964 228147965 chr1:228147965:G:A rs117254860 G A G EBF1_EBF_1 19 0 - 0 0 . chr1 228148005 228148006 chr1:228148006:G:C rs12116745 G C G EBF1_EBF_1 5 1 - 4.458812898084804 -2.4460682545503643 ACACCCCCGGGGCT chr1 228159650 228159651 chr1:228159651:A:G rs6668719 A G A EBF1_EBF_1 -15 0 - 0 0 . chr1 228184052 228184053 chr1:228184053:C:T rs4653917 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 228190870 228190871 chr1:228190871:G:C rs10753445 G C G EBF1_EBF_1 -6 0 + 0 0 . chr1 228201746 228201747 chr1:228201747:T:G rs76582642 T G T EBF1_EBF_1 -15 0 + 0 0 . chr1 228214666 228214667 chr1:228214667:G:A rs148104106 G A G EBF1_EBF_1 -18 0 + 0 0 . chr1 228214904 228214905 chr1:228214905:C:T rs751365586 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 228268235 228268236 chr1:228268236:T:C rs489926 T C T EBF1_EBF_1 -16 0 - 0 0 . chr1 228281730 228281731 chr1:228281731:C:T rs79292090 C T C EBF1_EBF_1 33 0 + 0 0 . chr1 228291539 228291540 chr1:228291540:A:G rs4653546 A G A EBF1_EBF_1 7 1 - 6.401186565860124 4.583681819577501 AGTGCCCTGGGAAC chr1 228291540 228291541 chr1:228291541:G:C rs4653938 G C G EBF1_EBF_1 6 1 - 6.401186565860124 4.595994502386927 AGTGCCCTGGGAAC chr1 228306680 228306681 chr1:228306681:T:C rs1150911 T C C EBF1_EBF_1 26 0 - 0 0 . chr1 228309768 228309769 chr1:228309769:A:G rs378090 A G G EBF1_EBF_1 -12 0 - 0 0 . chr1 228317502 228317503 chr1:228317503:G:A rs4653942 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 228338309 228338310 chr1:228338310:C:T rs11577699 C T C EBF1_EBF_1 28 0 - 0 0 . chr1 228340268 228340269 chr1:228340269:C:G rs9787095 C G C EBF1_EBF_1 3 1 + 4.594734611440932 -1.233029836674284 CCCCCCTTGGGCCT chr1 228353895 228353896 chr1:228353896:G:A rs883749 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 228355601 228355602 chr1:228355602:T:A rs11578798 T A T EBF1_EBF_1 22 0 + 0 0 . chr1 228360495 228360496 chr1:228360496:G:A rs10158354 G A G EBF1_EBF_1 7 1 - 4.550572612915425 6.368077359198049 CGCCCCACGGGGCT chr1 228368092 228368093 chr1:228368093:A:G rs12065815 A G G EBF1_EBF_1 25 0 + 0 0 . chr1 228370820 228370821 chr1:228370821:C:T rs149382681 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 228374504 228374505 chr1:228374505:C:T rs150212865 C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 228407051 228407052 chr1:228407052:A:G chr1:228407052:A:G A G A EBF1_EBF_1 -1 0 - 0 0 . chr1 228445967 228445968 chr1:228445968:G:A rs111913139 G A G EBF1_EBF_1 3 1 - 4.017261316850713 -2.3881374247026055 CCTCCCCCGGGGTC chr1 228446679 228446680 chr1:228446680:G:A rs61827281 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 228448652 228448653 chr1:228448653:C:T rs115984883 C T C EBF1_EBF_1 3 1 + 6.700431038966605 0.29503229741328907 ATTCCCAGGAGGTT chr1 228469754 228469755 chr1:228469755:G:A rs6667617 G A G EBF1_EBF_1 -20 0 + 0 0 . chr1 228469755 228469756 chr1:228469756:C:A rs6692594 C A C EBF1_EBF_1 -19 0 + 0 0 . chr1 228498078 228498079 chr1:228498079:A:T rs114270204 A T A EBF1_EBF_1 2 1 - 6.796043659480745 3.1115760718818684 GTTCCCTGGAGATC chr1 228511989 228511990 chr1:228511990:C:G rs61744074 C G C EBF1_EBF_1 23 0 - 0 0 . chr1 228512748 228512749 chr1:228512749:T:C rs6668549 T C T EBF1_EBF_1 30 0 + 0 0 . chr1 228648114 228648115 chr1:228648115:A:G rs144726491 A G A EBF1_EBF_1 7 1 - 5.330902084998713 3.5133973387160893 ATCCCCATGGCACT chr1 228736103 228736104 chr1:228736104:G:A rs367839871 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 228747272 228747273 chr1:228747273:G:A rs116638506 G A G EBF1_EBF_1 21 0 - 0 0 . chr1 228751774 228751775 chr1:228751775:A:G rs4440831 A G a EBF1_EBF_1 -12 0 + 0 0 . chr1 228751796 228751797 chr1:228751797:G:T rs4606285 G T T EBF1_EBF_1 10 1 + 6.103386227928715 1.9206340417014511 TCTCCCAAAGGAGT chr1 228765690 228765691 chr1:228765691:C:T rs11810599 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 228765724 228765725 chr1:228765725:T:C rs6691478 T C c EBF1_EBF_1 32 0 + 0 0 . chr1 228781303 228781304 chr1:228781304:A:G rs241323 A G G EBF1_EBF_1 21 0 - 0 0 . chr1 228800006 228800007 chr1:228800007:A:G rs12063617 A G A EBF1_EBF_1 -11 0 - 0 0 . chr1 228811757 228811758 chr1:228811758:T:C rs73101375 T C T EBF1_EBF_1 7 1 - 7.265592141142689 5.953133735853864 ATCCCCCAAGGACC chr1 228836393 228836394 chr1:228836394:G:A rs2748102 G A A EBF1_EBF_1 10 1 + 6.188486290381701 0.870457831114736 AGCCCCCAGGGCTT chr1 228837905 228837906 chr1:228837906:C:T rs72755646 C T C EBF1_EBF_1 8 1 - 6.977918528071567 2.7516284391853048 ACTCCCAGGGTACA chr1 228839009 228839010 chr1:228839010:A:T rs77409070 A T A EBF1_EBF_1 -7 0 + 0 0 . chr1 228839021 228839022 chr1:228839022:C:T rs1389138 C T C EBF1_EBF_1 5 1 + 7.915418061321445 2.593630845780775 ACTCCCCAAGGAAA chr1 228851347 228851348 chr1:228851348:G:A rs112746249 G A G EBF1_EBF_1 -1 0 + 0 0 . chr1 228867357 228867358 chr1:228867358:C:T rs987809181 C T C EBF1_EBF_1 -5 0 - 0 0 . chr1 228920589 228920590 chr1:228920590:G:A rs542037647 G A G EBF1_EBF_1 -2 0 - 0 0 . chr1 228925852 228925853 chr1:228925853:A:G rs56108922 A G A EBF1_EBF_1 -6 0 - 0 0 . chr1 228928722 228928723 chr1:228928723:A:G rs76864060 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 228969022 228969023 chr1:228969023:A:G rs1041148207 A G A EBF1_EBF_1 30 0 - 0 0 . chr1 228974166 228974167 chr1:228974167:A:G rs563604 A G a EBF1_EBF_1 26 0 - 0 0 . chr1 228974714 228974715 chr1:228974715:A:C chr1:228974715:A:C A C A EBF1_EBF_1 -11 0 - 0 0 . chr1 229002752 229002753 chr1:229002753:T:A rs73106776 T A T EBF1_EBF_1 -11 0 + 0 0 . chr1 229002770 229002771 chr1:229002771:A:C rs560066103 A C A EBF1_EBF_1 7 1 + 8.590998494332734 6.297219507962552 ATTCCCCAGGGCCA chr1 229031522 229031523 chr1:229031523:C:A rs7547187 C A C EBF1_EBF_1 -2 0 - 0 0 . chr1 229058368 229058369 chr1:229058369:G:C rs114966395 G C G EBF1_EBF_1 13 1 + 4.754142325079051 6.242213346434466 AGCCCCCTGGGTAG chr1 229068738 229068739 chr1:229068739:G:A rs145532054 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 229090001 229090002 chr1:229090002:C:T rs1078114 C T C EBF1_EBF_1 8 1 - 7.360177895161544 3.133887806275283 ACCCCCCAGGGGAA chr1 229098803 229098804 chr1:229098804:C:T rs7550942 C T C EBF1_EBF_1 25 0 + 0 0 . chr1 229102872 229102873 chr1:229102873:A:C rs76676379 A C A EBF1_EBF_1 15 0 + 0 0 . chr1 229106382 229106383 chr1:229106383:A:G rs75635565 A G A EBF1_EBF_1 26 0 - 0 0 . chr1 229106408 229106409 chr1:229106409:A:G rs76581456 A G A EBF1_EBF_1 0 1 - 5.045291462381481 4.763089401650902 TTCCCCCAGGAACT chr1 229109494 229109495 chr1:229109495:C:A rs907523721 C A C EBF1_EBF_1 8 1 - 7.525431613435224 0.6339988244580287 TACCCCAAGGGAGC chr1 229109501 229109502 chr1:229109502:T:C rs6669243 T C T EBF1_EBF_1 1 1 - 7.525431613435224 7.786130445356527 TACCCCAAGGGAGC chr1 229120884 229120885 chr1:229120885:A:G rs1551624 A G g EBF1_EBF_1 -17 0 - 0 0 . chr1 229130148 229130149 chr1:229130149:A:T rs12410772 A T T EBF1_EBF_1 17 0 - 0 0 . chr1 229137482 229137483 chr1:229137483:A:T rs9725104 A T A EBF1_EBF_1 12 1 + 5.357567100567598 4.3011191315954225 CTTCCTCTGGGAAC chr1 229147030 229147031 chr1:229147031:G:A rs11580448 G A G EBF1_EBF_1 17 0 - 0 0 . chr1 229147046 229147047 chr1:229147047:T:C rs11579150 T C C EBF1_EBF_1 1 1 - 4.43898676152276 4.6996855934440624 AACCCCGAGGGGGC chr1 229162508 229162509 chr1:229162509:G:T rs75266408 G T g EBF1_EBF_1 8 1 + 7.103180317527434 0.21174752855024015 TACCCCCCGGGACT chr1 229164826 229164827 chr1:229164827:T:C rs342826 T C C EBF1_EBF_1 21 0 - 0 0 . chr1 229164844 229164845 chr1:229164845:G:C rs2379985 G C G EBF1_EBF_1 3 1 - 4.9495388097239665 -0.8782256383912498 ACTCCCCTGGTAGG chr1 229169023 229169024 chr1:229169024:C:G rs1177149720 C G C EBF1_EBF_1 9 1 - 7.909513479165857 2.8338243328203925 AGCCCCCAGGGAGG chr1 229169026 229169027 chr1:229169027:G:A rs9435836 G A G EBF1_EBF_1 6 1 - 7.909513479165857 8.210799608027614 AGCCCCCAGGGAGG chr1 229169854 229169855 chr1:229169855:C:T rs365684 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 229197233 229197234 chr1:229197234:G:C rs2379987 G C G EBF1_EBF_1 4 1 - 5.864813115979839 0.23904801483029553 ATCCCCAAAGGAGA chr1 229198931 229198932 chr1:229198932:A:G rs4925497 A G G EBF1_EBF_1 7 1 + 6.4578310823344545 5.145372677045629 ATCCTCAAGGGATC chr1 229293797 229293798 chr1:229293798:A:T rs237771 A T T EBF1_EBF_1 -8 0 + 0 0 . chr1 229350545 229350546 chr1:229350546:A:C rs148541311 A C A EBF1_EBF_1 13 1 - 4.743514902802578 2.4109497668616444 ACCCCCGTGGGCCT chr1 229370813 229370814 chr1:229370814:C:T rs238094 C T t EBF1_EBF_1 11 1 - 7.435277677444481 10.327984521048492 AGCCCCATGGGGAA chr1 229407286 229407287 chr1:229407287:G:C rs548662 G C G EBF1_EBF_1 31 0 - 0 0 . chr1 229408932 229408933 chr1:229408933:G:A rs56097484 G A G EBF1_EBF_1 33 0 + 0 0 . chr1 229411839 229411840 chr1:229411840:G:A rs577090418 G A G EBF1_EBF_1 -3 0 + 0 0 . chr1 229429456 229429457 chr1:229429457:C:T rs7521083 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 229480622 229480623 chr1:229480623:T:C rs143295213 T C T EBF1_EBF_1 15 0 + 0 0 . chr1 229508852 229508853 chr1:229508853:T:C rs10916501 T C T EBF1_EBF_1 -19 0 - 0 0 . chr1 229661396 229661397 chr1:229661397:C:T rs180933188 C T C EBF1_EBF_1 15 0 + 0 0 . chr1 229693386 229693387 chr1:229693387:A:C rs1931331 A C A EBF1_EBF_1 21 0 + 0 0 . chr1 229704378 229704379 chr1:229704379:T:A rs6685531 T A T EBF1_EBF_1 7 1 - 8.540322107827398 8.064047867739841 TACCCCAAGGGACA chr1 229704385 229704386 chr1:229704386:A:G rs6682515 A G G EBF1_EBF_1 0 1 - 8.540322107827398 8.258120047096822 TACCCCAAGGGACA chr1 229781618 229781619 chr1:229781619:C:A chr1:229781619:C:A C A C EBF1_EBF_1 -1 0 + 0 0 . chr1 229781649 229781650 chr1:229781650:C:A rs642874 C A C EBF1_EBF_1 30 0 + 0 0 . chr1 229874807 229874808 chr1:229874808:G:A rs4087354 G A G EBF1_EBF_1 3 1 - 5.162622717910066 -1.2427760236432517 CTTCCCCTGGGTGC chr1 229994923 229994924 chr1:229994924:C:T rs11590412 C T C EBF1_EBF_1 -8 0 + 0 0 . chr1 229994964 229994965 chr1:229994965:T:C rs11584183 T C C EBF1_EBF_1 33 0 + 0 0 . chr1 230002692 230002693 chr1:230002693:C:T rs75336667 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 230026821 230026822 chr1:230026822:A:C rs77096682 A C A EBF1_EBF_1 22 0 + 0 0 . chr1 230055378 230055379 chr1:230055379:T:C rs146510210 T C T EBF1_EBF_1 15 0 + 0 0 . chr1 230058765 230058766 chr1:230058766:A:C rs10779822 A C A EBF1_EBF_1 32 0 - 0 0 . chr1 230109121 230109122 chr1:230109122:C:T rs910501 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 230130895 230130896 chr1:230130896:C:T rs192721640 C T C EBF1_EBF_1 33 0 - 0 0 . chr1 230137117 230137118 chr1:230137118:G:C rs11807860 G C G EBF1_EBF_1 -17 0 - 0 0 . chr1 230140151 230140152 chr1:230140152:G:T rs115500734 G T G EBF1_EBF_1 1 1 - 7.721780262962822 7.650853085610738 ACCCCCAAGGGGAA chr1 230140168 230140169 chr1:230140169:C:T rs80203588 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 230145408 230145409 chr1:230145409:G:A rs4846835 G A G EBF1_EBF_1 8 1 + 7.527105111531372 3.30081502264511 TCCCCCAAGGGAAG chr1 230145568 230145569 chr1:230145569:G:A rs4846836 G A G EBF1_EBF_1 -6 0 - 0 0 . chr1 230150899 230150900 chr1:230150900:T:G rs1998065 T G T EBF1_EBF_1 6 1 + 8.075118710756842 5.968640518421886 CTTCCCTAAGGAAT chr1 230172246 230172247 chr1:230172247:C:G rs72651070 C G C EBF1_EBF_1 -1 0 - 0 0 . chr1 230196741 230196742 chr1:230196742:C:T rs61563010 C T C EBF1_EBF_1 -15 0 + 0 0 . chr1 230208576 230208577 chr1:230208577:G:A rs6682595 G A G EBF1_EBF_1 11 1 - 8.607983376427578 8.926030818181241 ATTCCCTTGGGCTT chr1 230232387 230232388 chr1:230232388:G:A rs78982739 G A G EBF1_EBF_1 -8 0 + 0 0 . chr1 230265466 230265467 chr1:230265467:A:G rs12724657 A G A EBF1_EBF_1 2 1 - 6.209727186223868 4.320903774781718 CATCCCAGGAGAAA chr1 230267656 230267657 chr1:230267657:C:T rs76220220 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 230272013 230272014 chr1:230272014:T:C rs79366101 T C T EBF1_EBF_1 11 1 - 6.810483174614257 3.917776331010246 ACTCCCTTGGAATT chr1 230272316 230272317 chr1:230272317:C:G rs6688462 C G G EBF1_EBF_1 27 0 + 0 0 . chr1 230281647 230281648 chr1:230281648:T:C rs3088075 T C . EBF1_EBF_1 21 0 - 0 0 . chr1 230287734 230287735 chr1:230287735:A:C rs11122478 A C A EBF1_EBF_1 13 1 - 6.332798125295685 4.000232989354752 ATTCCCTGAAGACT chr1 230288541 230288542 chr1:230288542:T:C rs34903586 T C T EBF1_EBF_1 18 0 + 0 0 . chr1 230289343 230289344 chr1:230289344:A:G rs16851421 A G T EBF1_EBF_1 -15 0 + 0 0 . chr1 230291801 230291802 chr1:230291802:G:A rs4846851 G A G EBF1_EBF_1 -9 0 - 0 0 . chr1 230296330 230296331 chr1:230296331:C:T rs55716461 C T C EBF1_EBF_1 19 0 - 0 0 . chr1 230300773 230300774 chr1:230300774:A:G rs1386584 A G G EBF1_EBF_1 -20 0 + 0 0 . chr1 230304510 230304511 chr1:230304511:C:T rs9431827 C T T EBF1_EBF_1 -6 0 - 0 0 . chr1 230317566 230317567 chr1:230317567:C:A rs60920190 C A C EBF1_EBF_1 26 0 - 0 0 . chr1 230318673 230318674 chr1:230318674:C:T rs12746063 C T C EBF1_EBF_1 26 0 - 0 0 . chr1 230318927 230318928 chr1:230318928:C:A rs11586112 C A C EBF1_EBF_1 21 0 - 0 0 . chr1 230365752 230365753 chr1:230365753:A:G rs2632575 A G G EBF1_EBF_1 26 0 - 0 0 . chr1 230381361 230381362 chr1:230381362:G:A rs11805436 G A G EBF1_EBF_1 -9 0 - 0 0 . chr1 230392250 230392251 chr1:230392251:T:C rs17715142 T C T EBF1_EBF_1 7 1 - 7.627194508943966 6.314736103655141 ATCCCCAAAGGACC chr1 230392848 230392849 chr1:230392849:T:C rs270856 T C T EBF1_EBF_1 26 0 - 0 0 . chr1 230453782 230453783 chr1:230453783:C:T rs77452614 C T C EBF1_EBF_1 12 1 + 6.085862002964409 5.059595432413559 TTTCCTCAGGGACC chr1 230510309 230510310 chr1:230510310:G:A rs852996 G A G EBF1_EBF_1 27 0 - 0 0 . chr1 230573172 230573173 chr1:230573173:T:C rs169268 T C C EBF1_EBF_1 -19 0 - 0 0 . chr1 230611543 230611544 chr1:230611544:C:T rs16852085 C T C EBF1_EBF_1 31 0 - 0 0 . chr1 230707492 230707493 chr1:230707493:G:T rs12125552 G T G EBF1_EBF_1 -16 0 + 0 0 . chr1 230714504 230714505 chr1:230714505:C:T rs5047 C T C EBF1_EBF_1 30 0 + 0 0 . chr1 230728852 230728853 chr1:230728853:C:A rs76612364 C A C EBF1_EBF_1 20 0 - 0 0 . chr1 230794022 230794023 chr1:230794023:G:A rs3828129 G A A EBF1_EBF_1 4 1 - 5.43746473050003 1.068077785555106 AGTCCCTGGAGCCT chr1 230798728 230798729 chr1:230798729:C:T rs3790988 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 230824624 230824625 chr1:230824625:C:T rs12062246 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 230825805 230825806 chr1:230825806:G:A rs34676964 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 230834537 230834538 chr1:230834538:G:T rs74230162 G T G EBF1_EBF_1 -7 0 - 0 0 . chr1 230854510 230854511 chr1:230854511:A:G rs4593836 A G A EBF1_EBF_1 24 0 - 0 0 . chr1 230859251 230859252 chr1:230859252:T:C rs540168183 T C T EBF1_EBF_1 -6 0 + 0 0 . chr1 230870270 230870271 chr1:230870271:C:A rs140191700 C A C EBF1_EBF_1 -11 0 - 0 0 . chr1 230878879 230878880 chr1:230878880:C:T rs143159671 C T C EBF1_EBF_1 8 1 - 8.209590170455476 3.983300081569214 CTCCCCAAGGGAGC chr1 230878887 230878888 chr1:230878888:G:A rs1202596 G A G EBF1_EBF_1 0 1 - 8.209590170455476 8.491792231186054 CTCCCCAAGGGAGC chr1 230936129 230936130 chr1:230936130:C:T rs12131329 C T C EBF1_EBF_1 12 1 - 5.349842677166842 6.76866001926632 AGCCCCCTGGGCGT chr1 230971958 230971959 chr1:230971959:A:G rs3924319 A G A EBF1_EBF_1 -1 0 + 0 0 . chr1 231028906 231028907 chr1:231028907:C:T rs114600495 C T C EBF1_EBF_1 30 0 - 0 0 . chr1 231035442 231035443 chr1:231035443:A:C rs4658914 A C A EBF1_EBF_1 -13 0 + 0 0 . chr1 231046964 231046965 chr1:231046965:G:C rs72748806 G C G EBF1_EBF_1 15 0 - 0 0 . chr1 231108654 231108655 chr1:231108655:T:C rs1321130 T C C EBF1_EBF_1 27 0 - 0 0 . chr1 231109344 231109345 chr1:231109345:C:A rs9431926 C A C EBF1_EBF_1 -3 0 + 0 0 . chr1 231132531 231132532 chr1:231132532:A:C rs2144613 A C C EBF1_EBF_1 -11 0 - 0 0 . chr1 231186764 231186765 chr1:231186765:C:T rs139871882 C T C EBF1_EBF_1 -4 0 + 0 0 . chr1 231215743 231215744 chr1:231215744:T:A rs60055409 T A T EBF1_EBF_1 -19 0 - 0 0 . chr1 231338726 231338727 chr1:231338727:G:A rs531321193 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 231518789 231518790 chr1:231518790:A:G rs11576167 A G A EBF1_EBF_1 24 0 - 0 0 . chr1 231786833 231786834 chr1:231786834:G:A rs11576323 G A G EBF1_EBF_1 -2 0 + 0 0 . chr1 231799626 231799627 chr1:231799627:G:C rs11122338 G C G EBF1_EBF_1 9 1 + 5.069181863322613 -0.006507283022850308 AACCACCTGGGACT chr1 231872013 231872014 chr1:231872014:G:A rs9431706 G A G EBF1_EBF_1 2 1 - 6.96269741195314 8.85152082339529 GTCCCCAAGAGAAA chr1 231933906 231933907 chr1:231933907:C:T rs9431726 C T C EBF1_EBF_1 13 1 + 7.400086340702351 8.244580455287869 CCTCCCTTGAGACC chr1 231937409 231937410 chr1:231937410:G:T rs1402517996 G T G EBF1_EBF_1 20 0 - 0 0 . chr1 231941325 231941326 chr1:231941326:A:G rs821581 A G G EBF1_EBF_1 7 1 + 4.983538172089656 3.6710797668008306 ATCCCCGAGAGGCT chr1 231943272 231943273 chr1:231943273:A:G rs12126719 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 232111615 232111616 chr1:232111616:G:A rs1411779 G A A EBF1_EBF_1 15 0 - 0 0 . chr1 232175676 232175677 chr1:232175677:C:G rs57468316 C G C EBF1_EBF_1 10 1 - 7.020014591351073 0.1292122040215969 TGTCCCAAGAGAGC chr1 232176896 232176897 chr1:232176897:T:C rs12144995 T C T EBF1_EBF_1 -2 0 - 0 0 . chr1 232186836 232186837 chr1:232186837:A:G rs13374015 A G G EBF1_EBF_1 -12 0 - 0 0 . chr1 232186840 232186841 chr1:232186841:A:T rs13374016 A T A EBF1_EBF_1 -16 0 - 0 0 . chr1 232211522 232211523 chr1:232211523:G:T rs7366110 G T G EBF1_EBF_1 13 1 - 7.092557808179601 6.718812358893625 AATCCTCAGGGACC chr1 232244621 232244622 chr1:232244622:G:A rs72751851 G A G EBF1_EBF_1 3 1 - 10.537565734418717 4.132166992865402 GTTCCCAGGGGACC chr1 232307467 232307468 chr1:232307468:G:A rs12744587 G A G EBF1_EBF_1 -6 0 + 0 0 . chr1 232333349 232333350 chr1:232333350:T:G rs3120761 T G G EBF1_EBF_1 28 0 + 0 0 . chr1 232352524 232352525 chr1:232352525:T:C rs55867846 T C T EBF1_EBF_1 18 0 - 0 0 . chr1 232370011 232370012 chr1:232370012:C:A rs76141051 C A C EBF1_EBF_1 12 1 + 5.38020021713488 5.410381615556206 TGTCCTCAGGGACC chr1 232386316 232386317 chr1:232386317:C:T rs1766600 C T T EBF1_EBF_1 12 1 + 6.044410971033037 5.018144400482187 CATCCCTAGGGCCC chr1 232386325 232386326 chr1:232386326:C:T rs1766599 C T C EBF1_EBF_1 21 0 + 0 0 . chr1 232399506 232399507 chr1:232399507:G:T rs12038451 G T G EBF1_EBF_1 2 1 - 6.766955312617088 4.971311136460361 CACCCCATGGGAGC chr1 232453244 232453245 chr1:232453245:G:C rs77462080 G C G EBF1_EBF_1 -1 0 - 0 0 . chr1 232548943 232548944 chr1:232548944:G:C rs59589748 G C G EBF1_EBF_1 31 0 - 0 0 . chr1 232548961 232548962 chr1:232548962:A:G rs60110079 A G G EBF1_EBF_1 13 1 - 6.0134981791559 5.169004064570382 AGCCCCTTGGGCTT chr1 232571685 232571686 chr1:232571686:C:T rs6679442 C T C EBF1_EBF_1 14 0 - 0 0 . chr1 232636626 232636627 chr1:232636627:C:T rs73101332 C T C EBF1_EBF_1 -10 0 - 0 0 . chr1 232684340 232684341 chr1:232684341:G:T rs9424579 G T G EBF1_EBF_1 28 0 - 0 0 . chr1 232686455 232686456 chr1:232686456:C:T rs1334411 C T T EBF1_EBF_1 -12 0 + 0 0 . chr1 232795824 232795825 chr1:232795825:C:T rs2644431 C T C EBF1_EBF_1 3 1 + 5.643527917571881 -0.7618708239814366 TTTCCCCTGGAAAC chr1 232845729 232845730 chr1:232845730:T:C rs2795441 T C T EBF1_EBF_1 -11 0 + 0 0 . chr1 232944972 232944973 chr1:232944973:C:G rs7541446 C G C EBF1_EBF_1 7 1 - 5.054475992764525 4.073155411683169 ATCCCCAGGGGTGC chr1 233138502 233138503 chr1:233138503:A:G rs7522112 A G G EBF1_EBF_1 18 0 - 0 0 . chr1 233143509 233143510 chr1:233143510:T:C rs6687497 T C C EBF1_EBF_1 26 0 + 0 0 . chr1 233248263 233248264 chr1:233248264:G:A rs548476259 G A G EBF1_EBF_1 28 0 - 0 0 . chr1 233282295 233282296 chr1:233282296:G:A rs114244389 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 233289469 233289470 chr1:233289470:C:T rs150867604 C T C EBF1_EBF_1 -6 0 - 0 0 . chr1 233327344 233327345 chr1:233327345:T:G rs1035314403 T G T EBF1_EBF_1 28 0 - 0 0 . chr1 233364425 233364426 chr1:233364426:T:C rs12041901 T C T EBF1_EBF_1 15 0 - 0 0 . chr1 233387742 233387743 chr1:233387743:C:T rs1294210 C T T EBF1_EBF_1 20 0 - 0 0 . chr1 233390964 233390965 chr1:233390965:A:G rs1294188 A G A EBF1_EBF_1 27 0 + 0 0 . chr1 233439983 233439984 chr1:233439984:C:T rs79673854 C T C EBF1_EBF_1 -16 0 - 0 0 . chr1 233515981 233515982 chr1:233515982:G:A rs12022191 G A G EBF1_EBF_1 29 0 - 0 0 . chr1 233624171 233624172 chr1:233624172:T:C rs701213 T C C EBF1_EBF_1 -5 0 - 0 0 . chr1 233634640 233634641 chr1:233634641:A:C rs12407936 A C A EBF1_EBF_1 -4 0 - 0 0 . chr1 233653753 233653754 chr1:233653754:C:T rs7524378 C T T EBF1_EBF_1 -3 0 + 0 0 . chr1 233905570 233905571 chr1:233905571:C:T rs79244900 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 234047232 234047233 chr1:234047233:A:G rs1598962 A G A EBF1_EBF_1 -5 0 - 0 0 . chr1 234066280 234066281 chr1:234066281:T:C rs3892723 T C T EBF1_EBF_1 -16 0 + 0 0 . chr1 234126004 234126005 chr1:234126005:G:T rs11577949 G T G EBF1_EBF_1 33 0 - 0 0 . chr1 234234370 234234371 chr1:234234371:C:T rs1028831173 C T C EBF1_EBF_1 17 0 + 0 0 . chr1 234272947 234272948 chr1:234272948:A:G rs111827865 A G A EBF1_EBF_1 21 0 - 0 0 . chr1 234288924 234288925 chr1:234288925:A:T rs637780 A T A EBF1_EBF_1 29 0 - 0 0 . chr1 234296893 234296894 chr1:234296894:C:T rs484394 C T C EBF1_EBF_1 -20 0 - 0 0 . chr1 234307084 234307085 chr1:234307085:C:T chr1:234307085:C:T C T C EBF1_EBF_1 16 0 - 0 0 . chr1 234330945 234330946 chr1:234330946:G:A rs145313972 G A G EBF1_EBF_1 -4 0 + 0 0 . chr1 234382501 234382502 chr1:234382502:C:T rs61824515 C T C EBF1_EBF_1 7 1 + 5.045930363000927 6.863435109283551 ACACCCTCGGGAGA chr1 234428188 234428189 chr1:234428189:G:A rs10797545 G A G EBF1_EBF_1 30 0 - 0 0 . chr1 234435941 234435942 chr1:234435942:C:T rs57711955 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 234473256 234473257 chr1:234473257:C:T rs12090745 C T C EBF1_EBF_1 7 1 + 4.802537578083124 6.6200423243657465 AATCCCCCGGAACC chr1 234478419 234478420 chr1:234478420:C:T rs12098044 C T c EBF1_EBF_1 -15 0 + 0 0 . chr1 234478441 234478442 chr1:234478442:A:G rs12082990 A G g EBF1_EBF_1 7 1 + 5.610221377122446 4.297762971833621 GGACCCCAGGGACG chr1 234479124 234479125 chr1:234479125:G:A rs12081238 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 234479132 234479133 chr1:234479133:C:A rs941966 C A C EBF1_EBF_1 1 1 + 5.270410987488448 5.199483810136364 GCTCCCAAAGGAAG chr1 234479140 234479141 chr1:234479141:G:A rs541829636 G A G EBF1_EBF_1 9 1 + 5.270410987488448 2.615471722040845 GCTCCCAAAGGAAG chr1 234479149 234479150 chr1:234479150:C:T rs6689217 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 234479150 234479151 chr1:234479151:G:A rs762655222 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 234479155 234479156 chr1:234479156:G:C rs530412206 G C G EBF1_EBF_1 24 0 + 0 0 . chr1 234479271 234479272 chr1:234479272:T:C rs516626 T C T EBF1_EBF_1 7 1 + 5.826278219899124 4.008773473616501 CTTCCCTTGGGTTC chr1 234487855 234487856 chr1:234487856:C:T rs4920184 C T C EBF1_EBF_1 10 1 - 5.804746506258829 0.48671804699186366 TCTCCCCTGCGACT chr1 234492271 234492272 chr1:234492272:T:C rs271752 T C C EBF1_EBF_1 -12 0 + 0 0 . chr1 234509628 234509629 chr1:234509629:G:A rs116388441 G A G EBF1_EBF_1 26 0 + 0 0 . chr1 234534579 234534580 chr1:234534580:G:T rs78713726 G T G EBF1_EBF_1 -12 0 - 0 0 . chr1 234537696 234537697 chr1:234537697:C:T rs28653453 C T C EBF1_EBF_1 7 1 - 10.09519081321625 11.407649218505076 TTTCCCCGGGGACC chr1 234540202 234540203 chr1:234540203:C:G rs141104661 C G C EBF1_EBF_1 1 1 + 6.801129328600517 6.990900983169734 ACTCCCTGGAGGAT chr1 234562651 234562652 chr1:234562652:G:A rs3738596 G A G EBF1_EBF_1 20 0 + 0 0 . chr1 234585591 234585592 chr1:234585592:C:T rs150236774 C T C EBF1_EBF_1 18 0 + 0 0 . chr1 234610338 234610339 chr1:234610339:C:G rs190466390 C G C EBF1_EBF_1 -18 0 + 0 0 . chr1 234610364 234610365 chr1:234610365:C:T rs569323537 C T C EBF1_EBF_1 8 1 + 6.024956198187106 4.452182270124598 GTTCCCTTCGGAAC chr1 234610527 234610528 chr1:234610528:C:G rs12136983 C G C EBF1_EBF_1 26 0 - 0 0 . chr1 234673105 234673106 chr1:234673106:G:T rs604541 G T T EBF1_EBF_1 -8 0 + 0 0 . chr1 234700540 234700541 chr1:234700541:G:C rs901344514 G C G EBF1_EBF_1 -16 0 - 0 0 . chr1 234701896 234701897 chr1:234701897:T:C rs629071 T C T EBF1_EBF_1 31 0 + 0 0 . chr1 234707184 234707185 chr1:234707185:A:T rs549209 A T A EBF1_EBF_1 31 0 + 0 0 . chr1 234726689 234726690 chr1:234726690:G:C rs989528709 G C G EBF1_EBF_1 5 1 - 6.044048250663116 -0.8608329019720513 TTCCCCCTGAGAAA chr1 234756662 234756663 chr1:234756663:G:A rs80006574 G A G EBF1_EBF_1 29 0 + 0 0 . chr1 234758613 234758614 chr1:234758614:A:C rs11587652 A C A EBF1_EBF_1 17 0 + 0 0 . chr1 234778946 234778947 chr1:234778947:C:T rs553626126 C T C EBF1_EBF_1 14 0 + 0 0 . chr1 234781517 234781518 chr1:234781518:A:G rs6697871 A G A EBF1_EBF_1 -3 0 + 0 0 . chr1 234784257 234784258 chr1:234784258:C:T rs372367124 C T C EBF1_EBF_1 4 1 + 7.973475724847437 3.604088779902514 CCTCCCCTGAGAAT chr1 234811314 234811315 chr1:234811315:A:T rs75504415 A T A EBF1_EBF_1 -5 0 + 0 0 . chr1 234821953 234821954 chr1:234821954:T:A rs12080133 T A T EBF1_EBF_1 12 1 - 6.1760467464472 5.119598777475025 ACACCCCAGAGAAC chr1 234826058 234826059 chr1:234826059:A:G rs138647910 A G A EBF1_EBF_1 32 0 - 0 0 . chr1 234840324 234840325 chr1:234840325:T:G rs2796162 T G G EBF1_EBF_1 1 1 + 5.439851113259306 4.734189327429777 ATTCTCCAGGGCCT chr1 234851937 234851938 chr1:234851938:G:A rs77383926 G A G EBF1_EBF_1 -12 0 + 0 0 . chr1 234851956 234851957 chr1:234851957:T:C rs2802977 T C t EBF1_EBF_1 7 1 + 5.8568718274865725 4.039367081203949 GTCCCCCTGGGGCA chr1 234851958 234851959 chr1:234851959:G:T rs2673945 G T G EBF1_EBF_1 9 1 + 5.8568718274865725 -0.980117580602238 GTCCCCCTGGGGCA chr1 234855414 234855415 chr1:234855415:C:T rs78794571 C T C EBF1_EBF_1 -9 0 - 0 0 . chr1 234869543 234869544 chr1:234869544:A:G rs2459150 A G G EBF1_EBF_1 -11 0 + 0 0 . chr1 234871807 234871808 chr1:234871808:A:G rs12735822 A G A EBF1_EBF_1 7 1 - 6.884914801367205 5.067410055084581 TTTCCCATAGGATT chr1 234876226 234876227 chr1:234876227:C:A rs141279135 C A C EBF1_EBF_1 -20 0 + 0 0 . chr1 234876241 234876242 chr1:234876242:G:A rs4920196 G A G EBF1_EBF_1 -5 0 + 0 0 . chr1 234886434 234886435 chr1:234886435:C:T rs7546584 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 234888253 234888254 chr1:234888254:A:G rs6664412 A G G EBF1_EBF_1 1 1 - 4.626945011235337 3.7315115708365907 CTTCCCAGGAGGCC chr1 234893491 234893492 chr1:234893492:G:A rs2803008 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 234913434 234913435 chr1:234913435:T:G rs2802989 T G A EBF1_EBF_1 0 1 + 6.846104236231661 6.926876789632849 TCTCCCTGGAGACC chr1 234957420 234957421 chr1:234957421:A:C chr1:234957421:A:C A C A EBF1_EBF_1 -20 0 + 0 0 . chr1 234958218 234958219 chr1:234958219:A:G rs2802926 A G A EBF1_EBF_1 -8 0 + 0 0 . chr1 234958240 234958241 chr1:234958241:A:G rs2802927 A G G EBF1_EBF_1 14 0 + 0 0 . chr1 234960157 234960158 chr1:234960158:A:G rs2674000 A G G EBF1_EBF_1 32 0 + 0 0 . chr1 234971201 234971202 chr1:234971202:G:A rs116618876 G A G EBF1_EBF_1 -4 0 + 0 0 . chr1 235007631 235007632 chr1:235007632:G:A rs28740883 G A A EBF1_EBF_1 -18 0 + 0 0 . chr1 235011717 235011718 chr1:235011718:A:C chr1:235011718:A:C A C A EBF1_EBF_1 13 1 + 4.990777089580207 5.364522538866183 AGTCCCTCGGAACA chr1 235023414 235023415 chr1:235023415:G:A rs28372194 G A G EBF1_EBF_1 12 1 - 5.996513297061057 4.970246726510207 AGCCCCCAGGGCCA chr1 235023440 235023441 chr1:235023441:A:G rs28719157 A G a EBF1_EBF_1 -14 0 - 0 0 . chr1 235047633 235047634 chr1:235047634:A:C rs35025928 A C A EBF1_EBF_1 23 0 + 0 0 . chr1 235050989 235050990 chr1:235050990:C:T rs369324838 C T C EBF1_EBF_1 -20 0 + 0 0 . chr1 235050991 235050992 chr1:235050992:C:A rs2897454 C A c EBF1_EBF_1 -18 0 + 0 0 . chr1 235055262 235055263 chr1:235055263:A:C rs76102922 A C A EBF1_EBF_1 -11 0 + 0 0 . chr1 235067490 235067491 chr1:235067491:G:A rs928482562 G A g EBF1_EBF_1 -19 0 + 0 0 . chr1 235067506 235067507 chr1:235067507:A:G rs941404098 A G A EBF1_EBF_1 -3 0 + 0 0 . chr1 235079552 235079553 chr1:235079553:T:C rs908652855 T C T EBF1_EBF_1 30 0 - 0 0 . chr1 235093089 235093090 chr1:235093090:A:G rs1288920031 A G A EBF1_EBF_1 -2 0 - 0 0 . chr1 235093305 235093306 chr1:235093306:A:G rs72632296 A G A EBF1_EBF_1 6 1 - 7.322806877102407 7.0215207482406505 GCTCCCTAGGGCCT chr1 235114520 235114521 chr1:235114521:C:T rs4300293 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 235253453 235253454 chr1:235253454:T:A rs72756079 T A T EBF1_EBF_1 -7 0 - 0 0 . chr1 235256322 235256323 chr1:235256323:C:T rs74762606 C T C EBF1_EBF_1 2 1 + 6.053861906893419 7.942685318335567 AACCCCCAGGGTCA chr1 235266591 235266592 chr1:235266592:A:C rs2891026 A C C EBF1_EBF_1 -6 0 + 0 0 . chr1 235327271 235327272 chr1:235327272:C:T rs1472100029 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 235327278 235327279 chr1:235327279:G:A rs373961083 G A G EBF1_EBF_1 -7 0 + 0 0 . chr1 235327309 235327310 chr1:235327310:C:G chr1:235327310:C:G C G C EBF1_EBF_1 24 0 + 0 0 . chr1 235327310 235327311 chr1:235327311:C:G rs960521514 C G C EBF1_EBF_1 25 0 + 0 0 . chr1 235327740 235327741 chr1:235327741:C:T rs78646791 C T C EBF1_EBF_1 26 0 + 0 0 . chr1 235361937 235361938 chr1:235361938:G:A rs6699315 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 235547271 235547272 chr1:235547272:G:A rs6664219 G A A EBF1_EBF_1 -3 0 + 0 0 . chr1 235589980 235589981 chr1:235589981:C:T rs75292448 C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 235601670 235601671 chr1:235601671:T:A rs59867624 T A T EBF1_EBF_1 -1 0 + 0 0 . chr1 235634366 235634367 chr1:235634367:C:G rs12031819 C G G EBF1_EBF_1 9 1 - 5.707680620775152 0.6319914744296883 AATCCCCAGGAAGC chr1 235635265 235635266 chr1:235635266:A:G rs7555242 A G G EBF1_EBF_1 -6 0 - 0 0 . chr1 235645007 235645008 chr1:235645008:G:A rs1107302 G A G EBF1_EBF_1 22 0 + 0 0 . chr1 235689372 235689373 chr1:235689373:A:G rs59837312 A G A EBF1_EBF_1 -6 0 - 0 0 . chr1 235845115 235845116 chr1:235845116:C:T rs142585971 C T C EBF1_EBF_1 -15 0 + 0 0 . chr1 235866257 235866258 chr1:235866258:G:C rs146766703 G C G EBF1_EBF_1 -10 0 - 0 0 . chr1 235920911 235920912 chr1:235920912:T:C rs4659615 T C T EBF1_EBF_1 -18 0 - 0 0 . chr1 235923668 235923669 chr1:235923669:C:T rs115125218 C T A EBF1_EBF_1 -20 0 - 0 0 . chr1 235948540 235948541 chr1:235948541:C:T rs16832997 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 235977873 235977874 chr1:235977874:T:C rs3213190 T C C EBF1_EBF_1 -15 0 + 0 0 . chr1 235979264 235979265 chr1:235979265:T:C rs1536132 T C C EBF1_EBF_1 -3 0 - 0 0 . chr1 235979269 235979270 chr1:235979270:C:G rs3738524 C G C EBF1_EBF_1 -8 0 - 0 0 . chr1 235999482 235999483 chr1:235999483:T:C rs4660142 T C C EBF1_EBF_1 -20 0 + 0 0 . chr1 235999501 235999502 chr1:235999502:G:A rs192265857 G A G EBF1_EBF_1 -1 0 + 0 0 . chr1 235999528 235999529 chr1:235999529:G:T rs563282951 G T g EBF1_EBF_1 26 0 + 0 0 . chr1 236111504 236111505 chr1:236111505:G:A rs7519217 G A G EBF1_EBF_1 1 1 + 7.583073650532037 7.322374818610734 CGTCCCCAGAGATT chr1 236113879 236113880 chr1:236113880:G:A rs6701859 G A A EBF1_EBF_1 -18 0 - 0 0 . chr1 236121194 236121195 chr1:236121195:G:A rs72767415 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 236129316 236129317 chr1:236129317:T:C rs10754471 T C C EBF1_EBF_1 25 0 - 0 0 . chr1 236162466 236162467 chr1:236162467:C:T rs7530557 C T c EBF1_EBF_1 25 0 - 0 0 . chr1 236192584 236192585 chr1:236192585:T:C rs145985332 T C t EBF1_EBF_1 2 1 - 6.344692315042812 3.2808342124412206 CTACCCAAGGGAAG chr1 236288110 236288111 chr1:236288111:C:T rs73117239 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 236328668 236328669 chr1:236328669:T:C rs10802526 T C G EBF1_EBF_1 18 0 + 0 0 . chr1 236376048 236376049 chr1:236376049:T:C rs12128622 T C C EBF1_EBF_1 -5 0 + 0 0 . chr1 236379722 236379723 chr1:236379723:T:G rs1838611 T G t EBF1_EBF_1 -12 0 - 0 0 . chr1 236394336 236394337 chr1:236394337:A:G rs193042388 A G A EBF1_EBF_1 18 0 + 0 0 . chr1 236395748 236395749 chr1:236395749:G:A rs115678841 G A G EBF1_EBF_1 -17 0 + 0 0 . chr1 236418822 236418823 chr1:236418823:C:T rs603579 C T T EBF1_EBF_1 4 1 + 9.212681102472713 4.843294157527789 GTTCCCACGGGAAA chr1 236425083 236425084 chr1:236425084:C:G rs677258 C G C EBF1_EBF_1 9 1 - 8.396705147059542 3.321016000714078 CATCCCCAGGGAGA chr1 236425323 236425324 chr1:236425324:C:G rs578198452 C G C EBF1_EBF_1 8 1 - 6.104306567297112 0.7856477063824255 ACCCCCAGGGGCAT chr1 236426525 236426526 chr1:236426526:A:G rs685793 A G G EBF1_EBF_1 26 0 - 0 0 . chr1 236471766 236471767 chr1:236471767:C:T rs149296939 C T C EBF1_EBF_1 8 1 - 5.076622745694348 0.850332656808087 ATTGCCACGGGAGT chr1 236472359 236472360 chr1:236472360:G:C rs187657593 G C G EBF1_EBF_1 4 1 - 10.96120820600548 5.335443104855937 GCTCCCCTGGGACT chr1 236518449 236518450 chr1:236518450:G:A rs1266380 G A G EBF1_EBF_1 31 0 - 0 0 . chr1 236524670 236524671 chr1:236524671:C:T rs552960731 C T C EBF1_EBF_1 -1 0 - 0 0 . chr1 236524671 236524672 chr1:236524672:T:A rs759781090 T A T EBF1_EBF_1 -2 0 - 0 0 . chr1 236543570 236543571 chr1:236543571:C:T rs142566127 C T C EBF1_EBF_1 -16 0 + 0 0 . chr1 236552541 236552542 chr1:236552542:A:G rs1042310 A G G EBF1_EBF_1 33 0 - 0 0 . chr1 236605053 236605054 chr1:236605054:T:C rs79201848 T C T EBF1_EBF_1 20 0 - 0 0 . chr1 236687449 236687450 chr1:236687450:T:A rs707221 T A A EBF1_EBF_1 -18 0 - 0 0 . chr1 236688834 236688835 chr1:236688835:G:A rs79277266 G A G EBF1_EBF_1 27 0 + 0 0 . chr1 236795114 236795115 chr1:236795115:G:A rs1342691469 G A G EBF1_EBF_1 -10 0 + 0 0 . chr1 236795124 236795125 chr1:236795125:G:A rs115522294 G A G EBF1_EBF_1 0 1 + 6.150453230799492 8.042737100364327 GCTCCCGCGGGAAA chr1 236795143 236795144 chr1:236795144:G:A rs188239845 G A G EBF1_EBF_1 19 0 + 0 0 . chr1 236795781 236795782 chr1:236795782:C:T rs10399834 C T C EBF1_EBF_1 -18 0 + 0 0 . chr1 236838025 236838026 chr1:236838026:C:T rs6668344 C T T EBF1_EBF_1 2 1 + 5.361165190093157 7.249988601535307 GTCCCCAAAGGACA chr1 236872753 236872754 chr1:236872754:G:A rs4659479 G A - EBF1_EBF_1 -2 0 + 0 0 . chr1 236893094 236893095 chr1:236893095:C:G rs3820570 C G C EBF1_EBF_1 17 0 - 0 0 . chr1 236908246 236908247 chr1:236908247:A:G rs12563463 A G A EBF1_EBF_1 1 1 - 5.36840332870417 4.472969888305425 GTCCCCCAGCGACT chr1 236920418 236920419 chr1:236920419:A:G rs6428977 A G A EBF1_EBF_1 9 1 + 6.7105183946355025 9.365457660083106 GATCCCTAGAGAAG chr1 236947325 236947326 chr1:236947326:A:G rs7545717 A G G EBF1_EBF_1 -6 0 + 0 0 . chr1 236987675 236987676 chr1:236987676:G:A rs1590100 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 237030881 237030882 chr1:237030882:A:G rs10802579 A G A EBF1_EBF_1 -20 0 - 0 0 . chr1 237058736 237058737 chr1:237058737:C:T rs57636512 C T C EBF1_EBF_1 6 1 + 7.107110161224844 7.408396290086602 CCTCCCCGGAGACT chr1 237134009 237134010 chr1:237134010:G:A rs2490376 G A G EBF1_EBF_1 32 0 - 0 0 . chr1 237172758 237172759 chr1:237172759:A:G rs115686532 A G A EBF1_EBF_1 28 0 - 0 0 . chr1 237172780 237172781 chr1:237172781:A:T rs1415718 A T A EBF1_EBF_1 6 1 - 4.802879743096298 4.863195982035817 CCTCCCTGGGGCCC chr1 237235003 237235004 chr1:237235004:C:T rs1037152625 C T C EBF1_EBF_1 5 1 + 4.790436766276703 -0.5313504492639667 CCCCCCCTGGGGTT chr1 237270324 237270325 chr1:237270325:C:T rs2275287 C T C EBF1_EBF_1 25 0 - 0 0 . chr1 237323644 237323645 chr1:237323645:T:C rs974892 T C C EBF1_EBF_1 -8 0 + 0 0 . chr1 237574561 237574562 chr1:237574562:C:A rs6689392 C A C EBF1_EBF_1 10 1 - 6.441128334677274 2.258376148450009 AATCCCTAAGGGCT chr1 237603452 237603453 chr1:237603453:A:G rs12137565 A G a EBF1_EBF_1 -16 0 - 0 0 . chr1 237682630 237682631 chr1:237682631:A:G rs12735668 A G A EBF1_EBF_1 18 0 - 0 0 . chr1 237709586 237709587 chr1:237709587:T:C rs74323916 T C C EBF1_EBF_1 -14 0 - 0 0 . chr1 237712116 237712117 chr1:237712117:C:T rs2685299 C T C EBF1_EBF_1 6 1 + 5.6293251218124185 5.930611250674176 GCCCCCCGGGGAGA chr1 237748282 237748283 chr1:237748283:C:T rs867636548 C T C EBF1_EBF_1 -15 0 + 0 0 . chr1 237748317 237748318 chr1:237748318:A:G rs566026695 A G a EBF1_EBF_1 20 0 + 0 0 . chr1 237901553 237901554 chr1:237901554:T:C rs114557554 T C T EBF1_EBF_1 6 1 - 5.694391911353955 3.5275974800794803 TCTCCCATGAGGCT chr1 237901570 237901571 chr1:237901571:C:T rs116324878 C T C EBF1_EBF_1 -11 0 - 0 0 . chr1 237919108 237919109 chr1:237919109:C:T rs11808780 C T C EBF1_EBF_1 0 1 - 4.073019301854169 5.965303171419001 GGTCCCCCGGGCCC chr1 238269246 238269247 chr1:238269247:G:A rs9658992 G A G EBF1_EBF_1 2 1 - 6.881924858551952 8.770748269994103 TTCCCCAAGAGAAA chr1 238331364 238331365 chr1:238331365:C:T rs17528326 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 238409943 238409944 chr1:238409944:G:A rs6679684 G A A EBF1_EBF_1 -3 0 + 0 0 . chr1 238624970 238624971 chr1:238624971:C:G rs957331 C G C EBF1_EBF_1 6 1 + 4.638889592514628 2.833697529041431 CTCCCCCAGGGCTT chr1 238744803 238744804 chr1:238744804:T:C rs2091077 T C C EBF1_EBF_1 4 1 - 5.884375723913369 6.083170246217292 TTTCACTAGGGACC chr1 238752325 238752326 chr1:238752326:A:G rs2689174 A G A EBF1_EBF_1 20 0 - 0 0 . chr1 238841680 238841681 chr1:238841681:A:C rs3011594 A C C EBF1_EBF_1 -19 0 + 0 0 . chr1 239059294 239059295 chr1:239059295:A:T rs11807623 A T T EBF1_EBF_1 2 1 - 6.794239594244436 3.1097720066455605 AATCCCTAGAGGCA chr1 239085276 239085277 chr1:239085277:A:C rs61834580 A C C EBF1_EBF_1 1 1 + 5.932796451137408 6.003723628489493 CATCCCCAAGGACC chr1 239085311 239085312 chr1:239085312:A:G rs6677311 A G G EBF1_EBF_1 -1 0 + 0 0 . chr1 239096887 239096888 chr1:239096888:A:C rs57242569 A C C EBF1_EBF_1 18 0 + 0 0 . chr1 239098711 239098712 chr1:239098712:A:T rs73121592 A T T EBF1_EBF_1 -14 0 - 0 0 . chr1 239126659 239126660 chr1:239126660:A:T rs79369609 A T T EBF1_EBF_1 -10 0 - 0 0 . chr1 239367577 239367578 chr1:239367578:T:C rs80110474 T C T EBF1_EBF_1 22 0 - 0 0 . chr1 239367617 239367618 chr1:239367618:C:T rs1317951 C T C EBF1_EBF_1 -18 0 - 0 0 . chr1 239386416 239386417 chr1:239386417:C:A rs182483325 C A C EBF1_EBF_1 19 0 - 0 0 . chr1 239386437 239386438 chr1:239386438:C:T rs79640090 C T C EBF1_EBF_1 -2 0 - 0 0 . chr1 239431835 239431836 chr1:239431836:G:A rs7536303 G A A EBF1_EBF_1 -18 0 + 0 0 . chr1 239762013 239762014 chr1:239762014:G:A rs143673162 G A G EBF1_EBF_1 -20 0 - 0 0 . chr1 239816222 239816223 chr1:239816223:G:A rs74149217 G A G EBF1_EBF_1 -13 0 + 0 0 . chr1 239908509 239908510 chr1:239908510:C:T rs139009806 C T C EBF1_EBF_1 28 0 + 0 0 . chr1 239953178 239953179 chr1:239953179:G:A rs147383120 G A g EBF1_EBF_1 -11 0 + 0 0 . chr1 239953183 239953184 chr1:239953184:A:G rs12124276 A G a EBF1_EBF_1 -6 0 + 0 0 . chr1 239953197 239953198 chr1:239953198:G:T rs12127693 G T g EBF1_EBF_1 8 1 + 6.926559060845272 0.03512627186807776 GCACCCAAGGGAAA chr1 239954964 239954965 chr1:239954965:T:C rs1984165 T C c EBF1_EBF_1 23 0 - 0 0 . chr1 239955142 239955143 chr1:239955143:T:C rs12404911 T C t EBF1_EBF_1 -16 0 - 0 0 . chr1 239994749 239994750 chr1:239994750:C:T rs7531172 C T t EBF1_EBF_1 -10 0 - 0 0 . chr1 239998078 239998079 chr1:239998079:C:A rs115769065 C A c EBF1_EBF_1 10 1 - 4.424488105583062 0.2417359193557963 ACGCCCCGGGGACC chr1 239998083 239998084 chr1:239998084:G:T rs576646034 G T g EBF1_EBF_1 5 1 - 4.424488105583062 -2.4803930470521074 ACGCCCCGGGGACC chr1 239998085 239998086 chr1:239998086:G:A rs543913979 G A g EBF1_EBF_1 3 1 - 4.424488105583062 -1.9809106359702553 ACGCCCCGGGGACC chr1 239998087 239998088 chr1:239998088:G:T rs12059025 G T g EBF1_EBF_1 1 1 - 4.424488105583062 4.353560928230977 ACGCCCCGGGGACC chr1 240091998 240091999 chr1:240091999:C:G rs569874017 C G C EBF1_EBF_1 -15 0 + 0 0 . chr1 240092036 240092037 chr1:240092037:C:T chr1:240092037:C:T C T C EBF1_EBF_1 23 0 + 0 0 . chr1 240308320 240308321 chr1:240308321:G:A rs12143787 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 240313078 240313079 chr1:240313079:A:C rs4434829 A C A EBF1_EBF_1 30 0 + 0 0 . chr1 240356327 240356328 chr1:240356328:A:G rs186676026 A G A EBF1_EBF_1 1 1 - 5.920751859965958 5.0253184195672125 GTTCCCTGGGTACA chr1 240462951 240462952 chr1:240462952:C:A rs764026955 C A C EBF1_EBF_1 10 1 - 11.856641646404226 7.673889460176963 GTTCCCCTGGGACT chr1 240480134 240480135 chr1:240480135:A:T rs12748248 A T A EBF1_EBF_1 11 1 - 7.36837734208515 11.466291598183876 CCTCCCAAGGGTAT chr1 240498212 240498213 chr1:240498213:G:A rs12567336 G A G EBF1_EBF_1 33 0 - 0 0 . chr1 240499389 240499390 chr1:240499390:T:C rs10926284 T C T EBF1_EBF_1 -8 0 + 0 0 . chr1 240599465 240599466 chr1:240599466:T:C rs1934345 T C C EBF1_EBF_1 -4 0 - 0 0 . chr1 240744195 240744196 chr1:240744196:G:C rs957964 G C C EBF1_EBF_1 -7 0 - 0 0 . chr1 240796828 240796829 chr1:240796829:C:G rs59041386 C G C EBF1_EBF_1 25 0 + 0 0 . chr1 240815249 240815250 chr1:240815250:G:C rs10926362 G C G EBF1_EBF_1 -3 0 + 0 0 . chr1 240876221 240876222 chr1:240876222:T:C rs4659583 T C T EBF1_EBF_1 2 1 - 6.462427902242412 3.3985697996408213 GCACCCCTGGGAAC chr1 241167141 241167142 chr1:241167142:C:T rs4659588 C T T EBF1_EBF_1 -4 0 - 0 0 . chr1 241182278 241182279 chr1:241182279:A:T rs4339850 A T T EBF1_EBF_1 -6 0 + 0 0 . chr1 241253721 241253722 chr1:241253722:T:G rs56725227 T G T EBF1_EBF_1 -8 0 + 0 0 . chr1 241291206 241291207 chr1:241291207:T:C rs6697953 T C T EBF1_EBF_1 -6 0 + 0 0 . chr1 241305646 241305647 chr1:241305647:G:T rs6704085 G T G EBF1_EBF_1 5 1 - 5.7042893574226285 -1.2005917952125398 CCCCCCAAGAGAAA chr1 241356331 241356332 chr1:241356332:G:C rs1341465 G C G EBF1_EBF_1 -13 0 + 0 0 . chr1 241391397 241391398 chr1:241391398:C:A rs72762444 C A C EBF1_EBF_1 16 0 - 0 0 . chr1 241420188 241420189 chr1:241420189:G:A rs111279687 G A G EBF1_EBF_1 25 0 - 0 0 . chr1 241542223 241542224 chr1:241542224:G:A rs12076981 G A G EBF1_EBF_1 12 1 - 4.536760491531177 3.5104939209803265 GTTCCCATGTGACC chr1 241552222 241552223 chr1:241552223:G:A rs12083836 G A G EBF1_EBF_1 8 1 + 6.6813470803239685 2.455056991437708 TTCCCCAAGAGAGT chr1 241638094 241638095 chr1:241638095:A:C rs581510 A C A EBF1_EBF_1 7 1 + 4.10643076624765 1.8126517798774693 GCCCCCCAGGGCTT chr1 241638098 241638099 chr1:241638099:C:G rs581508 C G C EBF1_EBF_1 11 1 + 4.10643076624765 5.629685620496028 GCCCCCCAGGGCTT chr1 241641502 241641503 chr1:241641503:A:C rs598292 A C A EBF1_EBF_1 -7 0 + 0 0 . chr1 241824197 241824198 chr1:241824198:T:A rs9428527 T A T EBF1_EBF_1 0 1 - 5.658126206956072 3.68506978399005 ACTCCCTGGGGCTA chr1 241854938 241854939 chr1:241854939:G:A rs4149878 G A g EBF1_EBF_1 32 0 - 0 0 . chr1 241857367 241857368 chr1:241857368:T:A rs149474050 T A T EBF1_EBF_1 -3 0 - 0 0 . chr1 241906944 241906945 chr1:241906945:A:C rs1635513 A C C EBF1_EBF_1 -16 0 - 0 0 . chr1 241919021 241919022 chr1:241919022:G:A rs4658393 G A g EBF1_EBF_1 8 1 + 8.024919255849788 3.7986291669635275 AGCCCCAGGAGACT chr1 242000927 242000928 chr1:242000928:C:T rs72759143 C T C EBF1_EBF_1 23 0 - 0 0 . chr1 242053624 242053625 chr1:242053625:A:C rs6681080 A C a EBF1_EBF_1 -7 0 - 0 0 . chr1 242080255 242080256 chr1:242080256:C:T rs72761212 C T c EBF1_EBF_1 26 0 - 0 0 . chr1 242107038 242107039 chr1:242107039:C:T rs4274045 C T T EBF1_EBF_1 15 0 - 0 0 . chr1 242107070 242107071 chr1:242107071:A:T rs2053191 A T A EBF1_EBF_1 -17 0 - 0 0 . chr1 242179201 242179202 chr1:242179202:C:T rs316873 C T C EBF1_EBF_1 -2 0 + 0 0 . chr1 242322088 242322089 chr1:242322089:A:G rs11589370 A G A EBF1_EBF_1 10 1 - 7.33447873776984 4.626428536667629 CTTCCCAAGGTAAC chr1 242524087 242524088 chr1:242524088:G:A rs2810008 G A G EBF1_EBF_1 -14 0 - 0 0 . chr1 242637491 242637492 chr1:242637492:C:G rs10803063 C G c EBF1_EBF_1 -17 0 + 0 0 . chr1 242800974 242800975 chr1:242800975:C:G rs1556558 C G G EBF1_EBF_1 -10 0 - 0 0 . chr1 242806439 242806440 chr1:242806440:T:C rs6676101 T C C EBF1_EBF_1 -16 0 + 0 0 . chr1 242828614 242828615 chr1:242828615:C:G rs6682299 C G C EBF1_EBF_1 3 1 + 7.043267074904178 1.2155026267889613 AAACCCAAGGGGCT chr1 243269638 243269639 chr1:243269639:C:T rs74150963 C T C EBF1_EBF_1 6 1 + 10.328241983389573 10.62952811225133 TGTCCCCAGGGACA chr1 243482399 243482400 chr1:243482400:G:T rs144987092 G T G EBF1_EBF_1 -8 0 + 0 0 . chr1 243482400 243482401 chr1:243482401:C:T chr1:243482401:C:T C T C EBF1_EBF_1 -7 0 + 0 0 . chr1 243495177 243495178 chr1:243495178:G:A rs540384682 G A G EBF1_EBF_1 -5 0 - 0 0 . chr1 243501447 243501448 chr1:243501448:A:C rs370563834 A C A EBF1_EBF_1 6 1 - 7.605658542329651 5.499180349994696 AGACCCTGGGGACA chr1 243851187 243851188 chr1:243851188:C:A rs73117478 C A C EBF1_EBF_1 3 1 + 6.835493297819096 -0.07068073164671117 TATCCCAGGAGACC chr1 243851188 243851189 chr1:243851189:C:T rs144237584 C T C EBF1_EBF_1 4 1 + 6.835493297819096 2.466106352874172 TATCCCAGGAGACC chr1 243995049 243995050 chr1:243995050:G:C rs72763623 G C G EBF1_EBF_1 17 0 - 0 0 . chr1 244002932 244002933 chr1:244002933:T:G rs75281702 T G T EBF1_EBF_1 25 0 - 0 0 . chr1 244057733 244057734 chr1:244057734:A:G rs11590416 A G A EBF1_EBF_1 30 0 + 0 0 . chr1 244090928 244090929 chr1:244090929:C:T rs61833532 C T C EBF1_EBF_1 20 0 - 0 0 . chr1 244098001 244098002 chr1:244098002:G:C rs12406798 G C G EBF1_EBF_1 12 1 - 4.488875718815962 3.1002397751378097 GCTTCCTAGGGACC chr1 244162946 244162947 chr1:244162947:C:G rs77244288 C G C EBF1_EBF_1 -14 0 + 0 0 . chr1 244201060 244201061 chr1:244201061:C:T rs76728099 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 244211213 244211214 chr1:244211214:C:T rs78356696 C T C EBF1_EBF_1 31 0 + 0 0 . chr1 244211605 244211606 chr1:244211606:A:T rs72765844 A T A EBF1_EBF_1 15 0 - 0 0 . chr1 244211639 244211640 chr1:244211640:C:T rs11810106 C T C EBF1_EBF_1 -19 0 - 0 0 . chr1 244212542 244212543 chr1:244212543:G:A rs12741369 G A G EBF1_EBF_1 16 0 - 0 0 . chr1 244214857 244214858 chr1:244214858:T:G rs79109343 T G T EBF1_EBF_1 31 0 + 0 0 . chr1 244214958 244214959 chr1:244214959:C:T chr1:244214959:C:T C T C EBF1_EBF_1 -4 0 - 0 0 . chr1 244219442 244219443 chr1:244219443:G:T rs55801669 G T T EBF1_EBF_1 -7 0 - 0 0 . chr1 244223618 244223619 chr1:244223619:G:A rs74153646 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 244228315 244228316 chr1:244228316:A:G rs6692542 A G G EBF1_EBF_1 27 0 - 0 0 . chr1 244237692 244237693 chr1:244237693:G:A rs77042119 G A g EBF1_EBF_1 -9 0 + 0 0 . chr1 244253636 244253637 chr1:244253637:C:G rs79243969 C G C EBF1_EBF_1 8 1 - 6.4132569622907205 1.094598101376035 TAACCCCAGGGAAA chr1 244254619 244254620 chr1:244254620:A:G rs56055748 A G A EBF1_EBF_1 33 0 - 0 0 . chr1 244254628 244254629 chr1:244254629:G:A rs142467645 G A G EBF1_EBF_1 24 0 - 0 0 . chr1 244254646 244254647 chr1:244254647:G:A rs75074156 G A G EBF1_EBF_1 6 1 - 6.894252126014555 7.195538254876312 CTTCCCCAGCGACT chr1 244254655 244254656 chr1:244254656:A:G rs59767897 A G A EBF1_EBF_1 -3 0 - 0 0 . chr1 244273564 244273565 chr1:244273565:A:G rs73119485 A G A EBF1_EBF_1 -20 0 + 0 0 . chr1 244273573 244273574 chr1:244273574:A:C rs1264602673 A C A EBF1_EBF_1 -11 0 + 0 0 . chr1 244273601 244273602 chr1:244273602:T:A rs60797457 T A A EBF1_EBF_1 17 0 + 0 0 . chr1 244322339 244322340 chr1:244322340:G:T rs6681975 G T G EBF1_EBF_1 -9 0 - 0 0 . chr1 244339777 244339778 chr1:244339778:C:G rs483135 C G G EBF1_EBF_1 1 1 + 5.446023603555038 5.635795258124255 GCTCCCAGAGGAAC chr1 244364824 244364825 chr1:244364825:T:C rs9782996 T C C EBF1_EBF_1 -6 0 - 0 0 . chr1 244369634 244369635 chr1:244369635:C:T rs3123705 C T T EBF1_EBF_1 -16 0 - 0 0 . chr1 244395528 244395529 chr1:244395529:C:G rs113381876 C G C EBF1_EBF_1 8 1 - 5.867642662640781 0.548983801726094 CTCCCCCTGGGGCC chr1 244395567 244395568 chr1:244395568:C:A rs149094983 C A C EBF1_EBF_1 5 1 + 7.422487196204464 0.5176060435692933 AGTCCCCAAGGATC chr1 244395568 244395569 chr1:244395569:C:A rs192671464 C A C EBF1_EBF_1 6 1 + 7.422487196204464 7.784089564005741 AGTCCCCAAGGATC chr1 244436061 244436062 chr1:244436062:C:G rs778731246 C G C EBF1_EBF_1 3 1 + 5.643527917571881 -0.1842365305433355 TTTCCCCTGGAAAC chr1 244436088 244436089 chr1:244436089:G:A rs150228775 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 244652288 244652289 chr1:244652289:C:G chr1:244652289:C:G C G C EBF1_EBF_1 -4 0 + 0 0 . chr1 244653491 244653492 chr1:244653492:G:A rs146926193 G A A EBF1_EBF_1 -9 0 - 0 0 . chr1 244803312 244803313 chr1:244803313:C:A rs10927320 C A A EBF1_EBF_1 10 1 - 6.210769383167602 2.028017196940336 ACTCCCCAAGGGCT chr1 244820273 244820274 chr1:244820274:T:C rs76164603 T C T EBF1_EBF_1 6 1 - 6.539115580103519 4.372321148829044 CTTCCCATGGGTCA chr1 244840469 244840470 chr1:244840470:A:G rs12092895 A G A EBF1_EBF_1 -3 0 + 0 0 . chr1 244840475 244840476 chr1:244840476:C:T rs41269391 C T C EBF1_EBF_1 3 1 + 4.783484924184753 -1.6219138173685652 TGTCCCCTGGGCTC chr1 244918987 244918988 chr1:244918988:G:A rs9659459 G A A EBF1_EBF_1 24 0 - 0 0 . chr1 244918997 244918998 chr1:244918998:A:C rs117168172 A C A EBF1_EBF_1 14 0 - 0 0 . chr1 244920951 244920952 chr1:244920952:A:G rs2172955 A G A EBF1_EBF_1 30 0 - 0 0 . chr1 244969956 244969957 chr1:244969957:A:G rs755186356 A G a EBF1_EBF_1 28 0 + 0 0 . chr1 244970297 244970298 chr1:244970298:C:T rs73121673 C T C EBF1_EBF_1 3 1 + 7.754462275040242 1.349063533486925 CCTCCCCCGGGATT chr1 244970301 244970302 chr1:244970302:C:T rs560815700 C T C EBF1_EBF_1 7 1 + 7.754462275040242 9.571967021322864 CCTCCCCCGGGATT chr1 245124289 245124290 chr1:245124290:A:G rs10803267 A G G EBF1_EBF_1 -7 0 - 0 0 . chr1 245130796 245130797 chr1:245130797:G:A rs139382553 G A G EBF1_EBF_1 -10 0 - 0 0 . chr1 245134462 245134463 chr1:245134463:A:C rs75409540 A C C EBF1_EBF_1 11 1 + 6.075155455872827 1.6591937580204368 GCCCCCATGGGATG chr1 245134481 245134482 chr1:245134482:G:A rs370289924 G A G EBF1_EBF_1 30 0 + 0 0 . chr1 245195656 245195657 chr1:245195657:A:G rs150198802 A G A EBF1_EBF_1 -6 0 + 0 0 . chr1 245254797 245254798 chr1:245254798:A:G rs4658731 A G G EBF1_EBF_1 -13 0 - 0 0 . chr1 245257454 245257455 chr1:245257455:G:A rs4658733 G A G EBF1_EBF_1 7 1 - 5.466821225625085 7.284325971907707 ATTCCCTCGAGGCA chr1 245258373 245258374 chr1:245258374:G:C rs73128814 G C G EBF1_EBF_1 27 0 - 0 0 . chr1 245283509 245283510 chr1:245283510:A:G rs7544367 A G A EBF1_EBF_1 -2 0 - 0 0 . chr1 245317356 245317357 chr1:245317357:A:G rs57399171 A G G EBF1_EBF_1 -14 0 + 0 0 . chr1 245357852 245357853 chr1:245357853:A:G rs114479799 A G A EBF1_EBF_1 2 1 - 6.067441993294733 4.178618581852583 ATTCCCCTGTGACC chr1 245371509 245371510 chr1:245371510:C:G rs1054849392 C G C EBF1_EBF_1 5 1 + 4.701833563630099 -2.2030475890050703 ACACCCGCGGGACC chr1 245393991 245393992 chr1:245393992:A:C rs1173837 A C C EBF1_EBF_1 11 1 + 6.151830941162339 1.7358692433099492 AGTCCCCTTGGACT chr1 245394002 245394003 chr1:245394003:C:A rs59100811 C A C EBF1_EBF_1 22 0 + 0 0 . chr1 245402088 245402089 chr1:245402089:G:A rs1186445 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 245541866 245541867 chr1:245541867:G:C rs12029945 G C C EBF1_EBF_1 29 0 + 0 0 . chr1 245567210 245567211 chr1:245567211:G:A rs113934048 G A G EBF1_EBF_1 7 1 + 5.677870154804522 6.9903285600933485 TTTCCCCGGAGAGA chr1 245575939 245575940 chr1:245575940:G:A rs12080992 G A G EBF1_EBF_1 6 1 - 5.049136004169208 5.350422133030966 ACACCCCCGGGAAG chr1 245578553 245578554 chr1:245578554:G:A rs77092759 G A G EBF1_EBF_1 9 1 + 5.58992527814152 2.9349860126939156 TGCCCCCAGGGTAT chr1 245583975 245583976 chr1:245583976:T:C rs879223 T C T EBF1_EBF_1 -2 0 - 0 0 . chr1 245592856 245592857 chr1:245592857:G:C rs12569265 G C G EBF1_EBF_1 -13 0 - 0 0 . chr1 245605659 245605660 chr1:245605660:A:G rs61754911 A G A EBF1_EBF_1 7 1 - 7.131603424845003 5.314098678562378 GCCCCCATGGGAAG chr1 245606108 245606109 chr1:245606109:G:C rs1357346869 G C G EBF1_EBF_1 18 0 - 0 0 . chr1 245606110 245606111 chr1:245606111:C:T rs186939026 C T C EBF1_EBF_1 16 0 - 0 0 . chr1 245606113 245606114 chr1:245606114:A:C rs72762888 A C A EBF1_EBF_1 13 1 - 4.849946651607196 2.517381515666263 AACCCCCCAGGACT chr1 245614659 245614660 chr1:245614660:C:T rs536778053 C T C EBF1_EBF_1 21 0 - 0 0 . chr1 245615806 245615807 chr1:245615807:G:A rs113374924 G A G EBF1_EBF_1 31 0 + 0 0 . chr1 245657274 245657275 chr1:245657275:A:G rs12027003 A G A EBF1_EBF_1 -17 0 + 0 0 . chr1 245673901 245673902 chr1:245673902:G:C rs189129044 G C G EBF1_EBF_1 12 1 - 11.969941272360018 10.581305328681868 CTTCCCCAGGGACT chr1 245680627 245680628 chr1:245680628:G:A rs12759395 G A G EBF1_EBF_1 18 0 + 0 0 . chr1 245683195 245683196 chr1:245683196:C:T rs75239595 C T C EBF1_EBF_1 3 1 + 4.47199082875268 -1.9334079128006365 CCTCTCCAGGGAGC chr1 245683205 245683206 chr1:245683206:C:T rs66786720 C T C EBF1_EBF_1 13 1 + 4.47199082875268 5.316484943338198 CCTCTCCAGGGAGC chr1 245683217 245683218 chr1:245683218:T:C rs4658467 T C T EBF1_EBF_1 25 0 + 0 0 . chr1 245683220 245683221 chr1:245683221:G:A rs4658795 G A A EBF1_EBF_1 28 0 + 0 0 . chr1 245683512 245683513 chr1:245683513:G:A rs10924302 G A G EBF1_EBF_1 9 1 + 5.158409852854523 2.5034705874069187 AAACCCCAGGGCCT chr1 245705060 245705061 chr1:245705061:G:T rs2000101 G T G EBF1_EBF_1 6 1 - 5.232449477136023 5.5940518449373 ACTCCCCTCGGAAG chr1 245750981 245750982 chr1:245750982:G:A rs2362932 G A G EBF1_EBF_1 7 1 + 5.106368815734105 6.41882722102293 GGCCCCAGGAGATT chr1 245760558 245760559 chr1:245760559:G:A rs80077957 G A G EBF1_EBF_1 12 1 - 5.188881922977921 4.162615352427071 CTCCCCCTGGGCCT chr1 245763289 245763290 chr1:245763290:A:G rs56386902 A G A EBF1_EBF_1 26 0 - 0 0 . chr1 245787339 245787340 chr1:245787340:G:A rs7555819 G A G EBF1_EBF_1 17 0 - 0 0 . chr1 245787348 245787349 chr1:245787349:C:T rs78200434 C T c EBF1_EBF_1 8 1 - 4.635375268643318 0.40908517975705744 GCCCCCGCGGGAAC chr1 245837731 245837732 chr1:245837732:T:C rs12088171 T C T EBF1_EBF_1 -5 0 + 0 0 . chr1 245857621 245857622 chr1:245857622:C:T rs2362591 C T C EBF1_EBF_1 29 0 + 0 0 . chr1 245860733 245860734 chr1:245860734:G:A rs57136824 G A G EBF1_EBF_1 15 0 + 0 0 . chr1 245861440 245861441 chr1:245861441:T:G rs12123048 T G T EBF1_EBF_1 -10 0 - 0 0 . chr1 245956669 245956670 chr1:245956670:C:G rs7554359 C G c EBF1_EBF_1 -16 0 + 0 0 . chr1 245990789 245990790 chr1:245990790:T:C rs10924426 T C C EBF1_EBF_1 -13 0 - 0 0 . chr1 246004822 246004823 chr1:246004823:T:C rs4654077 T C T EBF1_EBF_1 -19 0 - 0 0 . chr1 246007127 246007128 chr1:246007128:G:C rs2275319 G C G EBF1_EBF_1 30 0 - 0 0 . chr1 246029787 246029788 chr1:246029788:A:G rs12408750 A G a EBF1_EBF_1 31 0 + 0 0 . chr1 246038724 246038725 chr1:246038725:T:C rs6665271 T C T EBF1_EBF_1 18 0 - 0 0 . chr1 246142595 246142596 chr1:246142596:A:G rs73141339 A G G EBF1_EBF_1 24 0 - 0 0 . chr1 246199321 246199322 chr1:246199322:G:A rs12065291 G A A EBF1_EBF_1 10 1 + 8.427059164187503 3.1090307049205363 ACACCCCAGGGACA chr1 246199336 246199337 chr1:246199337:A:G rs568522274 A G A EBF1_EBF_1 25 0 + 0 0 . chr1 246228374 246228375 chr1:246228375:G:A rs4654096 G A G EBF1_EBF_1 4 1 - 6.023093869975218 1.6537069250302947 AATCCCAAGAGTCC chr1 246329758 246329759 chr1:246329759:C:T rs7514346 C T T EBF1_EBF_1 9 1 - 8.065673226399566 5.410733960951962 GTTCCCATGGTAAT chr1 246329771 246329772 chr1:246329772:A:G rs7518705 A G G EBF1_EBF_1 -4 0 - 0 0 . chr1 246355880 246355881 chr1:246355881:C:T rs12118470 C T C EBF1_EBF_1 17 0 - 0 0 . chr1 246382772 246382773 chr1:246382773:C:T rs868931 C T C EBF1_EBF_1 -12 0 + 0 0 . chr1 246382786 246382787 chr1:246382787:C:A rs868930 C A C EBF1_EBF_1 2 1 + 4.478582937886444 2.6829387617297167 AACCCCCATGGACT chr1 246451001 246451002 chr1:246451002:A:G rs1209487412 A G A EBF1_EBF_1 14 0 + 0 0 . chr1 246482967 246482968 chr1:246482968:C:T rs7537318 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 246510084 246510085 chr1:246510085:C:A rs67365918 C A c EBF1_EBF_1 23 0 - 0 0 . chr1 246512736 246512737 chr1:246512737:A:G rs12122591 A G g EBF1_EBF_1 6 1 + 5.842011853384145 3.6752174221096707 ACTCCCAGCGGACA chr1 246512738 246512739 chr1:246512739:C:T chr1:246512739:C:T C T c EBF1_EBF_1 8 1 + 5.842011853384145 4.269237925321637 ACTCCCAGCGGACA chr1 246519350 246519351 chr1:246519351:C:A rs74155525 C A C EBF1_EBF_1 0 1 + 5.138709490233625 7.3939679739302235 CTCCCCCAGGGTCC chr1 246519606 246519607 chr1:246519607:C:G rs12036214 C G G EBF1_EBF_1 -18 0 - 0 0 . chr1 246566902 246566903 chr1:246566903:C:T rs55972918 C T C EBF1_EBF_1 3 1 + 7.6687744010548275 1.26337565950151 CCTCCCTAGGGGAC chr1 246635082 246635083 chr1:246635083:C:T rs73132595 C T C EBF1_EBF_1 29 0 - 0 0 . chr1 246679580 246679581 chr1:246679581:A:G rs73134663 A G A EBF1_EBF_1 -13 0 + 0 0 . chr1 246679613 246679614 chr1:246679614:A:G rs74948210 A G A EBF1_EBF_1 20 0 + 0 0 . chr1 246680012 246680013 chr1:246680013:A:G rs4072061 A G G EBF1_EBF_1 1 1 - 8.493378913716697 7.597945473317951 ATCCCCCAGAGAAA chr1 246682003 246682004 chr1:246682004:C:T rs180806722 C T C EBF1_EBF_1 20 0 - 0 0 . chr1 246692298 246692299 chr1:246692299:C:T rs6696793 C T C EBF1_EBF_1 3 1 + 9.783920875709521 3.3785221341562055 CCTCCCCTGGGAAC chr1 246692434 246692435 chr1:246692435:T:C rs138721753 T C T EBF1_EBF_1 23 0 + 0 0 . chr1 246694332 246694333 chr1:246694333:G:A rs3007408 G A G EBF1_EBF_1 -18 0 - 0 0 . chr1 246696910 246696911 chr1:246696911:A:C rs61202512 A C C EBF1_EBF_1 0 1 + 5.907865144004388 3.6526066603077885 AATCCACTGGGAAT chr1 246724190 246724191 chr1:246724191:G:A rs556516541 G A G EBF1_EBF_1 23 0 + 0 0 . chr1 246728571 246728572 chr1:246728572:A:G rs35650616 A G A EBF1_EBF_1 14 0 - 0 0 . chr1 246777139 246777140 chr1:246777140:T:C rs3795462 T C C EBF1_EBF_1 24 0 - 0 0 . chr1 246798093 246798094 chr1:246798094:C:T rs4926446 C T T EBF1_EBF_1 14 0 + 0 0 . chr1 246811135 246811136 chr1:246811136:C:T rs3007294 C T C EBF1_EBF_1 -19 0 + 0 0 . chr1 246931219 246931220 chr1:246931220:A:C rs1487910601 A C A EBF1_EBF_1 -14 0 - 0 0 . chr1 246971468 246971469 chr1:246971469:G:C rs956175617 G C G EBF1_EBF_1 10 1 + 13.03714159060645 6.146339203276975 ATTCCCCAGGGAAA chr1 246971520 246971521 chr1:246971521:C:A chr1:246971521:C:A C A C EBF1_EBF_1 10 1 + 5.079138885030544 6.651912813093053 CTTCCCCAGGCACT chr1 247007972 247007973 chr1:247007973:C:T rs147214160 C T c EBF1_EBF_1 -16 0 + 0 0 . chr1 247078959 247078960 chr1:247078960:C:G rs74152908 C G C EBF1_EBF_1 -13 0 + 0 0 . chr1 247078976 247078977 chr1:247078977:C:A rs187652721 C A C EBF1_EBF_1 4 1 + 6.068033951757099 0.24347432830363247 CCCCCCGGGGGACT chr1 247078977 247078978 chr1:247078978:C:T rs59868117 C T T EBF1_EBF_1 5 1 + 6.068033951757099 0.7462467362164293 CCCCCCGGGGGACT chr1 247079000 247079001 chr1:247079001:C:T rs77656795 C T T EBF1_EBF_1 28 0 + 0 0 . chr1 247113027 247113028 chr1:247113028:C:G rs10924922 C G C EBF1_EBF_1 -19 0 + 0 0 . chr1 247115404 247115405 chr1:247115405:C:A rs6664694 C A G EBF1_EBF_1 -18 0 + 0 0 . chr1 247176550 247176551 chr1:247176551:G:A rs10924937 G A G EBF1_EBF_1 28 0 + 0 0 . chr1 247176689 247176690 chr1:247176690:C:T rs78134011 C T C EBF1_EBF_1 -14 0 + 0 0 . chr1 247176727 247176728 chr1:247176728:T:C rs7521306 T C C EBF1_EBF_1 24 0 + 0 0 . chr1 247180691 247180692 chr1:247180692:T:C rs6661897 T C C EBF1_EBF_1 21 0 + 0 0 . chr1 247209369 247209370 chr1:247209370:C:T rs6691539 C T N EBF1_EBF_1 4 1 + 6.505878742210615 2.136491797265691 TGTCCCCAAGGAAC chr1 247295222 247295223 chr1:247295223:C:T rs78238319 C T c EBF1_EBF_1 -11 0 + 0 0 . chr1 247295619 247295620 chr1:247295620:T:C rs1778547 T C t EBF1_EBF_1 7 1 + 5.745331237167183 3.9278264908845584 TTTCCCATGAGGCC chr1 247295621 247295622 chr1:247295622:A:T rs1771946 A T N EBF1_EBF_1 9 1 + 5.745331237167183 1.5632810945259765 TTTCCCATGAGGCC chr1 247332693 247332694 chr1:247332694:C:T rs9804117 C T C EBF1_EBF_1 20 0 - 0 0 . chr1 247361931 247361932 chr1:247361932:G:A rs61473742 G A G EBF1_EBF_1 -13 0 - 0 0 . chr1 247365144 247365145 chr1:247365145:G:A rs12044891 G A A EBF1_EBF_1 18 0 - 0 0 . chr1 247376915 247376916 chr1:247376916:T:C rs10925001 T C C EBF1_EBF_1 0 1 - 6.043810412475394 4.151526542910561 ATTCCCCTGGCAAC chr1 247409920 247409921 chr1:247409921:G:A rs72771990 G A G EBF1_EBF_1 15 0 - 0 0 . chr1 247469365 247469366 chr1:247469366:G:A rs4356092 G A A EBF1_EBF_1 12 1 + 4.488256209341756 5.907073551441234 TCACCCAGGGGAGC chr1 247518384 247518385 chr1:247518385:C:T rs6700954 C T N EBF1_EBF_1 10 1 - 4.006266012988163 -1.3117624462788042 GCCCCCGAGGGGCC chr1 247572927 247572928 chr1:247572928:G:A rs113332903 G A G EBF1_EBF_1 -16 0 + 0 0 . chr1 247639793 247639794 chr1:247639794:C:T rs74152678 C T T EBF1_EBF_1 8 1 - 5.763951556590378 1.5376614677041163 TTCCCCTCGGGAGA chr1 247648169 247648170 chr1:247648170:C:T rs28690802 C T C EBF1_EBF_1 -14 0 - 0 0 . chr1 247830398 247830399 chr1:247830399:A:G rs12133205 A G A EBF1_EBF_1 -5 0 + 0 0 . chr1 247924167 247924168 chr1:247924168:G:A rs6587406 G A G EBF1_EBF_1 -1 0 - 0 0 . chr1 247937415 247937416 chr1:247937416:C:T rs76702036 C T T EBF1_EBF_1 17 0 + 0 0 . chr1 248165339 248165340 chr1:248165340:A:C rs61856450 A C a EBF1_EBF_1 7 1 - 4.792481493481576 3.9562973282803076 AGCCCCCTGAGGAC chr1 248306897 248306898 chr1:248306898:C:T rs147573851 C T C EBF1_EBF_1 19 0 + 0 0 . chr1 248343578 248343579 chr1:248343579:C:T rs28579823 C T T EBF1_EBF_1 25 0 + 0 0 . chr1 248733261 248733262 chr1:248733262:A:G rs61840343 A G G EBF1_EBF_1 -5 0 - 0 0 . chr1 248750932 248750933 chr1:248750933:G:A rs1047229041 G A - EBF1_EBF_1 21 0 - 0 0 . chr1 248751199 248751200 chr1:248751200:C:A rs908270784 C A - EBF1_EBF_1 20 0 + 0 0 . chr1 248782886 248782887 chr1:248782887:A:G rs6695732 A G N EBF1_EBF_1 -19 0 + 0 0 . chr1 248782924 248782925 chr1:248782925:A:T rs5024944 A T a EBF1_EBF_1 19 0 + 0 0 . chr1 248820646 248820647 chr1:248820647:C:T rs6688948 C T C EBF1_EBF_1 24 0 + 0 0 . chr1 248821267 248821268 chr1:248821268:C:A rs4926509 C A c EBF1_EBF_1 32 0 + 0 0 . chr1 248838393 248838394 chr1:248838394:C:T rs12083927 C T C EBF1_EBF_1 13 1 + 5.461187632946461 6.305681747531979 CATCCCGAGGGGCC chr1 248863545 248863546 chr1:248863546:A:C rs4292993 A C C EBF1_EBF_1 -8 0 - 0 0 . chr1 248897802 248897803 chr1:248897803:T:C chr1:248897803:T:C T C T EBF1_EBF_1 15 0 + 0 0 . chr1 248897832 248897833 chr1:248897833:C:T rs34369656 C T C EBF1_EBF_1 15 0 - 0 0 . chr1 248897833 248897834 chr1:248897834:G:A rs894110153 G A g EBF1_EBF_1 14 0 - 0 0 . chr1 248898117 248898118 chr1:248898118:T:C rs55793044 T C C EBF1_EBF_1 -11 0 - 0 0 . chr10 50654 50655 chr10:50655:A:C rs4567378 A C a EBF1_EBF_1 -16 0 - 0 0 . chr10 68985 68986 chr10:68986:G:A rs2379055 G A g EBF1_EBF_1 13 1 - 10.961556376838846 11.806050491424365 TTTCCCCTGGGAAC chr10 76276 76277 chr10:76277:G:T rs35942159 G T g EBF1_EBF_1 10 1 + 4.548168367142005 0.3654161809147404 CCTCTCCGGGGACC chr10 81677 81678 chr10:81678:A:C rs1376847324 A C a EBF1_EBF_1 13 1 + 6.553131340346873 6.926876789632849 GCTCCCTGGAGACA chr10 81695 81696 chr10:81696:G:C rs12773042 G C g EBF1_EBF_1 31 0 + 0 0 . chr10 82329 82330 chr10:82330:A:C rs72651774 A C a EBF1_EBF_1 17 0 - 0 0 . chr10 86038 86039 chr10:86039:C:T rs7902749 C T c EBF1_EBF_1 9 1 - 8.948298346039804 6.293359080592199 AGACCCTAGGGAAA chr10 241734 241735 chr10:241735:G:A chr10:241735:G:A G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 348359 348360 chr10:348360:C:T rs61836777 C T C EBF1_EBF_1 32 0 - 0 0 . chr10 349294 349295 chr10:349295:G:C rs3802659 G C G EBF1_EBF_1 17 0 - 0 0 . chr10 359241 359242 chr10:359242:C:T rs553919639 C T C EBF1_EBF_1 -1 0 + 0 0 . chr10 369829 369830 chr10:369830:C:T rs192826703 C T C EBF1_EBF_1 -13 0 + 0 0 . chr10 377270 377271 chr10:377271:C:A rs4573619 C A C EBF1_EBF_1 8 1 - 5.310386531028075 -1.5810462579491198 CCTCCCCTGGAAAT chr10 377285 377286 chr10:377286:G:T rs10904065 G T G EBF1_EBF_1 -7 0 - 0 0 . chr10 388980 388981 chr10:388981:C:T rs146528266 C T - EBF1_EBF_1 -5 0 + 0 0 . chr10 389222 389223 chr10:389223:A:C rs74649674 A C A EBF1_EBF_1 -3 0 - 0 0 . chr10 397623 397624 chr10:397624:G:A rs116527948 G A G EBF1_EBF_1 -2 0 - 0 0 . chr10 452865 452866 chr10:452866:G:A rs7072647 G A g EBF1_EBF_1 -16 0 + 0 0 . chr10 452898 452899 chr10:452899:C:T rs115793472 C T C EBF1_EBF_1 17 0 + 0 0 . chr10 491198 491199 chr10:491199:A:C rs114933100 A C C EBF1_EBF_1 6 1 - 7.321508653506005 5.215030461171051 ATCCCCTAGGGTCA chr10 493117 493118 chr10:493118:G:A rs546274900 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 525860 525861 chr10:525861:T:C rs76842551 T C T EBF1_EBF_1 0 1 - 6.045289388719949 4.153005519155116 ACCCTCCAGGGATT chr10 526810 526811 chr10:526811:C:T rs75497619 C T C EBF1_EBF_1 -8 0 + 0 0 . chr10 537815 537816 chr10:537816:G:C rs7092325 G C G EBF1_EBF_1 14 0 + 0 0 . chr10 537830 537831 chr10:537831:G:C rs7092330 G C G EBF1_EBF_1 29 0 + 0 0 . chr10 541964 541965 chr10:541965:T:C rs78805973 T C T EBF1_EBF_1 -19 0 + 0 0 . chr10 555830 555831 chr10:555831:G:A rs7093951 G A G EBF1_EBF_1 -5 0 + 0 0 . chr10 555837 555838 chr10:555838:C:T rs10904501 C T C EBF1_EBF_1 2 1 + 5.787565206027734 7.676388617469885 ACCCCCACGGGAGG chr10 599684 599685 chr10:599685:C:G rs11253274 C G C EBF1_EBF_1 21 0 + 0 0 . chr10 620471 620472 chr10:620472:G:A rs557759012 G A G EBF1_EBF_1 -13 0 - 0 0 . chr10 621111 621112 chr10:621112:C:G rs60702184 C G c EBF1_EBF_1 0 1 + 4.204213308543362 4.567187922675128 CGACCCAAGGGGCC chr10 630666 630667 chr10:630667:C:T rs1255642724 C T C EBF1_EBF_1 18 0 + 0 0 . chr10 630667 630668 chr10:630668:C:A rs816566 C A C EBF1_EBF_1 19 0 + 0 0 . chr10 635694 635695 chr10:635695:C:T rs558885816 C T C EBF1_EBF_1 6 1 + 8.01587241597651 8.317158544838264 AGCCCCCGGGGAAG chr10 673621 673622 chr10:673622:A:T rs4881504 A T . EBF1_EBF_1 -17 0 + 0 0 . chr10 686906 686907 chr10:686907:A:G rs946589 A G a EBF1_EBF_1 18 0 - 0 0 . chr10 725658 725659 chr10:725659:C:T rs877280 C T C EBF1_EBF_1 -1 0 + 0 0 . chr10 760181 760182 chr10:760182:C:T rs2094235 C T C EBF1_EBF_1 -1 0 + 0 0 . chr10 773518 773519 chr10:773519:C:G rs10904564 C G C EBF1_EBF_1 -3 0 + 0 0 . chr10 777473 777474 chr10:777474:T:C rs371830629 T C - EBF1_EBF_1 -13 0 + 0 0 . chr10 791466 791467 chr10:791467:A:G rs1555895 A G A EBF1_EBF_1 -10 0 + 0 0 . chr10 791491 791492 chr10:791492:G:A rs35415349 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 791509 791510 chr10:791510:G:A rs4881531 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 876541 876542 chr10:876542:G:C rs11253488 G C G EBF1_EBF_1 -20 0 + 0 0 . chr10 1005479 1005480 chr10:1005480:C:T rs2387213 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 1049357 1049358 chr10:1049358:C:G chr10:1049358:C:G C G C EBF1_EBF_1 32 0 - 0 0 . chr10 1056666 1056667 chr10:1056667:A:G rs906967889 A G A EBF1_EBF_1 23 0 - 0 0 . chr10 1056671 1056672 chr10:1056672:G:T rs535164723 G T g EBF1_EBF_1 18 0 - 0 0 . chr10 1056672 1056673 chr10:1056673:C:A rs2306403 C A C EBF1_EBF_1 17 0 - 0 0 . chr10 1056683 1056684 chr10:1056684:C:T rs563774680 C T C EBF1_EBF_1 6 1 - 5.062054966571364 7.228849397845838 AGCCCCGAGGGGTC chr10 1056692 1056693 chr10:1056693:G:A rs192951174 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 1056705 1056706 chr10:1056706:G:T rs184187358 G T G EBF1_EBF_1 -16 0 - 0 0 . chr10 1057148 1057149 chr10:1057149:T:A rs10047397 T A A EBF1_EBF_1 7 1 - 5.230211415519193 4.753937175431635 GTCCTCCAGGGACC chr10 1057154 1057155 chr10:1057155:A:G rs10047383 A G G EBF1_EBF_1 1 1 - 5.230211415519193 4.334777975120447 GTCCTCCAGGGACC chr10 1064290 1064291 chr10:1064291:A:G rs7100114 A G A EBF1_EBF_1 21 0 - 0 0 . chr10 1071823 1071824 chr10:1071824:C:T rs7083203 C T C EBF1_EBF_1 9 1 - 6.116157804855649 3.4612185394080446 ATTCCCCAGGCACA chr10 1121084 1121085 chr10:1121085:G:A rs150521253 G A G EBF1_EBF_1 -8 0 + 0 0 . chr10 1147562 1147563 chr10:1147563:G:A rs75588289 G A G EBF1_EBF_1 -7 0 - 0 0 . chr10 1155776 1155777 chr10:1155777:G:A rs6560714 G A G EBF1_EBF_1 21 0 - 0 0 . chr10 1156188 1156189 chr10:1156189:C:T rs58129199 C T C EBF1_EBF_1 -16 0 - 0 0 . chr10 1169959 1169960 chr10:1169960:G:A rs11250311 G A A EBF1_EBF_1 15 0 - 0 0 . chr10 1185460 1185461 chr10:1185461:C:T rs73588410 C T C EBF1_EBF_1 16 0 - 0 0 . chr10 1195293 1195294 chr10:1195294:C:T rs146473447 C T C EBF1_EBF_1 28 0 - 0 0 . chr10 1228100 1228101 chr10:1228101:C:G rs1021743 C G G EBF1_EBF_1 -3 0 - 0 0 . chr10 1241484 1241485 chr10:1241485:G:T chr10:1241485:G:T G T G EBF1_EBF_1 29 0 - 0 0 . chr10 1243117 1243118 chr10:1243118:C:T rs80212297 C T C EBF1_EBF_1 -2 0 - 0 0 . chr10 1257209 1257210 chr10:1257210:G:A rs1027943841 G A G EBF1_EBF_1 29 0 + 0 0 . chr10 1274260 1274261 chr10:1274261:G:C chr10:1274261:G:C G C G EBF1_EBF_1 29 0 - 0 0 . chr10 1289759 1289760 chr10:1289760:G:A rs2387638 G A A EBF1_EBF_1 3 1 - 6.57517963764569 0.16978089609237235 TCCCCCCAGGGGCT chr10 1289766 1289767 chr10:1289767:C:T rs190132342 C T C EBF1_EBF_1 -4 0 - 0 0 . chr10 1291882 1291883 chr10:1291883:G:T rs77087797 G T G EBF1_EBF_1 22 0 + 0 0 . chr10 1345547 1345548 chr10:1345548:T:A rs59476360 T A T EBF1_EBF_1 -1 0 - 0 0 . chr10 1354912 1354913 chr10:1354913:A:C rs11250449 A C C EBF1_EBF_1 -5 0 - 0 0 . chr10 1355265 1355266 chr10:1355266:T:C rs11250451 T C T EBF1_EBF_1 31 0 - 0 0 . chr10 1359832 1359833 chr10:1359833:G:A rs12269364 G A N EBF1_EBF_1 -5 0 + 0 0 . chr10 1364416 1364417 chr10:1364417:C:T rs79948017 C T C EBF1_EBF_1 3 1 + 5.582889764729023 -0.8225089768242946 ACTCCCTGGAGGAA chr10 1366852 1366853 chr10:1366853:G:A rs139692823 G A G EBF1_EBF_1 8 1 - 5.009583122474888 3.4368091944123793 TGTCCCCACGGACA chr10 1368950 1368951 chr10:1368951:G:A rs36139735 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 1368986 1368987 chr10:1368987:T:C rs2805582 T C T EBF1_EBF_1 -8 0 - 0 0 . chr10 1368988 1368989 chr10:1368989:G:A rs10794741 G A G EBF1_EBF_1 -10 0 - 0 0 . chr10 1376987 1376988 chr10:1376988:T:C rs116931597 T C t EBF1_EBF_1 18 0 - 0 0 . chr10 1377207 1377208 chr10:1377208:G:A rs111217816 G A g EBF1_EBF_1 22 0 - 0 0 . chr10 1454531 1454532 chr10:1454532:T:C rs56393440 T C t EBF1_EBF_1 -5 0 + 0 0 . chr10 1465496 1465497 chr10:1465497:T:C rs2813416 T C C EBF1_EBF_1 7 1 - 6.182724447904502 4.870266042615677 GGTCCCCAAGGACA chr10 1465509 1465510 chr10:1465510:G:C rs4347306 G C G EBF1_EBF_1 -6 0 - 0 0 . chr10 1482213 1482214 chr10:1482214:C:A rs2176377 C A A EBF1_EBF_1 -15 0 - 0 0 . chr10 1529701 1529702 chr10:1529702:G:T rs61831923 G T G EBF1_EBF_1 -2 0 + 0 0 . chr10 1536154 1536155 chr10:1536155:T:A rs10794754 T A A EBF1_EBF_1 30 0 - 0 0 . chr10 1552411 1552412 chr10:1552412:G:A rs2813356 G A G EBF1_EBF_1 -17 0 + 0 0 . chr10 1576535 1576536 chr10:1576536:G:A rs1320077 G A G EBF1_EBF_1 -14 0 + 0 0 . chr10 1576571 1576572 chr10:1576572:T:C rs4995171 T C T EBF1_EBF_1 22 0 + 0 0 . chr10 1579216 1579217 chr10:1579217:C:T rs4880876 C T C EBF1_EBF_1 -17 0 + 0 0 . chr10 1580033 1580034 chr10:1580034:G:A rs79186033 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 1598910 1598911 chr10:1598911:G:A rs77268090 G A G EBF1_EBF_1 -18 0 - 0 0 . chr10 1609685 1609686 chr10:1609686:G:A rs184716118 G A G EBF1_EBF_1 3 1 - 8.503311105331306 2.0979123637779886 GTCCCCAAGGGAAG chr10 1611511 1611512 chr10:1611512:C:G rs12355706 C G C EBF1_EBF_1 27 0 - 0 0 . chr10 1614988 1614989 chr10:1614989:C:T rs1874995 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 1625149 1625150 chr10:1625150:A:G rs139224883 A G A EBF1_EBF_1 1 1 + 7.858439265345817 8.11913809726712 AACCCCAAGAGACA chr10 1625169 1625170 chr10:1625170:A:G rs2387952 A G A EBF1_EBF_1 21 0 + 0 0 . chr10 1632851 1632852 chr10:1632852:G:T rs7073815 G T G EBF1_EBF_1 4 1 - 4.436094883325387 -1.3884647401280814 AGCCCCCTGGGCAG chr10 1653003 1653004 chr10:1653004:C:T rs4880901 C T C EBF1_EBF_1 5 1 + 7.120851556025775 1.7990643404851043 TCCCCCCTGGGATC chr10 1662388 1662389 chr10:1662389:C:T rs7070728 C T T EBF1_EBF_1 -17 0 + 0 0 . chr10 1662415 1662416 chr10:1662416:G:T rs117370364 G T G EBF1_EBF_1 10 1 + 4.234014368797307 0.05126218257004113 CTTCCCCATGGACC chr10 1665775 1665776 chr10:1665776:C:T rs75223733 C T C EBF1_EBF_1 10 1 - 7.4360469517005 2.1180184924335337 GGCCCCCAGGGAAG chr10 1668482 1668483 chr10:1668483:G:A rs7073160 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 1677528 1677529 chr10:1677529:C:G rs12763765 C G G EBF1_EBF_1 -17 0 - 0 0 . chr10 1706989 1706990 chr10:1706990:T:C rs7069753 T C T EBF1_EBF_1 29 0 + 0 0 . chr10 1706991 1706992 chr10:1706992:C:T rs7082611 C T C EBF1_EBF_1 31 0 + 0 0 . chr10 1789097 1789098 chr10:1789098:C:T rs12413204 C T C EBF1_EBF_1 1 1 + 7.223372961432025 8.11880640183077 CCCCCCTCGGGAAT chr10 1800144 1800145 chr10:1800145:G:C rs4880923 G C G EBF1_EBF_1 -1 0 - 0 0 . chr10 1858239 1858240 chr10:1858240:T:G rs17222084 T G T EBF1_EBF_1 1 1 + 9.54273903473937 8.837077248909841 TTTCCCCTGGGAGC chr10 1882261 1882262 chr10:1882262:G:C rs7077639 G C G EBF1_EBF_1 20 0 - 0 0 . chr10 1913404 1913405 chr10:1913405:G:C rs4880950 G C C EBF1_EBF_1 -7 0 - 0 0 . chr10 1933277 1933278 chr10:1933278:C:G rs12219583 C G G EBF1_EBF_1 8 1 - 5.550646223560694 0.2319873626460096 ACTCCCCAGAGTTT chr10 2020457 2020458 chr10:2020458:A:G rs572078562 A G A EBF1_EBF_1 30 0 - 0 0 . chr10 2059887 2059888 chr10:2059888:T:G rs7074101 T G T EBF1_EBF_1 -13 0 + 0 0 . chr10 2059892 2059893 chr10:2059893:G:A rs7085934 G A A EBF1_EBF_1 -8 0 + 0 0 . chr10 2083274 2083275 chr10:2083275:C:T rs111307069 C T C EBF1_EBF_1 29 0 + 0 0 . chr10 2112017 2112018 chr10:2112018:T:C rs4880974 T C C EBF1_EBF_1 -14 0 + 0 0 . chr10 2137832 2137833 chr10:2137833:T:G rs952970 T G G EBF1_EBF_1 10 1 + 5.646432910260911 9.829185096488175 ATTCCCATGGTGGT chr10 2247836 2247837 chr10:2247837:C:T rs11251115 C T c EBF1_EBF_1 6 1 + 7.329996496740218 7.631282625601974 ACCCCCCAGGGGCA chr10 2280701 2280702 chr10:2280702:A:G rs10751864 A G G EBF1_EBF_1 -7 0 - 0 0 . chr10 2415717 2415718 chr10:2415718:T:C rs10903761 T C T EBF1_EBF_1 -16 0 + 0 0 . chr10 2477351 2477352 chr10:2477352:C:T rs9732234 C T c EBF1_EBF_1 16 0 - 0 0 . chr10 2671520 2671521 chr10:2671521:A:G rs10794932 A G G EBF1_EBF_1 29 0 - 0 0 . chr10 2671523 2671524 chr10:2671524:C:A rs10903842 C A C EBF1_EBF_1 26 0 - 0 0 . chr10 2817270 2817271 chr10:2817271:G:C rs7906660 G C G EBF1_EBF_1 -20 0 + 0 0 . chr10 2851042 2851043 chr10:2851043:C:T rs12251807 C T C EBF1_EBF_1 3 1 + 6.918567632155356 0.5131688906020391 GTTCCCCAAGGAAA chr10 2853168 2853169 chr10:2853169:G:A rs10903886 G A G EBF1_EBF_1 -10 0 + 0 0 . chr10 2897048 2897049 chr10:2897049:C:A rs10794968 C A A EBF1_EBF_1 -11 0 - 0 0 . chr10 2910819 2910820 chr10:2910820:A:G rs11251563 A G A EBF1_EBF_1 -6 0 - 0 0 . chr10 2934854 2934855 chr10:2934855:T:C rs2502068 T C C EBF1_EBF_1 16 0 - 0 0 . chr10 3020756 3020757 chr10:3020757:A:G rs7080547 A G G EBF1_EBF_1 19 0 + 0 0 . chr10 3055552 3055553 chr10:3055553:C:T rs10903949 C T C EBF1_EBF_1 4 1 + 6.769020298520677 2.3996333535757537 CATCCCACGGGAAG chr10 3060502 3060503 chr10:3060503:A:G rs12250755 A G A EBF1_EBF_1 -20 0 + 0 0 . chr10 3060509 3060510 chr10:3060510:G:A rs12257484 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 3066514 3066515 chr10:3066515:C:G rs113309522 C G G EBF1_EBF_1 -8 0 - 0 0 . chr10 3067093 3067094 chr10:3067094:C:G rs139424815 C G c EBF1_EBF_1 20 0 + 0 0 . chr10 3073607 3073608 chr10:3073608:T:C rs7094776 T C T EBF1_EBF_1 6 1 - 13.144167266019506 10.977372834745031 AATCCCATGGGACT chr10 3097573 3097574 chr10:3097574:G:T rs10903964 G T T EBF1_EBF_1 19 0 - 0 0 . chr10 3119281 3119282 chr10:3119282:C:T rs10903970 C T C EBF1_EBF_1 4 1 + 8.407801195549354 4.03841425060443 AGTCCCATGGTAAC chr10 3122758 3122759 chr10:3122759:A:C rs6602030 A C a EBF1_EBF_1 33 0 - 0 0 . chr10 3122826 3122827 chr10:3122827:A:G rs12246252 A G a EBF1_EBF_1 -7 0 - 0 0 . chr10 3126831 3126832 chr10:3126832:T:C rs4881099 T C C EBF1_EBF_1 28 0 + 0 0 . chr10 3127343 3127344 chr10:3127344:G:A rs574965687 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 3128824 3128825 chr10:3128825:G:A rs2279207 G A G EBF1_EBF_1 1 1 - 5.714152711987231 6.609586152385977 GCCCCCAAAGGAAT chr10 3130036 3130037 chr10:3130037:G:A rs4598574 G A G EBF1_EBF_1 25 0 + 0 0 . chr10 3135537 3135538 chr10:3135538:G:A rs2306315 G A G EBF1_EBF_1 -5 0 + 0 0 . chr10 3145065 3145066 chr10:3145066:C:T rs7081092 C T c EBF1_EBF_1 24 0 + 0 0 . chr10 3148506 3148507 chr10:3148507:G:A rs2291102 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 3151219 3151220 chr10:3151220:C:T rs76088740 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 3158659 3158660 chr10:3158660:T:C rs9423708 T C C EBF1_EBF_1 12 1 - 5.8402672285521975 4.42144988645272 CCCCCCAAGGGGAC chr10 3158667 3158668 chr10:3158668:G:C rs58337104 G C G EBF1_EBF_1 4 1 - 5.8402672285521975 0.2145021274026518 CCCCCCAAGGGGAC chr10 3163834 3163835 chr10:3163835:A:G rs4609511 A G G EBF1_EBF_1 31 0 + 0 0 . chr10 3172498 3172499 chr10:3172499:G:A rs117733067 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 3172500 3172501 chr10:3172501:G:A rs55634178 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 3173960 3173961 chr10:3173961:C:G rs3814599 C G G EBF1_EBF_1 27 0 + 0 0 . chr10 3195568 3195569 chr10:3195569:G:A rs112045483 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 3198786 3198787 chr10:3198787:A:G rs11816067 A G a EBF1_EBF_1 -10 0 + 0 0 . chr10 3229358 3229359 chr10:3229359:C:A rs10903991 C A C EBF1_EBF_1 11 1 + 5.346087728820058 9.762049426672448 CCTCCCCGGGGCCT chr10 3271324 3271325 chr10:3271325:A:G rs146812870 A G A EBF1_EBF_1 1 1 + 6.522599337886801 6.783298169808104 AACCCCAAGGTATT chr10 3271338 3271339 chr10:3271339:A:G rs10450520 A G A EBF1_EBF_1 15 0 + 0 0 . chr10 3274699 3274700 chr10:3274700:T:C rs10904007 T C C EBF1_EBF_1 13 1 + 7.320191458374807 6.475697343789289 TGTCCCCAAGGACT chr10 3326402 3326403 chr10:3326403:C:T rs4881155 C T C EBF1_EBF_1 -3 0 - 0 0 . chr10 3363334 3363335 chr10:3363335:T:C rs2065936 T C C EBF1_EBF_1 19 0 + 0 0 . chr10 3402658 3402659 chr10:3402659:A:G rs10508255 A G A EBF1_EBF_1 -17 0 - 0 0 . chr10 3412704 3412705 chr10:3412705:G:A rs7907932 G A G EBF1_EBF_1 6 1 + 5.612047297034656 7.778841728309131 AGCCCCGTGGGGCC chr10 3424688 3424689 chr10:3424689:C:G rs193168026 C G C EBF1_EBF_1 -11 0 + 0 0 . chr10 3469854 3469855 chr10:3469855:G:A rs545502595 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 3532801 3532802 chr10:3532802:C:T rs76295117 C T C EBF1_EBF_1 11 1 + 4.787785448972516 5.105832890726179 CCTCCCCAGGGCTC chr10 3532814 3532815 chr10:3532815:A:G chr10:3532815:A:G A G A EBF1_EBF_1 24 0 + 0 0 . chr10 3606161 3606162 chr10:3606162:C:T rs80193974 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 3625971 3625972 chr10:3625972:A:G rs79221132 A G A EBF1_EBF_1 -4 0 + 0 0 . chr10 3671977 3671978 chr10:3671978:T:C rs11252005 T C T EBF1_EBF_1 25 0 - 0 0 . chr10 3682297 3682298 chr10:3682298:T:C rs10904079 T C C EBF1_EBF_1 15 0 - 0 0 . chr10 3697246 3697247 chr10:3697247:A:C rs4881195 A C C EBF1_EBF_1 11 1 + 4.911985258108789 0.49602356025639865 CCTCCCCAGGAACC chr10 3719628 3719629 chr10:3719629:A:G rs61831131 A G G EBF1_EBF_1 -4 0 + 0 0 . chr10 3719636 3719637 chr10:3719637:C:T rs75261341 C T C EBF1_EBF_1 4 1 + 6.674041238525982 2.3046542935810597 ATTCCCCAGGAAGC chr10 3752221 3752222 chr10:3752222:G:C rs79710833 G C G EBF1_EBF_1 -5 0 - 0 0 . chr10 3756234 3756235 chr10:3756235:G:A rs17135778 G A G EBF1_EBF_1 12 1 - 4.434521624052356 3.4082550535015055 GCTCCCACAGGACC chr10 3756981 3756982 chr10:3756982:A:C rs72769379 A C A EBF1_EBF_1 20 0 + 0 0 . chr10 3820905 3820906 chr10:3820906:C:T rs11252122 C T T EBF1_EBF_1 6 1 - 5.325504868601682 7.492299299876158 GACCCCGTGGGATC chr10 3829614 3829615 chr10:3829615:T:C rs11592573 T C T EBF1_EBF_1 21 0 - 0 0 . chr10 3853940 3853941 chr10:3853941:G:A rs141532549 G A G EBF1_EBF_1 0 1 - 6.878499187099426 7.160701247830004 CGTCCCCAGGGCAT chr10 3862067 3862068 chr10:3862068:T:C rs543565440 T C T EBF1_EBF_1 6 1 - 7.569335087861063 5.402540656586589 GGCCCCAGGGGACA chr10 3862081 3862082 chr10:3862082:C:T rs117988542 C T C EBF1_EBF_1 -8 0 - 0 0 . chr10 3886424 3886425 chr10:3886425:A:G chr10:3886425:A:G A G A EBF1_EBF_1 19 0 + 0 0 . chr10 3893694 3893695 chr10:3893695:C:G rs943024 C G C EBF1_EBF_1 -8 0 - 0 0 . chr10 3909215 3909216 chr10:3909216:C:T rs114354421 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 3926854 3926855 chr10:3926855:G:A rs943031 G A A EBF1_EBF_1 -7 0 - 0 0 . chr10 3927299 3927300 chr10:3927300:G:A rs139785982 G A G EBF1_EBF_1 5 1 - 8.434804271499143 3.1130170559584727 AATCCCAGGAGACA chr10 3927300 3927301 chr10:3927301:G:A rs115954869 G A G EBF1_EBF_1 4 1 - 8.434804271499143 4.065417326554219 AATCCCAGGAGACA chr10 3927317 3927318 chr10:3927318:A:G rs11814513 A G A EBF1_EBF_1 -13 0 - 0 0 . chr10 3935178 3935179 chr10:3935179:G:A rs726602 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 3935184 3935185 chr10:3935185:C:T rs111732120 C T C EBF1_EBF_1 -7 0 + 0 0 . chr10 3943316 3943317 chr10:3943317:C:T rs7099446 C T C EBF1_EBF_1 -7 0 - 0 0 . chr10 3960754 3960755 chr10:3960755:C:T rs4593901 C T C EBF1_EBF_1 -4 0 + 0 0 . chr10 4007865 4007866 chr10:4007866:T:G rs2031575 T G G EBF1_EBF_1 -3 0 - 0 0 . chr10 4013981 4013982 chr10:4013982:C:T rs67401362 C T C EBF1_EBF_1 16 0 + 0 0 . chr10 4059786 4059787 chr10:4059787:C:T rs2935285 C T T EBF1_EBF_1 26 0 - 0 0 . chr10 4108889 4108890 chr10:4108890:C:A rs10795129 C A C EBF1_EBF_1 1 1 + 5.59469009228933 5.523762914937246 CCACCCAGGGGACC chr10 4240646 4240647 chr10:4240647:T:C rs60835330 T C T EBF1_EBF_1 -2 0 - 0 0 . chr10 4241972 4241973 chr10:4241973:C:G rs184811260 C G C EBF1_EBF_1 24 0 - 0 0 . chr10 4242003 4242004 chr10:4242004:G:A rs10904204 G A A EBF1_EBF_1 -7 0 - 0 0 . chr10 4242008 4242009 chr10:4242009:G:A rs116993661 G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 4263718 4263719 chr10:4263719:T:C rs60543757 T C T EBF1_EBF_1 -16 0 + 0 0 . chr10 4263762 4263763 chr10:4263763:G:A rs11591340 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 4282535 4282536 chr10:4282536:C:T rs2065092 C T C EBF1_EBF_1 20 0 + 0 0 . chr10 4347751 4347752 chr10:4347752:G:A rs11252476 G A g EBF1_EBF_1 14 0 + 0 0 . chr10 4516317 4516318 chr10:4516318:A:G rs11252572 A G A EBF1_EBF_1 23 0 - 0 0 . chr10 4561586 4561587 chr10:4561587:C:G rs58920639 C G C EBF1_EBF_1 28 0 + 0 0 . chr10 4733365 4733366 chr10:4733366:A:C chr10:4733366:A:C A C A EBF1_EBF_1 16 0 - 0 0 . chr10 4755754 4755755 chr10:4755755:C:A rs2894970 C A C EBF1_EBF_1 1 1 + 5.089344129671746 5.018416952319662 GCTCCCACGGGCCT chr10 4785175 4785176 chr10:4785176:C:T rs9423548 C T A EBF1_EBF_1 -10 0 + 0 0 . chr10 4845545 4845546 chr10:4845546:G:A rs78924542 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 4845620 4845621 chr10:4845621:C:T rs74698686 C T C EBF1_EBF_1 20 0 + 0 0 . chr10 4909169 4909170 chr10:4909170:G:A rs4494224 G A G EBF1_EBF_1 1 1 + 10.894780103200812 10.63408127127951 AGTCCCCAGAGAAT chr10 4948506 4948507 chr10:4948507:A:T rs74113342 A T A EBF1_EBF_1 7 1 - 11.866021749347993 12.34229598943555 ATTCCCATGGGATA chr10 5055142 5055143 chr10:5055143:T:A rs11511653 T A T EBF1_EBF_1 -6 0 - 0 0 . chr10 5068800 5068801 chr10:5068801:T:C rs2211628 T C C EBF1_EBF_1 -5 0 + 0 0 . chr10 5151350 5151351 chr10:5151351:G:T rs117927297 G T G EBF1_EBF_1 -4 0 - 0 0 . chr10 5203232 5203233 chr10:5203233:G:A rs74827952 G A . EBF1_EBF_1 -9 0 - 0 0 . chr10 5234819 5234820 chr10:5234820:C:T rs7918455 C T C EBF1_EBF_1 6 1 - 5.978004193442291 8.144798624716767 GCACCCGAGGGAAT chr10 5292362 5292363 chr10:5292363:G:A rs111995037 G A g EBF1_EBF_1 22 0 - 0 0 . chr10 5292383 5292384 chr10:5292384:C:A rs9423594 C A c EBF1_EBF_1 1 1 - 6.368899785787692 7.074561571617219 AGCCCCCAGAGAGA chr10 5325337 5325338 chr10:5325338:C:T chr10:5325338:C:T C T C EBF1_EBF_1 6 1 + 6.2079390315987695 6.509225160460527 CTCCCCCAGAGACA chr10 5336128 5336129 chr10:5336129:T:C rs61106223 T C C EBF1_EBF_1 16 0 - 0 0 . chr10 5336137 5336138 chr10:5336138:A:G rs13376832 A G G EBF1_EBF_1 7 1 - 4.723343889935492 2.9058391436528686 ACTGCCCTGGGAAG chr10 5355644 5355645 chr10:5355645:C:T rs9423609 C T C EBF1_EBF_1 2 1 + 4.5796915136598555 6.4685149251020055 ACCCCCCATGGAAT chr10 5461445 5461446 chr10:5461446:G:A rs61629472 G A G EBF1_EBF_1 6 1 + 10.680080137599155 12.84687456887363 ACTCCCGAGGGACC chr10 5470447 5470448 chr10:5470448:G:A rs79579392 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 5493931 5493932 chr10:5493932:T:C rs772489487 T C T EBF1_EBF_1 31 0 - 0 0 . chr10 5498938 5498939 chr10:5498939:G:A chr10:5498939:G:A G A G EBF1_EBF_1 29 0 + 0 0 . chr10 5500816 5500817 chr10:5500817:T:C rs11592596 T C T EBF1_EBF_1 1 1 + 12.560867350518892 11.665433910120147 ATTCCCCTGGGAAA chr10 5501654 5501655 chr10:5501655:T:C rs9423435 T C T EBF1_EBF_1 2 1 + 7.043124576312483 5.154301164870333 TTTCCCCAGGTATT chr10 5505345 5505346 chr10:5505346:T:C rs4881467 T C C EBF1_EBF_1 20 0 + 0 0 . chr10 5507242 5507243 chr10:5507243:G:A rs114525331 G A G EBF1_EBF_1 3 1 - 5.280205132606749 -1.1251936089465682 CCTCCCCTGGAACT chr10 5523901 5523902 chr10:5523902:G:A rs191629677 G A G EBF1_EBF_1 12 1 - 8.641709319980793 7.615442749429945 ACTCCCAGGGGGCC chr10 5548788 5548789 chr10:5548789:C:T rs149978268 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 5554193 5554194 chr10:5554194:C:G rs58672155 C G C EBF1_EBF_1 31 0 + 0 0 . chr10 5560825 5560826 chr10:5560826:A:G rs888128122 A G A EBF1_EBF_1 7 1 + 6.81891163398418 5.506453228695355 ATCCCCCAGAGGAT chr10 5561077 5561078 chr10:5561078:C:T rs761552466 C T C EBF1_EBF_1 33 0 + 0 0 . chr10 5564126 5564127 chr10:5564127:G:A rs145673073 G A G EBF1_EBF_1 6 1 + 4.11551338308492 6.282307814359395 GGTCCCGTGGGCAC chr10 5572434 5572435 chr10:5572435:G:A rs73606764 G A G EBF1_EBF_1 -10 0 + 0 0 . chr10 5575472 5575473 chr10:5575473:G:A rs72781960 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 5576940 5576941 chr10:5576941:C:A rs58851522 C A C EBF1_EBF_1 4 1 - 4.520015118757112 5.776393274961732 ACTCGCAGGGGAGC chr10 5596214 5596215 chr10:5596215:C:A rs66798099 C A C EBF1_EBF_1 26 0 + 0 0 . chr10 5597943 5597944 chr10:5597944:A:G rs4570487 A G G EBF1_EBF_1 13 1 - 4.963625586801063 4.119131472215545 TCTCCCAGGGGCTT chr10 5610313 5610314 chr10:5610314:C:T rs7896078 C T C EBF1_EBF_1 6 1 + 4.974508723044086 5.275794851905844 ACTCACCGGGGACA chr10 5610322 5610323 chr10:5610323:C:T rs7896088 C T T EBF1_EBF_1 15 0 + 0 0 . chr10 5613544 5613545 chr10:5613545:G:A rs143775124 G A G EBF1_EBF_1 4 1 - 7.504837062216041 3.135450117271117 TCTCCCATGGGGCC chr10 5619000 5619001 chr10:5619001:G:A rs10795585 G A g EBF1_EBF_1 8 1 + 5.021877410442345 0.7955873215560834 AACCCCACGGGCCT chr10 5630453 5630454 chr10:5630454:T:C rs2386658 T C N EBF1_EBF_1 30 0 - 0 0 . chr10 5632048 5632049 chr10:5632049:T:C rs4749845 T C N EBF1_EBF_1 12 1 - 6.717392143865454 5.298574801765977 ACTCCTCTGGGAAC chr10 5643866 5643867 chr10:5643867:C:A rs369974559 C A a EBF1_EBF_1 -6 0 - 0 0 . chr10 5646121 5646122 chr10:5646122:C:T rs10905791 C T N EBF1_EBF_1 5 1 + 9.218819908182367 3.897032692641699 ACTCCCCAGGGGCA chr10 5648406 5648407 chr10:5648407:T:C rs112844244 T C N EBF1_EBF_1 7 1 + 5.979982371441029 4.162477625158406 ACCCCCTTGGGTAA chr10 5664588 5664589 chr10:5664589:G:A rs4747987 G A N EBF1_EBF_1 -7 0 - 0 0 . chr10 5684553 5684554 chr10:5684554:C:T rs1475377596 C T C EBF1_EBF_1 -7 0 + 0 0 . chr10 5813883 5813884 chr10:5813884:G:C rs565683364 G C G EBF1_EBF_1 -4 0 + 0 0 . chr10 5814210 5814211 chr10:5814211:G:C rs4237385 G C C EBF1_EBF_1 30 0 - 0 0 . chr10 5814245 5814246 chr10:5814246:G:A rs1512695 G A A EBF1_EBF_1 -5 0 - 0 0 . chr10 5884115 5884116 chr10:5884116:A:C rs3750657 A C C EBF1_EBF_1 -7 0 + 0 0 . chr10 5884116 5884117 chr10:5884117:T:C rs3750658 T C C EBF1_EBF_1 -6 0 + 0 0 . chr10 5889947 5889948 chr10:5889948:T:C chr10:5889948:T:C T C T EBF1_EBF_1 25 0 - 0 0 . chr10 5889965 5889966 chr10:5889966:C:G rs1454416146 C G C EBF1_EBF_1 7 1 - 4.6552906457599095 3.6739700646785534 AGTCCCCGCGGAGC chr10 5889975 5889976 chr10:5889976:G:A rs116838509 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 5936831 5936832 chr10:5936832:A:G rs673496 A G G EBF1_EBF_1 21 0 - 0 0 . chr10 5949605 5949606 chr10:5949606:G:A rs41294015 G A G EBF1_EBF_1 -4 0 - 0 0 . chr10 5956321 5956322 chr10:5956322:G:A rs3136627 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 5959709 5959710 chr10:5959710:G:A rs8177784 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 5976916 5976917 chr10:5976917:C:T rs4749856 C T C EBF1_EBF_1 24 0 + 0 0 . chr10 5977284 5977285 chr10:5977285:C:T rs2274034 C T C EBF1_EBF_1 1 1 + 4.40201596860326 5.297449409002006 GCTCCCGAGGGCAC chr10 5977315 5977316 chr10:5977316:C:G rs8177631 C G C EBF1_EBF_1 32 0 + 0 0 . chr10 5986527 5986528 chr10:5986528:G:A rs7904224 G A A EBF1_EBF_1 -17 0 + 0 0 . chr10 6045062 6045063 chr10:6045063:A:C rs706780 A C A EBF1_EBF_1 12 1 + 11.044648733098025 11.014467334676699 ATTCCCTCGGGAAA chr10 6045830 6045831 chr10:6045831:G:A rs11256497 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 6052619 6052620 chr10:6052620:C:G rs925956334 C G C EBF1_EBF_1 14 0 - 0 0 . chr10 6054194 6054195 chr10:6054195:A:C rs1924138 A C A EBF1_EBF_1 0 1 + 9.314171782762706 7.058913299066105 ACCCCCCGGGGAAC chr10 6056860 6056861 chr10:6056861:T:C rs706779 T C T EBF1_EBF_1 25 0 + 0 0 . chr10 6059749 6059750 chr10:6059750:T:C rs3118470 T C T EBF1_EBF_1 29 0 - 0 0 . chr10 6084073 6084074 chr10:6084074:C:T rs61494877 C T C EBF1_EBF_1 -17 0 - 0 0 . chr10 6086583 6086584 chr10:6086584:G:T rs7078273 G T G EBF1_EBF_1 10 1 + 4.6996855934440624 0.5169334072167971 AGCCCCGAGGGGGC chr10 6095995 6095996 chr10:6095996:A:G rs60859211 A G A EBF1_EBF_1 7 1 - 6.0051302853731565 4.187625539090535 TTTCCCATGGAAAC chr10 6120997 6120998 chr10:6120998:C:A rs1443422893 C A c EBF1_EBF_1 -13 0 + 0 0 . chr10 6131452 6131453 chr10:6131453:T:C rs7914915 T C T EBF1_EBF_1 6 1 - 6.590055455795379 4.4232610245209045 TGTCCCAAGGAACT chr10 6134673 6134674 chr10:6134674:C:T rs56236667 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 6140832 6140833 chr10:6140833:C:T rs56121791 C T C EBF1_EBF_1 4 1 + 5.359086469919965 0.9896995249750407 AGCCCCTGGGGCCC chr10 6142894 6142895 chr10:6142895:T:C rs1887028 T C T EBF1_EBF_1 2 1 - 7.528622754344744 4.4647646517431525 AAACCCTTGGGATC chr10 6163258 6163259 chr10:6163259:C:G rs77224387 C G G EBF1_EBF_1 -14 0 - 0 0 . chr10 6164257 6164258 chr10:6164258:C:T rs117216814 C T C EBF1_EBF_1 -17 0 + 0 0 . chr10 6182870 6182871 chr10:6182871:G:A rs149301985 G A G EBF1_EBF_1 -10 0 + 0 0 . chr10 6191925 6191926 chr10:6191926:G:A rs12254905 G A G EBF1_EBF_1 13 1 - 5.927707322285819 6.772201436871337 TACCCCCAGAGAAC chr10 6201255 6201256 chr10:6201256:G:A rs113757458 G A G EBF1_EBF_1 -3 0 + 0 0 . chr10 6204421 6204422 chr10:6204422:C:T rs633733 C T C EBF1_EBF_1 -14 0 + 0 0 . chr10 6204460 6204461 chr10:6204461:C:T rs56222692 C T C EBF1_EBF_1 25 0 + 0 0 . chr10 6204467 6204468 chr10:6204468:C:T rs114429147 C T C EBF1_EBF_1 32 0 + 0 0 . chr10 6215598 6215599 chr10:6215599:C:T rs2794981 C T T EBF1_EBF_1 10 1 - 4.902460357911758 -0.4155681013552086 ACCCTCAGGGGACA chr10 6215759 6215760 chr10:6215760:A:G rs2765826 A G G EBF1_EBF_1 32 0 - 0 0 . chr10 6220555 6220556 chr10:6220556:C:T rs77754765 C T C EBF1_EBF_1 22 0 - 0 0 . chr10 6239078 6239079 chr10:6239079:A:G rs75340186 A G A EBF1_EBF_1 -1 0 - 0 0 . chr10 6245901 6245902 chr10:6245902:T:G rs10795935 T G G EBF1_EBF_1 0 1 - 5.48810789084085 3.2328494071442497 ACTCCCAGGGCACT chr10 6272257 6272258 chr10:6272258:G:A rs1544198 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 6346891 6346892 chr10:6346892:C:A rs17154226 C A A EBF1_EBF_1 33 0 + 0 0 . chr10 6385557 6385558 chr10:6385558:T:G rs12218746 T G T EBF1_EBF_1 27 0 - 0 0 . chr10 6411344 6411345 chr10:6411345:T:G rs11592152 T G T EBF1_EBF_1 24 0 - 0 0 . chr10 6423310 6423311 chr10:6423311:A:G rs4750434 A G A EBF1_EBF_1 -4 0 + 0 0 . chr10 6427361 6427362 chr10:6427362:C:T rs4750439 C T C EBF1_EBF_1 -20 0 + 0 0 . chr10 6432064 6432065 chr10:6432065:G:A rs10796145 G A G EBF1_EBF_1 -15 0 - 0 0 . chr10 6432511 6432512 chr10:6432512:T:C rs76278637 T C C EBF1_EBF_1 21 0 - 0 0 . chr10 6444648 6444649 chr10:6444649:T:A rs624016 T A T EBF1_EBF_1 -11 0 - 0 0 . chr10 6491662 6491663 chr10:6491663:T:A rs527611 T A T EBF1_EBF_1 -17 0 - 0 0 . chr10 6525911 6525912 chr10:6525912:T:C chr10:6525912:T:C T C T EBF1_EBF_1 27 0 - 0 0 . chr10 6593540 6593541 chr10:6593541:T:C rs149445925 T C T EBF1_EBF_1 -8 0 + 0 0 . chr10 6645690 6645691 chr10:6645691:A:G rs115900229 A G A EBF1_EBF_1 -11 0 + 0 0 . chr10 6648184 6648185 chr10:6648185:T:C rs1475418 T C C EBF1_EBF_1 7 1 + 5.550420455394826 3.732915709112202 AGCCCCCTGGGCAA chr10 6659716 6659717 chr10:6659717:T:A rs148331312 T A T EBF1_EBF_1 28 0 + 0 0 . chr10 6671955 6671956 chr10:6671956:C:G rs72783793 C G C EBF1_EBF_1 8 1 - 8.999688521013779 3.6810296600990924 AGTCCCCTGAGAGT chr10 6719622 6719623 chr10:6719623:T:C rs34985572 T C C EBF1_EBF_1 18 0 + 0 0 . chr10 6815555 6815556 chr10:6815556:G:T rs11254761 G T T EBF1_EBF_1 -20 0 - 0 0 . chr10 6882155 6882156 chr10:6882156:C:T rs17401968 C T C EBF1_EBF_1 14 0 + 0 0 . chr10 6913438 6913439 chr10:6913439:T:C rs2387750 T C T EBF1_EBF_1 18 0 - 0 0 . chr10 6913467 6913468 chr10:6913468:C:A rs2387751 C A C EBF1_EBF_1 -11 0 - 0 0 . chr10 6937656 6937657 chr10:6937657:G:A rs2259953 G A A EBF1_EBF_1 1 1 - 9.563319586842232 10.458753027240975 ACTCCCTAGGGTAT chr10 6982595 6982596 chr10:6982596:T:C rs11599338 T C T EBF1_EBF_1 22 0 + 0 0 . chr10 6987613 6987614 chr10:6987614:G:A rs946791 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 7101911 7101912 chr10:7101912:G:A rs2497461 G A A EBF1_EBF_1 -5 0 - 0 0 . chr10 7136160 7136161 chr10:7136161:C:T rs181829098 C T C EBF1_EBF_1 0 1 + 7.013422906088057 7.295624966818635 CTCCCCTTGGGGCT chr10 7189421 7189422 chr10:7189422:G:A rs2692796 G A G EBF1_EBF_1 12 1 - 6.040556072721631 5.014289502170781 TCTCCCCAGGGTCA chr10 7202244 7202245 chr10:7202245:A:G rs2147289 A G G EBF1_EBF_1 19 0 + 0 0 . chr10 7208237 7208238 chr10:7208238:G:A rs34506957 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 7211498 7211499 chr10:7211499:C:T rs2692824 C T T EBF1_EBF_1 16 0 + 0 0 . chr10 7225614 7225615 chr10:7225615:G:A rs111349706 G A G EBF1_EBF_1 8 1 + 5.360062093665241 1.1337720047789808 AGCCACCTGGGAAT chr10 7260238 7260239 chr10:7260239:C:G rs61834629 C G C EBF1_EBF_1 33 0 + 0 0 . chr10 7260783 7260784 chr10:7260784:A:G rs141846013 A G A EBF1_EBF_1 6 1 + 7.419913777106968 5.253119345832492 AATCCCAGGAGAGC chr10 7277578 7277579 chr10:7277579:A:G rs10795539 A G G EBF1_EBF_1 2 1 - 5.302771425665421 3.4139480142232714 ACTGCCTGGGGAAA chr10 7408891 7408892 chr10:7408892:C:G rs72775586 C G C EBF1_EBF_1 30 0 + 0 0 . chr10 7411525 7411526 chr10:7411526:C:T rs370062577 C T C EBF1_EBF_1 4 1 + 7.654819275003231 3.2854323300583075 AATCCCCAGGGCAA chr10 7487656 7487657 chr10:7487657:G:A rs927723 G A G EBF1_EBF_1 24 0 - 0 0 . chr10 7487668 7487669 chr10:7487669:C:T rs7923543 C T C EBF1_EBF_1 12 1 - 7.028725752874123 8.4475430949736 AGTCCCATGAGAGG chr10 7492914 7492915 chr10:7492915:T:C rs2388137 T C C EBF1_EBF_1 17 0 + 0 0 . chr10 7543242 7543243 chr10:7543243:C:T rs10128178 C T T EBF1_EBF_1 -6 0 + 0 0 . chr10 7576476 7576477 chr10:7576477:C:T rs142586039 C T C EBF1_EBF_1 33 0 - 0 0 . chr10 7722753 7722754 chr10:7722754:G:C rs6602269 G C C EBF1_EBF_1 9 1 + 4.456960932080538 -0.6187282142649263 CGTCCCCTGGGTGC chr10 7818425 7818426 chr10:7818426:G:C rs181364117 G C G EBF1_EBF_1 18 0 - 0 0 . chr10 7843096 7843097 chr10:7843097:G:C rs11255397 G C G EBF1_EBF_1 16 0 + 0 0 . chr10 7913042 7913043 chr10:7913043:T:C rs4747629 T C T EBF1_EBF_1 26 0 + 0 0 . chr10 7914333 7914334 chr10:7914334:C:T rs4749348 C T C EBF1_EBF_1 11 1 - 5.9105154348961175 8.80322227850013 TCCCCCAAGGGGTT chr10 8027098 8027099 chr10:8027099:G:C rs11255488 G C g EBF1_EBF_1 -2 0 - 0 0 . chr10 8033912 8033913 chr10:8033913:G:A rs79827711 G A G EBF1_EBF_1 20 0 - 0 0 . chr10 8035930 8035931 chr10:8035931:G:A rs372148 G A G EBF1_EBF_1 4 1 - 4.4291124090603695 0.05972546411544499 GTCCCCCCGGGAGG chr10 8035951 8035952 chr10:8035952:G:A rs10905274 G A G EBF1_EBF_1 -17 0 - 0 0 . chr10 8036610 8036611 chr10:8036611:G:A rs10905275 G A G EBF1_EBF_1 1 1 - 7.71974182459664 8.615175264995383 ACCCCCCAGGGAGG chr10 8037174 8037175 chr10:8037175:A:C rs524836 A C C EBF1_EBF_1 -9 0 + 0 0 . chr10 8051235 8051236 chr10:8051236:C:A rs367788737 C A C EBF1_EBF_1 -16 0 + 0 0 . chr10 8064757 8064758 chr10:8064758:C:T rs11567920 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 8064783 8064784 chr10:8064784:G:C rs10752126 G C G EBF1_EBF_1 -11 0 - 0 0 . chr10 8072424 8072425 chr10:8072425:A:G rs3802597 A G A EBF1_EBF_1 14 0 + 0 0 . chr10 8104703 8104704 chr10:8104704:C:T rs61833538 C T C EBF1_EBF_1 16 0 + 0 0 . chr10 8122846 8122847 chr10:8122847:A:G rs73619333 A G A EBF1_EBF_1 33 0 - 0 0 . chr10 8280435 8280436 chr10:8280436:G:A rs527673247 G A g EBF1_EBF_1 -20 0 - 0 0 . chr10 8295891 8295892 chr10:8295892:T:C rs4749737 T C c EBF1_EBF_1 11 1 - 5.484805348613148 2.5920985050091367 CTTCCCCAGGTAAG chr10 8315841 8315842 chr10:8315842:G:A rs1361154 G A A EBF1_EBF_1 -19 0 + 0 0 . chr10 8380641 8380642 chr10:8380642:T:A rs2787158 T A T EBF1_EBF_1 33 0 - 0 0 . chr10 8380653 8380654 chr10:8380654:A:G rs10905353 A G A EBF1_EBF_1 21 0 - 0 0 . chr10 8383172 8383173 chr10:8383173:A:G rs113378376 A G A EBF1_EBF_1 14 0 + 0 0 . chr10 8420333 8420334 chr10:8420334:C:T rs78664623 C T C EBF1_EBF_1 0 1 - 5.212533469565137 7.10481733912997 GTACCCTAGGGGCC chr10 8439270 8439271 chr10:8439271:C:A rs17143641 C A C EBF1_EBF_1 30 0 + 0 0 . chr10 8439522 8439523 chr10:8439523:G:A rs10905374 G A G EBF1_EBF_1 -10 0 - 0 0 . chr10 8618037 8618038 chr10:8618038:C:T rs6602328 C T C EBF1_EBF_1 24 0 + 0 0 . chr10 8778578 8778579 chr10:8778579:G:C rs7917006 G C G EBF1_EBF_1 -19 0 - 0 0 . chr10 8800459 8800460 chr10:8800460:A:T rs149675934 A T A EBF1_EBF_1 1 1 + 9.132819969472818 10.09918058722365 CATCCCCAGGGATC chr10 8898990 8898991 chr10:8898991:G:A rs76141549 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 8975980 8975981 chr10:8975981:T:G rs10795685 T G G EBF1_EBF_1 -18 0 + 0 0 . chr10 8976008 8976009 chr10:8976009:G:C rs76952414 G C G EBF1_EBF_1 10 1 + 8.886791524722817 1.995989137393341 CTTCCCCTGGGAGA chr10 8995472 8995473 chr10:8995473:T:C rs1663683 T C T EBF1_EBF_1 11 1 - 9.396959303897615 6.504252460293604 TACCCCCAGGGACT chr10 9013163 9013164 chr10:9013164:C:T rs78003460 C T c EBF1_EBF_1 4 1 + 5.515807074709095 1.146420129764171 ATCCCCCTGGAATT chr10 9041272 9041273 chr10:9041273:C:T rs11256033 C T C EBF1_EBF_1 0 1 + 5.564542530806701 5.846744591537279 CTTTCCCAGGGACT chr10 9209260 9209261 chr10:9209261:G:T rs116655669 G T G EBF1_EBF_1 25 0 + 0 0 . chr10 9209261 9209262 chr10:9209262:C:T rs114063865 C T C EBF1_EBF_1 26 0 + 0 0 . chr10 9320062 9320063 chr10:9320063:C:G rs10491001 C G C EBF1_EBF_1 14 0 + 0 0 . chr10 9402328 9402329 chr10:9402329:G:A rs567754628 G A G EBF1_EBF_1 -11 0 + 0 0 . chr10 9478477 9478478 chr10:9478478:C:T rs538936232 C T c EBF1_EBF_1 -11 0 + 0 0 . chr10 9627177 9627178 chr10:9627178:A:C rs12260571 A C t EBF1_EBF_1 -16 0 - 0 0 . chr10 9683686 9683687 chr10:9683687:G:A rs11256302 G A G EBF1_EBF_1 25 0 + 0 0 . chr10 9754736 9754737 chr10:9754737:G:T rs7897226 G T G EBF1_EBF_1 31 0 - 0 0 . chr10 9754774 9754775 chr10:9754775:G:T rs7897323 G T T EBF1_EBF_1 -7 0 - 0 0 . chr10 9921871 9921872 chr10:9921872:C:A rs7090089 C A A EBF1_EBF_1 -4 0 + 0 0 . chr10 9921892 9921893 chr10:9921893:G:A rs4749918 G A A EBF1_EBF_1 17 0 + 0 0 . chr10 10031063 10031064 chr10:10031064:G:A rs17147095 G A A EBF1_EBF_1 -19 0 + 0 0 . chr10 10052873 10052874 chr10:10052874:G:A rs2762637 G A A EBF1_EBF_1 18 0 - 0 0 . chr10 10138597 10138598 chr10:10138598:T:C rs72781406 T C C EBF1_EBF_1 28 0 - 0 0 . chr10 10270899 10270900 chr10:10270900:G:A rs7914411 G A G EBF1_EBF_1 11 1 - 5.914481624288611 6.232529066042275 TCTCCCCAGGGCTT chr10 10490848 10490849 chr10:10490849:G:C rs1324324 G C G EBF1_EBF_1 -16 0 - 0 0 . chr10 10516894 10516895 chr10:10516895:T:C rs568622374 T C T EBF1_EBF_1 8 1 + 6.11552740320793 7.688301331270438 ATTCCCCATGGACA chr10 10561907 10561908 chr10:10561908:G:T rs2009637 G T G EBF1_EBF_1 22 0 + 0 0 . chr10 10562072 10562073 chr10:10562073:A:G rs72787661 A G A EBF1_EBF_1 -20 0 + 0 0 . chr10 10564504 10564505 chr10:10564505:C:T rs74334545 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 10624854 10624855 chr10:10624855:A:G rs11813043 A G G EBF1_EBF_1 -12 0 - 0 0 . chr10 10701312 10701313 chr10:10701313:C:A rs75572839 C A C EBF1_EBF_1 18 0 + 0 0 . chr10 10872929 10872930 chr10:10872930:G:A rs11256885 G A G EBF1_EBF_1 -10 0 - 0 0 . chr10 10926669 10926670 chr10:10926670:C:T rs731229 C T C EBF1_EBF_1 -20 0 - 0 0 . chr10 11017716 11017717 chr10:11017717:G:A rs187747431 G A G EBF1_EBF_1 13 1 - 5.890524048136792 6.7350181627223105 GGTCCCCTGGGCCC chr10 11073755 11073756 chr10:11073756:G:A rs2477021 G A A EBF1_EBF_1 -3 0 - 0 0 . chr10 11073760 11073761 chr10:11073761:A:G rs2480797 A G a EBF1_EBF_1 -8 0 - 0 0 . chr10 11086275 11086276 chr10:11086276:G:A rs12354493 G A G EBF1_EBF_1 8 1 + 4.936423117689991 0.7101330288037304 ACTCCCCTGGCATT chr10 11190151 11190152 chr10:11190152:A:T rs72774002 A T A EBF1_EBF_1 -20 0 + 0 0 . chr10 11206585 11206586 chr10:11206586:C:T rs10905918 C T C EBF1_EBF_1 -12 0 + 0 0 . chr10 11207232 11207233 chr10:11207233:G:A rs10795849 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 11207606 11207607 chr10:11207607:C:T rs7918076 C T C EBF1_EBF_1 28 0 - 0 0 . chr10 11229498 11229499 chr10:11229499:G:A rs35127575 G A G EBF1_EBF_1 -12 0 + 0 0 . chr10 11242375 11242376 chr10:11242376:C:G rs77730113 C G C EBF1_EBF_1 7 1 + 5.449872197103576 6.431192778184932 CTTCCCCCAGGACT chr10 11245765 11245766 chr10:11245766:T:A rs185253461 T A T EBF1_EBF_1 1 1 + 8.42009991608786 7.45373929833703 TTCCCCTAGGGATA chr10 11249532 11249533 chr10:11249533:T:C rs116961527 T C T EBF1_EBF_1 6 1 - 6.207933500388802 4.041139069114326 ATCCCCATGAGATG chr10 11330251 11330252 chr10:11330252:G:C rs6602492 G C C EBF1_EBF_1 33 0 - 0 0 . chr10 11354940 11354941 chr10:11354941:A:G rs7099202 A G G EBF1_EBF_1 6 1 + 5.098431631914701 2.9316372006402256 AGTCCCAAGCGGAC chr10 11354954 11354955 chr10:11354955:A:G rs7099214 A G G EBF1_EBF_1 20 0 + 0 0 . chr10 11389102 11389103 chr10:11389103:G:A rs2455590 G A G EBF1_EBF_1 32 0 - 0 0 . chr10 11390927 11390928 chr10:11390928:T:C rs61833293 T C T EBF1_EBF_1 11 1 - 5.277789928715519 2.385083085111507 ACCCCCCACGGACC chr10 11401510 11401511 chr10:11401511:C:T rs113393318 C T C EBF1_EBF_1 -8 0 + 0 0 . chr10 11401522 11401523 chr10:11401523:C:T rs7912067 C T C EBF1_EBF_1 4 1 + 5.7090623429518255 1.339675398006901 GCTCCCCGGGGCCT chr10 11430980 11430981 chr10:11430981:G:A rs73567336 G A G EBF1_EBF_1 -12 0 + 0 0 . chr10 11430980 11430981 chr10:11430981:G:C chr10:11430981:G:C G C G EBF1_EBF_1 -12 0 + 0 0 . chr10 11431024 11431025 chr10:11431025:G:A rs73567338 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 11435795 11435796 chr10:11435796:G:A rs117023570 G A A EBF1_EBF_1 27 0 - 0 0 . chr10 11438114 11438115 chr10:11438115:G:T rs2454778 G T G EBF1_EBF_1 8 1 + 6.636504928473403 -0.25492786050379207 ACTCCCGAGAGAGC chr10 11440263 11440264 chr10:11440264:A:G rs2499904 A G G EBF1_EBF_1 28 0 - 0 0 . chr10 11447605 11447606 chr10:11447606:C:A rs117989444 C A C EBF1_EBF_1 23 0 - 0 0 . chr10 11447638 11447639 chr10:11447639:C:T rs12245776 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 11448573 11448574 chr10:11448574:G:A rs76174361 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 11478799 11478800 chr10:11478800:G:T rs2499923 G T G EBF1_EBF_1 4 1 - 4.9408276482009175 -0.8837319752525509 TCTCCCCAGGTAGC chr10 11638543 11638544 chr10:11638544:T:C rs10795870 T C T EBF1_EBF_1 -13 0 + 0 0 . chr10 11674429 11674430 chr10:11674430:G:A rs10795875 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 11685212 11685213 chr10:11685213:A:G rs12242068 A G A EBF1_EBF_1 -12 0 - 0 0 . chr10 11704422 11704423 chr10:11704423:T:C rs11257262 T C C EBF1_EBF_1 6 1 - 5.944654556593243 3.777860125318769 AGCCCCATGGTAGT chr10 11729114 11729115 chr10:11729115:G:A rs77042915 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 11763354 11763355 chr10:11763355:A:G rs12258196 A G G EBF1_EBF_1 33 0 - 0 0 . chr10 11763357 11763358 chr10:11763358:G:A rs10906011 G A g EBF1_EBF_1 30 0 - 0 0 . chr10 11791631 11791632 chr10:11791632:C:T rs72766308 C T C EBF1_EBF_1 31 0 + 0 0 . chr10 11793084 11793085 chr10:11793085:G:A rs4750116 G A G EBF1_EBF_1 6 1 - 7.580601290178874 7.881887419040632 CTCCCCCGGGGAAA chr10 11825315 11825316 chr10:11825316:C:T rs11257346 C T C EBF1_EBF_1 22 0 - 0 0 . chr10 11893715 11893716 chr10:11893716:C:G rs10752249 C G C EBF1_EBF_1 2 1 + 5.128551015551546 0.269048736793228 GCCCCCAGGAGAAC chr10 11902176 11902177 chr10:11902177:A:G rs11257407 A G G EBF1_EBF_1 -20 0 - 0 0 . chr10 12018994 12018995 chr10:12018995:A:C rs1722442 A C A EBF1_EBF_1 25 0 - 0 0 . chr10 12042656 12042657 chr10:12042657:T:C rs1053203683 T C T EBF1_EBF_1 -4 0 + 0 0 . chr10 12042660 12042661 chr10:12042661:G:A rs76337739 G A G EBF1_EBF_1 0 1 + 9.055456531371485 10.947740400936317 GTCCCCCAGGGAGT chr10 12042687 12042688 chr10:12042688:G:A rs117140501 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 12059375 12059376 chr10:12059376:A:G rs11257511 A G A EBF1_EBF_1 -20 0 + 0 0 . chr10 12129740 12129741 chr10:12129741:G:A rs11257552 G A G EBF1_EBF_1 -19 0 + 0 0 . chr10 12264652 12264653 chr10:12264653:G:C rs117078066 G C G EBF1_EBF_1 0 1 + 8.414050818748347 8.051076204616582 GCTCCCGAGGGACA chr10 12272068 12272069 chr10:12272069:C:T rs12779959 C T c EBF1_EBF_1 22 0 - 0 0 . chr10 12348597 12348598 chr10:12348598:T:G rs147570792 T G T EBF1_EBF_1 11 1 - 6.914048037633508 2.4980863397811186 ATTCCCTCCGGACT chr10 12349114 12349115 chr10:12349115:G:A chr10:12349115:G:A G A G EBF1_EBF_1 16 0 + 0 0 . chr10 12356950 12356951 chr10:12356951:T:C rs45470292 T C T EBF1_EBF_1 -3 0 - 0 0 . chr10 12407295 12407296 chr10:12407296:C:A rs3902810 C A C EBF1_EBF_1 -13 0 - 0 0 . chr10 12407782 12407783 chr10:12407783:C:G rs58576742 C G c EBF1_EBF_1 5 1 + 5.986049329429351 -0.9188318232058157 TCCCCCCCGGGAAA chr10 12419148 12419149 chr10:12419149:G:A rs150541543 G A G EBF1_EBF_1 9 1 + 7.241806414765979 4.586867149318375 AAACCCCAGGGACG chr10 12431940 12431941 chr10:12431941:T:G chr10:12431941:T:G T G T EBF1_EBF_1 28 0 - 0 0 . chr10 12485968 12485969 chr10:12485969:G:T rs17151762 G T G EBF1_EBF_1 -4 0 + 0 0 . chr10 12535160 12535161 chr10:12535161:G:A rs1106385 G A G EBF1_EBF_1 7 1 - 7.323857691030563 9.141362437313187 GTCCCCACGGGAAA chr10 12549712 12549713 chr10:12549713:C:T rs7897059 C T T EBF1_EBF_1 30 0 - 0 0 . chr10 12549754 12549755 chr10:12549755:A:G rs35124590 A G A EBF1_EBF_1 -12 0 - 0 0 . chr10 12553427 12553428 chr10:12553428:G:A rs1077745 G A G EBF1_EBF_1 23 0 - 0 0 . chr10 12553443 12553444 chr10:12553444:C:T rs1077744 C T C EBF1_EBF_1 7 1 - 7.157141565079924 8.469599970368751 TGCCCCCGGGGAAA chr10 12556110 12556111 chr10:12556111:G:A rs118158506 G A G EBF1_EBF_1 -2 0 - 0 0 . chr10 12556121 12556122 chr10:12556122:A:G rs10906169 A G A EBF1_EBF_1 -13 0 - 0 0 . chr10 12566850 12566851 chr10:12566851:T:C rs1112240 T C T EBF1_EBF_1 1 1 + 6.703647884559111 5.808214444160366 ATTCCCCTGGTGAT chr10 12580119 12580120 chr10:12580120:G:C rs12243293 G C G EBF1_EBF_1 24 0 - 0 0 . chr10 12605679 12605680 chr10:12605680:C:T rs974995505 C T C EBF1_EBF_1 10 1 - 5.343992175796183 0.02596371652921743 AGCCCCCAGGGCTC chr10 12605866 12605867 chr10:12605867:G:A rs923540160 G A G EBF1_EBF_1 9 1 + 5.628289789550636 2.973350524103032 TCTCCCCGGGGCCT chr10 12606253 12606254 chr10:12606254:C:T rs74119232 C T C EBF1_EBF_1 11 1 + 4.28253045109473 4.600577892848394 TGCCCCATGGGCCC chr10 12649374 12649375 chr10:12649375:A:G rs78102093 A G A EBF1_EBF_1 29 0 - 0 0 . chr10 12665798 12665799 chr10:12665799:C:T rs10906199 C T c EBF1_EBF_1 25 0 - 0 0 . chr10 12736299 12736300 chr10:12736300:G:A rs141918592 G A G EBF1_EBF_1 1 1 + 5.649353453966078 5.388654622044775 CGTCCCCAAGGAGT chr10 12774767 12774768 chr10:12774768:G:T rs77697334 G T G EBF1_EBF_1 -3 0 - 0 0 . chr10 12774773 12774774 chr10:12774774:C:T rs138609573 C T C EBF1_EBF_1 -9 0 - 0 0 . chr10 12774774 12774775 chr10:12774775:G:A rs975087843 G A G EBF1_EBF_1 -10 0 - 0 0 . chr10 12781320 12781321 chr10:12781321:C:T rs183088125 C T C EBF1_EBF_1 5 1 + 6.827111654814964 1.5053244392742933 GCCCCCATGGGAGA chr10 12781333 12781334 chr10:12781334:A:G rs80055550 A G A EBF1_EBF_1 18 0 + 0 0 . chr10 12796610 12796611 chr10:12796611:C:T rs77568155 C T C EBF1_EBF_1 20 0 + 0 0 . chr10 12796611 12796612 chr10:12796612:G:A rs12257527 G A G EBF1_EBF_1 21 0 + 0 0 . chr10 12829995 12829996 chr10:12829996:G:A rs145423049 G A G EBF1_EBF_1 8 1 + 4.156199058334321 -0.07009103055193977 GCTCCCCCGAGACG chr10 12847695 12847696 chr10:12847696:T:C rs2482069 T C C EBF1_EBF_1 17 0 - 0 0 . chr10 12847719 12847720 chr10:12847720:G:A rs2493770 G A G EBF1_EBF_1 -7 0 - 0 0 . chr10 12872484 12872485 chr10:12872485:A:G rs4750276 A G A EBF1_EBF_1 -10 0 + 0 0 . chr10 12872520 12872521 chr10:12872521:C:A rs4750277 C A A EBF1_EBF_1 26 0 + 0 0 . chr10 12928879 12928880 chr10:12928880:A:G rs10437539 A G A EBF1_EBF_1 13 1 - 6.530500734196314 5.686006619610796 CCTCCCCTGGGTAT chr10 12958827 12958828 chr10:12958828:G:A rs78614438 G A G EBF1_EBF_1 19 0 + 0 0 . chr10 13018409 13018410 chr10:13018410:T:C rs579882 T C T EBF1_EBF_1 2 1 + 5.55912684299796 3.6703034315558103 TCTGCCCAGGGAAT chr10 13051110 13051111 chr10:13051111:G:A rs553638 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 13060314 13060315 chr10:13060315:C:G rs1659843 C G C EBF1_EBF_1 10 1 - 7.725676377180601 0.8348739898511256 TTTCCCAAGAGAGC chr10 13060331 13060332 chr10:13060332:C:T rs1659842 C T T EBF1_EBF_1 -7 0 - 0 0 . chr10 13070502 13070503 chr10:13070503:T:A rs61851366 T A T EBF1_EBF_1 22 0 + 0 0 . chr10 13072464 13072465 chr10:13072465:C:A rs11258171 C A C EBF1_EBF_1 24 0 + 0 0 . chr10 13082600 13082601 chr10:13082601:C:T rs2099717 C T C EBF1_EBF_1 31 0 + 0 0 . chr10 13098540 13098541 chr10:13098541:A:C rs79279469 A C A EBF1_EBF_1 13 1 - 3.739466378108486 1.4069012421675526 TCCCCCCGGGGCCT chr10 13139375 13139376 chr10:13139376:A:G rs11598395 A G A EBF1_EBF_1 -4 0 - 0 0 . chr10 13184891 13184892 chr10:13184892:T:G rs7897812 T G C EBF1_EBF_1 8 1 - 4.9160411245061075 3.823672352477683 CCTCCCACAGGACT chr10 13247083 13247084 chr10:13247084:A:G rs72781317 A G G EBF1_EBF_1 14 0 + 0 0 . chr10 13299730 13299731 chr10:13299731:A:G rs732701 A G A EBF1_EBF_1 28 0 + 0 0 . chr10 13328314 13328315 chr10:13328315:C:T rs3740211 C T C EBF1_EBF_1 3 1 + 6.622018158390303 0.21661941683698627 GGACCCCTGGGACC chr10 13352615 13352616 chr10:13352616:G:A rs72783332 G A A EBF1_EBF_1 21 0 - 0 0 . chr10 13372144 13372145 chr10:13372145:G:T rs542719796 G T G EBF1_EBF_1 -8 0 - 0 0 . chr10 13400607 13400608 chr10:13400608:C:T rs183044777 C T C EBF1_EBF_1 18 0 - 0 0 . chr10 13416169 13416170 chr10:13416170:T:G rs17153211 T G t EBF1_EBF_1 23 0 + 0 0 . chr10 13417265 13417266 chr10:13417266:T:C rs75818818 T C t EBF1_EBF_1 -13 0 - 0 0 . chr10 13440030 13440031 chr10:13440031:T:C rs11258384 T C T EBF1_EBF_1 32 0 - 0 0 . chr10 13440072 13440073 chr10:13440073:C:T rs11258385 C T c EBF1_EBF_1 -10 0 - 0 0 . chr10 13502584 13502585 chr10:13502585:A:G rs4748041 A G G EBF1_EBF_1 6 1 - 7.88393031470463 7.582644185842873 ATTCCTTTGGGACC chr10 13529250 13529251 chr10:13529251:G:C rs778551184 G C G EBF1_EBF_1 28 0 + 0 0 . chr10 13529577 13529578 chr10:13529578:G:A rs545109559 G A G EBF1_EBF_1 20 0 - 0 0 . chr10 13614860 13614861 chr10:13614861:G:A rs2478123 G A A EBF1_EBF_1 9 1 + 4.545307812433076 1.8903685469854719 CCTCCCAAGGCACT chr10 13640511 13640512 chr10:13640512:C:T rs2148283 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 13647481 13647482 chr10:13647482:C:A rs11258496 C A C EBF1_EBF_1 -16 0 - 0 0 . chr10 13648517 13648518 chr10:13648518:C:T rs72767566 C T C EBF1_EBF_1 -20 0 - 0 0 . chr10 13672717 13672718 chr10:13672718:A:C rs7919131 A C C EBF1_EBF_1 -17 0 + 0 0 . chr10 13672757 13672758 chr10:13672758:G:A rs187200580 G A G EBF1_EBF_1 23 0 + 0 0 . chr10 13708811 13708812 chr10:13708812:C:T rs12415988 C T A EBF1_EBF_1 24 0 + 0 0 . chr10 13717011 13717012 chr10:13717012:T:G chr10:13717012:T:G T G T EBF1_EBF_1 22 0 + 0 0 . chr10 13741576 13741577 chr10:13741577:G:A rs7896064 G A A EBF1_EBF_1 0 1 + 5.1658543572280635 7.058138226792898 GCTCCCTGGGGCCC chr10 13751415 13751416 chr10:13751416:G:T rs79297765 G T G EBF1_EBF_1 22 0 + 0 0 . chr10 13773789 13773790 chr10:13773790:C:T rs10906471 C T C EBF1_EBF_1 31 0 - 0 0 . chr10 13773814 13773815 chr10:13773815:T:C rs553822145 T C T EBF1_EBF_1 6 1 - 7.558630845655689 5.391836414381214 TGTCCCATGAGACA chr10 13774625 13774626 chr10:13774626:C:T rs573922241 C T C EBF1_EBF_1 -4 0 + 0 0 . chr10 13776719 13776720 chr10:13776720:C:T rs4748056 C T T EBF1_EBF_1 -16 0 + 0 0 . chr10 13787386 13787387 chr10:13787387:C:T rs113137387 C T C EBF1_EBF_1 32 0 - 0 0 . chr10 13787393 13787394 chr10:13787394:A:G rs74868600 A G A EBF1_EBF_1 25 0 - 0 0 . chr10 13799630 13799631 chr10:13799631:T:C rs72771021 T C t EBF1_EBF_1 -9 0 - 0 0 . chr10 13821069 13821070 chr10:13821070:A:C rs11258647 A C A EBF1_EBF_1 6 1 + 7.095867570460506 6.73426520265923 ATCCCCATGGGGGC chr10 13827790 13827791 chr10:13827791:G:A rs140555091 G A G EBF1_EBF_1 17 0 + 0 0 . chr10 13827899 13827900 chr10:13827900:T:C rs10906502 T C C EBF1_EBF_1 -13 0 + 0 0 . chr10 13834628 13834629 chr10:13834629:C:T rs7919526 C T C EBF1_EBF_1 23 0 + 0 0 . chr10 13834737 13834738 chr10:13834738:A:C chr10:13834738:A:C A C A EBF1_EBF_1 -10 0 + 0 0 . chr10 13834759 13834760 chr10:13834760:C:A rs529262742 C A C EBF1_EBF_1 12 1 + 5.172240002218794 5.20242140064012 GTTGCCATGGGACA chr10 13872662 13872663 chr10:13872663:C:T rs76637708 C T C EBF1_EBF_1 26 0 - 0 0 . chr10 13890790 13890791 chr10:13890791:G:T rs574572177 G T G EBF1_EBF_1 7 1 - 7.0345518348951535 9.328330821265332 AGCCCCCCGGGAAG chr10 13890802 13890803 chr10:13890803:T:C rs140042169 T C T EBF1_EBF_1 -5 0 - 0 0 . chr10 13891289 13891290 chr10:13891290:C:G rs2610798 C G C EBF1_EBF_1 -3 0 - 0 0 . chr10 13945998 13945999 chr10:13945999:C:T rs4553269 C T T EBF1_EBF_1 -10 0 - 0 0 . chr10 13960220 13960221 chr10:13960221:T:C rs2457846 T C T EBF1_EBF_1 -18 0 + 0 0 . chr10 13972038 13972039 chr10:13972039:A:G rs45462191 A G A EBF1_EBF_1 27 0 - 0 0 . chr10 13984869 13984870 chr10:13984870:G:C rs1574583 G C G EBF1_EBF_1 5 1 - 8.501870021950811 1.5969888693156427 ATCCCCAAAGGAAT chr10 14004178 14004179 chr10:14004179:G:A rs55786788 G A G EBF1_EBF_1 -17 0 + 0 0 . chr10 14010191 14010192 chr10:14010192:G:C rs768239124 G C G EBF1_EBF_1 6 1 - 4.682795772400976 2.8776037089277766 GCTCCCCGGGGCTT chr10 14010439 14010440 chr10:14010440:C:T rs116856886 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 14010441 14010442 chr10:14010442:A:G rs187706463 A G A EBF1_EBF_1 18 0 - 0 0 . chr10 14010460 14010461 chr10:14010461:C:T rs111407749 C T C EBF1_EBF_1 -1 0 - 0 0 . chr10 14010461 14010462 chr10:14010462:G:A rs72776620 G A G EBF1_EBF_1 -2 0 - 0 0 . chr10 14010517 14010518 chr10:14010518:C:T rs12778125 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 14011187 14011188 chr10:14011188:A:G rs2446588 A G G EBF1_EBF_1 22 0 + 0 0 . chr10 14022519 14022520 chr10:14022520:T:C rs10906573 T C C EBF1_EBF_1 31 0 - 0 0 . chr10 14029331 14029332 chr10:14029332:C:T rs2457856 C T C EBF1_EBF_1 14 0 + 0 0 . chr10 14034153 14034154 chr10:14034154:T:G rs12245506 T G G EBF1_EBF_1 -14 0 - 0 0 . chr10 14040578 14040579 chr10:14040579:C:T rs11258817 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 14041037 14041038 chr10:14041038:C:T rs72776633 C T C EBF1_EBF_1 4 1 + 7.591677302573068 3.2222903576281423 ACTCCCCTGAGAGA chr10 14085605 14085606 chr10:14085606:G:C rs2614149 G C C EBF1_EBF_1 -11 0 - 0 0 . chr10 14102264 14102265 chr10:14102265:G:A rs10082396 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 14130092 14130093 chr10:14130093:C:G rs10906604 C G C EBF1_EBF_1 17 0 - 0 0 . chr10 14153746 14153747 chr10:14153747:C:A rs78339225 C A C EBF1_EBF_1 23 0 + 0 0 . chr10 14250734 14250735 chr10:14250735:C:T rs1238893 C T T EBF1_EBF_1 5 1 + 8.008323360219466 2.6865361446787945 TACCCCCAGGGAGT chr10 14262349 14262350 chr10:14262350:G:A rs77201200 G A G EBF1_EBF_1 -4 0 - 0 0 . chr10 14276491 14276492 chr10:14276492:A:G rs10906643 A G A EBF1_EBF_1 20 0 + 0 0 . chr10 14349273 14349274 chr10:14349274:C:T rs4750511 C T C EBF1_EBF_1 4 1 + 5.028622055119684 0.6592351101747609 AATCCCTTGTGACA chr10 14364207 14364208 chr10:14364208:T:A rs7074967 T A T EBF1_EBF_1 12 1 - 6.260498037199115 5.204050068226939 ATTCCCATGAGGAG chr10 14411120 14411121 chr10:14411121:G:A rs7093646 G A A EBF1_EBF_1 -18 0 - 0 0 . chr10 14458102 14458103 chr10:14458103:G:A rs7910798 G A G EBF1_EBF_1 7 1 - 5.435311653624166 7.25281639990679 CCTCCCACGGGGAC chr10 14470168 14470169 chr10:14470169:C:T rs11259126 C T C EBF1_EBF_1 29 0 + 0 0 . chr10 14474177 14474178 chr10:14474178:C:A rs112298807 C A C EBF1_EBF_1 7 1 - 5.839227758860422 6.675411924061691 TACCCCAGGGGAGA chr10 14561429 14561430 chr10:14561430:T:G rs11259186 T G G EBF1_EBF_1 22 0 - 0 0 . chr10 14561458 14561459 chr10:14561459:T:C rs11259187 T C C EBF1_EBF_1 -7 0 - 0 0 . chr10 14565464 14565465 chr10:14565465:G:A rs17155480 G A G EBF1_EBF_1 7 1 + 10.82924974491888 12.141708150207705 ACTCCCCGGGGAAA chr10 14602039 14602040 chr10:14602040:C:T rs76516692 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 14617342 14617343 chr10:14617343:G:A rs12773041 G A G EBF1_EBF_1 -17 0 + 0 0 . chr10 14655137 14655138 chr10:14655138:T:C rs61845075 T C T EBF1_EBF_1 22 0 - 0 0 . chr10 14655142 14655143 chr10:14655143:G:A rs115348914 G A A EBF1_EBF_1 17 0 - 0 0 . chr10 14678838 14678839 chr10:14678839:T:G rs66783128 T G T EBF1_EBF_1 -10 0 - 0 0 . chr10 14798579 14798580 chr10:14798580:G:T rs10796223 G T G EBF1_EBF_1 -14 0 - 0 0 . chr10 14811227 14811228 chr10:14811228:G:A chr10:14811228:G:A G A G EBF1_EBF_1 0 1 + 4.412962742074991 6.3052466116398245 GTTCCCCAGGTGCC chr10 14821864 14821865 chr10:14821865:G:A rs7900873 G A G EBF1_EBF_1 -13 0 - 0 0 . chr10 14823363 14823364 chr10:14823364:C:T rs113520675 C T C EBF1_EBF_1 28 0 + 0 0 . chr10 14838752 14838753 chr10:14838753:G:A rs76473928 G A G EBF1_EBF_1 14 0 - 0 0 . chr10 14939080 14939081 chr10:14939081:A:G rs41297004 A G g EBF1_EBF_1 2 1 + 6.461312632307779 3.3974545297061876 ACACCCAGGGGAGC chr10 14975717 14975718 chr10:14975718:C:T rs4614329 C T - EBF1_EBF_1 -6 0 + 0 0 . chr10 15029848 15029849 chr10:15029849:T:C rs724830 T C T EBF1_EBF_1 28 0 + 0 0 . chr10 15079195 15079196 chr10:15079196:A:C rs11259464 A C A EBF1_EBF_1 -1 0 + 0 0 . chr10 15088516 15088517 chr10:15088517:G:A rs4604779 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 15088608 15088609 chr10:15088609:C:T rs185878561 C T C EBF1_EBF_1 -16 0 + 0 0 . chr10 15092999 15093000 chr10:15093000:T:A rs11259482 T A T EBF1_EBF_1 -8 0 + 0 0 . chr10 15097465 15097466 chr10:15097466:G:A rs76035503 G A G EBF1_EBF_1 -9 0 - 0 0 . chr10 15145833 15145834 chr10:15145834:G:T rs77143051 G T G EBF1_EBF_1 -5 0 + 0 0 . chr10 15245771 15245772 chr10:15245772:C:A rs4147231 C A A EBF1_EBF_1 18 0 + 0 0 . chr10 15245783 15245784 chr10:15245784:A:C rs75545878 A C A EBF1_EBF_1 30 0 + 0 0 . chr10 15252548 15252549 chr10:15252549:C:A rs2138929 C A C EBF1_EBF_1 3 1 + 4.124813001472662 -2.7813610279931464 TGACCCCAGGGGCC chr10 15311458 15311459 chr10:15311459:C:G rs35900133 C G C EBF1_EBF_1 -6 0 + 0 0 . chr10 15356744 15356745 chr10:15356745:T:C rs10752374 T C T EBF1_EBF_1 -2 0 + 0 0 . chr10 15407003 15407004 chr10:15407004:T:C rs7901329 T C T EBF1_EBF_1 -13 0 + 0 0 . chr10 15434667 15434668 chr10:15434668:C:G rs11259654 C G C EBF1_EBF_1 -5 0 - 0 0 . chr10 15473153 15473154 chr10:15473154:G:C rs12263141 G C C EBF1_EBF_1 -5 0 + 0 0 . chr10 15473177 15473178 chr10:15473178:G:A rs4750649 G A A EBF1_EBF_1 19 0 + 0 0 . chr10 15473845 15473846 chr10:15473846:T:C rs59422226 T C T EBF1_EBF_1 7 1 + 7.385739719574633 5.56823497329201 TGACCCCTGGGACT chr10 15567757 15567758 chr10:15567758:C:T rs114979790 C T C EBF1_EBF_1 -15 0 - 0 0 . chr10 15620589 15620590 chr10:15620590:G:A rs187981314 G A g EBF1_EBF_1 33 0 - 0 0 . chr10 15632963 15632964 chr10:15632964:C:T rs7907726 C T c EBF1_EBF_1 -18 0 + 0 0 . chr10 15719747 15719748 chr10:15719748:A:T rs56145228 A T A EBF1_EBF_1 11 1 + 9.812988752485673 5.715074496386949 CTTCCCCGGGGACC chr10 15745814 15745815 chr10:15745815:C:T rs138768328 C T C EBF1_EBF_1 8 1 + 6.643385395916931 5.070611467854422 ATTCCCAACAGAAT chr10 16437007 16437008 chr10:16437008:C:G rs7922050 C G G EBF1_EBF_1 18 0 - 0 0 . chr10 16440957 16440958 chr10:16440958:A:C rs78219814 A C A EBF1_EBF_1 -8 0 - 0 0 . chr10 16559462 16559463 chr10:16559463:C:T rs112818449 C T C EBF1_EBF_1 -3 0 - 0 0 . chr10 16701447 16701448 chr10:16701448:T:C rs7078011 T C C EBF1_EBF_1 0 1 - 6.2817830281156555 4.389499158550823 ATTCCCCACAGAAT chr10 16724606 16724607 chr10:16724607:C:T rs138938820 C T C EBF1_EBF_1 33 0 + 0 0 . chr10 16790561 16790562 chr10:16790562:C:T rs6602159 C T T EBF1_EBF_1 25 0 + 0 0 . chr10 16796015 16796016 chr10:16796016:T:C rs7082536 T C C EBF1_EBF_1 28 0 + 0 0 . chr10 16910500 16910501 chr10:16910501:A:G rs780854 A G A EBF1_EBF_1 6 1 + 6.599722797821373 4.432928366546898 CTCCCCAAGAGAAA chr10 16947272 16947273 chr10:16947273:G:A rs61841454 G A g EBF1_EBF_1 18 0 + 0 0 . chr10 16968564 16968565 chr10:16968565:T:C rs182172735 T C T EBF1_EBF_1 -20 0 + 0 0 . chr10 17202124 17202125 chr10:17202125:C:T rs10795459 C T T EBF1_EBF_1 3 1 + 5.425080986812414 -0.9803177547409018 CTCCCCTAGAGAAG chr10 17228968 17228969 chr10:17228969:T:C rs564120600 T C T EBF1_EBF_1 16 0 - 0 0 . chr10 17228991 17228992 chr10:17228992:C:T rs144610656 C T C EBF1_EBF_1 -7 0 - 0 0 . chr10 17230415 17230416 chr10:17230416:G:T rs74120323 G T G EBF1_EBF_1 -4 0 - 0 0 . chr10 17386971 17386972 chr10:17386972:T:A rs366032 T A A EBF1_EBF_1 14 0 - 0 0 . chr10 17429445 17429446 chr10:17429446:G:T rs17141100 G T G EBF1_EBF_1 -3 0 + 0 0 . chr10 17512949 17512950 chr10:17512950:G:A rs11254638 G A G EBF1_EBF_1 21 0 + 0 0 . chr10 17617462 17617463 chr10:17617463:G:C rs891877299 G C G EBF1_EBF_1 25 0 + 0 0 . chr10 17644334 17644335 chr10:17644335:C:T rs17141457 C T T EBF1_EBF_1 27 0 + 0 0 . chr10 17713003 17713004 chr10:17713004:C:G rs117775167 C G C EBF1_EBF_1 9 1 - 7.762566379577273 2.68687723323181 GGTCCCAAAGGACT chr10 17713025 17713026 chr10:17713026:A:G rs11591730 A G G EBF1_EBF_1 -13 0 - 0 0 . chr10 17713290 17713291 chr10:17713291:A:C rs7075270 A C C EBF1_EBF_1 19 0 + 0 0 . chr10 17780119 17780120 chr10:17780120:G:C rs1052516828 G C G EBF1_EBF_1 -9 0 + 0 0 . chr10 17784433 17784434 chr10:17784434:A:G rs2477659 A G A EBF1_EBF_1 18 0 - 0 0 . chr10 17785934 17785935 chr10:17785935:C:T rs34597459 C T C EBF1_EBF_1 4 1 + 6.03919267434348 1.6698057293985569 AGACCCATGAGATT chr10 17820022 17820023 chr10:17820023:G:C rs2461140 G C C EBF1_EBF_1 -12 0 - 0 0 . chr10 17830706 17830707 chr10:17830707:T:G rs200386184 T G T EBF1_EBF_1 -6 0 - 0 0 . chr10 17990656 17990657 chr10:17990657:A:G rs2182582 A G A EBF1_EBF_1 11 1 + 6.758482008843863 3.865775165239852 GCTCCCAAAGGAAC chr10 18178814 18178815 chr10:18178815:A:T rs16916922 A T A EBF1_EBF_1 28 0 - 0 0 . chr10 18247123 18247124 chr10:18247124:G:A rs11013154 G A G EBF1_EBF_1 24 0 - 0 0 . chr10 18247136 18247137 chr10:18247137:T:G rs187980226 T G T EBF1_EBF_1 11 1 - 6.898203373502382 2.482241675649992 ATTCCCAGGAGAGG chr10 18334383 18334384 chr10:18334384:C:T rs77614786 C T C EBF1_EBF_1 6 1 - 5.061562344556242 7.228356775830716 ACCCCCGTGGGTCT chr10 18350532 18350533 chr10:18350533:C:T rs1290126 C T C EBF1_EBF_1 18 0 - 0 0 . chr10 18363429 18363430 chr10:18363430:T:A rs2148186 T A A EBF1_EBF_1 21 0 + 0 0 . chr10 18424495 18424496 chr10:18424496:A:T rs10764481 A T A EBF1_EBF_1 -12 0 + 0 0 . chr10 18474892 18474893 chr10:18474893:C:T rs1409204 C T C EBF1_EBF_1 4 1 + 6.9999109836945035 2.63052403874958 AGTCCCAAAAGACT chr10 18822602 18822603 chr10:18822603:C:A rs7073042 C A A EBF1_EBF_1 28 0 + 0 0 . chr10 18941727 18941728 chr10:18941728:G:A rs7911245 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 19048810 19048811 chr10:19048811:A:G rs11008290 A G a EBF1_EBF_1 -19 0 - 0 0 . chr10 19052598 19052599 chr10:19052599:G:A rs112173943 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 19096490 19096491 chr10:19096491:C:T rs4748553 C T C EBF1_EBF_1 -1 0 - 0 0 . chr10 19144228 19144229 chr10:19144229:G:A rs7097878 G A G EBF1_EBF_1 1 1 + 9.039113712188227 8.778414880266924 AGTCCCTGGAGAAC chr10 19391047 19391048 chr10:19391048:A:G rs4394735 A G A EBF1_EBF_1 -19 0 - 0 0 . chr10 19416453 19416454 chr10:19416454:A:C rs17670074 A C C EBF1_EBF_1 -11 0 - 0 0 . chr10 19510250 19510251 chr10:19510251:T:C rs61841373 T C T EBF1_EBF_1 2 1 + 5.037836596123596 3.1490131846814453 ATTGCCAAGGGAGG chr10 19510259 19510260 chr10:19510260:A:T rs61841374 A T A EBF1_EBF_1 11 1 + 5.037836596123596 0.9399223400248711 ATTGCCAAGGGAGG chr10 19686316 19686317 chr10:19686317:G:A rs1326967 G A G EBF1_EBF_1 2 1 - 7.17397587344871 9.062799284890858 CACCCCAAGGGAAG chr10 19760057 19760058 chr10:19760058:C:T rs146716789 C T C EBF1_EBF_1 6 1 - 4.19132123358786 6.358115664862334 AGCCCCGAGGGCCA chr10 19760531 19760532 chr10:19760532:T:C rs79506789 T C T EBF1_EBF_1 -18 0 + 0 0 . chr10 19814875 19814876 chr10:19814876:C:A rs10827885 C A A EBF1_EBF_1 -8 0 + 0 0 . chr10 19891666 19891667 chr10:19891667:G:A rs73601627 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 19906314 19906315 chr10:19906315:T:C rs11592382 T C C EBF1_EBF_1 20 0 + 0 0 . chr10 19971015 19971016 chr10:19971016:G:A rs17760038 G A G EBF1_EBF_1 -17 0 - 0 0 . chr10 19984045 19984046 chr10:19984046:T:A rs17760230 T A T EBF1_EBF_1 1 1 + 7.680048491730678 6.713687873979848 ATTCCCATGGGTCG chr10 20339877 20339878 chr10:20339878:C:T rs56358081 C T C EBF1_EBF_1 1 1 + 5.606004870980654 6.501438311379398 ACTCCCTAGTGAAA chr10 20517940 20517941 chr10:20517941:G:T rs545420539 G T G EBF1_EBF_1 3 1 - 4.862233696717545 -2.043940332748261 ATTCGCCAGGGGCC chr10 20607093 20607094 chr10:20607094:C:T rs7921871 C T C EBF1_EBF_1 18 0 + 0 0 . chr10 20617382 20617383 chr10:20617383:T:C rs116966450 T C T EBF1_EBF_1 -18 0 + 0 0 . chr10 20635612 20635613 chr10:20635613:C:T rs7081310 C T T EBF1_EBF_1 -6 0 - 0 0 . chr10 20642904 20642905 chr10:20642905:G:A rs61856481 G A G EBF1_EBF_1 4 1 - 10.081117860917868 5.711730915972944 CTCCCCCAGGGACT chr10 20660895 20660896 chr10:20660896:A:G rs2147871 A G A EBF1_EBF_1 30 0 + 0 0 . chr10 20726413 20726414 chr10:20726414:C:T rs7070319 C T C EBF1_EBF_1 -4 0 - 0 0 . chr10 20768001 20768002 chr10:20768002:C:T rs137882096 C T C EBF1_EBF_1 29 0 - 0 0 . chr10 20995178 20995179 chr10:20995179:G:C rs76494147 G C G EBF1_EBF_1 33 0 + 0 0 . chr10 21293088 21293089 chr10:21293089:A:G rs12242632 A G A EBF1_EBF_1 6 1 - 9.06163563510655 8.760349506244792 CTCCCCTTGGGACC chr10 21364647 21364648 chr10:21364648:G:A rs16921775 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 21390449 21390450 chr10:21390450:A:G rs747190142 A G A EBF1_EBF_1 13 1 - 5.856964631854599 5.012470517269081 GGCCCCTAGGTACT chr10 21402890 21402891 chr10:21402891:T:G rs79525832 T G G EBF1_EBF_1 1 1 - 5.974362211367865 6.045289388719949 AACCTCCAGGGATT chr10 21432916 21432917 chr10:21432917:A:G rs544605548 A G A EBF1_EBF_1 -13 0 + 0 0 . chr10 21478032 21478033 chr10:21478033:G:C rs59529964 G C C EBF1_EBF_1 8 1 + 4.742058619070734 -0.5766002418439513 ACTCCCCCGCGACA chr10 21478039 21478040 chr10:21478040:C:G rs10159545 C G G EBF1_EBF_1 15 0 + 0 0 . chr10 21496175 21496176 chr10:21496176:G:A chr10:21496176:G:A G A G EBF1_EBF_1 30 0 - 0 0 . chr10 21496706 21496707 chr10:21496707:A:C rs368305390 A C A EBF1_EBF_1 -3 0 - 0 0 . chr10 21526075 21526076 chr10:21526076:C:T rs144350023 C T C EBF1_EBF_1 17 0 - 0 0 . chr10 21526628 21526629 chr10:21526629:T:C chr10:21526629:T:C T C T EBF1_EBF_1 -11 0 + 0 0 . chr10 21549450 21549451 chr10:21549451:C:T rs4553272 C T T EBF1_EBF_1 30 0 - 0 0 . chr10 21889103 21889104 chr10:21889104:C:T rs78142092 C T C EBF1_EBF_1 32 0 - 0 0 . chr10 21956993 21956994 chr10:21956994:T:C rs2998361 T C C EBF1_EBF_1 -16 0 + 0 0 . chr10 22027100 22027101 chr10:22027101:A:G rs72807000 A G A EBF1_EBF_1 7 1 + 5.742297952099759 4.429839546810933 TTTCCTCAGGGAAA chr10 22229305 22229306 chr10:22229306:C:G rs1291901090 C G C EBF1_EBF_1 -10 0 - 0 0 . chr10 22251666 22251667 chr10:22251667:G:A rs571006100 G A G EBF1_EBF_1 -3 0 + 0 0 . chr10 22251684 22251685 chr10:22251685:T:G rs74430977 T G T EBF1_EBF_1 15 0 + 0 0 . chr10 22316056 22316057 chr10:22316057:C:T rs907392962 C T C EBF1_EBF_1 -12 0 + 0 0 . chr10 22335053 22335054 chr10:22335054:C:T rs777567798 C T c EBF1_EBF_1 -2 0 + 0 0 . chr10 22340439 22340440 chr10:22340440:G:C rs556294740 G C g EBF1_EBF_1 33 0 - 0 0 . chr10 22340484 22340485 chr10:22340485:A:G rs11012953 A G N EBF1_EBF_1 -12 0 - 0 0 . chr10 22340486 22340487 chr10:22340487:C:T rs1012589992 C T t EBF1_EBF_1 -14 0 - 0 0 . chr10 22340487 22340488 chr10:22340488:G:A rs146576431 G A g EBF1_EBF_1 -15 0 - 0 0 . chr10 22341355 22341356 chr10:22341356:A:G rs79476308 A G N EBF1_EBF_1 0 1 + 9.18596016217407 7.293676292609237 ATCCCCACGGGACA chr10 22474927 22474928 chr10:22474928:C:G rs7907396 C G C EBF1_EBF_1 0 1 + 8.458364810842198 8.821339424973964 CTTCCCAAGAGACA chr10 22477748 22477749 chr10:22477749:A:G rs11013018 A G G EBF1_EBF_1 33 0 + 0 0 . chr10 22489621 22489622 chr10:22489622:G:A rs75227688 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 22562679 22562680 chr10:22562680:G:A rs7078635 G A A EBF1_EBF_1 -12 0 + 0 0 . chr10 22563938 22563939 chr10:22563939:G:T rs7087100 G T T EBF1_EBF_1 19 0 + 0 0 . chr10 22580994 22580995 chr10:22580995:A:G rs72816824 A G A EBF1_EBF_1 -11 0 - 0 0 . chr10 22601501 22601502 chr10:22601502:C:T rs2765994 C T C EBF1_EBF_1 25 0 + 0 0 . chr10 22612869 22612870 chr10:22612870:G:T rs1778316 G T - EBF1_EBF_1 13 1 + 4.66371441363224 6.996279549573173 GTTCCCAGGGGCAG chr10 22613548 22613549 chr10:22613549:G:T rs115519386 G T G EBF1_EBF_1 3 1 - 9.415841707408015 2.5096676779422107 AATCCCCAGAGAAA chr10 22622532 22622533 chr10:22622533:A:G rs76115312 A G A EBF1_EBF_1 -1 0 + 0 0 . chr10 22825148 22825149 chr10:22825149:G:C rs72812424 G C G EBF1_EBF_1 -8 0 + 0 0 . chr10 22826007 22826008 chr10:22826008:C:A rs72812426 C A C EBF1_EBF_1 -14 0 + 0 0 . chr10 22893473 22893474 chr10:22893474:C:A rs1922125 C A C EBF1_EBF_1 -10 0 - 0 0 . chr10 22897314 22897315 chr10:22897315:G:A rs10764361 G A A EBF1_EBF_1 -15 0 - 0 0 . chr10 22909951 22909952 chr10:22909952:A:G rs57053627 A G A EBF1_EBF_1 27 0 + 0 0 . chr10 23047266 23047267 chr10:23047267:T:G rs1370591171 T G T EBF1_EBF_1 -18 0 + 0 0 . chr10 23079915 23079916 chr10:23079916:G:A rs7070346 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 23081835 23081836 chr10:23081836:C:T rs75723910 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 23096943 23096944 chr10:23096944:A:C rs3793756 A C A EBF1_EBF_1 -1 0 + 0 0 . chr10 23310490 23310491 chr10:23310491:C:T rs78401133 C T C EBF1_EBF_1 10 1 - 5.780969003019049 0.4629405437520833 ACCCTCCTGGGAAC chr10 23439124 23439125 chr10:23439125:C:T rs575005903 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 23439129 23439130 chr10:23439130:G:A rs7071200 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 23439393 23439394 chr10:23439394:G:A rs1013148024 G A g EBF1_EBF_1 14 0 + 0 0 . chr10 23607097 23607098 chr10:23607098:T:A rs2152793 T A A EBF1_EBF_1 -17 0 - 0 0 . chr10 24041194 24041195 chr10:24041195:C:T rs141850146 C T C EBF1_EBF_1 3 1 + 9.628407512554952 3.223008771001636 CTCCCCAAGGGAAC chr10 24196664 24196665 chr10:24196665:T:C rs140748332 T C T EBF1_EBF_1 -9 0 + 0 0 . chr10 24208919 24208920 chr10:24208920:C:T rs370077625 C T C EBF1_EBF_1 5 1 + 7.319010241226993 1.9972230256863222 AGTCCCCGGAGAGC chr10 24212987 24212988 chr10:24212988:C:T rs6482374 C T T EBF1_EBF_1 -13 0 - 0 0 . chr10 24215188 24215189 chr10:24215189:G:T rs60997918 G T G EBF1_EBF_1 5 1 - 8.256704476662339 1.3518233240271722 ATTCCCTGGAGAAG chr10 24383899 24383900 chr10:24383900:G:A rs6482387 G A G EBF1_EBF_1 -16 0 + 0 0 . chr10 24384141 24384142 chr10:24384142:C:T rs75926484 C T C EBF1_EBF_1 15 0 + 0 0 . chr10 24384152 24384153 chr10:24384153:C:G rs78190393 C G C EBF1_EBF_1 26 0 + 0 0 . chr10 24465621 24465622 chr10:24465622:C:A rs16924795 C A C EBF1_EBF_1 21 0 + 0 0 . chr10 24465797 24465798 chr10:24465798:G:A rs112215571 G A G EBF1_EBF_1 26 0 - 0 0 . chr10 24518784 24518785 chr10:24518785:G:A rs185040508 G A G EBF1_EBF_1 -8 0 - 0 0 . chr10 24562733 24562734 chr10:24562734:G:A rs1556398 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 24691334 24691335 chr10:24691335:A:G rs183468870 A G A EBF1_EBF_1 -4 0 - 0 0 . chr10 24707043 24707044 chr10:24707044:G:A rs72784298 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 24723965 24723966 chr10:24723966:A:C rs193001415 A C A EBF1_EBF_1 -20 0 + 0 0 . chr10 24723966 24723967 chr10:24723967:C:T chr10:24723967:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr10 24894625 24894626 chr10:24894626:A:G rs117591348 A G A EBF1_EBF_1 -15 0 + 0 0 . chr10 24926071 24926072 chr10:24926072:G:A rs76146926 G A G EBF1_EBF_1 10 1 + 5.336830291524163 0.01880183225719656 ACCTCCTAGGGACT chr10 24930614 24930615 chr10:24930615:A:G rs4748991 A G G EBF1_EBF_1 -7 0 - 0 0 . chr10 24952905 24952906 chr10:24952906:C:T rs1031530589 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 24952948 24952949 chr10:24952949:A:C rs187758139 A C A EBF1_EBF_1 25 0 + 0 0 . chr10 25060040 25060041 chr10:25060041:T:G rs10828751 T G G EBF1_EBF_1 -3 0 - 0 0 . chr10 25218202 25218203 chr10:25218203:C:T rs115910203 C T C EBF1_EBF_1 30 0 + 0 0 . chr10 25327453 25327454 chr10:25327454:G:A rs16925561 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 25388579 25388580 chr10:25388580:T:C rs1630323 T C C EBF1_EBF_1 14 0 + 0 0 . chr10 25497217 25497218 chr10:25497218:A:T rs3886350 A T A EBF1_EBF_1 -10 0 + 0 0 . chr10 25497247 25497248 chr10:25497248:G:T rs3886351 G T G EBF1_EBF_1 20 0 + 0 0 . chr10 25523161 25523162 chr10:25523162:A:G rs75821442 A G A EBF1_EBF_1 26 0 + 0 0 . chr10 25627732 25627733 chr10:25627733:C:G rs16926196 C G C EBF1_EBF_1 30 0 + 0 0 . chr10 25633672 25633673 chr10:25633673:C:T rs10764568 C T T EBF1_EBF_1 18 0 + 0 0 . chr10 25967051 25967052 chr10:25967052:G:C rs12257094 G C C EBF1_EBF_1 -11 0 - 0 0 . chr10 25967058 25967059 chr10:25967059:C:A rs12242801 C A A EBF1_EBF_1 -18 0 - 0 0 . chr10 26029971 26029972 chr10:26029972:G:A rs17666162 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 26218258 26218259 chr10:26218259:C:T rs7893194 C T T EBF1_EBF_1 -13 0 - 0 0 . chr10 26292391 26292392 chr10:26292392:G:C rs3824694 G C C EBF1_EBF_1 17 0 - 0 0 . chr10 26377475 26377476 chr10:26377476:T:C rs2065755 T C C EBF1_EBF_1 7 1 + 5.463129176436605 3.6456244301539806 CTTCCCATGAGGCC chr10 26391938 26391939 chr10:26391939:G:T rs945293 G T G EBF1_EBF_1 30 0 - 0 0 . chr10 26392554 26392555 chr10:26392555:T:G rs2152305 T G T EBF1_EBF_1 32 0 - 0 0 . chr10 26438888 26438889 chr10:26438889:C:T rs139471954 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 26439004 26439005 chr10:26439005:C:G rs2992335 C G C EBF1_EBF_1 -11 0 + 0 0 . chr10 26551332 26551333 chr10:26551333:G:A rs184028463 G A G EBF1_EBF_1 6 1 - 5.907100122942223 6.20838625180398 AGTCCCCCCGGACT chr10 26556110 26556111 chr10:26556111:C:T rs11015173 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 26573963 26573964 chr10:26573964:G:C rs12778425 G C A EBF1_EBF_1 1 1 - 5.641807500408027 5.831579154977244 CCTCCCATGGAACT chr10 26588051 26588052 chr10:26588052:C:G rs72805249 C G G EBF1_EBF_1 22 0 + 0 0 . chr10 26588659 26588660 chr10:26588660:T:A rs1775239 T A T EBF1_EBF_1 6 1 - 9.11118103889186 9.050864799952341 GTCCCCATGGGACA chr10 26622246 26622247 chr10:26622247:G:T rs117464823 G T - EBF1_EBF_1 10 1 + 5.561220497824328 1.378468311597064 ACTGCCAAGGGAAG chr10 26637913 26637914 chr10:26637914:T:C chr10:26637914:T:C T C t EBF1_EBF_1 13 1 - 6.2184741952878495 5.104148623218411 GTCCCCATGGGGCA chr10 26637919 26637920 chr10:26637920:A:C chr10:26637920:A:C A C a EBF1_EBF_1 7 1 - 6.2184741952878495 5.382290030086582 GTCCCCATGGGGCA chr10 26640044 26640045 chr10:26640045:C:T rs1148161 C T - EBF1_EBF_1 3 1 + 6.349812938335747 -0.05558580321757112 GATCCCTAGGAACT chr10 26643263 26643264 chr10:26643264:T:C chr10:26643264:T:C T C - EBF1_EBF_1 13 1 - 6.2184741952878495 5.104148623218411 GTCCCCATGGGGCA chr10 26643269 26643270 chr10:26643270:A:C chr10:26643270:A:C A C - EBF1_EBF_1 7 1 - 6.2184741952878495 5.382290030086582 GTCCCCATGGGGCA chr10 26647921 26647922 chr10:26647922:G:A rs1853684 G A g EBF1_EBF_1 17 0 - 0 0 . chr10 26707083 26707084 chr10:26707084:A:T rs3118155 A T A EBF1_EBF_1 16 0 - 0 0 . chr10 26709042 26709043 chr10:26709043:A:C rs1748358 A C C EBF1_EBF_1 22 0 - 0 0 . chr10 26859652 26859653 chr10:26859653:C:G chr10:26859653:C:G C G C EBF1_EBF_1 -12 0 + 0 0 . chr10 26859682 26859683 chr10:26859683:A:T rs114908882 A T A EBF1_EBF_1 18 0 + 0 0 . chr10 26860212 26860213 chr10:26860213:A:T rs145028852 A T A EBF1_EBF_1 -8 0 - 0 0 . chr10 26905779 26905780 chr10:26905780:C:G rs191822531 C G C EBF1_EBF_1 6 1 + 5.651103019196943 3.8459109557237445 CCTCCCCGGGGGCA chr10 26931834 26931835 chr10:26931835:G:A rs2477932 G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 26937061 26937062 chr10:26937062:T:C rs4749199 T C t EBF1_EBF_1 25 0 - 0 0 . chr10 27072597 27072598 chr10:27072598:T:A rs3904244 T A . EBF1_EBF_1 -11 0 - 0 0 . chr10 27240606 27240607 chr10:27240607:C:A rs909474336 C A c EBF1_EBF_1 -6 0 + 0 0 . chr10 27240619 27240620 chr10:27240620:C:A rs2797078 C A c EBF1_EBF_1 7 1 + 3.555456866046148 5.849235852416329 CCTCCCCCGGGGCG chr10 27240635 27240636 chr10:27240636:C:T rs2489372 C T c EBF1_EBF_1 23 0 + 0 0 . chr10 27240763 27240764 chr10:27240764:G:C rs763658852 G C g EBF1_EBF_1 22 0 + 0 0 . chr10 27251653 27251654 chr10:27251654:G:A rs527192 G A G EBF1_EBF_1 -15 0 - 0 0 . chr10 27252094 27252095 chr10:27252095:C:A rs502416 C A C EBF1_EBF_1 18 0 + 0 0 . chr10 27298042 27298043 chr10:27298043:C:A rs11015657 C A . EBF1_EBF_1 10 1 - 9.172286239571863 4.989534053344598 ACTCCCCTGAGATT chr10 27359998 27359999 chr10:27359999:C:T rs138048113 C T . EBF1_EBF_1 24 0 + 0 0 . chr10 27505174 27505175 chr10:27505175:T:G rs11015826 T G T EBF1_EBF_1 -14 0 - 0 0 . chr10 27636088 27636089 chr10:27636089:A:T rs17829539 A T A EBF1_EBF_1 -2 0 + 0 0 . chr10 27661956 27661957 chr10:27661957:G:A rs2642299 G A A EBF1_EBF_1 21 0 - 0 0 . chr10 27695222 27695223 chr10:27695223:A:T rs76602487 A T A EBF1_EBF_1 1 1 + 5.810559990679686 6.776920608430516 GAACCCAAGGGAGC chr10 27743206 27743207 chr10:27743207:C:T rs2252651 C T C EBF1_EBF_1 12 1 - 7.727655173702633 9.14647251580211 ACTCCCCTGGGGGC chr10 27744329 27744330 chr10:27744330:C:T rs12241763 C T C EBF1_EBF_1 27 0 - 0 0 . chr10 27791628 27791629 chr10:27791629:T:A rs2815494 T A T EBF1_EBF_1 8 1 + 4.566805836218287 7.231948536309222 ACTCCCAATGGAGC chr10 27995444 27995445 chr10:27995445:G:T rs57133419 G T G EBF1_EBF_1 22 0 + 0 0 . chr10 28179673 28179674 chr10:28179674:G:A rs17685100 G A G EBF1_EBF_1 12 1 - 5.692680119136031 4.666413548585181 ATTCCTTCGGGACA chr10 28302493 28302494 chr10:28302494:C:T rs191951859 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 28302505 28302506 chr10:28302506:G:C rs541820771 G C G EBF1_EBF_1 3 1 - 8.936965875354048 3.10920142723883 GCTCCCCGGGGAAA chr10 28308378 28308379 chr10:28308379:A:C rs11007003 A C A EBF1_EBF_1 -18 0 - 0 0 . chr10 28347360 28347361 chr10:28347361:C:G rs61845959 C G C EBF1_EBF_1 27 0 - 0 0 . chr10 28370599 28370600 chr10:28370600:T:G rs12775665 T G T EBF1_EBF_1 -15 0 - 0 0 . chr10 28436571 28436572 chr10:28436572:G:A rs115811030 G A G EBF1_EBF_1 12 1 - 5.08970122671175 4.0634346561609 CAACCCTGGGGACA chr10 28459894 28459895 chr10:28459895:G:A rs12762979 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 28464130 28464131 chr10:28464131:C:T rs2616626 C T C EBF1_EBF_1 -15 0 - 0 0 . chr10 28479420 28479421 chr10:28479421:C:T rs11818760 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 28532220 28532221 chr10:28532221:G:C chr10:28532221:G:C G C G EBF1_EBF_1 0 1 + 7.376489795026095 7.01351518089433 GTTCCCAAGGAACT chr10 28553823 28553824 chr10:28553824:G:A rs118074788 G A G EBF1_EBF_1 17 0 + 0 0 . chr10 28629488 28629489 chr10:28629489:C:T rs80102007 C T C EBF1_EBF_1 5 1 + 6.118973277570326 0.7971860620296565 CTCCCCGGGGGACC chr10 28630203 28630204 chr10:28630204:T:A rs11007167 T A T EBF1_EBF_1 10 1 - 6.118081740495585 7.253358013535286 CCTCCCAAGGAACT chr10 28640756 28640757 chr10:28640757:G:A rs1265847 G A G EBF1_EBF_1 8 1 + 7.330174690494232 3.10388460160797 GTTCCCATGAGAGC chr10 28650859 28650860 chr10:28650860:C:A rs932576916 C A C EBF1_EBF_1 12 1 + 4.668219720110464 4.69840111853179 ACTCACTCGGGACC chr10 28661803 28661804 chr10:28661804:G:A rs191450588 G A G EBF1_EBF_1 11 1 + 4.20558555487385 7.098292398477861 GGACCCCAGGGGCC chr10 28674900 28674901 chr10:28674901:T:A rs568710217 T A T EBF1_EBF_1 19 0 - 0 0 . chr10 28678251 28678252 chr10:28678252:C:A rs10826491 C A C EBF1_EBF_1 29 0 - 0 0 . chr10 28700945 28700946 chr10:28700946:C:G rs12258661 C G C EBF1_EBF_1 -6 0 + 0 0 . chr10 28866180 28866181 chr10:28866181:G:A rs12415230 G A g EBF1_EBF_1 9 1 + 4.612848534089419 1.9579092686418156 ACTCCCTGTGGAAC chr10 28872183 28872184 chr10:28872184:G:A rs1632622 G A a EBF1_EBF_1 -2 0 + 0 0 . chr10 28872206 28872207 chr10:28872207:A:T rs1632569 A T a EBF1_EBF_1 21 0 + 0 0 . chr10 28873771 28873772 chr10:28873772:C:T rs4749356 C T c EBF1_EBF_1 10 1 - 5.536404978134035 0.21837651886706982 ACTCCCCCGGGGGA chr10 28915057 28915058 chr10:28915058:C:T rs703031 C T C EBF1_EBF_1 3 1 + 5.206645599151211 -1.198753142402106 ACTCCACAGGGACA chr10 28949958 28949959 chr10:28949959:G:A rs12249338 G A G EBF1_EBF_1 8 1 + 10.873818145907608 6.647528057021349 TCTCCCAAGGGACC chr10 28976088 28976089 chr10:28976089:T:A rs788045 T A T EBF1_EBF_1 7 1 + 7.54014740271695 8.01642164280451 AATCCCATGGGCAA chr10 29056907 29056908 chr10:29056908:C:T rs2783611 C T C EBF1_EBF_1 17 0 - 0 0 . chr10 29082314 29082315 chr10:29082315:G:T rs565694159 G T G EBF1_EBF_1 9 1 - 4.859252489109168 7.280002370007028 GCTCCCCTGCGATT chr10 29102769 29102770 chr10:29102770:G:A rs10826575 G A G EBF1_EBF_1 1 1 + 7.421282055632719 7.1605832237114155 CGTCCCCAGAGAAA chr10 29110502 29110503 chr10:29110503:T:C rs1416213 T C T EBF1_EBF_1 7 1 - 6.219496914665496 4.907038509376671 TCTCCCCAGGGGTA chr10 29133282 29133283 chr10:29133283:T:C rs545545 T C C EBF1_EBF_1 -8 0 + 0 0 . chr10 29142636 29142637 chr10:29142637:A:C rs138572968 A C A EBF1_EBF_1 0 1 + 4.248310473208911 1.9930519895123109 ACCCCCACGGGCCC chr10 29142649 29142650 chr10:29142650:C:G rs183235295 C G T EBF1_EBF_1 13 1 + 4.248310473208911 2.7602394518534963 ACCCCCACGGGCCC chr10 29189315 29189316 chr10:29189316:C:T rs10826578 C T C EBF1_EBF_1 19 0 - 0 0 . chr10 29237514 29237515 chr10:29237515:G:A rs118086455 G A G EBF1_EBF_1 9 1 + 4.753937175431635 2.0989979099840306 GTCCTCCTGGGACC chr10 29243455 29243456 chr10:29243456:G:T rs1990323 G T G EBF1_EBF_1 33 0 + 0 0 . chr10 29253319 29253320 chr10:29253320:C:T rs77344771 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 29253323 29253324 chr10:29253324:G:A rs867165 G A G EBF1_EBF_1 -5 0 + 0 0 . chr10 29254757 29254758 chr10:29254758:C:A rs56140062 C A C EBF1_EBF_1 2 1 + 7.505300630956437 5.70965645479971 GGCCCCCAGGGAGC chr10 29254768 29254769 chr10:29254769:C:T rs115146915 C T C EBF1_EBF_1 13 1 + 7.505300630956437 8.349794745541956 GGCCCCCAGGGAGC chr10 29269579 29269580 chr10:29269580:A:G rs2796320 A G A EBF1_EBF_1 16 0 - 0 0 . chr10 29303007 29303008 chr10:29303008:T:C rs12251457 T C T EBF1_EBF_1 -19 0 + 0 0 . chr10 29303088 29303089 chr10:29303089:T:C rs10826604 T C C EBF1_EBF_1 12 1 - 7.471160968596949 6.052343626497471 CTACCCCAGGGAAC chr10 29359247 29359248 chr10:29359248:C:T rs2182758 C T C EBF1_EBF_1 29 0 - 0 0 . chr10 29379913 29379914 chr10:29379914:T:C rs28507468 T C t EBF1_EBF_1 14 0 + 0 0 . chr10 29394443 29394444 chr10:29394444:G:A rs35116643 G A G EBF1_EBF_1 10 1 + 6.440353540153196 1.1223250808862304 AGCCCCATGCGACT chr10 29410088 29410089 chr10:29410089:C:G rs74129236 C G C EBF1_EBF_1 -18 0 - 0 0 . chr10 29449038 29449039 chr10:29449039:G:T rs6481589 G T G EBF1_EBF_1 29 0 - 0 0 . chr10 29461260 29461261 chr10:29461261:C:T rs10740803 C T t EBF1_EBF_1 -3 0 + 0 0 . chr10 29465679 29465680 chr10:29465680:T:C rs1057952 T C C EBF1_EBF_1 -11 0 + 0 0 . chr10 29491448 29491449 chr10:29491449:C:T rs61848921 C T c EBF1_EBF_1 -18 0 - 0 0 . chr10 29495639 29495640 chr10:29495640:C:T rs6481606 C T c EBF1_EBF_1 -6 0 - 0 0 . chr10 29513172 29513173 chr10:29513173:G:C rs117201212 G C G EBF1_EBF_1 1 1 + 7.421282055632719 7.231510401063501 CGTCCCCAGAGAAA chr10 29563976 29563977 chr10:29563977:A:C rs79807638 A C A EBF1_EBF_1 23 0 + 0 0 . chr10 29571425 29571426 chr10:29571426:A:C rs35178882 A C A EBF1_EBF_1 26 0 + 0 0 . chr10 29586132 29586133 chr10:29586133:C:T rs4749465 C T C EBF1_EBF_1 -9 0 - 0 0 . chr10 29590238 29590239 chr10:29590239:C:T rs77729402 C T C EBF1_EBF_1 -5 0 - 0 0 . chr10 29602231 29602232 chr10:29602232:A:G rs11007666 A G A EBF1_EBF_1 -6 0 + 0 0 . chr10 29612023 29612024 chr10:29612024:A:G rs2505908 A G A EBF1_EBF_1 26 0 - 0 0 . chr10 29622591 29622592 chr10:29622592:C:T rs1752795 C T C EBF1_EBF_1 -13 0 + 0 0 . chr10 29646448 29646449 chr10:29646449:G:C rs11007683 G C G EBF1_EBF_1 32 0 + 0 0 . chr10 29659887 29659888 chr10:29659888:T:A rs4749473 T A T EBF1_EBF_1 -18 0 + 0 0 . chr10 29736138 29736139 chr10:29736139:G:A rs7911261 G A G EBF1_EBF_1 3 1 - 5.632587076864082 -0.7728116646892349 CTTCCCCCGAGAGT chr10 29783855 29783856 chr10:29783856:G:A rs10763756 G A A EBF1_EBF_1 -2 0 + 0 0 . chr10 29795702 29795703 chr10:29795703:A:G rs3006533 A G a EBF1_EBF_1 22 0 + 0 0 . chr10 29795709 29795710 chr10:29795710:T:G rs563185422 T G T EBF1_EBF_1 29 0 + 0 0 . chr10 29806341 29806342 chr10:29806342:C:G rs2182857 C G C EBF1_EBF_1 6 1 + 4.4181523531816635 2.612960289708465 CTTCCCCACGGAGC chr10 29832175 29832176 chr10:29832176:C:T rs4747683 C T C EBF1_EBF_1 5 1 + 6.709485459922111 1.3876982443814403 CTTCCCCAGGGCCC chr10 29840825 29840826 chr10:29840826:G:A rs147526314 G A G EBF1_EBF_1 12 1 - 5.363704701327172 4.337438130776322 AGCCCCAGGGGTCA chr10 29875074 29875075 chr10:29875075:A:G rs4749500 A G G EBF1_EBF_1 -12 0 - 0 0 . chr10 29878824 29878825 chr10:29878825:G:A rs10740811 G A A EBF1_EBF_1 27 0 - 0 0 . chr10 29921239 29921240 chr10:29921240:C:G rs1756736 C G G EBF1_EBF_1 16 0 - 0 0 . chr10 29957960 29957961 chr10:29957961:G:A rs182785383 G A G EBF1_EBF_1 19 0 - 0 0 . chr10 29958045 29958046 chr10:29958046:G:A rs1045500275 G A G EBF1_EBF_1 26 0 - 0 0 . chr10 29962238 29962239 chr10:29962239:T:G rs12249252 T G T EBF1_EBF_1 27 0 + 0 0 . chr10 29963181 29963182 chr10:29963182:T:G rs2767626 T G T EBF1_EBF_1 0 1 - 11.130535873780637 8.875277390084038 ACTCCCTGGGGAAA chr10 30029415 30029416 chr10:30029416:C:T rs11813359 C T C EBF1_EBF_1 25 0 - 0 0 . chr10 30113834 30113835 chr10:30113835:C:T rs60973335 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 30151667 30151668 chr10:30151668:G:A rs146122962 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 30215778 30215779 chr10:30215779:G:A rs1571956 G A G EBF1_EBF_1 23 0 - 0 0 . chr10 30228946 30228947 chr10:30228947:A:G rs74132525 A G A EBF1_EBF_1 26 0 + 0 0 . chr10 30233761 30233762 chr10:30233762:G:A rs141725487 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 30272250 30272251 chr10:30272251:G:A rs588843 G A G EBF1_EBF_1 -15 0 + 0 0 . chr10 30311628 30311629 chr10:30311629:T:C rs608872 T C T EBF1_EBF_1 28 0 + 0 0 . chr10 30350290 30350291 chr10:30350291:A:G rs11008005 A G . EBF1_EBF_1 -13 0 + 0 0 . chr10 30352529 30352530 chr10:30352530:C:T rs1781279 C T . EBF1_EBF_1 -4 0 + 0 0 . chr10 30354157 30354158 chr10:30354158:T:G rs10826787 T G . EBF1_EBF_1 -1 0 + 0 0 . chr10 30378793 30378794 chr10:30378794:G:C rs1854466 G C . EBF1_EBF_1 -8 0 + 0 0 . chr10 30378812 30378813 chr10:30378813:G:T rs1963188 G T . EBF1_EBF_1 11 1 + 4.573468043674381 3.3682606311796666 AGCCCCCCGGGGTC chr10 30378827 30378828 chr10:30378828:C:T rs142593047 C T . EBF1_EBF_1 26 0 + 0 0 . chr10 30383036 30383037 chr10:30383037:A:G chr10:30383037:A:G A G . EBF1_EBF_1 30 0 - 0 0 . chr10 30433665 30433666 chr10:30433666:C:G rs1007897236 C G C EBF1_EBF_1 -5 0 + 0 0 . chr10 30433843 30433844 chr10:30433844:C:G rs111837386 C G C EBF1_EBF_1 -10 0 - 0 0 . chr10 30464844 30464845 chr10:30464845:C:T rs12244095 C T C EBF1_EBF_1 28 0 + 0 0 . chr10 30579994 30579995 chr10:30579995:G:A rs148907104 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 30605012 30605013 chr10:30605013:T:C rs300819 T C C EBF1_EBF_1 0 1 - 7.449649765356103 5.557365895791271 AATCCCTTGGGCCA chr10 30689130 30689131 chr10:30689131:C:A rs28517609 C A a EBF1_EBF_1 29 0 - 0 0 . chr10 30706675 30706676 chr10:30706676:G:C rs12244227 G C g EBF1_EBF_1 -11 0 + 0 0 . chr10 30706711 30706712 chr10:30706712:C:T rs12244120 C T c EBF1_EBF_1 25 0 + 0 0 . chr10 30721920 30721921 chr10:30721921:G:A rs2029747 G A g EBF1_EBF_1 15 0 + 0 0 . chr10 30723223 30723224 chr10:30723224:C:G rs11599517 C G c EBF1_EBF_1 24 0 + 0 0 . chr10 30724735 30724736 chr10:30724736:C:T rs7089717 C T t EBF1_EBF_1 28 0 + 0 0 . chr10 30724736 30724737 chr10:30724737:A:G rs7089594 A G g EBF1_EBF_1 29 0 + 0 0 . chr10 30732874 30732875 chr10:30732875:G:A rs141719232 G A g EBF1_EBF_1 30 0 - 0 0 . chr10 30754051 30754052 chr10:30754052:C:T rs7097542 C T c EBF1_EBF_1 25 0 + 0 0 . chr10 30754055 30754056 chr10:30754056:C:T rs12244621 C T c EBF1_EBF_1 29 0 + 0 0 . chr10 30774218 30774219 chr10:30774219:T:C rs4749609 T C t EBF1_EBF_1 19 0 - 0 0 . chr10 30803785 30803786 chr10:30803786:G:A rs1005805174 G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 30812571 30812572 chr10:30812572:C:T rs12354508 C T C EBF1_EBF_1 29 0 + 0 0 . chr10 30814231 30814232 chr10:30814232:A:C rs143252499 A C A EBF1_EBF_1 -19 0 - 0 0 . chr10 30818928 30818929 chr10:30818929:C:T rs58672332 C T T EBF1_EBF_1 -3 0 - 0 0 . chr10 30833441 30833442 chr10:30833442:T:C rs72814410 T C T EBF1_EBF_1 0 1 - 6.339986076592432 4.4477022070276 ATTCCCCAGAGCAC chr10 30833966 30833967 chr10:30833967:T:C rs34679877 T C T EBF1_EBF_1 24 0 - 0 0 . chr10 30834005 30834006 chr10:30834006:G:T rs2994651 G T G EBF1_EBF_1 -15 0 - 0 0 . chr10 30902863 30902864 chr10:30902864:T:C rs2994621 T C t EBF1_EBF_1 14 0 - 0 0 . chr10 30902870 30902871 chr10:30902871:T:C rs2994622 T C C EBF1_EBF_1 7 1 - 4.615087684811342 3.3026292795225163 CCTCCCCAAGGAGC chr10 30997600 30997601 chr10:30997601:G:A rs55913151 G A G EBF1_EBF_1 1 1 - 6.065299848085118 6.960733288483864 CCTCCCAAGGTAAA chr10 31003062 31003063 chr10:31003063:G:A rs1690630 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 31031623 31031624 chr10:31031624:G:A rs77454618 G A G EBF1_EBF_1 12 1 - 3.3567888133380546 2.330522242787205 CGCCCCGTGGGGCC chr10 31031751 31031752 chr10:31031752:G:C rs1771646 G C C EBF1_EBF_1 25 0 + 0 0 . chr10 31032204 31032205 chr10:31032205:G:C rs2642187 G C G EBF1_EBF_1 4 1 - 4.607877353382905 -1.0178877477666386 AGCCCCCAGGGCGA chr10 31125022 31125023 chr10:31125023:G:C rs74134966 G C G EBF1_EBF_1 4 1 - 6.205839845562434 0.5800747444128913 TTCCCCCTGAGATT chr10 31134352 31134353 chr10:31134353:G:C rs61843798 G C G EBF1_EBF_1 8 1 + 8.488980999706557 3.170322138791871 GGTCCCCCGGGACC chr10 31273019 31273020 chr10:31273020:A:G rs79910164 A G G EBF1_EBF_1 14 0 + 0 0 . chr10 31602957 31602958 chr10:31602958:G:A rs564360440 G A G EBF1_EBF_1 -16 0 - 0 0 . chr10 31603413 31603414 chr10:31603414:G:A rs111660719 G A G EBF1_EBF_1 6 1 + 4.893533551609832 7.060327982884309 AACCCCGGGGGATG chr10 31643440 31643441 chr10:31643441:G:T rs1341604 G T G EBF1_EBF_1 -1 0 - 0 0 . chr10 31645977 31645978 chr10:31645978:C:G rs112194669 C G C EBF1_EBF_1 23 0 - 0 0 . chr10 31651327 31651328 chr10:31651328:A:C rs11008568 A C A EBF1_EBF_1 -6 0 - 0 0 . chr10 31697553 31697554 chr10:31697554:C:T rs577834557 C T C EBF1_EBF_1 32 0 - 0 0 . chr10 31733270 31733271 chr10:31733271:T:C rs3908708 T C C EBF1_EBF_1 21 0 + 0 0 . chr10 31749413 31749414 chr10:31749414:C:T rs188566918 C T C EBF1_EBF_1 6 1 + 3.3764972070108925 3.6777833358726486 GCTCCCCGGGGCCG chr10 31928313 31928314 chr10:31928314:C:A rs147408209 C A C EBF1_EBF_1 27 0 - 0 0 . chr10 31947695 31947696 chr10:31947696:G:T rs12773899 G T g EBF1_EBF_1 -5 0 - 0 0 . chr10 32054270 32054271 chr10:32054271:A:C rs753720056 A C A EBF1_EBF_1 -7 0 + 0 0 . chr10 32056575 32056576 chr10:32056576:C:A rs72777106 C A C EBF1_EBF_1 29 0 - 0 0 . chr10 32056621 32056622 chr10:32056622:A:C chr10:32056622:A:C A C A EBF1_EBF_1 -17 0 - 0 0 . chr10 32068830 32068831 chr10:32068831:C:T rs4749699 C T T EBF1_EBF_1 24 0 - 0 0 . chr10 32068831 32068832 chr10:32068832:G:A rs806812 G A G EBF1_EBF_1 23 0 - 0 0 . chr10 32138375 32138376 chr10:32138376:C:T rs2998064 C T c EBF1_EBF_1 23 0 - 0 0 . chr10 32139393 32139394 chr10:32139394:G:A rs2998077 G A A EBF1_EBF_1 -1 0 + 0 0 . chr10 32139422 32139423 chr10:32139423:A:C rs916721161 A C A EBF1_EBF_1 28 0 + 0 0 . chr10 32141430 32141431 chr10:32141431:G:T rs2998089 G T G EBF1_EBF_1 0 1 - 6.234082579999166 8.489341063695766 CATCCCTAAGGACC chr10 32179698 32179699 chr10:32179699:G:T rs76329624 G T G EBF1_EBF_1 14 0 - 0 0 . chr10 32189893 32189894 chr10:32189894:G:A rs79250595 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 32210849 32210850 chr10:32210850:C:G rs558351886 C G C EBF1_EBF_1 -2 0 - 0 0 . chr10 32210860 32210861 chr10:32210861:C:A rs146156012 C A C EBF1_EBF_1 -13 0 - 0 0 . chr10 32219346 32219347 chr10:32219347:C:T rs57267310 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 32219356 32219357 chr10:32219357:G:A rs57926720 G A G EBF1_EBF_1 -20 0 - 0 0 . chr10 32230782 32230783 chr10:32230783:C:T rs78647194 C T C EBF1_EBF_1 4 1 + 5.1232601414872825 0.7538731965423582 TATCCCCAGGAACC chr10 32240780 32240781 chr10:32240781:C:T rs2806129 C T T EBF1_EBF_1 7 1 - 5.741580237161585 7.054038642450411 AATCCCTGGGAAAA chr10 32253514 32253515 chr10:32253515:G:A rs754905102 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 32253527 32253528 chr10:32253528:G:A rs2806139 G A A EBF1_EBF_1 7 1 + 4.468252647288276 5.780711052577102 AGACCCAGGGGCCT chr10 32253538 32253539 chr10:32253539:A:C rs1184109124 A C A EBF1_EBF_1 18 0 + 0 0 . chr10 32263743 32263744 chr10:32263744:C:G rs2491064 C G G EBF1_EBF_1 0 1 - 6.285845393637599 5.922870779505832 GTCCCCATGAGAGT chr10 32347616 32347617 chr10:32347617:A:G chr10:32347617:A:G A G A EBF1_EBF_1 -6 0 + 0 0 . chr10 32348442 32348443 chr10:32348443:G:A rs7077798 G A G EBF1_EBF_1 25 0 + 0 0 . chr10 32349380 32349381 chr10:32349381:T:A rs2505372 T A T EBF1_EBF_1 -13 0 - 0 0 . chr10 32400581 32400582 chr10:32400582:T:C rs16933327 T C T EBF1_EBF_1 -20 0 + 0 0 . chr10 32400599 32400600 chr10:32400600:T:C rs61858683 T C T EBF1_EBF_1 -2 0 + 0 0 . chr10 32591693 32591694 chr10:32591694:C:T rs2947058 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 32597788 32597789 chr10:32597789:G:A rs77579695 G A g EBF1_EBF_1 -15 0 - 0 0 . chr10 32790676 32790677 chr10:32790677:T:C rs12269423 T C T EBF1_EBF_1 32 0 + 0 0 . chr10 32983428 32983429 chr10:32983429:G:C chr10:32983429:G:C G C G EBF1_EBF_1 5 1 - 6.611420880285878 -0.29346027234929073 ACTCCCCAGGGCAG chr10 32988853 32988854 chr10:32988854:C:T rs10827167 C T C EBF1_EBF_1 5 1 + 5.055818910226858 -0.2659683053138125 TCCCCCGAGGGAGA chr10 33104201 33104202 chr10:33104202:T:G rs2666246 T G T EBF1_EBF_1 -14 0 + 0 0 . chr10 33109135 33109136 chr10:33109136:C:A rs7069765 C A A EBF1_EBF_1 18 0 - 0 0 . chr10 33137358 33137359 chr10:33137359:C:T rs72786197 C T C EBF1_EBF_1 8 1 - 5.3775562849775085 1.1512661960912467 CACCCCCAGGGGCC chr10 33142133 33142134 chr10:33142134:C:A rs2750048 C A A EBF1_EBF_1 -1 0 - 0 0 . chr10 33161313 33161314 chr10:33161314:C:T rs2506128 C T T EBF1_EBF_1 -7 0 - 0 0 . chr10 33180252 33180253 chr10:33180253:A:G rs1048804 A G G EBF1_EBF_1 12 1 + 4.247457443282223 2.8286401011827453 GACCCCCAGGGCAC chr10 33181094 33181095 chr10:33181095:G:T rs12771906 G T g EBF1_EBF_1 4 1 - 6.682548562138281 0.8579889386848136 AGTCCCCAGTGACT chr10 33234811 33234812 chr10:33234812:A:G rs117343344 A G A EBF1_EBF_1 18 0 - 0 0 . chr10 33243162 33243163 chr10:33243163:A:G rs7920615 A G G EBF1_EBF_1 -13 0 + 0 0 . chr10 33249028 33249029 chr10:33249029:A:G rs12779935 A G A EBF1_EBF_1 -18 0 - 0 0 . chr10 33252777 33252778 chr10:33252778:T:A rs10763919 T A T EBF1_EBF_1 -5 0 + 0 0 . chr10 33257733 33257734 chr10:33257734:G:C rs17505094 G C G EBF1_EBF_1 8 1 + 5.920063459195996 0.6014045982813099 ATTGCCTTGGGAAG chr10 33259127 33259128 chr10:33259128:G:C rs185066567 G C G EBF1_EBF_1 4 1 - 6.24661153825421 0.620846437104667 CTCCCCAAAGGAAT chr10 33327668 33327669 chr10:33327669:T:C rs34181815 T C T EBF1_EBF_1 -1 0 + 0 0 . chr10 33331181 33331182 chr10:33331182:T:C rs61758240 T C T EBF1_EBF_1 26 0 - 0 0 . chr10 33334841 33334842 chr10:33334842:T:C rs533930694 T C T EBF1_EBF_1 -11 0 - 0 0 . chr10 33336565 33336566 chr10:33336566:C:G rs61758226 C G C EBF1_EBF_1 -1 0 - 0 0 . chr10 33345001 33345002 chr10:33345002:A:G rs4934933 A G G EBF1_EBF_1 -11 0 + 0 0 . chr10 33347937 33347938 chr10:33347938:C:T rs113877801 C T C EBF1_EBF_1 -16 0 - 0 0 . chr10 33368281 33368282 chr10:33368282:G:T rs16934435 G T G EBF1_EBF_1 -13 0 - 0 0 . chr10 33380247 33380248 chr10:33380248:C:T rs77435885 C T C EBF1_EBF_1 10 1 - 8.667754645661528 3.349726186394562 ATTCTCCAGGGAAA chr10 33393345 33393346 chr10:33393346:C:A rs61845661 C A C EBF1_EBF_1 -6 0 - 0 0 . chr10 33418364 33418365 chr10:33418365:G:C rs182839659 G C G EBF1_EBF_1 7 1 + 6.1862528637996475 5.204932282718292 ACTCCCTGGGAAAC chr10 33453186 33453187 chr10:33453187:G:A rs75839842 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 33502193 33502194 chr10:33502194:G:A rs4934976 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 33580706 33580707 chr10:33580707:A:C rs66540449 A C C EBF1_EBF_1 25 0 - 0 0 . chr10 33738388 33738389 chr10:33738389:A:G rs61845241 A G G EBF1_EBF_1 -6 0 + 0 0 . chr10 33774085 33774086 chr10:33774086:C:G rs2039335 C G C EBF1_EBF_1 27 0 + 0 0 . chr10 33856774 33856775 chr10:33856775:T:G rs61843818 T G G EBF1_EBF_1 -2 0 - 0 0 . chr10 33888045 33888046 chr10:33888046:G:A rs1757473 G A A EBF1_EBF_1 27 0 - 0 0 . chr10 33927509 33927510 chr10:33927510:G:A rs10827315 G A G EBF1_EBF_1 9 1 + 4.700813823784061 2.0458745583364566 ATTCCAGAGGGAAC chr10 33927545 33927546 chr10:33927546:G:A rs80327131 G A G EBF1_EBF_1 31 0 + 0 0 . chr10 34030940 34030941 chr10:34030941:G:C rs1705014 G C g EBF1_EBF_1 12 1 - 5.486881323144855 4.098245379466704 CCTCTCCAGGGACA chr10 34078239 34078240 chr10:34078240:A:G rs16935040 A G A EBF1_EBF_1 20 0 + 0 0 . chr10 34078966 34078967 chr10:34078967:G:A rs7911351 G A A EBF1_EBF_1 -1 0 + 0 0 . chr10 34087083 34087084 chr10:34087084:G:T rs73263064 G T G EBF1_EBF_1 32 0 + 0 0 . chr10 34311057 34311058 chr10:34311058:T:C rs1936429 T C C EBF1_EBF_1 18 0 + 0 0 . chr10 34327527 34327528 chr10:34327528:A:G rs16935315 A G G EBF1_EBF_1 -20 0 - 0 0 . chr10 34526390 34526391 chr10:34526391:C:T rs74132044 C T C EBF1_EBF_1 3 1 - 4.6995419664064135 3.621132385055823 CATGCCCTGGGACT chr10 34527313 34527314 chr10:34527314:T:G rs558331395 T G T EBF1_EBF_1 23 0 - 0 0 . chr10 34697924 34697925 chr10:34697925:T:A rs3002034 T A T EBF1_EBF_1 2 1 + 6.6684900143145525 2.9840224267156756 AGTCCCCAAAGAAT chr10 34699450 34699451 chr10:34699451:C:T rs2496744 C T C EBF1_EBF_1 8 1 - 9.114312320858751 4.888022231972491 TTTCCCAAGAGACC chr10 34702264 34702265 chr10:34702265:G:A rs538802994 G A G EBF1_EBF_1 21 0 - 0 0 . chr10 34770940 34770941 chr10:34770941:T:C rs7080176 T C T EBF1_EBF_1 -7 0 + 0 0 . chr10 34809004 34809005 chr10:34809005:G:T rs7342019 G T T EBF1_EBF_1 17 0 - 0 0 . chr10 34809598 34809599 chr10:34809599:T:C rs112521176 T C T EBF1_EBF_1 21 0 - 0 0 . chr10 34809604 34809605 chr10:34809605:T:C rs12243086 T C T EBF1_EBF_1 15 0 - 0 0 . chr10 34815097 34815098 chr10:34815098:G:A rs12355985 G A . EBF1_EBF_1 -2 0 - 0 0 . chr10 34967827 34967828 chr10:34967828:C:T rs1390462287 C T . EBF1_EBF_1 -1 0 - 0 0 . chr10 34967840 34967841 chr10:34967841:G:A rs144465391 G A . EBF1_EBF_1 -14 0 - 0 0 . chr10 34978242 34978243 chr10:34978243:G:A rs187006650 G A . EBF1_EBF_1 6 1 - 6.626118963458918 6.927405092320675 TTCCCCCAGGGGCC chr10 34978836 34978837 chr10:34978837:G:A rs9787644 G A . EBF1_EBF_1 24 0 - 0 0 . chr10 34978862 34978863 chr10:34978863:T:C rs191737843 T C . EBF1_EBF_1 -2 0 - 0 0 . chr10 35126938 35126939 chr10:35126939:C:T rs114731270 C T C EBF1_EBF_1 15 0 + 0 0 . chr10 35126949 35126950 chr10:35126950:G:C rs539833331 G C G EBF1_EBF_1 26 0 + 0 0 . chr10 35128937 35128938 chr10:35128938:C:T rs114736635 C T C EBF1_EBF_1 24 0 + 0 0 . chr10 35153919 35153920 chr10:35153920:G:T rs7916992 G T G EBF1_EBF_1 9 1 - 6.558089894968901 8.97883977586676 ATTCCCTAGCGGCT chr10 35300100 35300101 chr10:35300101:T:C rs143924624 T C T EBF1_EBF_1 -14 0 - 0 0 . chr10 35375729 35375730 chr10:35375730:G:C rs4934544 G C G EBF1_EBF_1 -3 0 - 0 0 . chr10 35397923 35397924 chr10:35397924:A:G rs186894633 A G G EBF1_EBF_1 -10 0 - 0 0 . chr10 35504334 35504335 chr10:35504335:A:T rs10827509 A T T EBF1_EBF_1 25 0 - 0 0 . chr10 35568275 35568276 chr10:35568276:C:T rs117538420 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 35578439 35578440 chr10:35578440:C:T rs561423892 C T C EBF1_EBF_1 1 1 + 9.138559166696115 10.03399260709486 ACCCCCCAGGGAAG chr10 35578451 35578452 chr10:35578452:G:C rs111671388 G C G EBF1_EBF_1 13 1 + 9.138559166696115 10.62663018805153 ACCCCCCAGGGAAG chr10 35601500 35601501 chr10:35601501:C:T rs2431062 C T C EBF1_EBF_1 12 1 + 4.377361244523422 3.3510946739725713 GGTTCCCAGGGACC chr10 35753005 35753006 chr10:35753006:C:T rs193248488 C T C EBF1_EBF_1 27 0 - 0 0 . chr10 35753034 35753035 chr10:35753035:G:A rs17596198 G A G EBF1_EBF_1 -2 0 - 0 0 . chr10 35809268 35809269 chr10:35809269:C:T rs2384459 C T C EBF1_EBF_1 8 1 - 11.51579545770539 7.289505368819132 ATTCCCCTGGGAGC chr10 35875172 35875173 chr10:35875173:G:C rs137958179 G C G EBF1_EBF_1 -14 0 + 0 0 . chr10 35892715 35892716 chr10:35892716:A:G rs7095108 A G A EBF1_EBF_1 18 0 - 0 0 . chr10 36025299 36025300 chr10:36025300:A:G rs145004568 A G A EBF1_EBF_1 31 0 - 0 0 . chr10 36114217 36114218 chr10:36114218:G:A rs767175176 G A G EBF1_EBF_1 4 1 - 8.405396261910257 4.036009316965334 ACTCCCAAGGGTAA chr10 36224543 36224544 chr10:36224544:C:A rs1480524 C A C EBF1_EBF_1 15 0 + 0 0 . chr10 36349938 36349939 chr10:36349939:T:A rs75178008 T A T EBF1_EBF_1 11 1 - 5.8782809210490035 1.7803666649502778 GCTCCCATAGGACA chr10 36476601 36476602 chr10:36476602:T:C rs35822230 T C T EBF1_EBF_1 -8 0 + 0 0 . chr10 36505070 36505071 chr10:36505071:G:A rs12240752 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 36555553 36555554 chr10:36555554:C:T rs72790668 C T C EBF1_EBF_1 -17 0 - 0 0 . chr10 36560497 36560498 chr10:36560498:G:T rs1537326 G T T EBF1_EBF_1 -13 0 + 0 0 . chr10 36570947 36570948 chr10:36570948:G:A rs2483008 G A A EBF1_EBF_1 -17 0 - 0 0 . chr10 36623914 36623915 chr10:36623915:A:G rs10827665 A G A EBF1_EBF_1 24 0 - 0 0 . chr10 36725789 36725790 chr10:36725790:A:C rs2779313 A C G EBF1_EBF_1 25 0 - 0 0 . chr10 36771167 36771168 chr10:36771168:A:C rs12259310 A C A EBF1_EBF_1 27 0 + 0 0 . chr10 37022902 37022903 chr10:37022903:G:T rs2459434 G T G EBF1_EBF_1 6 1 + 8.037978087433803 10.144456279768757 CTTCCCGGGGGAAC chr10 37566450 37566451 chr10:37566451:G:C rs11011259 G C g EBF1_EBF_1 3 1 - 5.306627774754371 -0.521136673360844 CCTCCTCTGGGAAT chr10 37566467 37566468 chr10:37566468:T:C rs4934588 T C c EBF1_EBF_1 -14 0 - 0 0 . chr10 37640283 37640284 chr10:37640284:C:T rs1885058 C T c EBF1_EBF_1 -13 0 - 0 0 . chr10 37650472 37650473 chr10:37650473:C:G rs537772573 C G c EBF1_EBF_1 23 0 + 0 0 . chr10 37652982 37652983 chr10:37652983:C:T rs11011310 C T c EBF1_EBF_1 17 0 - 0 0 . chr10 37712839 37712840 chr10:37712840:C:A rs543586300 C A . EBF1_EBF_1 -2 0 - 0 0 . chr10 37741783 37741784 chr10:37741784:G:A rs11011352 G A . EBF1_EBF_1 28 0 - 0 0 . chr10 37741784 37741785 chr10:37741785:A:T rs77796500 A T . EBF1_EBF_1 27 0 - 0 0 . chr10 37748015 37748016 chr10:37748016:C:G rs142525745 C G . EBF1_EBF_1 -13 0 - 0 0 . chr10 37753366 37753367 chr10:37753367:G:T rs1208767 G T . EBF1_EBF_1 5 1 - 5.142710054054173 -1.7621710985809949 GGCCCCAAGAGAAG chr10 37802380 37802381 chr10:37802381:C:T rs3824584 C T . EBF1_EBF_1 -14 0 - 0 0 . chr10 37857379 37857380 chr10:37857380:C:T rs141919551 C T C EBF1_EBF_1 22 0 - 0 0 . chr10 38450843 38450844 chr10:38450844:A:T rs759503780 A T . EBF1_EBF_1 0 1 + 5.447823408352354 3.4747669853863314 ACACCCCTGGGAGG chr10 38450876 38450877 chr10:38450877:T:C rs7474921 T C . EBF1_EBF_1 33 0 + 0 0 . chr10 38604784 38604785 chr10:38604785:G:A rs7076099 G A . EBF1_EBF_1 27 0 - 0 0 . chr10 38605311 38605312 chr10:38605312:C:T rs11146850 C T . EBF1_EBF_1 -2 0 - 0 0 . chr10 41728178 41728179 chr10:41728179:C:T rs2334905 C T . EBF1_EBF_1 5 1 + 5.908080338507525 0.5862931229668547 AGCCCCTTGAGGCT chr10 42149280 42149281 chr10:42149281:T:C rs73248000 T C . EBF1_EBF_1 -10 0 - 0 0 . chr10 42241908 42241909 chr10:42241909:C:A rs148165463 C A . EBF1_EBF_1 -8 0 + 0 0 . chr10 42359735 42359736 chr10:42359736:G:A rs551792297 G A g EBF1_EBF_1 7 1 - 5.431380758824768 7.248885505107391 AGTCACCCGGGAAT chr10 42391033 42391034 chr10:42391034:G:A rs10900152 G A - EBF1_EBF_1 -15 0 - 0 0 . chr10 42454128 42454129 chr10:42454129:T:C chr10:42454129:T:C T C . EBF1_EBF_1 -17 0 - 0 0 . chr10 42476476 42476477 chr10:42476477:C:T rs1255400 C T . EBF1_EBF_1 -7 0 - 0 0 . chr10 42753634 42753635 chr10:42753635:C:G rs61844770 C G C EBF1_EBF_1 20 0 + 0 0 . chr10 42754436 42754437 chr10:42754437:G:A rs76062358 G A G EBF1_EBF_1 1 1 + 7.505300630956437 7.244601799035136 GGCCCCCAGGGAGC chr10 42754445 42754446 chr10:42754446:G:T rs929553445 G T G EBF1_EBF_1 10 1 + 7.505300630956437 3.3225484447291715 GGCCCCCAGGGAGC chr10 42755386 42755387 chr10:42755387:G:A rs1414267659 G A G EBF1_EBF_1 -4 0 - 0 0 . chr10 42781596 42781597 chr10:42781597:G:A rs75963733 G A G EBF1_EBF_1 3 1 - 6.421695802006827 0.01629706045350887 AGCCCCCAGGTATT chr10 42836893 42836894 chr10:42836894:C:G rs12763432 C G C EBF1_EBF_1 -14 0 - 0 0 . chr10 42860066 42860067 chr10:42860067:T:C rs2796560 T C T EBF1_EBF_1 31 0 + 0 0 . chr10 42870956 42870957 chr10:42870957:C:A rs74135409 C A C EBF1_EBF_1 1 1 + 6.092536159790177 6.021608982438092 GCTCCCATGGGCAC chr10 42878830 42878831 chr10:42878831:A:C rs2744068 A C C EBF1_EBF_1 0 1 + 6.419902413763505 4.164643930066904 AATGCCTGGGGACT chr10 42878856 42878857 chr10:42878857:T:C rs2744067 T C T EBF1_EBF_1 26 0 + 0 0 . chr10 42898452 42898453 chr10:42898453:G:C rs79663334 G C G EBF1_EBF_1 3 1 - 6.203241842683964 0.3754773945687469 GCCCCCAAGGGGAC chr10 42898820 42898821 chr10:42898821:A:G rs1775054 A G G EBF1_EBF_1 -16 0 - 0 0 . chr10 42903555 42903556 chr10:42903556:C:A rs10900285 C A C EBF1_EBF_1 -20 0 - 0 0 . chr10 42927070 42927071 chr10:42927071:C:T rs748271 C T C EBF1_EBF_1 3 1 + 6.605597708561188 0.20019896700787015 CTTCCCCTGAGAGC chr10 42935386 42935387 chr10:42935387:A:C rs74135424 A C C EBF1_EBF_1 27 0 + 0 0 . chr10 42951515 42951516 chr10:42951516:G:T rs61843457 G T G EBF1_EBF_1 -10 0 + 0 0 . chr10 42953374 42953375 chr10:42953375:G:A rs17158318 G A g EBF1_EBF_1 -6 0 - 0 0 . chr10 42954300 42954301 chr10:42954301:G:A rs2506031 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 42969628 42969629 chr10:42969629:T:A rs76411816 T A T EBF1_EBF_1 24 0 - 0 0 . chr10 42985627 42985628 chr10:42985628:G:A rs61845282 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 42988704 42988705 chr10:42988705:C:G rs1051333641 C G C EBF1_EBF_1 27 0 - 0 0 . chr10 42988714 42988715 chr10:42988715:T:G rs3004258 T G G EBF1_EBF_1 17 0 - 0 0 . chr10 42990351 42990352 chr10:42990352:T:C rs1109750 T C T EBF1_EBF_1 -18 0 + 0 0 . chr10 42991437 42991438 chr10:42991438:C:G rs17158352 C G C EBF1_EBF_1 22 0 - 0 0 . chr10 42998310 42998311 chr10:42998311:A:G rs76329817 A G A EBF1_EBF_1 23 0 - 0 0 . chr10 43001593 43001594 chr10:43001594:C:T rs78816196 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 43001626 43001627 chr10:43001627:C:T rs77972511 C T C EBF1_EBF_1 15 0 + 0 0 . chr10 43001630 43001631 chr10:43001631:A:G rs2488283 A G G EBF1_EBF_1 19 0 + 0 0 . chr10 43005642 43005643 chr10:43005643:T:C rs1254987 T C C EBF1_EBF_1 -7 0 + 0 0 . chr10 43022127 43022128 chr10:43022128:T:G rs61845343 T G T EBF1_EBF_1 -12 0 - 0 0 . chr10 43028077 43028078 chr10:43028078:G:A rs61843177 G A G EBF1_EBF_1 10 1 + 6.316207133244486 0.9981786739775209 TGTCCCCAGGGCAC chr10 43041935 43041936 chr10:43041936:C:T rs61843192 C T C EBF1_EBF_1 21 0 - 0 0 . chr10 43043574 43043575 chr10:43043575:C:T rs61843194 C T C EBF1_EBF_1 -19 0 - 0 0 . chr10 43043575 43043576 chr10:43043576:G:A rs3097564 G A G EBF1_EBF_1 -20 0 - 0 0 . chr10 43045043 43045044 chr10:43045044:G:A rs73264178 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 43063308 43063309 chr10:43063309:A:G rs3026707 A G G EBF1_EBF_1 -14 0 - 0 0 . chr10 43068405 43068406 chr10:43068406:T:C rs3026720 T C C EBF1_EBF_1 -14 0 + 0 0 . chr10 43074204 43074205 chr10:43074205:A:G rs2505995 A G G EBF1_EBF_1 25 0 + 0 0 . chr10 43077932 43077933 chr10:43077933:C:G rs146058483 C G C EBF1_EBF_1 4 1 + 3.6432913988563946 -1.9824737022931496 CCCCCCGAGGGGCC chr10 43079048 43079049 chr10:43079049:G:A rs564959743 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 43079083 43079084 chr10:43079084:C:T rs7075495 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 43080772 43080773 chr10:43080773:G:A rs2506013 G A G EBF1_EBF_1 -4 0 - 0 0 . chr10 43094200 43094201 chr10:43094201:C:G rs3128726 C G C EBF1_EBF_1 -14 0 + 0 0 . chr10 43110137 43110138 chr10:43110138:G:A rs2505515 G A G EBF1_EBF_1 26 0 - 0 0 . chr10 43116777 43116778 chr10:43116778:C:T rs760466 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 43120732 43120733 chr10:43120733:A:G rs79094522 A G A EBF1_EBF_1 24 0 - 0 0 . chr10 43130851 43130852 chr10:43130852:G:A rs117661056 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 43151631 43151632 chr10:43151632:A:G rs116929945 A G A EBF1_EBF_1 18 0 + 0 0 . chr10 43203471 43203472 chr10:43203472:G:C rs116895303 G C G EBF1_EBF_1 5 1 - 6.581055195306586 -0.32382595732858366 AGCCCCCGGGGGCC chr10 43211798 43211799 chr10:43211799:C:A rs78863044 C A C EBF1_EBF_1 -14 0 + 0 0 . chr10 43212187 43212188 chr10:43212188:A:G rs1484174112 A G A EBF1_EBF_1 -2 0 + 0 0 . chr10 43218734 43218735 chr10:43218735:C:A rs78764998 C A C EBF1_EBF_1 -20 0 + 0 0 . chr10 43218780 43218781 chr10:43218781:G:A rs56218716 G A G EBF1_EBF_1 26 0 + 0 0 . chr10 43224956 43224957 chr10:43224957:T:C rs147901669 T C T EBF1_EBF_1 27 0 + 0 0 . chr10 43225823 43225824 chr10:43225824:G:T rs112450803 G T G EBF1_EBF_1 10 1 + 6.669931097695048 2.4871789114677822 GGTCCCCAGAGAAG chr10 43231309 43231310 chr10:43231310:T:G rs77964237 T G T EBF1_EBF_1 13 1 - 7.858994864884513 8.232740314170488 CTTCCCCAGGGGCA chr10 43235059 43235060 chr10:43235060:G:T rs7909477 G T G EBF1_EBF_1 -1 0 - 0 0 . chr10 43249411 43249412 chr10:43249412:C:G rs2460551 C G g EBF1_EBF_1 14 0 - 0 0 . chr10 43249990 43249991 chr10:43249991:A:T rs115606342 A T A EBF1_EBF_1 -8 0 - 0 0 . chr10 43254011 43254012 chr10:43254012:C:T rs146282408 C T C EBF1_EBF_1 4 1 + 5.2029784598912086 0.8335915149462845 CTCCCCATGGGGTC chr10 43254250 43254251 chr10:43254251:T:C rs79275037 T C T EBF1_EBF_1 -6 0 - 0 0 . chr10 43254251 43254252 chr10:43254252:G:A rs12769745 G A G EBF1_EBF_1 -7 0 - 0 0 . chr10 43256093 43256094 chr10:43256094:G:A rs145491436 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 43257606 43257607 chr10:43257607:C:T rs12768239 C T C EBF1_EBF_1 1 1 + 6.79316504467911 7.688598485077856 CCTCCCCAGGGGGT chr10 43257622 43257623 chr10:43257623:G:A rs12766919 G A G EBF1_EBF_1 17 0 + 0 0 . chr10 43259530 43259531 chr10:43259531:A:G rs2253527 A G G EBF1_EBF_1 31 0 + 0 0 . chr10 43267675 43267676 chr10:43267676:C:T rs77808063 C T C EBF1_EBF_1 31 0 - 0 0 . chr10 43272226 43272227 chr10:43272227:A:G rs2503858 A G G EBF1_EBF_1 -5 0 + 0 0 . chr10 43285105 43285106 chr10:43285106:T:C rs76332460 T C C EBF1_EBF_1 6 1 + 5.071896173306258 4.7706100444445 AGCCCCTGGAGGCT chr10 43285608 43285609 chr10:43285609:G:C rs74135709 G C G EBF1_EBF_1 -19 0 + 0 0 . chr10 43285642 43285643 chr10:43285643:C:T rs11238503 C T C EBF1_EBF_1 15 0 + 0 0 . chr10 43323088 43323089 chr10:43323089:C:A rs58345794 C A C EBF1_EBF_1 6 1 + 7.906361502893542 8.267963870694818 ACTCCCCGGGGGCA chr10 43338476 43338477 chr10:43338477:G:A rs67284218 G A G EBF1_EBF_1 5 1 - 8.2103571757815 2.88856996024083 CTCCCCCAGGGATC chr10 43349262 43349263 chr10:43349263:G:T rs146028231 G T G EBF1_EBF_1 3 1 - 5.914898580657504 -0.9912754488083024 AATCCCGGAGGACT chr10 43362026 43362027 chr10:43362027:T:A rs769250995 T A T EBF1_EBF_1 2 1 + 8.387357944973628 4.702890357374754 ACTCCCCAGGGTCC chr10 43362745 43362746 chr10:43362746:T:G chr10:43362746:T:G T G T EBF1_EBF_1 -20 0 + 0 0 . chr10 43362789 43362790 chr10:43362790:T:C rs112525862 T C T EBF1_EBF_1 24 0 + 0 0 . chr10 43395826 43395827 chr10:43395827:G:A rs12220230 G A G EBF1_EBF_1 -19 0 - 0 0 . chr10 43408583 43408584 chr10:43408584:G:A rs577769492 G A G EBF1_EBF_1 9 1 + 7.948149840321675 5.293210574874071 AGTCCCCAGGTAGT chr10 43408663 43408664 chr10:43408664:C:A rs866079310 C A C EBF1_EBF_1 13 1 + 6.783067306959972 6.409321857673996 ACCCCCAGGGGGAC chr10 43447712 43447713 chr10:43447713:C:T rs2492403 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 43475143 43475144 chr10:43475144:T:C rs74137736 T C T EBF1_EBF_1 -10 0 + 0 0 . chr10 43475148 43475149 chr10:43475149:T:C rs61859968 T C C EBF1_EBF_1 -5 0 + 0 0 . chr10 43507290 43507291 chr10:43507291:T:C rs3006401 T C T EBF1_EBF_1 -8 0 + 0 0 . chr10 43595349 43595350 chr10:43595350:G:T rs72790722 G T G EBF1_EBF_1 10 1 + 6.17187981673179 1.9891276305045256 CTTCCTCTGGGACT chr10 43648865 43648866 chr10:43648866:C:T rs1017420296 C T C EBF1_EBF_1 -17 0 + 0 0 . chr10 43648898 43648899 chr10:43648899:C:T rs186452711 C T C EBF1_EBF_1 16 0 + 0 0 . chr10 43648912 43648913 chr10:43648913:G:T rs547234805 G T G EBF1_EBF_1 30 0 + 0 0 . chr10 43649032 43649033 chr10:43649033:C:G rs111765435 C G C EBF1_EBF_1 32 0 + 0 0 . chr10 43667432 43667433 chr10:43667433:G:T rs3123659 G T G EBF1_EBF_1 -19 0 + 0 0 . chr10 43669718 43669719 chr10:43669719:C:T rs11238621 C T C EBF1_EBF_1 6 1 + 4.242514506024875 4.543800634886633 ACTCCCCGGGGCTG chr10 43670456 43670457 chr10:43670457:G:C rs3123656 G C C EBF1_EBF_1 23 0 + 0 0 . chr10 43691913 43691914 chr10:43691914:A:G rs564329293 A G A EBF1_EBF_1 22 0 + 0 0 . chr10 43695430 43695431 chr10:43695431:C:T rs7081485 C T C EBF1_EBF_1 17 0 + 0 0 . chr10 43700950 43700951 chr10:43700951:C:T rs58747520 C T C EBF1_EBF_1 33 0 + 0 0 . chr10 43701348 43701349 chr10:43701349:G:A rs12413246 G A G EBF1_EBF_1 -4 0 - 0 0 . chr10 43735939 43735940 chr10:43735940:C:T rs78886945 C T C EBF1_EBF_1 23 0 + 0 0 . chr10 43753044 43753045 chr10:43753045:A:C rs10793482 A C C EBF1_EBF_1 -14 0 + 0 0 . chr10 43779566 43779567 chr10:43779567:A:G rs59003973 A G A EBF1_EBF_1 -4 0 + 0 0 . chr10 43792793 43792794 chr10:43792794:A:T rs10793495 A T . EBF1_EBF_1 -19 0 + 0 0 . chr10 43794250 43794251 chr10:43794251:C:T rs11238709 C T . EBF1_EBF_1 -9 0 - 0 0 . chr10 43794253 43794254 chr10:43794254:C:T rs149205508 C T . EBF1_EBF_1 -12 0 - 0 0 . chr10 43836719 43836720 chr10:43836720:T:C rs112097644 T C C EBF1_EBF_1 32 0 + 0 0 . chr10 43865460 43865461 chr10:43865461:C:T rs192405118 C T c EBF1_EBF_1 -14 0 - 0 0 . chr10 43899119 43899120 chr10:43899120:C:G rs1147900 C G G EBF1_EBF_1 19 0 + 0 0 . chr10 43910378 43910379 chr10:43910379:G:A rs75864535 G A G EBF1_EBF_1 -17 0 + 0 0 . chr10 43910403 43910404 chr10:43910404:G:A rs75554270 G A G EBF1_EBF_1 8 1 + 4.912694757249459 0.6864046683631968 CTTCCCCCGGGGTC chr10 43924280 43924281 chr10:43924281:G:A rs75649433 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 43929239 43929240 chr10:43929240:A:G rs1254858 A G G EBF1_EBF_1 14 0 - 0 0 . chr10 43956150 43956151 chr10:43956151:T:C rs7100261 T C C EBF1_EBF_1 21 0 + 0 0 . chr10 43964382 43964383 chr10:43964383:G:A rs577735403 G A G EBF1_EBF_1 -20 0 + 0 0 . chr10 43974234 43974235 chr10:43974235:C:G rs4948799 C G C EBF1_EBF_1 8 1 - 6.527698267840189 1.2090394069255024 GGCCCCTCGGGACA chr10 43979122 43979123 chr10:43979123:T:G rs1254674 T G G EBF1_EBF_1 20 0 + 0 0 . chr10 44015011 44015012 chr10:44015012:A:G rs10793518 A G G EBF1_EBF_1 32 0 + 0 0 . chr10 44020928 44020929 chr10:44020929:A:G rs7919657 A G G EBF1_EBF_1 -2 0 + 0 0 . chr10 44032141 44032142 chr10:44032142:C:T rs11238817 C T C EBF1_EBF_1 -1 0 + 0 0 . chr10 44187280 44187281 chr10:44187281:A:G rs1704214 A G G EBF1_EBF_1 18 0 + 0 0 . chr10 44193945 44193946 chr10:44193946:G:A rs6593403 G A G EBF1_EBF_1 -20 0 - 0 0 . chr10 44233842 44233843 chr10:44233843:C:A rs115213152 C A C EBF1_EBF_1 2 1 + 8.21620767715216 6.420563500995431 CTCCCCCTGGGAGT chr10 44233858 44233859 chr10:44233859:T:C rs1704217 T C T EBF1_EBF_1 18 0 + 0 0 . chr10 44258111 44258112 chr10:44258112:G:C rs503859 G C C EBF1_EBF_1 0 1 + 9.433987162364609 9.071012548232842 GCCCCCATGGGACT chr10 44310503 44310504 chr10:44310504:C:G rs800330 C G C EBF1_EBF_1 2 1 + 8.116775951856486 3.257273673098166 AACCCCAGGGGAAG chr10 44310929 44310930 chr10:44310930:C:T rs800331 C T T EBF1_EBF_1 10 1 - 5.218820495504691 -0.09920796376227603 GTCCCCCAGGGGCG chr10 44310938 44310939 chr10:44310939:A:T rs7894693 A T A EBF1_EBF_1 1 1 - 5.218820495504691 4.252459877753861 GTCCCCCAGGGGCG chr10 44343383 44343384 chr10:44343384:A:G rs12360225 A G A EBF1_EBF_1 -3 0 - 0 0 . chr10 44357068 44357069 chr10:44357069:C:A rs17156170 C A C EBF1_EBF_1 -13 0 + 0 0 . chr10 44365771 44365772 chr10:44365772:C:T rs7918568 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 44372320 44372321 chr10:44372321:C:G rs3740085 C G C EBF1_EBF_1 25 0 + 0 0 . chr10 44376777 44376778 chr10:44376778:G:A rs71494727 G A G EBF1_EBF_1 32 0 - 0 0 . chr10 44376796 44376797 chr10:44376797:A:G rs11239025 A G A EBF1_EBF_1 13 1 - 10.603899872858182 9.759405758272663 AATCCCCAGAGACT chr10 44377748 44377749 chr10:44377749:T:C rs183966906 T C T EBF1_EBF_1 -6 0 + 0 0 . chr10 44379118 44379119 chr10:44379119:T:C rs2839693 T C C EBF1_EBF_1 11 1 - 6.047261531148489 3.1545546875444774 CCTCCCCAGGTACC chr10 44389510 44389511 chr10:44389511:T:C rs2861442 T C C EBF1_EBF_1 -20 0 - 0 0 . chr10 44390809 44390810 chr10:44390810:T:C rs1147872 T C T EBF1_EBF_1 31 0 - 0 0 . chr10 44398861 44398862 chr10:44398862:G:A rs2781546 G A A EBF1_EBF_1 -6 0 + 0 0 . chr10 44399844 44399845 chr10:44399845:C:T rs2096331 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 44419226 44419227 chr10:44419227:C:T rs12764958 C T C EBF1_EBF_1 33 0 - 0 0 . chr10 44419491 44419492 chr10:44419492:A:G rs12770922 A G A EBF1_EBF_1 -15 0 - 0 0 . chr10 44427453 44427454 chr10:44427454:C:T rs945565 C T c EBF1_EBF_1 28 0 - 0 0 . chr10 44436560 44436561 chr10:44436561:A:T rs1147933 A T T EBF1_EBF_1 11 1 + 6.223601386562597 2.1256871304638714 GCTCTCCAGGGACC chr10 44667473 44667474 chr10:44667474:A:G rs78752444 A G G EBF1_EBF_1 2 1 - 4.445840669172255 2.557017257730104 ACTCCCTCTGGACT chr10 44674389 44674390 chr10:44674390:G:A rs78853794 G A G EBF1_EBF_1 9 1 + 6.079318777936032 3.424379512488429 CTTCCCCTAGGAAA chr10 44685544 44685545 chr10:44685545:T:C rs7097670 T C T EBF1_EBF_1 6 1 - 10.098413581897626 7.931619150623153 CTTCCCAAGGGAGC chr10 44724104 44724105 chr10:44724105:G:C rs866686583 G C G EBF1_EBF_1 28 0 - 0 0 . chr10 44724115 44724116 chr10:44724116:T:C rs79297198 T C T EBF1_EBF_1 17 0 - 0 0 . chr10 44768949 44768950 chr10:44768950:C:T rs2256687 C T T EBF1_EBF_1 -3 0 + 0 0 . chr10 44768972 44768973 chr10:44768973:A:G rs2256688 A G A EBF1_EBF_1 20 0 + 0 0 . chr10 44787857 44787858 chr10:44787858:G:A rs61852502 G A G EBF1_EBF_1 -12 0 + 0 0 . chr10 44845885 44845886 chr10:44845886:C:T rs1412619 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 44879414 44879415 chr10:44879415:C:T rs77138182 C T C EBF1_EBF_1 18 0 - 0 0 . chr10 44879435 44879436 chr10:44879436:G:A rs61741221 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 44894491 44894492 chr10:44894492:T:C rs2183994 T C C EBF1_EBF_1 20 0 + 0 0 . chr10 44927833 44927834 chr10:44927834:C:G rs10900131 C G C EBF1_EBF_1 17 0 + 0 0 . chr10 44950848 44950849 chr10:44950849:C:T rs900372757 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 44952972 44952973 chr10:44952973:A:G rs141952478 A G A EBF1_EBF_1 -7 0 - 0 0 . chr10 44952980 44952981 chr10:44952981:T:A rs150106509 T A T EBF1_EBF_1 -15 0 - 0 0 . chr10 44965708 44965709 chr10:44965709:G:A rs12573213 G A G EBF1_EBF_1 -14 0 + 0 0 . chr10 44967771 44967772 chr10:44967772:C:T rs10793573 C T C EBF1_EBF_1 31 0 - 0 0 . chr10 44974270 44974271 chr10:44974271:C:G rs11239299 C G c EBF1_EBF_1 3 1 + 8.500689290748223 2.6729248426330066 CTTCCCCAGAGAAC chr10 44974274 44974275 chr10:44974275:A:G rs75484799 A G A EBF1_EBF_1 7 1 + 8.500689290748223 7.188230885459397 CTTCCCCAGAGAAC chr10 44975672 44975673 chr10:44975673:G:C rs531354121 G C G EBF1_EBF_1 -2 0 + 0 0 . chr10 44975691 44975692 chr10:44975692:T:C rs60561299 T C T EBF1_EBF_1 17 0 + 0 0 . chr10 44978103 44978104 chr10:44978104:C:T rs11818604 C T C EBF1_EBF_1 32 0 - 0 0 . chr10 44978704 44978705 chr10:44978705:C:T rs528293879 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 44978705 44978706 chr10:44978706:G:A rs11239300 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 44979284 44979285 chr10:44979285:G:A rs78986365 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 44979288 44979289 chr10:44979289:T:C rs185997253 T C T EBF1_EBF_1 31 0 + 0 0 . chr10 44982446 44982447 chr10:44982447:C:T rs41301599 C T C EBF1_EBF_1 18 0 - 0 0 . chr10 45044314 45044315 chr10:45044315:T:C rs3902673 T C T EBF1_EBF_1 15 0 - 0 0 . chr10 45062343 45062344 chr10:45062344:C:T rs2185312 C T C EBF1_EBF_1 9 1 - 4.734065756206954 2.07912649075935 CATCCCAGCGGACT chr10 45095942 45095943 chr10:45095943:A:G rs376194301 A G A EBF1_EBF_1 -16 0 + 0 0 . chr10 45250594 45250595 chr10:45250595:C:T rs148078614 C T c EBF1_EBF_1 23 0 + 0 0 . chr10 45333662 45333663 chr10:45333663:C:G rs7069051 C G c EBF1_EBF_1 9 1 - 7.1819004619659585 2.106211315620495 ATACCCCAGGGATG chr10 45375112 45375113 chr10:45375113:T:G rs1864414 T G G EBF1_EBF_1 2 1 + 6.783833695725307 0.03550800552483996 AGTCCCCAGAGGAA chr10 45379211 45379212 chr10:45379212:A:G rs3780894 A G A EBF1_EBF_1 6 1 + 6.916260750549237 4.749466319274762 CCTCCCATGAGAGT chr10 45379881 45379882 chr10:45379882:G:C rs2310776 G C C EBF1_EBF_1 17 0 + 0 0 . chr10 45408238 45408239 chr10:45408239:C:T rs12221233 C T C EBF1_EBF_1 3 1 + 6.15415857071342 -0.2512401708398964 AGCCCCAGGAGATC chr10 45420492 45420493 chr10:45420493:C:T rs2099171 C T C EBF1_EBF_1 -6 0 + 0 0 . chr10 45438882 45438883 chr10:45438883:C:G rs702365 C G C EBF1_EBF_1 28 0 - 0 0 . chr10 45438923 45438924 chr10:45438924:G:A rs702366 G A G EBF1_EBF_1 -13 0 - 0 0 . chr10 45442458 45442459 chr10:45442459:C:T rs76819096 C T C EBF1_EBF_1 11 1 - 5.801735846967437 8.69444269057145 ACACCCAGGGGGCT chr10 45443687 45443688 chr10:45443688:T:C rs7900977 T C C EBF1_EBF_1 8 1 - 7.437581490328244 11.663871579214502 AGTCCCTGAGGACC chr10 45473538 45473539 chr10:45473539:G:C rs7087633 G C G EBF1_EBF_1 5 1 - 8.983398977820158 2.0785178251849907 TATCCCCAGGGAAG chr10 45488473 45488474 chr10:45488474:A:G rs10900220 A G G EBF1_EBF_1 -12 0 + 0 0 . chr10 45571578 45571579 chr10:45571579:C:T rs35331393 C T C EBF1_EBF_1 -19 0 + 0 0 . chr10 45573487 45573488 chr10:45573488:G:A rs35001302 G A A EBF1_EBF_1 21 0 + 0 0 . chr10 45581562 45581563 chr10:45581563:G:T rs11239567 G T G EBF1_EBF_1 14 0 + 0 0 . chr10 46023622 46023623 chr10:46023623:G:A rs140366186 G A G EBF1_EBF_1 0 1 + 4.452458267671213 6.344742137236047 GGCCCCCAGGGTAA chr10 46051678 46051679 chr10:46051679:A:C rs7075009 A C a EBF1_EBF_1 19 0 + 0 0 . chr10 46063454 46063455 chr10:46063455:T:C rs4581397 T C N EBF1_EBF_1 12 1 + 5.587181008735544 6.613447579286394 GCTCCCAGGAGATA chr10 46092076 46092077 chr10:46092077:A:G rs577826976 A G a EBF1_EBF_1 32 0 + 0 0 . chr10 46106426 46106427 chr10:46106427:G:A rs1251094946 G A . EBF1_EBF_1 25 0 + 0 0 . chr10 46106428 46106429 chr10:46106429:A:C rs2611491 A C . EBF1_EBF_1 27 0 + 0 0 . chr10 46198622 46198623 chr10:46198623:C:G rs7894907 C G . EBF1_EBF_1 11 1 - 5.505341728298667 3.982086874050289 CTTCCCTAGAGGCA chr10 46215789 46215790 chr10:46215790:A:G rs112845215 A G a EBF1_EBF_1 -2 0 + 0 0 . chr10 46215899 46215900 chr10:46215900:T:C rs2004049 T C N EBF1_EBF_1 27 0 - 0 0 . chr10 46217087 46217088 chr10:46217088:A:C rs11259820 A C a EBF1_EBF_1 11 1 + 4.760552757484979 0.34459105963259024 ACTCCGCTGGGAAA chr10 46222142 46222143 chr10:46222143:G:A rs2002990 G A g EBF1_EBF_1 18 0 + 0 0 . chr10 46222150 46222151 chr10:46222151:G:A rs7095830 G A g EBF1_EBF_1 26 0 + 0 0 . chr10 46226750 46226751 chr10:46226751:T:C rs6602884 T C N EBF1_EBF_1 1 1 + 5.970957061275978 5.075523620877233 GTTCCCCAGAGGAC chr10 46226775 46226776 chr10:46226776:G:T rs7906364 G T N EBF1_EBF_1 26 0 + 0 0 . chr10 46227270 46227271 chr10:46227271:A:G rs61843580 A G a EBF1_EBF_1 -18 0 - 0 0 . chr10 46236073 46236074 chr10:46236074:G:A rs4376840 G A . EBF1_EBF_1 8 1 + 5.856515293032376 1.6302252041461152 GGTCCCAAGGAAAC chr10 46247128 46247129 chr10:46247129:G:A rs76999218 G A . EBF1_EBF_1 -3 0 - 0 0 . chr10 46247141 46247142 chr10:46247142:T:C rs60736003 T C . EBF1_EBF_1 -16 0 - 0 0 . chr10 46248611 46248612 chr10:46248612:G:T rs2999451 G T . EBF1_EBF_1 -8 0 - 0 0 . chr10 46249063 46249064 chr10:46249064:G:A rs75764821 G A . EBF1_EBF_1 31 0 - 0 0 . chr10 46252440 46252441 chr10:46252441:C:A rs11815641 C A . EBF1_EBF_1 13 1 + 4.5793733561768075 4.2056279068908315 GCTTCCAAGGGAAC chr10 46263186 46263187 chr10:46263187:T:G rs112854463 T G . EBF1_EBF_1 11 1 - 6.906883837422945 2.490922139570555 CTTCCCTTGAGAGC chr10 46263956 46263957 chr10:46263957:G:C rs112410790 G C . EBF1_EBF_1 -5 0 - 0 0 . chr10 46263957 46263958 chr10:46263958:G:A rs114645666 G A . EBF1_EBF_1 -6 0 - 0 0 . chr10 46270151 46270152 chr10:46270152:G:T rs568599136 G T . EBF1_EBF_1 16 0 - 0 0 . chr10 46270173 46270174 chr10:46270174:G:A rs117852474 G A . EBF1_EBF_1 -6 0 - 0 0 . chr10 46270182 46270183 chr10:46270183:T:G rs4926065 T G . EBF1_EBF_1 -15 0 - 0 0 . chr10 46276919 46276920 chr10:46276920:G:T rs4244617 G T . EBF1_EBF_1 13 1 - 11.880205538128868 11.506460088842893 GTTCCCAAGGGAAC chr10 46282769 46282770 chr10:46282770:C:G rs7070782 C G . EBF1_EBF_1 9 1 - 5.814052019523365 0.7383628731779006 CCTCCCCAGGGCCC chr10 46286995 46286996 chr10:46286996:C:A rs12571077 C A . EBF1_EBF_1 29 0 - 0 0 . chr10 46290652 46290653 chr10:46290653:G:C rs7895458 G C . EBF1_EBF_1 27 0 - 0 0 . chr10 46292386 46292387 chr10:46292387:G:C rs72790440 G C . EBF1_EBF_1 -8 0 - 0 0 . chr10 46292389 46292390 chr10:46292390:G:A rs72790441 G A . EBF1_EBF_1 -11 0 - 0 0 . chr10 46296842 46296843 chr10:46296843:C:G rs4384332 C G . EBF1_EBF_1 6 1 + 7.02902639086888 5.223834327395681 GGCCCCCTGGGAGC chr10 46302919 46302920 chr10:46302920:T:C rs7093042 T C . EBF1_EBF_1 6 1 + 5.478100576066837 5.176814447205081 ATTCCCTGTGGACC chr10 46313638 46313639 chr10:46313639:T:G rs3013795 T G . EBF1_EBF_1 31 0 + 0 0 . chr10 46314591 46314592 chr10:46314592:A:G rs4495839 A G . EBF1_EBF_1 -9 0 + 0 0 . chr10 46326808 46326809 chr10:46326809:C:G rs10906948 C G . EBF1_EBF_1 13 1 + 5.859975751155059 4.3719047297996445 AGCCCCAAGGAAAC chr10 46490451 46490452 chr10:46490452:A:G rs369549701 A G a EBF1_EBF_1 -9 0 + 0 0 . chr10 46524436 46524437 chr10:46524437:C:T rs552938065 C T c EBF1_EBF_1 -12 0 - 0 0 . chr10 46527822 46527823 chr10:46527823:C:T rs143876006 C T C EBF1_EBF_1 18 0 + 0 0 . chr10 46536241 46536242 chr10:46536242:G:A rs1368002153 G A G EBF1_EBF_1 9 1 + 11.92281601853701 9.267876753089407 ATTCCCCAGGGAAG chr10 46537763 46537764 chr10:46537764:G:A rs376171917 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 46537777 46537778 chr10:46537778:G:T rs112110288 G T G EBF1_EBF_1 -17 0 - 0 0 . chr10 46547780 46547781 chr10:46547781:C:T rs959553355 C T C EBF1_EBF_1 26 0 - 0 0 . chr10 46553468 46553469 chr10:46553469:C:T chr10:46553469:C:T C T C EBF1_EBF_1 33 0 + 0 0 . chr10 47020771 47020772 chr10:47020772:G:T rs35731814 G T G EBF1_EBF_1 10 1 + 5.916575279114977 1.7338230928877145 ACTCCCAAGGGCTG chr10 47027475 47027476 chr10:47027476:T:C rs974332434 T C T EBF1_EBF_1 7 1 - 6.126435478675269 4.813977073386444 TCTCCCCAGGGCAC chr10 47030347 47030348 chr10:47030348:G:A rs923312210 G A G EBF1_EBF_1 2 1 - 5.359086469919965 7.247909881362115 AGCCCCTGGGGCCC chr10 47037627 47037628 chr10:47037628:A:G rs898968444 A G A EBF1_EBF_1 -16 0 + 0 0 . chr10 47043414 47043415 chr10:47043415:T:G rs981218854 T G G EBF1_EBF_1 33 0 - 0 0 . chr10 47043422 47043423 chr10:47043423:C:T rs1034251042 C T C EBF1_EBF_1 25 0 - 0 0 . chr10 47043855 47043856 chr10:47043856:C:A rs374725648 C A C EBF1_EBF_1 -3 0 + 0 0 . chr10 47051887 47051888 chr10:47051888:C:G rs1035624939 C G C EBF1_EBF_1 32 0 - 0 0 . chr10 47062653 47062654 chr10:47062654:C:T rs1022576899 C T C EBF1_EBF_1 31 0 + 0 0 . chr10 47101092 47101093 chr10:47101093:C:T rs76075560 C T C EBF1_EBF_1 31 0 + 0 0 . chr10 47105096 47105097 chr10:47105097:G:C rs527615263 G C G EBF1_EBF_1 5 1 - 8.077229565649272 1.172348413014104 TGTCCCCAGAGAAC chr10 47106659 47106660 chr10:47106660:C:G rs11204285 C G C EBF1_EBF_1 -6 0 - 0 0 . chr10 47114882 47114883 chr10:47114883:G:C rs812005 G C G EBF1_EBF_1 14 0 - 0 0 . chr10 47166145 47166146 chr10:47166146:C:T rs76310642 C T C EBF1_EBF_1 3 1 + 9.443669501010454 3.0382707594571396 ATCCCCCAGGGGCT chr10 47166281 47166282 chr10:47166282:G:A rs112973311 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 47189829 47189830 chr10:47189830:T:C rs9421837 T C T EBF1_EBF_1 -5 0 - 0 0 . chr10 47236377 47236378 chr10:47236378:C:A rs7081560 C A A EBF1_EBF_1 15 0 - 0 0 . chr10 47240583 47240584 chr10:47240584:G:A rs75693535 G A G EBF1_EBF_1 31 0 + 0 0 . chr10 47241730 47241731 chr10:47241731:G:A rs9325892 G A A EBF1_EBF_1 14 0 - 0 0 . chr10 47242037 47242038 chr10:47242038:T:C rs4397780 T C T EBF1_EBF_1 18 0 - 0 0 . chr10 47242052 47242053 chr10:47242053:G:A rs4570519 G A G EBF1_EBF_1 3 1 - 6.162280859698355 -0.2431178818549617 CCTCCCCAGGGTAC chr10 47249820 47249821 chr10:47249821:A:G rs3923965 A G A EBF1_EBF_1 20 0 + 0 0 . chr10 47255570 47255571 chr10:47255571:G:A rs9421744 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 47261164 47261165 chr10:47261165:C:A rs148206604 C A C EBF1_EBF_1 -13 0 + 0 0 . chr10 47282544 47282545 chr10:47282545:G:A rs76413666 G A G EBF1_EBF_1 26 0 + 0 0 . chr10 47286210 47286211 chr10:47286211:G:A rs79081638 G A G EBF1_EBF_1 12 1 - 4.495503504363797 3.4692369338129474 ATTCCCCAGTGGCT chr10 47289614 47289615 chr10:47289615:G:A rs187059767 G A G EBF1_EBF_1 -16 0 - 0 0 . chr10 47302351 47302352 chr10:47302352:C:T rs11204217 C T C EBF1_EBF_1 4 1 + 11.51579545770539 7.146408512760468 ATTCCCCTGGGAGC chr10 47312115 47312116 chr10:47312116:A:G rs762454 A G G EBF1_EBF_1 18 0 + 0 0 . chr10 47323087 47323088 chr10:47323088:G:A rs12252199 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 47323103 47323104 chr10:47323104:G:A rs3781226 G A G EBF1_EBF_1 -19 0 - 0 0 . chr10 47326180 47326181 chr10:47326181:A:C rs34379100 A C A EBF1_EBF_1 -2 0 - 0 0 . chr10 47332088 47332089 chr10:47332089:C:T rs73297080 C T C EBF1_EBF_1 5 1 + 4.753540880566651 -0.5682463349740183 CTTGCCCAGGGAGT chr10 47334873 47334874 chr10:47334874:C:A rs117640300 C A C EBF1_EBF_1 -3 0 - 0 0 . chr10 47348471 47348472 chr10:47348472:C:T rs41284964 C T C EBF1_EBF_1 3 1 + 4.662435250146068 -1.7429634914072514 CTCCCCCTGGGTCC chr10 47349320 47349321 chr10:47349321:G:A rs2376635 G A G EBF1_EBF_1 -14 0 + 0 0 . chr10 47355286 47355287 chr10:47355287:A:G rs11817788 A G A EBF1_EBF_1 -19 0 + 0 0 . chr10 47361434 47361435 chr10:47361435:C:T rs149518580 C T c EBF1_EBF_1 28 0 + 0 0 . chr10 47371159 47371160 chr10:47371160:G:A rs71498262 G A G EBF1_EBF_1 -2 0 - 0 0 . chr10 47384376 47384377 chr10:47384377:T:C rs952425511 T C T EBF1_EBF_1 -5 0 + 0 0 . chr10 47384379 47384380 chr10:47384380:C:T rs985214942 C T C EBF1_EBF_1 -2 0 + 0 0 . chr10 47384392 47384393 chr10:47384393:A:T rs115221333 A T A EBF1_EBF_1 11 1 + 6.8123735056474315 2.714459249548706 CACCCCCAGGGAAG chr10 47384393 47384394 chr10:47384394:A:T rs114957163 A T A EBF1_EBF_1 12 1 + 6.8123735056474315 5.755925536675255 CACCCCCAGGGAAG chr10 47408869 47408870 chr10:47408870:G:T rs1297752835 G T G EBF1_EBF_1 -18 0 - 0 0 . chr10 47409397 47409398 chr10:47409398:G:T rs141171781 G T G EBF1_EBF_1 31 0 - 0 0 . chr10 47439733 47439734 chr10:47439734:A:C rs920516985 A C A EBF1_EBF_1 26 0 - 0 0 . chr10 47461207 47461208 chr10:47461208:C:T rs149726690 C T . EBF1_EBF_1 22 0 + 0 0 . chr10 47461214 47461215 chr10:47461215:G:A rs782360491 G A . EBF1_EBF_1 29 0 + 0 0 . chr10 47472646 47472647 chr10:47472647:T:C rs1234031853 T C . EBF1_EBF_1 7 1 + 6.055298911810034 4.2377941655274105 ATTCCCATGTGACA chr10 47472717 47472718 chr10:47472718:C:T rs2942952 C T . EBF1_EBF_1 -3 0 + 0 0 . chr10 47475411 47475412 chr10:47475412:C:T rs879101556 C T . EBF1_EBF_1 28 0 - 0 0 . chr10 47502177 47502178 chr10:47502178:C:T rs1170568684 C T c EBF1_EBF_1 16 0 + 0 0 . chr10 47894245 47894246 chr10:47894246:G:A rs1180029177 G A . EBF1_EBF_1 -5 0 + 0 0 . chr10 47894263 47894264 chr10:47894264:G:A rs1245771495 G A . EBF1_EBF_1 13 1 + 6.611420880285878 7.725746452355317 ACTCCCCAGGGCAG chr10 47966122 47966123 chr10:47966123:C:T rs745668361 C T . EBF1_EBF_1 24 0 - 0 0 . chr10 47966411 47966412 chr10:47966412:C:T rs1220062940 C T . EBF1_EBF_1 -2 0 + 0 0 . chr10 48237233 48237234 chr10:48237234:G:T rs67282046 G T G EBF1_EBF_1 1 1 + 5.515943691425212 6.221605477254741 TGTCCCAAGAGGCC chr10 48251842 48251843 chr10:48251843:C:T rs114205784 C T C EBF1_EBF_1 -5 0 - 0 0 . chr10 48303583 48303584 chr10:48303584:C:T rs1919712 C T C EBF1_EBF_1 4 1 + 9.742968642133986 5.3735816971890635 GCTCCCCTGGGACA chr10 48346548 48346549 chr10:48346549:A:G rs2091611 A G G EBF1_EBF_1 30 0 - 0 0 . chr10 48346564 48346565 chr10:48346565:C:T rs10745270 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 48406393 48406394 chr10:48406394:G:A rs114771535 G A G EBF1_EBF_1 1 1 - 5.660159577624843 6.55559301802359 CCTCCCCAAGGAAA chr10 48450899 48450900 chr10:48450900:G:T rs1867586 G T G EBF1_EBF_1 7 1 - 3.8792814578924553 6.173060444262638 GCCCCCACGGGGCC chr10 48457065 48457066 chr10:48457066:C:T rs11101329 C T C EBF1_EBF_1 21 0 - 0 0 . chr10 48457089 48457090 chr10:48457090:G:C rs10857573 G C C EBF1_EBF_1 -3 0 - 0 0 . chr10 48457090 48457091 chr10:48457091:A:C rs10776602 A C C EBF1_EBF_1 -4 0 - 0 0 . chr10 48457147 48457148 chr10:48457148:G:A rs77602400 G A G EBF1_EBF_1 23 0 - 0 0 . chr10 48457633 48457634 chr10:48457634:T:C rs10745273 T C G EBF1_EBF_1 23 0 - 0 0 . chr10 48457647 48457648 chr10:48457648:C:T rs12247352 C T C EBF1_EBF_1 9 1 - 5.839932893156929 3.184993627709325 TGTCCCCTGGGCAC chr10 48460197 48460198 chr10:48460198:T:G rs10776604 T G G EBF1_EBF_1 -3 0 - 0 0 . chr10 48462758 48462759 chr10:48462759:G:A rs115285468 G A G EBF1_EBF_1 3 1 - 4.621034207310064 -1.784364534243255 GGCCCCTTGGGTCC chr10 48470103 48470104 chr10:48470104:T:G rs7093726 T G G EBF1_EBF_1 -14 0 - 0 0 . chr10 48476615 48476616 chr10:48476616:G:A rs73303075 G A G EBF1_EBF_1 6 1 + 6.369118415998078 8.535912847272554 ACTCCCGGGAGAAA chr10 48479077 48479078 chr10:48479078:C:T rs76556230 C T C EBF1_EBF_1 7 1 + 7.6000724999599205 9.41757724624254 ACTCCCTCGGGGCC chr10 48509261 48509262 chr10:48509262:A:T rs935273 A T A EBF1_EBF_1 -16 0 + 0 0 . chr10 48523217 48523218 chr10:48523218:C:T rs186721418 C T C EBF1_EBF_1 -14 0 + 0 0 . chr10 48537050 48537051 chr10:48537051:C:T rs1021339562 C T C EBF1_EBF_1 13 1 + 5.915842877181108 6.760336991766626 AATCCCAGGAGGCC chr10 48537752 48537753 chr10:48537753:C:T rs946301070 C T C EBF1_EBF_1 -10 0 + 0 0 . chr10 48555631 48555632 chr10:48555632:A:C rs1838569 A C A EBF1_EBF_1 -3 0 - 0 0 . chr10 48567327 48567328 chr10:48567328:C:T rs1814478 C T C EBF1_EBF_1 18 0 - 0 0 . chr10 48583361 48583362 chr10:48583362:G:A rs41281995 G A G EBF1_EBF_1 16 0 + 0 0 . chr10 48584051 48584052 chr10:48584052:T:G rs11101391 T G T EBF1_EBF_1 -10 0 - 0 0 . chr10 48595079 48595080 chr10:48595080:A:G rs116415801 A G A EBF1_EBF_1 15 0 - 0 0 . chr10 48599930 48599931 chr10:48599931:T:C rs147734674 T C T EBF1_EBF_1 -1 0 - 0 0 . chr10 48599944 48599945 chr10:48599945:A:G rs79530030 A G A EBF1_EBF_1 -15 0 - 0 0 . chr10 48600635 48600636 chr10:48600636:A:G rs61838389 A G A EBF1_EBF_1 18 0 - 0 0 . chr10 48668512 48668513 chr10:48668513:T:A rs12761651 T A T EBF1_EBF_1 -9 0 + 0 0 . chr10 48672467 48672468 chr10:48672468:A:C rs11599300 A C C EBF1_EBF_1 -18 0 - 0 0 . chr10 48684726 48684727 chr10:48684727:C:G rs144157738 C G C EBF1_EBF_1 5 1 + 8.255115968396687 1.3502348157615198 AGCCCCAAGGGGCC chr10 48701734 48701735 chr10:48701735:G:A rs55668791 G A G EBF1_EBF_1 7 1 - 6.300563695601586 8.118068441884208 CTTCCCACGGGGCC chr10 48702517 48702518 chr10:48702518:C:T rs117743350 C T C EBF1_EBF_1 -17 0 - 0 0 . chr10 48716550 48716551 chr10:48716551:A:G rs10776639 A G A EBF1_EBF_1 22 0 + 0 0 . chr10 48722312 48722313 chr10:48722313:T:C rs11101441 T C T EBF1_EBF_1 -5 0 - 0 0 . chr10 48739965 48739966 chr10:48739966:G:A rs1871599 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 48760550 48760551 chr10:48760551:G:A rs11101460 G A C EBF1_EBF_1 -10 0 + 0 0 . chr10 48772243 48772244 chr10:48772244:G:A rs75050862 G A G EBF1_EBF_1 14 0 - 0 0 . chr10 48817400 48817401 chr10:48817401:G:T rs12268007 G T G EBF1_EBF_1 12 1 + 7.65391562329722 8.01628499642452 TTCCCCCTGGGAGC chr10 48836778 48836779 chr10:48836779:G:A rs6537580 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 48868228 48868229 chr10:48868229:G:T rs2663020 G T G EBF1_EBF_1 -20 0 - 0 0 . chr10 48924130 48924131 chr10:48924131:C:T rs3849151 C T C EBF1_EBF_1 -2 0 + 0 0 . chr10 48924199 48924200 chr10:48924200:T:C rs3849152 T C C EBF1_EBF_1 22 0 - 0 0 . chr10 48926435 48926436 chr10:48926436:T:G chr10:48926436:T:G T G T EBF1_EBF_1 32 0 + 0 0 . chr10 48958952 48958953 chr10:48958953:G:T rs1015717 G T G EBF1_EBF_1 0 1 - 6.658546134108883 8.913804617805484 CCTCCCCAGGGCCT chr10 49000753 49000754 chr10:49000754:C:T rs2245379 C T C EBF1_EBF_1 10 1 - 6.735700668950942 1.4176722096839758 CTCCCCAAGGGGAC chr10 49056569 49056570 chr10:49056570:C:T chr10:49056570:C:T C T C EBF1_EBF_1 29 0 - 0 0 . chr10 49058710 49058711 chr10:49058711:G:C chr10:49058711:G:C G C G EBF1_EBF_1 17 0 + 0 0 . chr10 49083354 49083355 chr10:49083355:C:G rs12257242 C G C EBF1_EBF_1 4 1 + 5.162393810667103 -0.4633712904824405 AGCCCCAGGAGGAT chr10 49091587 49091588 chr10:49091588:A:G rs4838364 A G G EBF1_EBF_1 23 0 - 0 0 . chr10 49094910 49094911 chr10:49094911:C:G rs7080670 C G C EBF1_EBF_1 7 1 - 8.072983013747747 7.091662432666392 AGCCCCAGGGGAGA chr10 49107847 49107848 chr10:49107848:A:G rs13088 A G G EBF1_EBF_1 -3 0 - 0 0 . chr10 49109603 49109604 chr10:49109604:T:C rs4073712 T C C EBF1_EBF_1 29 0 - 0 0 . chr10 49109605 49109606 chr10:49109606:A:G rs4073711 A G A EBF1_EBF_1 27 0 - 0 0 . chr10 49115811 49115812 chr10:49115812:A:T rs115653734 A T A EBF1_EBF_1 13 1 - 7.598844470669283 6.380604906797789 CTTCCCTTAGGAAT chr10 49120967 49120968 chr10:49120968:C:T rs78132378 C T C EBF1_EBF_1 13 1 + 4.888160061208897 5.732654175794415 TGCCCCTAAGGACC chr10 49150447 49150448 chr10:49150448:G:A rs79297709 G A C EBF1_EBF_1 18 0 + 0 0 . chr10 49165786 49165787 chr10:49165787:G:A rs12257132 G A G EBF1_EBF_1 -13 0 - 0 0 . chr10 49205382 49205383 chr10:49205383:C:A rs112944291 C A C EBF1_EBF_1 19 0 + 0 0 . chr10 49221446 49221447 chr10:49221447:C:T rs17774213 C T C EBF1_EBF_1 -4 0 + 0 0 . chr10 49223234 49223235 chr10:49223235:G:C rs12762787 G C G EBF1_EBF_1 -10 0 - 0 0 . chr10 49225148 49225149 chr10:49225149:G:T rs79914016 G T G EBF1_EBF_1 18 0 - 0 0 . chr10 49242904 49242905 chr10:49242905:G:A rs774396048 G A G EBF1_EBF_1 1 1 + 3.8813392915642533 3.620640459642951 AGCCCACAGGGACC chr10 49243797 49243798 chr10:49243798:G:T rs11101060 G T G EBF1_EBF_1 32 0 + 0 0 . chr10 49252816 49252817 chr10:49252817:C:T rs61850218 C T C EBF1_EBF_1 24 0 - 0 0 . chr10 49252832 49252833 chr10:49252833:C:T rs75786845 C T C EBF1_EBF_1 8 1 - 7.538719947507298 3.312429858621039 AGTCCCAAGGGTTA chr10 49255225 49255226 chr10:49255226:A:G rs73308728 A G G EBF1_EBF_1 24 0 - 0 0 . chr10 49270901 49270902 chr10:49270902:C:T rs2377977 C T T EBF1_EBF_1 9 1 - 5.419402708859484 2.7644634434118798 AGCCCCAGGGGCCC chr10 49271425 49271426 chr10:49271426:C:T rs76071654 C T C EBF1_EBF_1 18 0 + 0 0 . chr10 49276451 49276452 chr10:49276452:G:A rs184230530 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 49283182 49283183 chr10:49283183:G:A rs533713749 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 49290867 49290868 chr10:49290868:T:G rs2377968 T G G EBF1_EBF_1 24 0 + 0 0 . chr10 49310305 49310306 chr10:49310306:C:T rs10857465 C T C EBF1_EBF_1 -6 0 + 0 0 . chr10 49313742 49313743 chr10:49313743:C:G rs75182187 C G C EBF1_EBF_1 10 1 - 9.501043501679264 2.6102411143497894 TCTCCCTGGGGACC chr10 49325730 49325731 chr10:49325731:C:G rs10857471 C G c EBF1_EBF_1 6 1 + 6.161783948079397 4.356591884606199 CTCCCCCGGGGAGA chr10 49359990 49359991 chr10:49359991:T:C rs2377939 T C C EBF1_EBF_1 26 0 - 0 0 . chr10 49386462 49386463 chr10:49386463:C:A rs12261515 C A C EBF1_EBF_1 31 0 + 0 0 . chr10 49394072 49394073 chr10:49394073:C:T rs73311930 C T C EBF1_EBF_1 3 1 + 7.725435501213307 1.3200367596599916 ATCCCCTAGGGTAC chr10 49595393 49595394 chr10:49595394:G:C rs4838532 G C C EBF1_EBF_1 -20 0 - 0 0 . chr10 49602797 49602798 chr10:49602798:G:A rs1917809 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 49607364 49607365 chr10:49607365:C:T rs11101181 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 49615783 49615784 chr10:49615784:G:A rs8178986 G A A EBF1_EBF_1 1 1 + 6.302515859892335 6.0418170279710335 GGCCCCTAGGGGCC chr10 49617884 49617885 chr10:49617885:C:G rs4838534 C G C EBF1_EBF_1 33 0 + 0 0 . chr10 49621383 49621384 chr10:49621384:T:A rs112123054 T A T EBF1_EBF_1 22 0 + 0 0 . chr10 49621566 49621567 chr10:49621567:G:A rs2889760 G A G EBF1_EBF_1 -17 0 + 0 0 . chr10 49624054 49624055 chr10:49624055:G:A rs12784775 G A G EBF1_EBF_1 26 0 + 0 0 . chr10 49624055 49624056 chr10:49624056:A:C rs12762683 A C A EBF1_EBF_1 27 0 + 0 0 . chr10 49634217 49634218 chr10:49634218:A:G rs17784350 A G G EBF1_EBF_1 29 0 - 0 0 . chr10 49650299 49650300 chr10:49650300:T:C rs10776586 T C T EBF1_EBF_1 -1 0 - 0 0 . chr10 49650999 49651000 chr10:49651000:C:A rs74131114 C A C EBF1_EBF_1 13 1 + 4.73845385473245 4.364708405446474 ATTCCCCAAGGCCC chr10 49677293 49677294 chr10:49677294:C:G rs80328975 C G C EBF1_EBF_1 -14 0 + 0 0 . chr10 49677303 49677304 chr10:49677304:T:C rs78517952 T C C EBF1_EBF_1 -4 0 + 0 0 . chr10 49700398 49700399 chr10:49700399:T:C rs1258325 T C C EBF1_EBF_1 24 0 + 0 0 . chr10 49736933 49736934 chr10:49736934:T:A rs6537551 T A T EBF1_EBF_1 -13 0 - 0 0 . chr10 49743502 49743503 chr10:49743503:A:G rs41281975 A G A EBF1_EBF_1 33 0 + 0 0 . chr10 49746156 49746157 chr10:49746157:G:A rs77673876 G A G EBF1_EBF_1 5 1 - 5.92949611322521 0.6077088976845394 GTCCCCGTGGGAGC chr10 49748858 49748859 chr10:49748859:C:T rs1258175 C T T EBF1_EBF_1 13 1 - 4.4326344252027035 5.546959997272142 GGTCCCCTGGGCAG chr10 49750298 49750299 chr10:49750299:T:G rs375965479 T G T EBF1_EBF_1 11 1 + 4.11304816068724 5.318255573181954 GTCCCCCAGGGTGC chr10 49756663 49756664 chr10:49756664:A:T rs139587960 A T A EBF1_EBF_1 19 0 - 0 0 . chr10 49762042 49762043 chr10:49762043:G:A rs920603746 G A G EBF1_EBF_1 5 1 - 7.936234731005574 2.614447515464903 CCTCCCCCGGGACC chr10 49831938 49831939 chr10:49831939:G:A rs12774346 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 50047641 50047642 chr10:50047642:T:G rs7905112 T G . EBF1_EBF_1 28 0 + 0 0 . chr10 50052715 50052716 chr10:50052716:G:A rs10443950 G A . EBF1_EBF_1 -19 0 + 0 0 . chr10 50291548 50291549 chr10:50291549:C:A rs1436205 C A A EBF1_EBF_1 21 0 + 0 0 . chr10 50307396 50307397 chr10:50307397:T:C rs41274642 T C T EBF1_EBF_1 2 1 + 10.360512034971634 8.471688623529484 ATTCCCAAAGGACT chr10 50307420 50307421 chr10:50307421:T:G rs181817792 T G T EBF1_EBF_1 26 0 + 0 0 . chr10 50356382 50356383 chr10:50356383:C:A rs145609396 C A C EBF1_EBF_1 26 0 - 0 0 . chr10 50413670 50413671 chr10:50413671:G:A rs61559519 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 50418346 50418347 chr10:50418347:C:T rs190465719 C T C EBF1_EBF_1 9 1 - 4.577077363378978 1.9221380979313745 GACCCCCCGGGAGA chr10 50470854 50470855 chr10:50470855:A:G rs144320503 A G A EBF1_EBF_1 -7 0 - 0 0 . chr10 50556119 50556120 chr10:50556120:G:T rs2983361 G T G EBF1_EBF_1 3 1 - 7.975191046660397 1.0690170171945903 GACCCCCTGGGATT chr10 50598130 50598131 chr10:50598131:C:T rs11006259 C T T EBF1_EBF_1 28 0 - 0 0 . chr10 50624248 50624249 chr10:50624249:T:C rs141031907 T C T EBF1_EBF_1 11 1 - 8.992993933069346 6.1002870894653345 TGCCCCAAGGGATT chr10 50624265 50624266 chr10:50624266:G:A rs1015190123 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 50625468 50625469 chr10:50625469:A:C chr10:50625469:A:C A C A EBF1_EBF_1 -15 0 - 0 0 . chr10 50625469 50625470 chr10:50625470:C:G rs541855752 C G C EBF1_EBF_1 -16 0 - 0 0 . chr10 50654213 50654214 chr10:50654214:T:C rs11006441 T C T EBF1_EBF_1 -4 0 + 0 0 . chr10 50682686 50682687 chr10:50682687:G:A rs3011752 G A . EBF1_EBF_1 26 0 + 0 0 . chr10 50794708 50794709 chr10:50794709:G:T rs10994492 G T G EBF1_EBF_1 4 1 - 8.45724056260883 2.6326809391553607 ACACCCCAGGGAAA chr10 50990904 50990905 chr10:50990905:C:T rs2248908 C T T EBF1_EBF_1 17 0 + 0 0 . chr10 50991033 50991034 chr10:50991034:A:G rs186127402 A G G EBF1_EBF_1 21 0 - 0 0 . chr10 50992970 50992971 chr10:50992971:C:T rs2816834 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 51000248 51000249 chr10:51000249:G:A rs77010909 G A G EBF1_EBF_1 -3 0 + 0 0 . chr10 51001241 51001242 chr10:51001242:T:G rs773868621 T G T EBF1_EBF_1 -7 0 - 0 0 . chr10 51020333 51020334 chr10:51020334:C:T rs78567181 C T C EBF1_EBF_1 3 1 + 7.374151800144079 0.9687530585907634 ATTCCCCAAAGAAT chr10 51020335 51020336 chr10:51020336:C:G rs77248368 C G C EBF1_EBF_1 5 1 + 7.374151800144079 0.469270647508912 ATTCCCCAAAGAAT chr10 51076198 51076199 chr10:51076199:C:G rs9414805 C G C EBF1_EBF_1 18 0 + 0 0 . chr10 51331331 51331332 chr10:51331332:C:A rs72803194 C A C EBF1_EBF_1 -13 0 - 0 0 . chr10 51422726 51422727 chr10:51422727:T:A rs1009174716 T A T EBF1_EBF_1 -13 0 + 0 0 . chr10 51575087 51575088 chr10:51575088:C:G rs12263393 C G C EBF1_EBF_1 29 0 - 0 0 . chr10 51580925 51580926 chr10:51580926:A:G rs35937312 A G A EBF1_EBF_1 29 0 - 0 0 . chr10 51616351 51616352 chr10:51616352:C:T rs75109469 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 51748112 51748113 chr10:51748113:C:T rs10999234 C T T EBF1_EBF_1 6 1 + 6.736307727065425 7.037593855927183 ATTCACCTGGGAAA chr10 51860639 51860640 chr10:51860640:T:C rs6480518 T C C EBF1_EBF_1 28 0 - 0 0 . chr10 51915034 51915035 chr10:51915035:G:A rs1892368 G A A EBF1_EBF_1 28 0 + 0 0 . chr10 52100149 52100150 chr10:52100150:A:G rs34310643 A G A EBF1_EBF_1 -6 0 - 0 0 . chr10 52180878 52180879 chr10:52180879:A:G rs2174261 A G a EBF1_EBF_1 22 0 - 0 0 . chr10 52207747 52207748 chr10:52207748:C:T rs117156291 C T C EBF1_EBF_1 0 1 + 10.09918058722365 10.381382647954227 CTTCCCCAGGGATC chr10 52207777 52207778 chr10:52207778:G:A rs1194495 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 52215571 52215572 chr10:52215572:T:A rs11001219 T A T EBF1_EBF_1 7 1 + 7.946264311842559 8.422538551930117 TTCCCCATGGGAAG chr10 52437012 52437013 chr10:52437013:T:C rs1194716 T C C EBF1_EBF_1 26 0 - 0 0 . chr10 52505552 52505553 chr10:52505553:T:A rs190874276 T A T EBF1_EBF_1 -7 0 + 0 0 . chr10 52534316 52534317 chr10:52534317:G:T rs12412036 G T G EBF1_EBF_1 -9 0 + 0 0 . chr10 52671449 52671450 chr10:52671450:G:T rs12247120 G T T EBF1_EBF_1 15 0 + 0 0 . chr10 52671782 52671783 chr10:52671783:A:G rs1373003 A G G EBF1_EBF_1 24 0 - 0 0 . chr10 52729919 52729920 chr10:52729920:C:T rs1992526 C T C EBF1_EBF_1 13 1 + 4.48829542896716 5.332789543552678 TCTCCCCTGAGGCC chr10 52805540 52805541 chr10:52805541:G:A rs10762890 G A A EBF1_EBF_1 -20 0 + 0 0 . chr10 52889758 52889759 chr10:52889759:G:A rs7897451 G A G EBF1_EBF_1 5 1 - 6.011763606388989 0.6899763908483189 TCTCCCATGGGCAC chr10 53016087 53016088 chr10:53016088:G:A rs1880397 G A G EBF1_EBF_1 6 1 + 6.91144059682966 9.078235028104135 ATTCCCGGAGGAAT chr10 53043309 53043310 chr10:53043310:C:T rs72800387 C T C EBF1_EBF_1 -17 0 - 0 0 . chr10 53315259 53315260 chr10:53315260:G:A rs11003557 G A A EBF1_EBF_1 -5 0 - 0 0 . chr10 53611658 53611659 chr10:53611659:T:C rs11814564 T C T EBF1_EBF_1 15 0 - 0 0 . chr10 54318146 54318147 chr10:54318147:G:A rs147891282 G A G EBF1_EBF_1 1 1 - 5.1628787352198255 6.058312175618571 GCCCCCCAGGGCAT chr10 54419078 54419079 chr10:54419079:C:T rs1758816 C T T EBF1_EBF_1 -7 0 + 0 0 . chr10 54496973 54496974 chr10:54496974:T:G rs187443537 T G T EBF1_EBF_1 31 0 - 0 0 . chr10 54832247 54832248 chr10:54832248:G:A rs1018521605 G A A EBF1_EBF_1 32 0 + 0 0 . chr10 55025021 55025022 chr10:55025022:C:T rs10825440 C T T EBF1_EBF_1 10 1 - 5.940836429036903 0.6228079697699377 TATCCCATGGGCAC chr10 55081425 55081426 chr10:55081426:A:G rs10825458 A G A EBF1_EBF_1 19 0 - 0 0 . chr10 55168505 55168506 chr10:55168506:T:C rs11592076 T C T EBF1_EBF_1 19 0 - 0 0 . chr10 55168540 55168541 chr10:55168541:C:T rs17531877 C T T EBF1_EBF_1 -16 0 - 0 0 . chr10 55738829 55738830 chr10:55738830:G:A rs78056261 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 55820629 55820630 chr10:55820630:C:G rs12782868 C G c EBF1_EBF_1 23 0 - 0 0 . chr10 56068670 56068671 chr10:56068671:C:A rs7911652 C A A EBF1_EBF_1 -18 0 + 0 0 . chr10 56195168 56195169 chr10:56195169:C:A rs2392991 C A C EBF1_EBF_1 17 0 - 0 0 . chr10 56255506 56255507 chr10:56255507:C:T rs4631808 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 57367744 57367745 chr10:57367745:C:T rs142446700 C T c EBF1_EBF_1 17 0 - 0 0 . chr10 57367750 57367751 chr10:57367751:T:C rs2928422 T C c EBF1_EBF_1 11 1 - 5.889999280669436 2.997292437065424 GATCCCAGGAGATC chr10 57528980 57528981 chr10:57528981:G:C rs10763501 G C G EBF1_EBF_1 5 1 - 4.73307175579608 -2.1718093968390892 ACACCCCAGGGTAC chr10 57913953 57913954 chr10:57913954:C:T rs7913320 C T T EBF1_EBF_1 -14 0 - 0 0 . chr10 57941706 57941707 chr10:57941707:C:T rs74142458 C T C EBF1_EBF_1 25 0 + 0 0 . chr10 57950273 57950274 chr10:57950274:T:C rs9415524 T C T EBF1_EBF_1 -9 0 - 0 0 . chr10 57973020 57973021 chr10:57973021:G:A chr10:57973021:G:A G A G EBF1_EBF_1 10 1 + 5.003462228999094 -0.314566230267872 ACTCCCCTGAGGAG chr10 57973020 57973021 chr10:57973021:G:T rs4120202 G T G EBF1_EBF_1 10 1 + 5.003462228999094 0.8207100427718292 ACTCCCCTGAGGAG chr10 58017687 58017688 chr10:58017688:T:C rs117435680 T C T EBF1_EBF_1 -10 0 - 0 0 . chr10 58039351 58039352 chr10:58039352:C:T rs1953092 C T T EBF1_EBF_1 26 0 + 0 0 . chr10 58152745 58152746 chr10:58152746:G:C chr10:58152746:G:C G C G EBF1_EBF_1 3 1 - 5.539645269506428 -0.2881191786087873 AATCCACAGGGAAC chr10 58265893 58265894 chr10:58265894:T:C rs2790169 T C T EBF1_EBF_1 -20 0 + 0 0 . chr10 58267894 58267895 chr10:58267895:A:T rs372167063 A T a EBF1_EBF_1 -19 0 + 0 0 . chr10 58267901 58267902 chr10:58267902:G:C rs536372449 G C g EBF1_EBF_1 -12 0 + 0 0 . chr10 58270651 58270652 chr10:58270652:C:T rs79458410 C T C EBF1_EBF_1 -6 0 + 0 0 . chr10 58355194 58355195 chr10:58355195:T:C rs565592439 T C T EBF1_EBF_1 17 0 - 0 0 . chr10 58385581 58385582 chr10:58385582:G:C rs1937 G C G EBF1_EBF_1 1 1 + 4.913115544504709 4.723343889935492 AGTGCCCTGGGAAG chr10 58465668 58465669 chr10:58465669:G:C rs10826195 G C C EBF1_EBF_1 13 1 + 5.583016806367973 7.071087827723388 CTTCCCAAGGGCCG chr10 58465676 58465677 chr10:58465677:A:G rs142878832 A G A EBF1_EBF_1 21 0 + 0 0 . chr10 58475720 58475721 chr10:58475721:C:T rs117008571 C T T EBF1_EBF_1 15 0 - 0 0 . chr10 58531205 58531206 chr10:58531206:T:C rs1427223 T C T EBF1_EBF_1 -4 0 + 0 0 . chr10 58531209 58531210 chr10:58531210:A:G rs1427222 A G G EBF1_EBF_1 0 1 + 7.386263031514335 5.493979161949501 ATTGCCTGGGGACT chr10 58593275 58593276 chr10:58593276:A:G rs2393451 A G G EBF1_EBF_1 18 0 - 0 0 . chr10 58641215 58641216 chr10:58641216:A:G rs6481415 A G G EBF1_EBF_1 0 1 + 7.9709920774601475 6.078708207895316 AATCCCCAGGAAAT chr10 58701689 58701690 chr10:58701690:A:G rs2893782 A G A EBF1_EBF_1 29 0 - 0 0 . chr10 58712844 58712845 chr10:58712845:C:T rs7896348 C T C EBF1_EBF_1 7 1 - 9.762049426672448 11.074507831961272 CCTCCCCGGGGACT chr10 58816926 58816927 chr10:58816927:T:C rs12776000 T C T EBF1_EBF_1 -10 0 + 0 0 . chr10 58833047 58833048 chr10:58833048:A:G rs7098555 A G A EBF1_EBF_1 16 0 - 0 0 . chr10 58951609 58951610 chr10:58951610:G:A rs12219375 G A G EBF1_EBF_1 -11 0 + 0 0 . chr10 58952081 58952082 chr10:58952082:T:A rs577405097 T A T EBF1_EBF_1 -18 0 - 0 0 . chr10 58953268 58953269 chr10:58953269:A:C chr10:58953269:A:C A C A EBF1_EBF_1 18 0 + 0 0 . chr10 58968555 58968556 chr10:58968556:G:T rs77081150 G T G EBF1_EBF_1 -1 0 - 0 0 . chr10 59013231 59013232 chr10:59013232:C:G rs4948228 C G C EBF1_EBF_1 -11 0 - 0 0 . chr10 59173836 59173837 chr10:59173837:T:C rs57313926 T C T EBF1_EBF_1 28 0 - 0 0 . chr10 59177304 59177305 chr10:59177305:T:C rs7900101 T C C EBF1_EBF_1 30 0 - 0 0 . chr10 59311066 59311067 chr10:59311067:A:G rs10219081 A G A EBF1_EBF_1 23 0 + 0 0 . chr10 59315514 59315515 chr10:59315515:G:T rs11815025 G T G EBF1_EBF_1 31 0 + 0 0 . chr10 59362958 59362959 chr10:59362959:G:A rs893316450 G A G EBF1_EBF_1 21 0 + 0 0 . chr10 59363434 59363435 chr10:59363435:T:C rs453670 T C T EBF1_EBF_1 -16 0 - 0 0 . chr10 59366568 59366569 chr10:59366569:C:A rs73301218 C A C EBF1_EBF_1 -4 0 - 0 0 . chr10 59493623 59493624 chr10:59493624:C:A rs1244461474 C A C EBF1_EBF_1 -13 0 + 0 0 . chr10 59591745 59591746 chr10:59591746:C:T rs1909630 C T C EBF1_EBF_1 3 1 + 4.724768399902776 -1.6806303416505428 AACCGCTTGGGACC chr10 59616397 59616398 chr10:59616398:G:A rs11006606 G A A EBF1_EBF_1 -10 0 + 0 0 . chr10 59648742 59648743 chr10:59648743:C:A rs12241725 C A c EBF1_EBF_1 -15 0 + 0 0 . chr10 59709779 59709780 chr10:59709780:T:C rs1171614 T C C EBF1_EBF_1 -3 0 + 0 0 . chr10 59709790 59709791 chr10:59709791:G:A rs61735880 G A G EBF1_EBF_1 8 1 + 4.637868600022873 0.4115785111366115 CCTCCCCGGGGTTT chr10 59734364 59734365 chr10:59734365:G:C rs1171583 G C G EBF1_EBF_1 2 1 - 6.4683848549289555 1.6088825761706371 GTCCCCCTGAGACC chr10 59739814 59739815 chr10:59739815:C:T rs1171586 C T C EBF1_EBF_1 28 0 + 0 0 . chr10 59780295 59780296 chr10:59780296:T:C rs12778666 T C T EBF1_EBF_1 12 1 - 7.141164767684514 5.722347425585037 ACTCCCTCAGGAAT chr10 59780321 59780322 chr10:59780322:C:T rs1913514 C T T EBF1_EBF_1 -14 0 - 0 0 . chr10 59906093 59906094 chr10:59906094:C:T rs369381290 C T C EBF1_EBF_1 21 0 - 0 0 . chr10 59906127 59906128 chr10:59906128:C:A rs1171830 C A C EBF1_EBF_1 -13 0 - 0 0 . chr10 59906587 59906588 chr10:59906588:T:C rs10994066 T C T EBF1_EBF_1 -5 0 + 0 0 . chr10 59930436 59930437 chr10:59930437:C:T rs75768999 C T C EBF1_EBF_1 5 1 + 4.159809557691806 -1.161977657848866 ACCCCCCGGGGTTC chr10 59930437 59930438 chr10:59930438:C:G rs192506422 C G C EBF1_EBF_1 6 1 + 4.159809557691806 2.3546174942186058 ACCCCCCGGGGTTC chr10 60128354 60128355 chr10:60128355:C:T rs72820428 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 60135157 60135158 chr10:60135158:T:G rs73261169 T G T EBF1_EBF_1 -15 0 - 0 0 . chr10 60141316 60141317 chr10:60141317:G:A rs117421224 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 60177245 60177246 chr10:60177246:C:T rs12355908 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 60281409 60281410 chr10:60281410:T:C rs114789979 T C T EBF1_EBF_1 6 1 - 8.611249285179484 6.444454853905009 TCCCCCAAGGGACA chr10 60288645 60288646 chr10:60288646:G:A rs10821712 G A g EBF1_EBF_1 13 1 + 11.416360380028127 12.530685952097565 ATTCCCCTGGGACG chr10 60305459 60305460 chr10:60305460:G:T rs17234046 G T G EBF1_EBF_1 -17 0 - 0 0 . chr10 60352693 60352694 chr10:60352694:C:T rs41494951 C T C EBF1_EBF_1 -5 0 + 0 0 . chr10 60368168 60368169 chr10:60368169:A:G rs1981251 A G G EBF1_EBF_1 33 0 - 0 0 . chr10 60413448 60413449 chr10:60413449:C:T rs12416179 C T C EBF1_EBF_1 3 1 + 7.123130124387646 0.7177313828343294 GTTCCCAAGGTAGT chr10 60417174 60417175 chr10:60417175:G:T rs10821759 G T G EBF1_EBF_1 15 0 - 0 0 . chr10 60483716 60483717 chr10:60483717:C:A rs149557226 C A C EBF1_EBF_1 -5 0 + 0 0 . chr10 60571143 60571144 chr10:60571144:G:A rs371494658 G A G EBF1_EBF_1 3 1 - 10.380615642628204 3.975216901074887 TTTCCCAAGGGAGC chr10 60762636 60762637 chr10:60762637:T:C rs9414747 T C T EBF1_EBF_1 0 1 + 8.556963914439773 8.274761853709196 TCTCCCTTGAGAAT chr10 60944831 60944832 chr10:60944832:C:T rs539680360 C T C EBF1_EBF_1 -19 0 + 0 0 . chr10 60944855 60944856 chr10:60944856:C:T rs370909540 C T C EBF1_EBF_1 5 1 + 4.342049579382021 -0.9797376361586506 TCCCCCCAGGGGGC chr10 61001418 61001419 chr10:61001419:C:T rs541762531 C T C EBF1_EBF_1 -12 0 - 0 0 . chr10 61078734 61078735 chr10:61078735:G:A rs75540811 G A G EBF1_EBF_1 -19 0 + 0 0 . chr10 61125257 61125258 chr10:61125258:T:C rs112746315 T C T EBF1_EBF_1 26 0 - 0 0 . chr10 61188953 61188954 chr10:61188954:T:A rs7099410 T A A EBF1_EBF_1 -5 0 + 0 0 . chr10 61226716 61226717 chr10:61226717:T:C rs2633291 T C C EBF1_EBF_1 -16 0 - 0 0 . chr10 61259142 61259143 chr10:61259143:G:A rs6479757 G A G EBF1_EBF_1 7 1 - 6.489192501283196 8.30669724756582 ATTCCCCCGGGCTT chr10 61322662 61322663 chr10:61322663:C:G rs1915442 C G G EBF1_EBF_1 17 0 + 0 0 . chr10 61377054 61377055 chr10:61377055:G:A rs34497370 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 61377055 61377056 chr10:61377056:G:T rs12775428 G T G EBF1_EBF_1 29 0 - 0 0 . chr10 61448949 61448950 chr10:61448950:A:G rs117495209 A G A EBF1_EBF_1 12 1 - 6.658906406093605 7.685172976644454 ATTCCTCAGGGATA chr10 61448957 61448958 chr10:61448958:G:A rs72825291 G A G EBF1_EBF_1 4 1 - 6.658906406093605 2.2895194611486813 ATTCCTCAGGGATA chr10 61623743 61623744 chr10:61623744:C:T rs10821899 C T C EBF1_EBF_1 4 1 + 8.618467315435536 4.2490803704906135 AATCCCATGAGATC chr10 61623755 61623756 chr10:61623756:G:T rs76512212 G T G EBF1_EBF_1 16 0 + 0 0 . chr10 61735125 61735126 chr10:61735126:T:C rs994811 T C T EBF1_EBF_1 0 1 - 7.02588543443829 5.133601564873458 ATTCTCTTGAGACT chr10 61763507 61763508 chr10:61763508:T:C rs2675612 T C T EBF1_EBF_1 -15 0 + 0 0 . chr10 61830990 61830991 chr10:61830991:A:G rs4948477 A G A EBF1_EBF_1 -5 0 + 0 0 . chr10 61834910 61834911 chr10:61834911:G:T rs12248902 G T G EBF1_EBF_1 12 1 - 4.569218772010119 4.599400170431445 ACTCCATGGGGACC chr10 61855967 61855968 chr10:61855968:C:T rs75721203 C T C EBF1_EBF_1 5 1 + 6.914033859581872 1.5922466440412018 ACCCCCCCGGGAGC chr10 61896951 61896952 chr10:61896952:T:C rs993023902 T C T EBF1_EBF_1 31 0 + 0 0 . chr10 61965664 61965665 chr10:61965665:G:C rs4948494 G C G EBF1_EBF_1 9 1 + 6.725843313057967 1.650154166712505 GTTCCCAAGGGTTC chr10 62009188 62009189 chr10:62009189:C:T rs10995000 C T C EBF1_EBF_1 8 1 - 6.195443708456434 1.9691536195701727 CTTCCTAAGGGAAC chr10 62009199 62009200 chr10:62009200:G:A rs56158918 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 62010079 62010080 chr10:62010080:C:T rs7894504 C T T EBF1_EBF_1 32 0 - 0 0 . chr10 62022398 62022399 chr10:62022399:C:A rs79073532 C A C EBF1_EBF_1 -11 0 - 0 0 . chr10 62072057 62072058 chr10:62072058:G:T rs75574090 G T G EBF1_EBF_1 -3 0 - 0 0 . chr10 62076131 62076132 chr10:62076132:G:A rs7101171 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 62076151 62076152 chr10:62076152:C:T rs80158358 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 62083683 62083684 chr10:62083684:A:G rs77391548 A G A EBF1_EBF_1 -12 0 - 0 0 . chr10 62509450 62509451 chr10:62509451:G:A rs1878253 G A G EBF1_EBF_1 8 1 + 6.484716024792161 2.2584259359058994 ATTCCCAGGGTAGA chr10 62511086 62511087 chr10:62511087:G:A rs7923316 G A G EBF1_EBF_1 5 1 - 5.04880268057859 -0.27298453496208097 ACCCCCCAGAGGCC chr10 62511159 62511160 chr10:62511160:C:A rs7895082 C A C EBF1_EBF_1 -20 0 + 0 0 . chr10 62520422 62520423 chr10:62520423:G:C rs10733779 G C G EBF1_EBF_1 5 1 - 7.085500878860396 0.18061972622522837 AGTCCCGTGGTAAT chr10 62527481 62527482 chr10:62527482:T:C rs61866264 T C T EBF1_EBF_1 0 1 - 11.045789388362717 9.153505518797886 ATCCCCCTGGGAAC chr10 62545850 62545851 chr10:62545851:A:G rs148482214 A G A EBF1_EBF_1 -16 0 - 0 0 . chr10 62597233 62597234 chr10:62597234:C:T rs1949359 C T C EBF1_EBF_1 16 0 - 0 0 . chr10 62733603 62733604 chr10:62733604:A:G rs224051 A G G EBF1_EBF_1 19 0 - 0 0 . chr10 62745325 62745326 chr10:62745326:C:T rs117846724 C T C EBF1_EBF_1 9 1 - 6.01270538699822 3.357766121550615 CCTCCCAGGGGGCA chr10 62749568 62749569 chr10:62749569:T:C rs562728273 T C T EBF1_EBF_1 15 0 - 0 0 . chr10 62886183 62886184 chr10:62886184:C:T rs7076256 C T c EBF1_EBF_1 23 0 + 0 0 . chr10 62900169 62900170 chr10:62900170:C:T rs4367841 C T C EBF1_EBF_1 33 0 - 0 0 . chr10 62903822 62903823 chr10:62903823:C:T rs144957176 C T c EBF1_EBF_1 -8 0 + 0 0 . chr10 62911409 62911410 chr10:62911410:T:A rs7905287 T A t EBF1_EBF_1 23 0 + 0 0 . chr10 62972430 62972431 chr10:62972431:C:T rs7101161 C T C EBF1_EBF_1 1 1 + 6.202787036644006 7.098220477042751 ACTCCTGAGGGACT chr10 63001877 63001878 chr10:63001878:G:C rs2842281 G C G EBF1_EBF_1 24 0 + 0 0 . chr10 63058043 63058044 chr10:63058044:G:A rs4746854 G A G EBF1_EBF_1 6 1 - 5.949399301782324 6.250685430644081 AATCCCCTAGGAGA chr10 63069702 63069703 chr10:63069703:A:C rs200010702 A C A EBF1_EBF_1 18 0 + 0 0 . chr10 63120695 63120696 chr10:63120696:C:T rs187234684 C T C EBF1_EBF_1 -12 0 + 0 0 . chr10 63120704 63120705 chr10:63120705:T:C rs2136579 T C C EBF1_EBF_1 -3 0 + 0 0 . chr10 63128560 63128561 chr10:63128561:C:G rs7082200 C G C EBF1_EBF_1 24 0 + 0 0 . chr10 63468062 63468063 chr10:63468063:C:T rs114566895 C T C EBF1_EBF_1 -2 0 - 0 0 . chr10 63611381 63611382 chr10:63611382:A:G rs140821631 A G A EBF1_EBF_1 6 1 + 6.7090078186544595 4.542213387379984 ACTCCCATGGAAAA chr10 63629647 63629648 chr10:63629648:T:C rs183602733 T C T EBF1_EBF_1 26 0 - 0 0 . chr10 63630071 63630072 chr10:63630072:T:G chr10:63630072:T:G T G T EBF1_EBF_1 -12 0 - 0 0 . chr10 63630075 63630076 chr10:63630076:T:C rs562210955 T C T EBF1_EBF_1 -16 0 - 0 0 . chr10 63713417 63713418 chr10:63713418:C:G rs147382917 C G C EBF1_EBF_1 33 0 - 0 0 . chr10 63884826 63884827 chr10:63884827:G:A rs955353 G A A EBF1_EBF_1 3 1 - 6.299920628499663 -0.10547811305365411 TCCCCCTAGAGAAC chr10 63915006 63915007 chr10:63915007:G:A rs10740145 G A G EBF1_EBF_1 -8 0 + 0 0 . chr10 63940794 63940795 chr10:63940795:T:G rs2252306 T G T EBF1_EBF_1 18 0 - 0 0 . chr10 64099196 64099197 chr10:64099197:A:G rs10995808 A G A EBF1_EBF_1 25 0 - 0 0 . chr10 64547824 64547825 chr10:64547825:T:C rs10822309 T C C EBF1_EBF_1 29 0 - 0 0 . chr10 64576557 64576558 chr10:64576558:T:C rs10822317 T C t EBF1_EBF_1 19 0 - 0 0 . chr10 64682168 64682169 chr10:64682169:A:G rs77369904 A G A EBF1_EBF_1 23 0 - 0 0 . chr10 64732573 64732574 chr10:64732574:C:T rs2394107 C T C EBF1_EBF_1 -16 0 + 0 0 . chr10 64732596 64732597 chr10:64732597:G:A rs1879598 G A A EBF1_EBF_1 7 1 + 5.778039985701679 7.090498390990503 GTTCCCAGGGGCAA chr10 64732598 64732599 chr10:64732599:G:T rs1879599 G T G EBF1_EBF_1 9 1 + 5.778039985701679 -1.0589494223871294 GTTCCCAGGGGCAA chr10 64732600 64732601 chr10:64732601:C:T rs1879600 C T T EBF1_EBF_1 11 1 + 5.778039985701679 6.096087427455343 GTTCCCAGGGGCAA chr10 64830169 64830170 chr10:64830170:A:G rs3894600 A G . EBF1_EBF_1 15 0 + 0 0 . chr10 65033294 65033295 chr10:65033295:C:T rs7907263 C T C EBF1_EBF_1 5 1 + 7.150290300746077 1.828503085205407 AGCCCCTGGAGAAC chr10 65037149 65037150 chr10:65037150:C:T rs10740183 C T T EBF1_EBF_1 -10 0 + 0 0 . chr10 65195369 65195370 chr10:65195370:C:T rs16921046 C T C EBF1_EBF_1 6 1 - 4.405476426725944 6.572270858000419 ACCCCCGAGGGCAC chr10 65373923 65373924 chr10:65373924:T:C rs74139863 T C T EBF1_EBF_1 -18 0 + 0 0 . chr10 65409673 65409674 chr10:65409674:G:T rs10996380 G T G EBF1_EBF_1 -5 0 + 0 0 . chr10 65409920 65409921 chr10:65409921:G:A rs144344283 G A G EBF1_EBF_1 8 1 + 6.352557084606736 2.1262669957204743 TGTCCCTGGGTACT chr10 65409942 65409943 chr10:65409943:T:C rs10996382 T C T EBF1_EBF_1 30 0 + 0 0 . chr10 65570348 65570349 chr10:65570349:C:T rs16921573 C T C EBF1_EBF_1 6 1 + 9.00249623363598 9.303782362497737 GGTCCCCAGAGAAT chr10 65793261 65793262 chr10:65793262:C:T rs7080893 C T T EBF1_EBF_1 -17 0 + 0 0 . chr10 65911038 65911039 chr10:65911039:A:G chr10:65911039:A:G A G A EBF1_EBF_1 19 0 + 0 0 . chr10 65911351 65911352 chr10:65911352:A:C rs1629654 A C C EBF1_EBF_1 25 0 - 0 0 . chr10 66051450 66051451 chr10:66051451:C:T rs7893776 C T C EBF1_EBF_1 6 1 + 10.07262896825068 10.373915097112437 ATTCCCCAGGTAAT chr10 66087170 66087171 chr10:66087171:C:A rs10996886 C A C EBF1_EBF_1 3 1 + 7.522109085780323 0.6159350563145156 AATCCCCTGGGCCC chr10 66322835 66322836 chr10:66322836:T:G rs72801020 T G T EBF1_EBF_1 -6 0 + 0 0 . chr10 66443432 66443433 chr10:66443433:C:T rs12779068 C T c EBF1_EBF_1 -9 0 + 0 0 . chr10 66513493 66513494 chr10:66513494:C:G rs72793625 C G G EBF1_EBF_1 -6 0 - 0 0 . chr10 66513503 66513504 chr10:66513504:G:A rs57916658 G A G EBF1_EBF_1 -16 0 - 0 0 . chr10 66630152 66630153 chr10:66630153:A:G rs10997272 A G A EBF1_EBF_1 2 1 - 5.6342242451598175 3.7454008337176665 ACTCCCCAAAGAAC chr10 66659681 66659682 chr10:66659682:A:T rs2394277 A T T EBF1_EBF_1 20 0 - 0 0 . chr10 66876809 66876810 chr10:66876810:G:A rs6480233 G A A EBF1_EBF_1 -2 0 + 0 0 . chr10 66888337 66888338 chr10:66888338:C:T rs12762007 C T C EBF1_EBF_1 15 0 + 0 0 . chr10 66982879 66982880 chr10:66982880:G:A rs7092716 G A G EBF1_EBF_1 -16 0 + 0 0 . chr10 66982886 66982887 chr10:66982887:A:C rs10997468 A C A EBF1_EBF_1 -9 0 + 0 0 . chr10 67116086 67116087 chr10:67116087:C:T rs79707820 C T C EBF1_EBF_1 3 1 + 5.089891234304799 -1.315507507248517 ATTCCCCAGGCATA chr10 67172200 67172201 chr10:67172201:G:A rs78388268 G A G EBF1_EBF_1 9 1 + 6.775265262001339 4.120325996553735 CACCCCAGGGGAGT chr10 67230778 67230779 chr10:67230779:G:C rs113235381 G C G EBF1_EBF_1 8 1 + 5.863617796521467 0.5449589356067822 TCTCACTAGGGAAT chr10 67230793 67230794 chr10:67230794:T:A rs117017835 T A T EBF1_EBF_1 23 0 + 0 0 . chr10 67295459 67295460 chr10:67295460:G:T rs544021250 G T G EBF1_EBF_1 7 1 - 5.4560337293989045 7.749812715769085 ATTCCCACGTGACT chr10 67431464 67431465 chr10:67431465:C:A rs4323777 C A C EBF1_EBF_1 28 0 + 0 0 . chr10 67622104 67622105 chr10:67622105:C:T rs74142854 C T G EBF1_EBF_1 7 1 - 5.356840078094314 6.66929848338314 ACTCCCCGGGGCTA chr10 67622105 67622106 chr10:67622106:G:A rs12267067 G A G EBF1_EBF_1 6 1 - 5.356840078094314 5.658126206956072 ACTCCCCGGGGCTA chr10 67670675 67670676 chr10:67670676:A:T rs73271908 A T A EBF1_EBF_1 31 0 + 0 0 . chr10 67843527 67843528 chr10:67843528:A:G rs10997829 A G G EBF1_EBF_1 -9 0 - 0 0 . chr10 67863422 67863423 chr10:67863423:G:A rs10997841 G A G EBF1_EBF_1 -20 0 - 0 0 . chr10 67875767 67875768 chr10:67875768:A:T rs7894483 A T T EBF1_EBF_1 32 0 - 0 0 . chr10 68107903 68107904 chr10:68107904:C:A rs76158849 C A C EBF1_EBF_1 1 1 + 8.635162512855926 8.56423533550384 TCTCCCATGGGAGA chr10 68107914 68107915 chr10:68107915:G:A rs78288691 G A G EBF1_EBF_1 12 1 + 8.635162512855926 10.053979854955402 TCTCCCATGGGAGA chr10 68164342 68164343 chr10:68164343:A:G rs7895717 A G A EBF1_EBF_1 7 1 + 6.039364515620091 4.726906110331265 ACTCCCAAGATAAC chr10 68203123 68203124 chr10:68203124:C:A rs35898435 C A c EBF1_EBF_1 31 0 - 0 0 . chr10 68203146 68203147 chr10:68203147:C:T rs143168399 C T C EBF1_EBF_1 8 1 - 5.263276869414901 1.0369867805286406 CTTCCCCAGGAAGT chr10 68211809 68211810 chr10:68211810:C:T rs881976 C T C EBF1_EBF_1 27 0 + 0 0 . chr10 68271309 68271310 chr10:68271310:A:G rs2241970 A G G EBF1_EBF_1 -3 0 + 0 0 . chr10 68333019 68333020 chr10:68333020:A:T chr10:68333020:A:T A T A EBF1_EBF_1 29 0 - 0 0 . chr10 68333067 68333068 chr10:68333068:G:A chr10:68333068:G:A G A G EBF1_EBF_1 -19 0 - 0 0 . chr10 68407329 68407330 chr10:68407330:G:A rs143640573 G A g EBF1_EBF_1 16 0 - 0 0 . chr10 68526956 68526957 chr10:68526957:G:C rs2488043 G C G EBF1_EBF_1 20 0 + 0 0 . chr10 68560478 68560479 chr10:68560479:C:T rs58627364 C T C EBF1_EBF_1 17 0 + 0 0 . chr10 68560725 68560726 chr10:68560726:A:G rs2664442 A G G EBF1_EBF_1 12 1 + 5.163625156311591 3.7448078142121135 GCTGCCCTGGGAAT chr10 68599631 68599632 chr10:68599632:T:C rs977275667 T C T EBF1_EBF_1 11 1 - 5.053606888968188 2.1609000453641767 ACTTCCCAGGGATC chr10 68599636 68599637 chr10:68599637:G:A rs12249063 G A A EBF1_EBF_1 6 1 - 5.053606888968188 5.354893017829946 ACTTCCCAGGGATC chr10 68666509 68666510 chr10:68666510:G:A rs66619260 G A A EBF1_EBF_1 23 0 - 0 0 . chr10 68827240 68827241 chr10:68827241:G:C rs12268540 G C G EBF1_EBF_1 18 0 - 0 0 . chr10 68827263 68827264 chr10:68827264:A:G rs1573839 A G G EBF1_EBF_1 -5 0 - 0 0 . chr10 68827264 68827265 chr10:68827265:G:A rs1618932 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 68865803 68865804 chr10:68865804:G:A rs4295943 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 68956495 68956496 chr10:68956496:G:A rs115031368 G A G EBF1_EBF_1 -10 0 - 0 0 . chr10 68957249 68957250 chr10:68957250:T:C rs957327885 T C T EBF1_EBF_1 17 0 - 0 0 . chr10 69065847 69065848 chr10:69065848:C:T rs5030929 C T C EBF1_EBF_1 -11 0 + 0 0 . chr10 69180662 69180663 chr10:69180663:C:T rs142697139 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 69216820 69216821 chr10:69216821:C:T rs5030940 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 69222775 69222776 chr10:69222776:G:A rs7089312 G A G EBF1_EBF_1 22 0 - 0 0 . chr10 69229513 69229514 chr10:69229514:G:A rs10823321 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 69229517 69229518 chr10:69229518:T:C rs115400561 T C T EBF1_EBF_1 -15 0 - 0 0 . chr10 69266753 69266754 chr10:69266754:C:A rs906219 C A C EBF1_EBF_1 17 0 - 0 0 . chr10 69333459 69333460 chr10:69333460:G:A rs150705486 G A G EBF1_EBF_1 6 1 + 8.306107195865996 10.472901627140471 CTCCCCGAGGGAAT chr10 69333466 69333467 chr10:69333467:T:A rs115380421 T A T EBF1_EBF_1 13 1 + 8.306107195865996 7.087867631994502 CTCCCCGAGGGAAT chr10 69340131 69340132 chr10:69340132:G:A rs10159477 G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 69353086 69353087 chr10:69353087:G:T rs10998738 G T G EBF1_EBF_1 26 0 + 0 0 . chr10 69360664 69360665 chr10:69360665:C:T rs115849625 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 69394125 69394126 chr10:69394126:C:T rs3793846 C T C EBF1_EBF_1 8 1 - 6.698968700321716 2.472678611435456 AGTCCCCTGGAATT chr10 69406501 69406502 chr10:69406502:G:C rs3793851 G C G EBF1_EBF_1 24 0 - 0 0 . chr10 69410158 69410159 chr10:69410159:C:G rs4644560 C G C EBF1_EBF_1 28 0 - 0 0 . chr10 69419353 69419354 chr10:69419354:A:G rs11597682 A G G EBF1_EBF_1 1 1 + 5.9693714330284635 6.230070264949767 AACCCCATGGGTAA chr10 69458933 69458934 chr10:69458934:C:T rs10823379 C T C EBF1_EBF_1 24 0 - 0 0 . chr10 69458962 69458963 chr10:69458963:G:A rs10762296 G A g EBF1_EBF_1 -5 0 - 0 0 . chr10 69465195 69465196 chr10:69465196:C:T rs1079045 C T C EBF1_EBF_1 32 0 - 0 0 . chr10 69465229 69465230 chr10:69465230:G:T rs1079046 G T G EBF1_EBF_1 -2 0 - 0 0 . chr10 69495990 69495991 chr10:69495991:T:C rs1864589 T C t EBF1_EBF_1 33 0 - 0 0 . chr10 69507166 69507167 chr10:69507167:T:G rs11068 T G T EBF1_EBF_1 31 0 + 0 0 . chr10 69517635 69517636 chr10:69517636:G:A rs61848439 G A G EBF1_EBF_1 27 0 - 0 0 . chr10 69572544 69572545 chr10:69572545:C:T rs41277236 C T C EBF1_EBF_1 7 1 - 9.050861516359621 10.363319921648447 TTCCCCCGGGGACT chr10 69574847 69574848 chr10:69574848:A:G rs72798929 A G A EBF1_EBF_1 11 1 + 5.708864548256706 2.816157704652695 TTTCCAAAGGGACT chr10 69574865 69574866 chr10:69574866:C:T rs3812704 C T C EBF1_EBF_1 29 0 + 0 0 . chr10 69578885 69578886 chr10:69578886:A:C rs1053292572 A C A EBF1_EBF_1 -18 0 - 0 0 . chr10 69618834 69618835 chr10:69618835:A:G rs10733854 A G G EBF1_EBF_1 2 1 - 10.623169729928847 8.734346318486697 GCTCCCCAGGGAAC chr10 69632800 69632801 chr10:69632801:C:T rs12020 C T C EBF1_EBF_1 -14 0 + 0 0 . chr10 69645344 69645345 chr10:69645345:G:A rs114773896 G A G EBF1_EBF_1 19 0 + 0 0 . chr10 69651686 69651687 chr10:69651687:A:T rs76747047 A T A EBF1_EBF_1 0 1 + 7.116976705606921 5.1439202826409 ATTCCCTGAGGATC chr10 69659863 69659864 chr10:69659864:G:A rs10998901 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 69681150 69681151 chr10:69681151:C:T rs12161680 C T C EBF1_EBF_1 9 1 - 6.510312304512465 3.855373039064861 AATCCCCAGGGCCG chr10 69682484 69682485 chr10:69682485:C:T rs17494179 C T T EBF1_EBF_1 -15 0 + 0 0 . chr10 69682665 69682666 chr10:69682666:G:C rs55962940 G C G EBF1_EBF_1 -17 0 - 0 0 . chr10 69689389 69689390 chr10:69689390:T:C rs74139344 T C T EBF1_EBF_1 -10 0 + 0 0 . chr10 69696380 69696381 chr10:69696381:C:T rs542745121 C T C EBF1_EBF_1 2 1 + 5.920457177629389 7.809280589071538 TGCCCCCAGGGGCC chr10 69737537 69737538 chr10:69737538:C:T rs66844337 C T C EBF1_EBF_1 -16 0 - 0 0 . chr10 69740251 69740252 chr10:69740252:A:G rs7075082 A G G EBF1_EBF_1 18 0 - 0 0 . chr10 69768967 69768968 chr10:69768968:A:G rs2252421 A G G EBF1_EBF_1 -5 0 + 0 0 . chr10 69770188 69770189 chr10:69770189:G:A rs750116 G A A EBF1_EBF_1 32 0 + 0 0 . chr10 69782561 69782562 chr10:69782562:G:T rs12573002 G T G EBF1_EBF_1 -4 0 - 0 0 . chr10 69804071 69804072 chr10:69804072:G:A rs77001515 G A G EBF1_EBF_1 21 0 - 0 0 . chr10 69807254 69807255 chr10:69807255:C:G rs942571 C G C EBF1_EBF_1 20 0 + 0 0 . chr10 69807331 69807332 chr10:69807332:A:T rs3864815 A T A EBF1_EBF_1 22 0 - 0 0 . chr10 69828130 69828131 chr10:69828131:A:G rs1227753 A G A EBF1_EBF_1 -13 0 + 0 0 . chr10 69831286 69831287 chr10:69831287:C:T rs1227760 C T T EBF1_EBF_1 10 1 - 4.903543361112521 -0.41448509815444456 GACCCCCTGGGTCT chr10 69835737 69835738 chr10:69835738:G:C rs10823439 G C G EBF1_EBF_1 28 0 + 0 0 . chr10 69848957 69848958 chr10:69848958:G:A rs10998999 G A G EBF1_EBF_1 -8 0 - 0 0 . chr10 69853083 69853084 chr10:69853084:C:T rs55767922 C T C EBF1_EBF_1 -20 0 + 0 0 . chr10 69854914 69854915 chr10:69854915:G:A rs146656798 G A G EBF1_EBF_1 24 0 + 0 0 . chr10 69860646 69860647 chr10:69860647:G:A rs10823443 G A g EBF1_EBF_1 -18 0 + 0 0 . chr10 69880498 69880499 chr10:69880499:G:A rs2395272 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 69880517 69880518 chr10:69880518:G:A rs144774788 G A G EBF1_EBF_1 13 1 + 4.144129668372273 5.2584552404417115 GTCCCCCGGAGACG chr10 69884138 69884139 chr10:69884139:C:T rs117080833 C T C EBF1_EBF_1 3 1 + 5.68567222914145 -0.7197265124118669 AGTCCAATGGGAAC chr10 69897345 69897346 chr10:69897346:A:C rs41277958 A C A EBF1_EBF_1 -14 0 + 0 0 . chr10 69913285 69913286 chr10:69913286:C:T rs35247685 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 69934488 69934489 chr10:69934489:A:G rs79858724 A G A EBF1_EBF_1 29 0 - 0 0 . chr10 69939636 69939637 chr10:69939637:A:G rs4746936 A G A EBF1_EBF_1 -18 0 + 0 0 . chr10 69957460 69957461 chr10:69957461:C:T rs77747393 C T C EBF1_EBF_1 3 1 + 7.489697146175614 1.0842984046222968 CTCCCCTAGGGGCT chr10 69961143 69961144 chr10:69961144:T:G rs7072120 T G G EBF1_EBF_1 23 0 - 0 0 . chr10 70053456 70053457 chr10:70053457:G:A rs566017560 G A G EBF1_EBF_1 -4 0 - 0 0 . chr10 70138241 70138242 chr10:70138242:C:T rs1053105 C T C EBF1_EBF_1 -15 0 - 0 0 . chr10 70235446 70235447 chr10:70235447:C:T rs7069297 C T T EBF1_EBF_1 -4 0 + 0 0 . chr10 70259933 70259934 chr10:70259934:T:C rs10999217 T C T EBF1_EBF_1 30 0 - 0 0 . chr10 70266990 70266991 chr10:70266991:T:C rs57147717 T C T EBF1_EBF_1 11 1 - 4.724540529319134 1.8318336857151223 AGCACCCAGGGACT chr10 70267696 70267697 chr10:70267697:A:G rs1889396 A G G EBF1_EBF_1 29 0 - 0 0 . chr10 70267740 70267741 chr10:70267741:G:C rs1889395 G C C EBF1_EBF_1 -15 0 - 0 0 . chr10 70270318 70270319 chr10:70270319:C:A rs78281435 C A C EBF1_EBF_1 1 1 + 4.950130686862754 4.87920350951067 ACCCCCATGAGAGG chr10 70271027 70271028 chr10:70271028:G:C rs10762355 G C G EBF1_EBF_1 14 0 + 0 0 . chr10 70302435 70302436 chr10:70302436:G:A rs10999253 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 70324391 70324392 chr10:70324392:G:A rs11598230 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 70337082 70337083 chr10:70337083:G:A rs10999277 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 70337121 70337122 chr10:70337122:T:C rs76687494 T C T EBF1_EBF_1 26 0 + 0 0 . chr10 70340404 70340405 chr10:70340405:C:G rs76892698 C G C EBF1_EBF_1 -18 0 + 0 0 . chr10 70341316 70341317 chr10:70341317:A:G rs10762366 A G G EBF1_EBF_1 23 0 + 0 0 . chr10 70353940 70353941 chr10:70353941:G:A rs1077877 G A G EBF1_EBF_1 23 0 + 0 0 . chr10 70363929 70363930 chr10:70363930:G:A rs143631535 G A G EBF1_EBF_1 22 0 + 0 0 . chr10 70369297 70369298 chr10:70369298:G:A rs76702380 G A G EBF1_EBF_1 8 1 + 4.900141389625259 0.6738513007389968 CATCCCTCGGGGCA chr10 70383460 70383461 chr10:70383461:C:G rs72809242 C G C EBF1_EBF_1 11 1 + 9.634249946978427 11.157504801226803 ATTCCCTTGGGCCT chr10 70404667 70404668 chr10:70404668:G:A rs368814782 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 70434097 70434098 chr10:70434098:G:A rs2231947 G A G EBF1_EBF_1 23 0 + 0 0 . chr10 70456447 70456448 chr10:70456448:A:T rs116637262 A T A EBF1_EBF_1 24 0 + 0 0 . chr10 70503933 70503934 chr10:70503934:G:A rs4747042 G A A EBF1_EBF_1 -3 0 - 0 0 . chr10 70509180 70509181 chr10:70509181:C:T rs79363163 C T C EBF1_EBF_1 28 0 + 0 0 . chr10 70509231 70509232 chr10:70509232:G:T rs61646170 G T G EBF1_EBF_1 -7 0 - 0 0 . chr10 70514273 70514274 chr10:70514274:G:A rs12778383 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 70522448 70522449 chr10:70522449:A:T rs112466094 A T T EBF1_EBF_1 19 0 - 0 0 . chr10 70523377 70523378 chr10:70523378:G:A rs10999372 G A G EBF1_EBF_1 5 1 - 6.944642321669009 1.6228551061283376 TCTCCCGAGGGAGA chr10 70523720 70523721 chr10:70523721:G:A rs17513484 G A g EBF1_EBF_1 14 0 - 0 0 . chr10 70534953 70534954 chr10:70534954:G:A rs77926728 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 70550198 70550199 chr10:70550199:A:G rs12782497 A G A EBF1_EBF_1 9 1 + 7.26129157434519 9.916230839792794 CATCCCTAGAGAGT chr10 70551543 70551544 chr10:70551544:G:A rs10999387 G A G EBF1_EBF_1 20 0 + 0 0 . chr10 70561787 70561788 chr10:70561788:A:C rs74141908 A C A EBF1_EBF_1 2 1 - 12.705225254062897 5.9568995638624305 AGTCCCCAGGGAAC chr10 70569288 70569289 chr10:70569289:C:T rs66821915 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 70569609 70569610 chr10:70569610:A:G rs11816413 A G a EBF1_EBF_1 22 0 + 0 0 . chr10 70582906 70582907 chr10:70582907:G:A rs7096288 G A G EBF1_EBF_1 -20 0 - 0 0 . chr10 70584822 70584823 chr10:70584823:A:G rs2894125 A G A EBF1_EBF_1 19 0 - 0 0 . chr10 70594266 70594267 chr10:70594267:A:T rs74761295 A T A EBF1_EBF_1 -14 0 - 0 0 . chr10 70661829 70661830 chr10:70661830:G:A rs144286604 G A G EBF1_EBF_1 -11 0 + 0 0 . chr10 70664769 70664770 chr10:70664770:C:G rs7916244 C G C EBF1_EBF_1 15 0 + 0 0 . chr10 70681644 70681645 chr10:70681645:G:C rs56287851 G C G EBF1_EBF_1 -7 0 - 0 0 . chr10 70728841 70728842 chr10:70728842:C:T rs10999493 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 70735830 70735831 chr10:70735831:C:A rs10999499 C A C EBF1_EBF_1 3 1 + 6.210098133703626 -0.6960758957621804 AGTCCCCTAGGAGA chr10 70745558 70745559 chr10:70745559:A:G rs2791183 A G A EBF1_EBF_1 0 1 - 4.745431163556002 4.463229102825424 TGCCCCCAGGGTAC chr10 70757839 70757840 chr10:70757840:T:G rs10999514 T G T EBF1_EBF_1 31 0 - 0 0 . chr10 70757840 70757841 chr10:70757841:C:T rs10999515 C T C EBF1_EBF_1 30 0 - 0 0 . chr10 70774009 70774010 chr10:70774010:T:C rs2688752 T C C EBF1_EBF_1 -19 0 - 0 0 . chr10 70779041 70779042 chr10:70779042:C:A rs12776342 C A C EBF1_EBF_1 3 1 + 5.942453694164119 -0.9637203353016891 ACTCCCTGGAGAGG chr10 70780491 70780492 chr10:70780492:T:G rs10823620 T G G EBF1_EBF_1 10 1 + 6.600007799849475 10.782759986076737 GGTCCCCAGGTACC chr10 70800171 70800172 chr10:70800172:A:G rs10999551 A G A EBF1_EBF_1 -16 0 + 0 0 . chr10 70800209 70800210 chr10:70800210:C:T rs2251370 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 70814596 70814597 chr10:70814597:C:G rs2630339 C G C EBF1_EBF_1 -18 0 - 0 0 . chr10 70910684 70910685 chr10:70910685:C:G rs7914224 C G C EBF1_EBF_1 21 0 + 0 0 . chr10 70920617 70920618 chr10:70920618:C:T rs7079039 C T C EBF1_EBF_1 31 0 - 0 0 . chr10 70922674 70922675 chr10:70922675:A:G rs827319 A G G EBF1_EBF_1 24 0 + 0 0 . chr10 70934608 70934609 chr10:70934609:A:G rs10823638 A G A EBF1_EBF_1 13 1 + 5.5202390569735 4.405913484904061 AGCCCCCTGGGCCA chr10 70938433 70938434 chr10:70938434:G:T rs1416263 G T G EBF1_EBF_1 4 1 - 9.482532195356711 3.6579725719032457 TTTCCCATGAGACT chr10 70943603 70943604 chr10:70943604:C:T rs827291 C T C EBF1_EBF_1 1 1 + 4.765223883392305 5.6606573237910505 GCTGCCCAGGGACC chr10 70943634 70943635 chr10:70943635:G:A rs7070982 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 70952686 70952687 chr10:70952687:A:G rs145105761 A G A EBF1_EBF_1 24 0 - 0 0 . chr10 70956542 70956543 chr10:70956543:G:A rs827278 G A A EBF1_EBF_1 12 1 - 5.832686351509777 4.806419780958927 TTCCCCATGGGCCT chr10 70964406 70964407 chr10:70964407:G:A rs4746074 G A g EBF1_EBF_1 12 1 - 6.253466655274992 5.227200084724142 TTTCCCTGGAGACG chr10 70969140 70969141 chr10:70969141:T:C rs827268 T C T EBF1_EBF_1 21 0 - 0 0 . chr10 71014605 71014606 chr10:71014606:G:A rs34692648 G A G EBF1_EBF_1 18 0 + 0 0 . chr10 71016018 71016019 chr10:71016019:G:A rs3902977 G A G EBF1_EBF_1 -10 0 + 0 0 . chr10 71022217 71022218 chr10:71022218:A:G rs61851252 A G A EBF1_EBF_1 15 0 - 0 0 . chr10 71030025 71030026 chr10:71030026:G:A rs191140567 G A G EBF1_EBF_1 3 1 - 6.208487103050157 -0.19691163850316074 CCCCCCATGGGGAT chr10 71035816 71035817 chr10:71035817:T:C rs1891157 T C T EBF1_EBF_1 16 0 - 0 0 . chr10 71035843 71035844 chr10:71035844:G:A rs1891158 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 71048630 71048631 chr10:71048631:C:T rs140400284 C T C EBF1_EBF_1 16 0 + 0 0 . chr10 71064698 71064699 chr10:71064699:T:C rs10999657 T C C EBF1_EBF_1 22 0 - 0 0 . chr10 71064713 71064714 chr10:71064714:G:A rs73272820 G A G EBF1_EBF_1 7 1 - 4.575705117048488 6.393209863331112 CACCCCACGGGAGA chr10 71067063 71067064 chr10:71067064:T:C rs187711118 T C T EBF1_EBF_1 11 1 - 6.359916781298784 3.467209937694772 CTCCCCCAGGGAGG chr10 71073189 71073190 chr10:71073190:A:G rs72804549 A G G EBF1_EBF_1 6 1 + 6.804760412973027 4.637965981698552 AAACCCATGAGACT chr10 71077321 71077322 chr10:71077322:C:T rs74144238 C T C EBF1_EBF_1 4 1 + 7.880129974407256 3.5107430294623327 ACACCCAGGGGAAC chr10 71078564 71078565 chr10:71078565:C:T rs72804555 C T C EBF1_EBF_1 33 0 - 0 0 . chr10 71081077 71081078 chr10:71081078:C:A rs78085174 C A C EBF1_EBF_1 8 1 - 5.146139350944517 -1.745293438032677 GCACCCCAGGGAGA chr10 71081086 71081087 chr10:71081087:A:G rs74144247 A G G EBF1_EBF_1 -1 0 - 0 0 . chr10 71083360 71083361 chr10:71083361:C:G rs1417917 C G C EBF1_EBF_1 21 0 + 0 0 . chr10 71083719 71083720 chr10:71083720:C:T rs56310598 C T T EBF1_EBF_1 -6 0 - 0 0 . chr10 71084911 71084912 chr10:71084912:G:A rs575701987 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 71086379 71086380 chr10:71086380:G:T rs79817067 G T T EBF1_EBF_1 20 0 + 0 0 . chr10 71090177 71090178 chr10:71090178:C:T rs12264450 C T T EBF1_EBF_1 14 0 - 0 0 . chr10 71090200 71090201 chr10:71090201:A:C rs16928377 A C A EBF1_EBF_1 -9 0 - 0 0 . chr10 71093017 71093018 chr10:71093018:C:G rs111323882 C G C EBF1_EBF_1 3 1 - 5.201662849892011 11.029427298007224 AATGCCTGGGGACA chr10 71094210 71094211 chr10:71094211:G:A rs1891164 G A G EBF1_EBF_1 0 1 + 7.692544063621534 9.584827933186364 GTTCCCATGAGATC chr10 71127341 71127342 chr10:71127342:A:T rs55742809 A T T EBF1_EBF_1 27 0 + 0 0 . chr10 71131779 71131780 chr10:71131780:A:G rs60744603 A G G EBF1_EBF_1 -3 0 - 0 0 . chr10 71131785 71131786 chr10:71131786:G:A rs57716333 G A G EBF1_EBF_1 -9 0 - 0 0 . chr10 71150994 71150995 chr10:71150995:T:C rs1935155 T C C EBF1_EBF_1 23 0 - 0 0 . chr10 71191025 71191026 chr10:71191026:A:G rs7899965 A G A EBF1_EBF_1 25 0 + 0 0 . chr10 71220477 71220478 chr10:71220478:C:T rs1538897 C T C EBF1_EBF_1 6 1 + 5.628289789550636 5.929575918412394 TCTCCCCGGGGCCT chr10 71235644 71235645 chr10:71235645:G:A rs116915085 G A G EBF1_EBF_1 14 0 - 0 0 . chr10 71240573 71240574 chr10:71240574:G:A rs10999741 G A G EBF1_EBF_1 9 1 + 7.698909241573021 5.0439699761254175 CCTCCCAAGGGGCC chr10 71241297 71241298 chr10:71241298:G:A rs11816159 G A A EBF1_EBF_1 -5 0 + 0 0 . chr10 71241302 71241303 chr10:71241303:C:G chr10:71241303:C:G C G C EBF1_EBF_1 0 1 + 5.142109211393366 5.5050838255251335 CCCCCCCAGAGAGT chr10 71241308 71241309 chr10:71241309:C:G rs878719 C G C EBF1_EBF_1 6 1 + 5.142109211393366 3.336917147920169 CCCCCCCAGAGAGT chr10 71245145 71245146 chr10:71245146:G:C rs74145395 G C G EBF1_EBF_1 20 0 + 0 0 . chr10 71250266 71250267 chr10:71250267:T:C rs7915387 T C C EBF1_EBF_1 -11 0 - 0 0 . chr10 71260001 71260002 chr10:71260002:G:A rs2394784 G A G EBF1_EBF_1 2 1 - 5.197732494859777 7.086555906301927 GTCCCCTAGAGGCT chr10 71263841 71263842 chr10:71263842:G:T rs10823713 G T G EBF1_EBF_1 12 1 - 6.042961006360727 6.073142404782053 TGTCCCCTGGTACC chr10 71263857 71263858 chr10:71263858:A:G rs10823714 A G G EBF1_EBF_1 -4 0 - 0 0 . chr10 71266520 71266521 chr10:71266521:G:A rs61240153 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 71266524 71266525 chr10:71266525:G:A rs1387581834 G A G EBF1_EBF_1 12 1 - 7.49295735937081 6.466690788819961 AGCCCCGAGGGACG chr10 71278616 71278617 chr10:71278617:C:T rs78074095 C T C EBF1_EBF_1 -17 0 + 0 0 . chr10 71289971 71289972 chr10:71289972:T:C rs61851303 T C C EBF1_EBF_1 17 0 + 0 0 . chr10 71290008 71290009 chr10:71290009:C:G rs74147811 C G C EBF1_EBF_1 14 0 + 0 0 . chr10 71311325 71311326 chr10:71311326:A:G rs1538898 A G G EBF1_EBF_1 1 1 - 5.696954222935702 4.801520782536956 CTTCCCTGGAGAGA chr10 71319395 71319396 chr10:71319396:G:T rs72542484 G T G EBF1_EBF_1 5 1 - 4.115000262650433 -2.7898808899847363 CGCCCCCAGGGCCC chr10 71319414 71319415 chr10:71319415:A:G chr10:71319415:A:G A G A EBF1_EBF_1 -14 0 - 0 0 . chr10 71328262 71328263 chr10:71328263:C:T rs6480510 C T C EBF1_EBF_1 22 0 - 0 0 . chr10 71346369 71346370 chr10:71346370:A:G rs946875 A G G EBF1_EBF_1 24 0 - 0 0 . chr10 71347500 71347501 chr10:71347501:G:C rs2243460 G C C EBF1_EBF_1 -3 0 + 0 0 . chr10 71355864 71355865 chr10:71355865:G:A rs543075715 G A G EBF1_EBF_1 -10 0 + 0 0 . chr10 71390547 71390548 chr10:71390548:C:T rs10823732 C T C EBF1_EBF_1 -17 0 - 0 0 . chr10 71406408 71406409 chr10:71406409:G:C rs34634659 G C G EBF1_EBF_1 -16 0 - 0 0 . chr10 71440800 71440801 chr10:71440801:A:G rs7082815 A G G EBF1_EBF_1 15 0 + 0 0 . chr10 71451778 71451779 chr10:71451779:T:G rs34301891 T G T EBF1_EBF_1 0 1 + 7.130519849408678 7.2112924028098675 TGTCCCCAGGGCCT chr10 71452187 71452188 chr10:71452188:G:A rs750010 G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 71462188 71462189 chr10:71462189:G:C rs182200491 G C G EBF1_EBF_1 -18 0 - 0 0 . chr10 71465460 71465461 chr10:71465461:G:A rs10999827 G A A EBF1_EBF_1 8 1 + 4.644028439921614 0.41773835103535295 CCTCCCCAGGGTCG chr10 71474481 71474482 chr10:71474482:G:A rs59332935 G A G EBF1_EBF_1 29 0 - 0 0 . chr10 71494949 71494950 chr10:71494950:G:A rs10823768 G A A EBF1_EBF_1 3 1 - 4.692616648567394 -1.7127820929859248 CTCCCCCTGGGTAC chr10 71500613 71500614 chr10:71500614:A:G rs79452410 A G A EBF1_EBF_1 -16 0 - 0 0 . chr10 71534985 71534986 chr10:71534986:T:C rs4423112 T C C EBF1_EBF_1 33 0 - 0 0 . chr10 71542806 71542807 chr10:71542807:G:A rs55708145 G A G EBF1_EBF_1 26 0 - 0 0 . chr10 71548188 71548189 chr10:71548189:G:A rs186310975 G A G EBF1_EBF_1 -15 0 - 0 0 . chr10 71573816 71573817 chr10:71573817:C:A rs12258535 C A C EBF1_EBF_1 3 1 + 7.224007247815952 0.31783321835014455 GCTCCCCTGGGGCC chr10 71575714 71575715 chr10:71575715:G:A rs145816187 G A G EBF1_EBF_1 -7 0 - 0 0 . chr10 71581462 71581463 chr10:71581463:C:T rs111555772 C T C EBF1_EBF_1 -7 0 + 0 0 . chr10 71581463 71581464 chr10:71581464:T:C rs10999896 T C C EBF1_EBF_1 -6 0 + 0 0 . chr10 71588200 71588201 chr10:71588201:A:G rs138622309 A G A EBF1_EBF_1 20 0 + 0 0 . chr10 71600886 71600887 chr10:71600887:T:C rs60899777 T C T EBF1_EBF_1 -9 0 - 0 0 . chr10 71620421 71620422 chr10:71620422:C:T rs4746087 C T C EBF1_EBF_1 6 1 + 4.849946651607196 5.151232780468953 AACCCCCCAGGACT chr10 71667779 71667780 chr10:71667780:A:G rs1665636 A G G EBF1_EBF_1 -17 0 + 0 0 . chr10 71686518 71686519 chr10:71686519:G:T rs1227089 G T T EBF1_EBF_1 -11 0 - 0 0 . chr10 71694393 71694394 chr10:71694394:C:T rs1227078 C T T EBF1_EBF_1 -18 0 + 0 0 . chr10 71695550 71695551 chr10:71695551:T:C rs3752750 T C C EBF1_EBF_1 25 0 - 0 0 . chr10 71703839 71703840 chr10:71703840:A:G rs75915904 A G A EBF1_EBF_1 26 0 - 0 0 . chr10 71712795 71712796 chr10:71712796:G:A rs562052236 G A G EBF1_EBF_1 22 0 + 0 0 . chr10 71713886 71713887 chr10:71713887:G:A rs1045095615 G A G EBF1_EBF_1 12 1 - 7.756466074082932 6.7301995035320825 CTTCCCCTGGGGCC chr10 71717748 71717749 chr10:71717749:A:T rs72817950 A T A EBF1_EBF_1 -2 0 + 0 0 . chr10 71718017 71718018 chr10:71718018:G:A rs78801455 G A G EBF1_EBF_1 23 0 - 0 0 . chr10 71723887 71723888 chr10:71723888:C:T rs3802713 C T C EBF1_EBF_1 -15 0 - 0 0 . chr10 71724218 71724219 chr10:71724219:T:C rs7893748 T C C EBF1_EBF_1 16 0 + 0 0 . chr10 71727461 71727462 chr10:71727462:G:A rs7922119 G A A EBF1_EBF_1 28 0 + 0 0 . chr10 71729437 71729438 chr10:71729438:A:G rs10823836 A G A EBF1_EBF_1 30 0 + 0 0 . chr10 71739369 71739370 chr10:71739370:G:A rs79256581 G A A EBF1_EBF_1 -3 0 + 0 0 . chr10 71748128 71748129 chr10:71748129:A:G rs745577 A G A EBF1_EBF_1 -5 0 + 0 0 . chr10 71748160 71748161 chr10:71748161:G:C rs77559756 G C G EBF1_EBF_1 27 0 + 0 0 . chr10 71764864 71764865 chr10:71764865:A:G rs2894169 A G G EBF1_EBF_1 11 1 + 10.439195935212089 7.546489091608078 GGTCCCCAGGGAAA chr10 71765767 71765768 chr10:71765768:T:C rs7919533 T C T EBF1_EBF_1 -1 0 - 0 0 . chr10 71767918 71767919 chr10:71767919:A:G rs3747865 A G G EBF1_EBF_1 7 1 + 7.353306752342189 6.040848347053364 CCTCCCCAGGGAGG chr10 71769009 71769010 chr10:71769010:G:T rs143443776 G T G EBF1_EBF_1 27 0 + 0 0 . chr10 71773598 71773599 chr10:71773599:G:C rs79202294 G C G EBF1_EBF_1 4 1 - 3.01092864981712 -2.614836451332425 GCCCCCCGGGGGCG chr10 71773599 71773600 chr10:71773600:G:A rs750875809 G A G EBF1_EBF_1 3 1 - 3.01092864981712 -3.3944700917361983 GCCCCCCGGGGGCG chr10 71806082 71806083 chr10:71806083:T:C rs576240792 T C T EBF1_EBF_1 11 1 - 10.664606050510203 7.771899206906193 TTCCCCTAGGGACT chr10 71821318 71821319 chr10:71821319:C:A rs9416009 C A C EBF1_EBF_1 19 0 + 0 0 . chr10 71826827 71826828 chr10:71826828:A:G rs2854992 A G G EBF1_EBF_1 18 0 + 0 0 . chr10 71836147 71836148 chr10:71836148:A:G rs142197347 A G A EBF1_EBF_1 2 1 - 9.268386844733998 7.379563433291847 GCTCCCAGGGGACA chr10 71843220 71843221 chr10:71843221:G:A rs72804014 G A G EBF1_EBF_1 -14 0 - 0 0 . chr10 71872510 71872511 chr10:71872511:C:T rs11000036 C T c EBF1_EBF_1 -8 0 - 0 0 . chr10 71872845 71872846 chr10:71872846:G:C rs10823857 G C g EBF1_EBF_1 -12 0 + 0 0 . chr10 71889189 71889190 chr10:71889190:G:A rs75290246 G A G EBF1_EBF_1 26 0 - 0 0 . chr10 71896963 71896964 chr10:71896964:G:T chr10:71896964:G:T G T G EBF1_EBF_1 -12 0 - 0 0 . chr10 71924347 71924348 chr10:71924348:C:T rs56786630 C T C EBF1_EBF_1 7 1 + 5.107185025405815 6.924689771688438 GGTCCCCCAGGACT chr10 71947727 71947728 chr10:71947728:T:C rs12256754 T C C EBF1_EBF_1 -1 0 + 0 0 . chr10 71953856 71953857 chr10:71953857:A:G rs11000114 A G G EBF1_EBF_1 13 1 - 4.9361859473689895 4.0916918327834715 TTCCCCTGGGGCCT chr10 71956346 71956347 chr10:71956347:C:T rs4746101 C T T EBF1_EBF_1 13 1 - 7.778734123398261 8.8930596954677 CTCCCCCAGGGAAG chr10 71963864 71963865 chr10:71963865:C:A rs185209475 C A C EBF1_EBF_1 19 0 - 0 0 . chr10 71963878 71963879 chr10:71963879:G:C rs7478499 G C G EBF1_EBF_1 5 1 - 4.8806658336866375 -2.0242153189485306 TCCCCCCTGGGGCA chr10 71963879 71963880 chr10:71963880:G:C rs6480589 G C G EBF1_EBF_1 4 1 - 4.8806658336866375 -0.745099267462908 TCCCCCCTGGGGCA chr10 71974559 71974560 chr10:71974560:C:T rs892689549 C T C EBF1_EBF_1 -9 0 - 0 0 . chr10 71985240 71985241 chr10:71985241:T:C rs4746105 T C T EBF1_EBF_1 33 0 - 0 0 . chr10 72009558 72009559 chr10:72009559:A:T rs115617050 A T A EBF1_EBF_1 -12 0 - 0 0 . chr10 72009560 72009561 chr10:72009561:G:A rs148125052 G A G EBF1_EBF_1 -14 0 - 0 0 . chr10 72013686 72013687 chr10:72013687:C:A rs11000133 C A C EBF1_EBF_1 -9 0 + 0 0 . chr10 72017184 72017185 chr10:72017185:C:A rs1245584 C A C EBF1_EBF_1 27 0 + 0 0 . chr10 72040063 72040064 chr10:72040064:T:C rs1245509 T C C EBF1_EBF_1 27 0 - 0 0 . chr10 72070651 72070652 chr10:72070652:T:C rs7090155 T C T EBF1_EBF_1 -15 0 + 0 0 . chr10 72075029 72075030 chr10:72075030:G:A rs1245568 G A G EBF1_EBF_1 32 0 - 0 0 . chr10 72089449 72089450 chr10:72089450:A:T rs16929663 A T A EBF1_EBF_1 17 0 + 0 0 . chr10 72123791 72123792 chr10:72123792:C:T rs1245579 C T T EBF1_EBF_1 12 1 + 6.713398122508229 5.687131551957379 GTTCCCTTAGGACA chr10 72248828 72248829 chr10:72248829:C:T rs190199827 C T C EBF1_EBF_1 20 0 + 0 0 . chr10 72297317 72297318 chr10:72297318:C:T rs771341596 C T C EBF1_EBF_1 3 1 + 6.179128131218474 -0.22627061033484358 ACCCCCCAGAGAGA chr10 72306638 72306639 chr10:72306639:T:G rs10740401 T G G EBF1_EBF_1 -10 0 - 0 0 . chr10 72319391 72319392 chr10:72319392:A:G rs115640825 A G A EBF1_EBF_1 -19 0 + 0 0 . chr10 72319592 72319593 chr10:72319593:T:G chr10:72319593:T:G T G T EBF1_EBF_1 18 0 - 0 0 . chr10 72329605 72329606 chr10:72329606:C:T rs9415064 C T C EBF1_EBF_1 -7 0 - 0 0 . chr10 72353186 72353187 chr10:72353187:A:G rs60727567 A G A EBF1_EBF_1 -3 0 + 0 0 . chr10 72379924 72379925 chr10:72379925:T:C rs11000277 T C T EBF1_EBF_1 0 1 - 6.803531210268212 4.911247340703379 ATACCCCAGGGGCC chr10 72427210 72427211 chr10:72427211:G:C rs147878965 G C G EBF1_EBF_1 13 1 - 7.72317404205963 6.235103020704215 GCCCCCTGGGGAAC chr10 73018413 73018414 chr10:73018414:C:T rs74600222 C T C EBF1_EBF_1 -5 0 + 0 0 . chr10 73096630 73096631 chr10:73096631:G:C rs149214996 G C G EBF1_EBF_1 -9 0 - 0 0 . chr10 73246937 73246938 chr10:73246938:C:A rs143964173 C A C EBF1_EBF_1 1 1 - 4.024675548062647 4.730337333892175 CGCCCCGGGGGAGC chr10 73246943 73246944 chr10:73246944:C:A chr10:73246944:C:A C A C EBF1_EBF_1 -5 0 - 0 0 . chr10 73246957 73246958 chr10:73246958:G:C rs564759703 G C G EBF1_EBF_1 -19 0 - 0 0 . chr10 73494017 73494018 chr10:73494018:C:T rs77820358 C T C EBF1_EBF_1 -20 0 + 0 0 . chr10 73495687 73495688 chr10:73495688:G:T rs1031433048 G T G EBF1_EBF_1 12 1 + 6.721519149100062 7.083888522227363 CTCCCCAAGGGAGG chr10 73495730 73495731 chr10:73495731:G:A rs201720349 G A G EBF1_EBF_1 10 1 + 7.817554692416472 2.4995262331495063 ACTCCCGAGGGGAC chr10 73496081 73496082 chr10:73496082:T:C rs189430649 T C T EBF1_EBF_1 -14 0 - 0 0 . chr10 73647153 73647154 chr10:73647154:G:T rs34163229 G T G EBF1_EBF_1 3 1 - 7.892021402965851 0.9858473735000444 AATCCCAGGGGCCT chr10 73785857 73785858 chr10:73785858:G:T rs374377773 G T G EBF1_EBF_1 11 1 - 3.379957665133576 7.795919362985965 ACCCCCCGGGGCCG chr10 73811990 73811991 chr10:73811991:G:T chr10:73811991:G:T G T G EBF1_EBF_1 17 0 - 0 0 . chr10 73812007 73812008 chr10:73812008:T:G rs775623123 T G T EBF1_EBF_1 0 1 - 4.982369223760647 2.7271107400640457 AGTGCCCTGGGAGC chr10 73812022 73812023 chr10:73812023:G:A rs527856673 G A G EBF1_EBF_1 -15 0 - 0 0 . chr10 73817409 73817410 chr10:73817410:T:G rs72814357 T G T EBF1_EBF_1 18 0 + 0 0 . chr10 73820255 73820256 chr10:73820256:G:A rs7098573 G A A EBF1_EBF_1 6 1 + 6.165140916610351 8.331935347884825 ATTCCCGGGGGTCC chr10 73860340 73860341 chr10:73860341:G:T rs773093590 G T G EBF1_EBF_1 32 0 - 0 0 . chr10 73871996 73871997 chr10:73871997:T:A rs2633312 T A T EBF1_EBF_1 27 0 + 0 0 . chr10 73872542 73872543 chr10:73872543:G:A rs145792492 G A G EBF1_EBF_1 -20 0 - 0 0 . chr10 73874707 73874708 chr10:73874708:G:A rs1173247111 G A A EBF1_EBF_1 -16 0 - 0 0 . chr10 73887852 73887853 chr10:73887853:C:T rs181969509 C T c EBF1_EBF_1 10 1 - 8.427616706362729 3.109588247095763 ATTGCCCAGGGAAT chr10 73889201 73889202 chr10:73889202:C:G rs908952059 C G c EBF1_EBF_1 10 1 - 8.435700651483659 1.5448982641541833 GGCCCCATGGGAAA chr10 73893613 73893614 chr10:73893614:G:T rs2688611 G T t EBF1_EBF_1 23 0 + 0 0 . chr10 73909254 73909255 chr10:73909255:T:C rs2459449 T C C EBF1_EBF_1 11 1 - 6.008946282166953 3.116239438562941 AATTCCCAGGGACC chr10 73997943 73997944 chr10:73997944:A:G rs3812625 A G G EBF1_EBF_1 8 1 + 6.703411030270537 10.929701119156798 CCTCCCTTAGGAAT chr10 73997959 73997960 chr10:73997960:C:A rs572664187 C A C EBF1_EBF_1 24 0 + 0 0 . chr10 74012182 74012183 chr10:74012183:C:T rs138437684 C T C EBF1_EBF_1 4 1 + 6.523156396570323 2.1537694516254007 CATCCCTGGAGAAC chr10 74109995 74109996 chr10:74109996:T:C rs7909664 T C C EBF1_EBF_1 0 1 + 6.085862002964409 5.80365994223383 TTTCCTCAGGGACC chr10 74190487 74190488 chr10:74190488:A:T rs910681108 A T A EBF1_EBF_1 13 1 + 5.521380091670943 6.739619655542437 ACTCCCTATGGACA chr10 74587064 74587065 chr10:74587065:T:G rs73276230 T G T EBF1_EBF_1 17 0 - 0 0 . chr10 74826817 74826818 chr10:74826818:A:C rs1462988495 A C a EBF1_EBF_1 1 1 - 8.790530904666118 8.08486911883659 CTCCCCCTGGGAAC chr10 74841608 74841609 chr10:74841609:T:A rs7906881 T A A EBF1_EBF_1 29 0 - 0 0 . chr10 74852090 74852091 chr10:74852091:A:G rs7091085 A G G EBF1_EBF_1 -18 0 - 0 0 . chr10 74917652 74917653 chr10:74917653:A:G rs754623515 A G A EBF1_EBF_1 -14 0 + 0 0 . chr10 75087731 75087732 chr10:75087732:C:T rs7903537 C T T EBF1_EBF_1 23 0 - 0 0 . chr10 75098041 75098042 chr10:75098042:C:T rs72805322 C T C EBF1_EBF_1 -15 0 + 0 0 . chr10 75112018 75112019 chr10:75112019:A:G rs115075306 A G A EBF1_EBF_1 33 0 - 0 0 . chr10 75140474 75140475 chr10:75140475:C:G rs75906512 C G C EBF1_EBF_1 -17 0 + 0 0 . chr10 75202481 75202482 chr10:75202482:T:G rs12257170 T G T EBF1_EBF_1 21 0 + 0 0 . chr10 75233080 75233081 chr10:75233081:A:G rs73281017 A G A EBF1_EBF_1 11 1 + 7.931911461410673 5.039204617806662 AGTCTCCAGGGACA chr10 75236271 75236272 chr10:75236272:G:C rs184968565 G C G EBF1_EBF_1 5 1 - 6.173060444262638 -0.7318207083725323 GCCCCCAAGGGGCC chr10 75238348 75238349 chr10:75238349:G:A rs74146302 G A G EBF1_EBF_1 -17 0 + 0 0 . chr10 75251304 75251305 chr10:75251305:G:A rs11001345 G A A EBF1_EBF_1 8 1 + 5.63214501907348 1.4058549301872192 AATCCCTCGGGCCA chr10 75251322 75251323 chr10:75251323:C:G rs146548890 C G C EBF1_EBF_1 26 0 + 0 0 . chr10 75251322 75251323 chr10:75251323:C:T chr10:75251323:C:T C T C EBF1_EBF_1 26 0 + 0 0 . chr10 75296094 75296095 chr10:75296095:A:G rs1966054 A G G EBF1_EBF_1 11 1 + 5.1966774674182465 2.3039706238142355 AGCCCCGTGGTAAT chr10 75334763 75334764 chr10:75334764:C:T rs10824304 C T T EBF1_EBF_1 -11 0 + 0 0 . chr10 75353033 75353034 chr10:75353034:G:A rs11599658 G A G EBF1_EBF_1 -15 0 - 0 0 . chr10 75353037 75353038 chr10:75353038:G:A rs1281197381 G A G EBF1_EBF_1 -19 0 - 0 0 . chr10 75359789 75359790 chr10:75359790:T:C chr10:75359790:T:C T C T EBF1_EBF_1 -6 0 + 0 0 . chr10 75396624 75396625 chr10:75396625:T:C rs187458111 T C T EBF1_EBF_1 21 0 + 0 0 . chr10 75399860 75399861 chr10:75399861:T:C rs749812028 T C T EBF1_EBF_1 7 1 + 4.4068398845399415 2.5893351382573173 AGCCCCGTGGGTCC chr10 75449973 75449974 chr10:75449974:G:A rs137932925 G A G EBF1_EBF_1 -19 0 + 0 0 . chr10 75540617 75540618 chr10:75540618:A:T rs145831906 A T A EBF1_EBF_1 7 1 - 5.859153769973593 6.33542801006115 ATTCCCCTGGTGAC chr10 75600366 75600367 chr10:75600367:C:G rs7092568 C G C EBF1_EBF_1 2 1 + 8.512248545647722 3.652746266889405 GTCCCCTAGGGAGC chr10 75770992 75770993 chr10:75770993:G:A rs17349122 G A G EBF1_EBF_1 8 1 - 4.5376094071750925 2.9648354791125837 CCTCCCCACGGACA chr10 75951154 75951155 chr10:75951155:A:G rs16932737 A G G EBF1_EBF_1 27 0 - 0 0 . chr10 75972322 75972323 chr10:75972323:C:T rs578133132 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 76058835 76058836 chr10:76058836:C:T rs7924288 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 76072574 76072575 chr10:76072575:C:G rs7083638 C G C EBF1_EBF_1 22 0 + 0 0 . chr10 76073916 76073917 chr10:76073917:T:C rs1898058 T C C EBF1_EBF_1 16 0 + 0 0 . chr10 76112623 76112624 chr10:76112624:C:A rs12778023 C A C EBF1_EBF_1 24 0 + 0 0 . chr10 76119705 76119706 chr10:76119706:C:T chr10:76119706:C:T C T C EBF1_EBF_1 12 1 + 12.613745700891872 11.587479130341023 TTTCCCAAGGGACT chr10 76122197 76122198 chr10:76122198:G:C rs11001619 G C G EBF1_EBF_1 12 1 + 4.903944027184277 6.292579970862429 ATCTCCAAGGGAGT chr10 76214083 76214084 chr10:76214084:C:T rs78246030 C T C EBF1_EBF_1 -19 0 + 0 0 . chr10 76304027 76304028 chr10:76304028:T:C rs2758984 T C C EBF1_EBF_1 29 0 - 0 0 . chr10 76357876 76357877 chr10:76357877:G:A rs11001747 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 76357892 76357893 chr10:76357893:C:G rs10430475 C G G EBF1_EBF_1 1 1 - 8.377619797128027 8.18784814255881 AGTCCCATGGTACC chr10 76397263 76397264 chr10:76397264:C:T rs11001768 C T C EBF1_EBF_1 10 1 - 4.68418110296232 -0.6338473563046467 AGCCGCCTGGGACC chr10 77070497 77070498 chr10:77070498:G:C rs1247787 G C G EBF1_EBF_1 -7 0 + 0 0 . chr10 77079625 77079626 chr10:77079626:C:A rs45495698 C A C EBF1_EBF_1 -11 0 + 0 0 . chr10 77118636 77118637 chr10:77118637:T:C rs12265896 T C C EBF1_EBF_1 7 1 + 5.998577975868371 4.181073229585748 AGCCCCATGAGGAT chr10 77119061 77119062 chr10:77119062:G:A rs7918859 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 77120281 77120282 chr10:77120282:G:A rs1028831 G A G EBF1_EBF_1 33 0 - 0 0 . chr10 77187748 77187749 chr10:77187749:C:A rs117981668 C A C EBF1_EBF_1 -11 0 + 0 0 . chr10 77227405 77227406 chr10:77227406:A:G rs16934377 A G A EBF1_EBF_1 -16 0 + 0 0 . chr10 77227424 77227425 chr10:77227425:C:T rs2616612 C T T EBF1_EBF_1 3 1 + 6.1560714653783215 -0.24932727617499661 ACTCCCTGGGAACC chr10 77267833 77267834 chr10:77267834:A:G chr10:77267834:A:G A G A EBF1_EBF_1 13 1 - 11.546481547261067 10.701987432675548 TGTCCCCAGGGACT chr10 77351632 77351633 chr10:77351633:G:C rs11002133 G C G EBF1_EBF_1 -3 0 - 0 0 . chr10 77383966 77383967 chr10:77383967:T:C rs617142 T C C EBF1_EBF_1 3 1 + 5.074340406990935 11.47973914854425 ATCTCCAAGGGACA chr10 77390941 77390942 chr10:77390942:C:T rs628948 C T C EBF1_EBF_1 -20 0 + 0 0 . chr10 77405903 77405904 chr10:77405904:G:A rs667808 G A G EBF1_EBF_1 -9 0 - 0 0 . chr10 77415717 77415718 chr10:77415718:A:G rs184884118 A G A EBF1_EBF_1 26 0 + 0 0 . chr10 77415778 77415779 chr10:77415779:G:A rs3902277 G A G EBF1_EBF_1 26 0 + 0 0 . chr10 77430595 77430596 chr10:77430596:T:G rs584141 T G T EBF1_EBF_1 -19 0 + 0 0 . chr10 77456242 77456243 chr10:77456243:G:A rs697171 G A G EBF1_EBF_1 8 1 + 7.390040244362395 3.1637501554761345 CCACCCCAGGGACT chr10 77461821 77461822 chr10:77461822:G:A rs78166151 G A G EBF1_EBF_1 5 1 - 4.630003278669685 -0.6917839368709848 TGTCCCCGGGGCAA chr10 77467646 77467647 chr10:77467647:T:C rs12775139 T C C EBF1_EBF_1 32 0 + 0 0 . chr10 77493394 77493395 chr10:77493395:G:C rs72807594 G C G EBF1_EBF_1 31 0 + 0 0 . chr10 77494000 77494001 chr10:77494001:G:A rs10824549 G A G EBF1_EBF_1 -4 0 - 0 0 . chr10 77514202 77514203 chr10:77514203:A:G rs1866594 A G G EBF1_EBF_1 27 0 + 0 0 . chr10 77514339 77514340 chr10:77514340:T:C rs816838 T C T EBF1_EBF_1 -18 0 + 0 0 . chr10 77531590 77531591 chr10:77531591:G:A rs816827 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 77550606 77550607 chr10:77550607:G:A rs17413447 G A G EBF1_EBF_1 -18 0 + 0 0 . chr10 77563772 77563773 chr10:77563773:G:A rs3851041 G A A EBF1_EBF_1 3 1 - 4.575650543309753 -1.829748198243564 TCCCCCCTGGGCCT chr10 77631380 77631381 chr10:77631381:T:C rs112247737 T C T EBF1_EBF_1 1 1 - 8.121647693045752 8.382346524967055 CATCCCTGGGGATC chr10 77636704 77636705 chr10:77636705:C:T rs12217221 C T C EBF1_EBF_1 -11 0 + 0 0 . chr10 77639308 77639309 chr10:77639309:C:A rs11598583 C A C EBF1_EBF_1 -18 0 - 0 0 . chr10 77687449 77687450 chr10:77687450:G:A rs143604149 G A G EBF1_EBF_1 -14 0 + 0 0 . chr10 77687469 77687470 chr10:77687470:C:T rs11002249 C T C EBF1_EBF_1 6 1 + 5.755925536675255 6.057211665537014 CACCCCCAGGGATG chr10 77756590 77756591 chr10:77756591:A:C chr10:77756591:A:C A C A EBF1_EBF_1 11 1 + 5.99510690326248 1.5791452054100898 ATCCCTTTGGGACC chr10 77757044 77757045 chr10:77757045:C:T rs10824567 C T C EBF1_EBF_1 -16 0 - 0 0 . chr10 77774480 77774481 chr10:77774481:G:C rs77926039 G C G EBF1_EBF_1 6 1 - 6.251845211485117 4.446653148011919 GCTCCCCGGAGACA chr10 77806281 77806282 chr10:77806282:G:C rs10824576 G C G EBF1_EBF_1 20 0 - 0 0 . chr10 77812134 77812135 chr10:77812135:C:G rs1248625 C G C EBF1_EBF_1 -9 0 - 0 0 . chr10 77819492 77819493 chr10:77819493:G:A rs2289308 G A G EBF1_EBF_1 1 1 + 4.666274239417838 4.405575407496537 CGCCCCAAAGGACC chr10 77819824 77819825 chr10:77819825:C:G rs569665933 C G C EBF1_EBF_1 -1 0 + 0 0 . chr10 77830926 77830927 chr10:77830927:C:T rs10824579 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 77834745 77834746 chr10:77834746:T:C rs1248666 T C C EBF1_EBF_1 -12 0 + 0 0 . chr10 77834755 77834756 chr10:77834756:C:A rs12356375 C A C EBF1_EBF_1 -2 0 + 0 0 . chr10 77835096 77835097 chr10:77835097:C:T rs80264387 C T C EBF1_EBF_1 -3 0 - 0 0 . chr10 77836271 77836272 chr10:77836272:T:G rs11002310 T G T EBF1_EBF_1 13 1 + 7.26129157434519 4.928726438404257 CATCCCTAGAGAGT chr10 77837951 77837952 chr10:77837952:G:C rs79187559 G C G EBF1_EBF_1 16 0 - 0 0 . chr10 77838129 77838130 chr10:77838130:A:G rs1270912 A G G EBF1_EBF_1 -10 0 - 0 0 . chr10 77873871 77873872 chr10:77873872:C:T rs187911967 C T C EBF1_EBF_1 27 0 + 0 0 . chr10 77875855 77875856 chr10:77875856:C:T rs1248651 C T T EBF1_EBF_1 -5 0 + 0 0 . chr10 77877978 77877979 chr10:77877979:C:G rs150829224 C G C EBF1_EBF_1 6 1 + 7.286716981136114 5.481524917662917 ATCCCCCGGGGGCC chr10 77898296 77898297 chr10:77898297:A:G rs1781828 A G G EBF1_EBF_1 -3 0 - 0 0 . chr10 77898306 77898307 chr10:77898307:G:C rs77407048 G C G EBF1_EBF_1 -13 0 - 0 0 . chr10 78049301 78049302 chr10:78049302:C:T rs7092487 C T T EBF1_EBF_1 33 0 - 0 0 . chr10 78165889 78165890 chr10:78165890:G:A rs72642296 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 78169829 78169830 chr10:78169830:G:A rs193261347 G A G EBF1_EBF_1 21 0 + 0 0 . chr10 78171922 78171923 chr10:78171923:G:A rs11002431 G A A EBF1_EBF_1 7 1 + 5.624871490710656 6.937329895999481 CCACCCAGGGGAAC chr10 78194524 78194525 chr10:78194525:T:A rs1149748 T A A EBF1_EBF_1 2 1 - 5.44929008319715 9.133757670796028 AGACCCCAGGGCAT chr10 78204678 78204679 chr10:78204679:C:T chr10:78204679:C:T C T C EBF1_EBF_1 8 1 - 6.120245076006988 1.8939549871207273 GTTCCCAGGAGAGA chr10 78205059 78205060 chr10:78205060:C:T rs72806096 C T C EBF1_EBF_1 4 1 + 6.079318777936032 1.7099318329911077 CTTCCCCTAGGAAA chr10 78215616 78215617 chr10:78215617:C:T rs115321474 C T C EBF1_EBF_1 -19 0 - 0 0 . chr10 78247998 78247999 chr10:78247999:A:G rs79419038 A G A EBF1_EBF_1 -1 0 + 0 0 . chr10 78252293 78252294 chr10:78252294:C:T rs11002468 C T C EBF1_EBF_1 -12 0 - 0 0 . chr10 78277890 78277891 chr10:78277891:T:G rs7090069 T G G EBF1_EBF_1 -17 0 + 0 0 . chr10 78282037 78282038 chr10:78282038:T:C rs11002481 T C T EBF1_EBF_1 18 0 - 0 0 . chr10 78282589 78282590 chr10:78282590:T:G rs7088190 T G G EBF1_EBF_1 13 1 - 7.513712461794079 7.887457911080055 TCTCCCCAGAGAAA chr10 78316846 78316847 chr10:78316847:G:T rs72808259 G T G EBF1_EBF_1 2 1 - 7.482206637289628 5.686562461132902 ATCCCCTGGAGAAA chr10 78331483 78331484 chr10:78331484:G:A rs142095781 G A G EBF1_EBF_1 -4 0 - 0 0 . chr10 78336555 78336556 chr10:78336556:A:G rs539843984 A G A EBF1_EBF_1 6 1 + 6.949907707764295 4.78311327648982 AACCCCAGGAGAAC chr10 78358543 78358544 chr10:78358544:C:G rs11002521 C G G EBF1_EBF_1 -14 0 + 0 0 . chr10 78358931 78358932 chr10:78358932:A:G rs2670208 A G G EBF1_EBF_1 12 1 + 5.414140371829911 3.9953230297304327 TGTCCCCAGGAAAC chr10 78360036 78360037 chr10:78360037:C:T rs572886532 C T C EBF1_EBF_1 -15 0 - 0 0 . chr10 78406343 78406344 chr10:78406344:G:A rs78856223 G A G EBF1_EBF_1 19 0 + 0 0 . chr10 78452920 78452921 chr10:78452921:C:G rs2692733 C G C EBF1_EBF_1 24 0 + 0 0 . chr10 78456976 78456977 chr10:78456977:G:A rs1880691 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 78457736 78457737 chr10:78457737:G:A rs12767035 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 78458338 78458339 chr10:78458339:C:A rs10824611 C A C EBF1_EBF_1 8 1 - 13.779106914390386 6.887674125413192 ATTCCCCTGGGAAT chr10 78458358 78458359 chr10:78458359:A:G rs77368640 A G A EBF1_EBF_1 -12 0 - 0 0 . chr10 78460952 78460953 chr10:78460953:C:T rs1433794 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 78463301 78463302 chr10:78463302:A:G rs10430476 A G G EBF1_EBF_1 -3 0 + 0 0 . chr10 78465623 78465624 chr10:78465624:A:G rs77422906 A G A EBF1_EBF_1 -4 0 + 0 0 . chr10 78471394 78471395 chr10:78471395:C:A rs2692726 C A A EBF1_EBF_1 5 1 + 5.654857967543727 -1.2500231850914427 AGCCCCCTGGGGGA chr10 78500830 78500831 chr10:78500831:T:C rs1821372 T C T EBF1_EBF_1 26 0 - 0 0 . chr10 78510594 78510595 chr10:78510595:C:T rs553109714 C T C EBF1_EBF_1 20 0 + 0 0 . chr10 78641557 78641558 chr10:78641558:C:T rs78820812 C T C EBF1_EBF_1 2 1 + 4.1042294274962225 5.9930528389383735 GGCCCCCAGGGCCA chr10 78657791 78657792 chr10:78657792:G:T rs552786158 G T G EBF1_EBF_1 2 1 - 6.677637614438116 4.88199343828139 AACCCCTGGAGATT chr10 78689597 78689598 chr10:78689598:T:C rs3889719 T C C EBF1_EBF_1 2 1 + 7.021035823687927 5.132212412245777 AGTCCCAGGAGGCT chr10 78701373 78701374 chr10:78701374:T:G rs157996 T G G EBF1_EBF_1 -10 0 + 0 0 . chr10 78701383 78701384 chr10:78701384:G:A rs78385138 G A G EBF1_EBF_1 0 1 + 6.840088312830959 8.732372182395792 GATCCCAAGAGAGC chr10 78703808 78703809 chr10:78703809:T:C rs759946310 T C T EBF1_EBF_1 30 0 - 0 0 . chr10 78715346 78715347 chr10:78715347:A:G rs157984 A G G EBF1_EBF_1 26 0 + 0 0 . chr10 78744677 78744678 chr10:78744678:G:A rs117124372 G A G EBF1_EBF_1 -7 0 + 0 0 . chr10 78768142 78768143 chr10:78768143:C:G rs12771901 C G C EBF1_EBF_1 -10 0 + 0 0 . chr10 78804516 78804517 chr10:78804517:C:T rs1997360 C T C EBF1_EBF_1 -11 0 + 0 0 . chr10 78809281 78809282 chr10:78809282:A:G rs1013005 A G G EBF1_EBF_1 -8 0 + 0 0 . chr10 78833703 78833704 chr10:78833704:G:T rs7087868 G T T EBF1_EBF_1 0 1 + 5.4949129252311 5.414140371829911 GGTCCCCAGGAAAC chr10 78851510 78851511 chr10:78851511:G:A rs72814017 G A G EBF1_EBF_1 1 1 + 5.045657259573508 4.7849584276522075 AGCCCCAGGGGCCA chr10 78851520 78851521 chr10:78851521:C:T rs72814018 C T C EBF1_EBF_1 11 1 + 5.045657259573508 5.363704701327172 AGCCCCAGGGGCCA chr10 78861199 78861200 chr10:78861200:T:C rs2789983 T C C EBF1_EBF_1 2 1 - 5.897348467481577 2.833490364879985 GCACCCTGGGGACC chr10 78868975 78868976 chr10:78868976:C:T rs144532838 C T C EBF1_EBF_1 -17 0 - 0 0 . chr10 78876251 78876252 chr10:78876252:G:A rs499918 G A A EBF1_EBF_1 31 0 + 0 0 . chr10 78889864 78889865 chr10:78889865:C:T rs77212942 C T T EBF1_EBF_1 -1 0 - 0 0 . chr10 78892988 78892989 chr10:78892989:C:G rs115486409 C G C EBF1_EBF_1 17 0 + 0 0 . chr10 78892990 78892991 chr10:78892991:C:T rs7093223 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 78893381 78893382 chr10:78893382:G:A rs74141421 G A G EBF1_EBF_1 8 1 + 5.69928066460345 1.4729905757171897 AGTGCCTCGGGACT chr10 78903524 78903525 chr10:78903525:G:C rs11595305 G C G EBF1_EBF_1 -19 0 + 0 0 . chr10 78906009 78906010 chr10:78906010:G:A rs748125412 G A A EBF1_EBF_1 -1 0 - 0 0 . chr10 78907720 78907721 chr10:78907721:T:C rs10824663 T C c EBF1_EBF_1 -13 0 + 0 0 . chr10 78915340 78915341 chr10:78915341:G:C rs114730985 G C G EBF1_EBF_1 9 1 + 8.134675734534255 3.058986588188792 ACTCCCCAGGGGAG chr10 78921999 78922000 chr10:78922000:C:A rs74141482 C A C EBF1_EBF_1 -18 0 + 0 0 . chr10 78931543 78931544 chr10:78931544:G:C rs1014193364 G C G EBF1_EBF_1 13 1 - 5.1494388621180045 3.6613678407625896 TTCCTCCAGGGACC chr10 78933967 78933968 chr10:78933968:T:C rs1439037 T C C EBF1_EBF_1 -5 0 + 0 0 . chr10 78953276 78953277 chr10:78953277:A:G rs181684357 A G G EBF1_EBF_1 17 0 - 0 0 . chr10 78965409 78965410 chr10:78965410:G:T rs114377985 G T G EBF1_EBF_1 -8 0 - 0 0 . chr10 78974210 78974211 chr10:78974211:C:T chr10:78974211:C:T C T C EBF1_EBF_1 18 0 + 0 0 . chr10 78999964 78999965 chr10:78999965:C:T rs10509404 C T C EBF1_EBF_1 -13 0 - 0 0 . chr10 79003454 79003455 chr10:79003455:G:A rs74446332 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 79006239 79006240 chr10:79006240:T:A rs2275284 T A A EBF1_EBF_1 -6 0 + 0 0 . chr10 79006249 79006250 chr10:79006250:G:A rs1060628 G A G EBF1_EBF_1 4 1 + 5.118177421046986 4.919382898743062 AGCCGCTAGGGAAA chr10 79024366 79024367 chr10:79024367:C:T rs7923015 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 79030183 79030184 chr10:79030184:C:G rs10824703 C G C EBF1_EBF_1 21 0 - 0 0 . chr10 79030308 79030309 chr10:79030309:T:C rs10824704 T C C EBF1_EBF_1 1 1 + 4.626589869122981 3.7311564287242343 TTCCCCCTGGGCCC chr10 79035092 79035093 chr10:79035093:C:T rs6480915 C T C EBF1_EBF_1 28 0 + 0 0 . chr10 79044292 79044293 chr10:79044293:C:T rs779230 C T C EBF1_EBF_1 13 1 + 9.865545805133198 10.710039919718717 AGCCCCAGGGGAAC chr10 79045993 79045994 chr10:79045994:G:A rs55708041 G A G EBF1_EBF_1 24 0 + 0 0 . chr10 79067151 79067152 chr10:79067152:G:T rs1032565025 G T G EBF1_EBF_1 24 0 - 0 0 . chr10 79067718 79067719 chr10:79067719:A:G rs1005545792 A G A EBF1_EBF_1 1 1 - 6.626367232387645 5.7309337919889 GTTCCCCTGGGCAC chr10 79069846 79069847 chr10:79069847:G:T rs568061666 G T G EBF1_EBF_1 -3 0 + 0 0 . chr10 79070534 79070535 chr10:79070535:T:C rs2485698 T C C EBF1_EBF_1 -15 0 + 0 0 . chr10 79070578 79070579 chr10:79070579:C:A rs377668202 C A C EBF1_EBF_1 29 0 + 0 0 . chr10 79072706 79072707 chr10:79072707:T:C rs7086247 T C T EBF1_EBF_1 -16 0 + 0 0 . chr10 79074574 79074575 chr10:79074575:G:A rs540675082 G A G EBF1_EBF_1 -8 0 + 0 0 . chr10 79081798 79081799 chr10:79081799:G:C rs56196126 G C G EBF1_EBF_1 18 0 - 0 0 . chr10 79081800 79081801 chr10:79081801:A:T rs114918052 A T A EBF1_EBF_1 16 0 - 0 0 . chr10 79081801 79081802 chr10:79081802:C:T rs113689123 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 79081802 79081803 chr10:79081803:G:A rs55869157 G A G EBF1_EBF_1 14 0 - 0 0 . chr10 79083790 79083791 chr10:79083791:C:A rs4980027 C A C EBF1_EBF_1 21 0 + 0 0 . chr10 79087867 79087868 chr10:79087868:G:A rs66534009 G A A EBF1_EBF_1 14 0 - 0 0 . chr10 79093010 79093011 chr10:79093011:T:G rs754416 T G G EBF1_EBF_1 11 1 - 5.207493153011155 0.7915314551587649 AACCCCCAGGAACC chr10 79126968 79126969 chr10:79126969:A:G rs4980029 A G G EBF1_EBF_1 29 0 - 0 0 . chr10 79142056 79142057 chr10:79142057:C:T rs980410757 C T C EBF1_EBF_1 29 0 - 0 0 . chr10 79149190 79149191 chr10:79149191:G:C rs148827036 G C G EBF1_EBF_1 -12 0 - 0 0 . chr10 79149802 79149803 chr10:79149803:G:A rs59791444 G A G EBF1_EBF_1 9 1 + 9.187614291332808 6.532675025885204 TCTCCCAGGGGACA chr10 79155520 79155521 chr10:79155521:G:A rs73300108 G A G EBF1_EBF_1 -7 0 - 0 0 . chr10 79164897 79164898 chr10:79164898:A:G rs1749823 A G G EBF1_EBF_1 29 0 - 0 0 . chr10 79164913 79164914 chr10:79164914:G:A rs11595549 G A G EBF1_EBF_1 13 1 - 9.753739477288194 10.598233591873713 CCTCCCCTGGGACC chr10 79171723 79171724 chr10:79171724:G:A rs780151 G A G EBF1_EBF_1 -16 0 - 0 0 . chr10 79173918 79173919 chr10:79173919:C:T rs11597824 C T C EBF1_EBF_1 4 1 + 8.631865496382797 4.262478551437874 CACCCCAAGGGACC chr10 79179292 79179293 chr10:79179293:C:T rs12098740 C T C EBF1_EBF_1 33 0 - 0 0 . chr10 79182178 79182179 chr10:79182179:G:C rs3915932 G C C EBF1_EBF_1 22 0 + 0 0 . chr10 79190230 79190231 chr10:79190231:C:T rs74142329 C T C EBF1_EBF_1 17 0 + 0 0 . chr10 79190231 79190232 chr10:79190232:G:A rs3889275 G A G EBF1_EBF_1 18 0 + 0 0 . chr10 79192264 79192265 chr10:79192265:A:G rs574727498 A G A EBF1_EBF_1 -19 0 + 0 0 . chr10 79194928 79194929 chr10:79194929:G:A rs697237 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 79209799 79209800 chr10:79209800:T:G rs11002869 T G G EBF1_EBF_1 -8 0 + 0 0 . chr10 79237085 79237086 chr10:79237086:G:A rs4980051 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 79240219 79240220 chr10:79240220:T:C rs1749850 T C C EBF1_EBF_1 7 1 + 10.856218672365047 9.038713926082425 ATTCCCCTGGGGCT chr10 79242044 79242045 chr10:79242045:G:A rs11596458 G A G EBF1_EBF_1 31 0 - 0 0 . chr10 79242074 79242075 chr10:79242075:G:A rs117792952 G A G EBF1_EBF_1 1 1 - 6.841574539157654 7.737007979556401 ACCCCCTCGGGAGA chr10 79242444 79242445 chr10:79242445:C:G rs539490822 C G C EBF1_EBF_1 -16 0 - 0 0 . chr10 79243301 79243302 chr10:79243302:C:T rs949399437 C T - EBF1_EBF_1 5 1 + 5.365037886392149 0.043250670851479114 CGTCCCTGGAGAGC chr10 79251831 79251832 chr10:79251832:C:T rs11002892 C T c EBF1_EBF_1 27 0 - 0 0 . chr10 79256049 79256050 chr10:79256050:G:A rs12412564 G A G EBF1_EBF_1 8 1 + 4.288203222212982 0.061913133326719896 GCCCCCCAGGGCCC chr10 79270917 79270918 chr10:79270918:A:T rs561359857 A T A EBF1_EBF_1 7 1 - 9.000085370880758 9.476359610968316 CACCCCATGGGACT chr10 79275091 79275092 chr10:79275092:C:T rs61851415 C T C EBF1_EBF_1 25 0 - 0 0 . chr10 79282472 79282473 chr10:79282473:G:A rs141570038 G A G EBF1_EBF_1 6 1 + 5.519900936404787 7.686695367679262 TGCCCCGAGGGACG chr10 79293490 79293491 chr10:79293491:G:A rs146995829 G A G EBF1_EBF_1 11 1 - 4.72658663961073 5.044634081364394 ATCCCCGAGGGCGT chr10 79297889 79297890 chr10:79297890:G:C rs143648181 G C G EBF1_EBF_1 14 0 + 0 0 . chr10 79297915 79297916 chr10:79297916:A:G rs4980060 A G A EBF1_EBF_1 28 0 - 0 0 . chr10 79300326 79300327 chr10:79300327:C:T rs117910634 C T C EBF1_EBF_1 -20 0 - 0 0 . chr10 79301966 79301967 chr10:79301967:G:A rs10824740 G A A EBF1_EBF_1 -3 0 + 0 0 . chr10 79306819 79306820 chr10:79306820:G:A rs1250556 G A C EBF1_EBF_1 15 0 + 0 0 . chr10 79307224 79307225 chr10:79307225:C:T rs1250555 C T T EBF1_EBF_1 23 0 + 0 0 . chr10 79372999 79373000 chr10:79373000:C:G rs61001989 C G C EBF1_EBF_1 24 0 + 0 0 . chr10 79375045 79375046 chr10:79375046:A:G rs2224557 A G G EBF1_EBF_1 -10 0 + 0 0 . chr10 79376984 79376985 chr10:79376985:T:A rs12246274 T A T EBF1_EBF_1 19 0 + 0 0 . chr10 79382611 79382612 chr10:79382612:G:A rs1304616472 G A G EBF1_EBF_1 -9 0 + 0 0 . chr10 79388559 79388560 chr10:79388560:T:C rs75483663 T C C EBF1_EBF_1 17 0 + 0 0 . chr10 79397322 79397323 chr10:79397323:C:T rs547668800 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 79403885 79403886 chr10:79403886:G:C rs3896742 G C G EBF1_EBF_1 -13 0 - 0 0 . chr10 79411221 79411222 chr10:79411222:G:T rs148491113 G T G EBF1_EBF_1 -16 0 - 0 0 . chr10 79414725 79414726 chr10:79414726:T:C rs4620666 T C C EBF1_EBF_1 11 1 - 7.2849116582871005 4.39220481468309 GCTCCCCAGGTAAT chr10 79426221 79426222 chr10:79426222:T:C rs1923360 T C T EBF1_EBF_1 32 0 - 0 0 . chr10 79430221 79430222 chr10:79430222:G:A rs112364701 G A G EBF1_EBF_1 20 0 + 0 0 . chr10 79435553 79435554 chr10:79435554:C:T rs2395570 C T C EBF1_EBF_1 31 0 - 0 0 . chr10 79438281 79438282 chr10:79438282:G:A rs6480941 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 79441788 79441789 chr10:79441789:C:T rs117267714 C T C EBF1_EBF_1 17 0 - 0 0 . chr10 79464366 79464367 chr10:79464367:C:T rs12571270 C T C EBF1_EBF_1 33 0 + 0 0 . chr10 79464434 79464435 chr10:79464435:T:C rs7923505 T C C EBF1_EBF_1 28 0 + 0 0 . chr10 79464435 79464436 chr10:79464436:G:A rs11003004 G A G EBF1_EBF_1 29 0 + 0 0 . chr10 79473160 79473161 chr10:79473161:C:T rs941877 C T C EBF1_EBF_1 6 1 + 7.793809945211025 8.095096074072782 AATCCCCGGGTAAT chr10 79483552 79483553 chr10:79483553:G:A rs4609543 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 79490480 79490481 chr10:79490481:T:A rs556244345 T A . EBF1_EBF_1 6 1 - 7.405096279023155 7.344780040083634 AGCCCCATGGGGCA chr10 79490488 79490489 chr10:79490489:C:T rs554954207 C T . EBF1_EBF_1 -2 0 - 0 0 . chr10 79491151 79491152 chr10:79491152:C:T rs34952764 C T . EBF1_EBF_1 4 1 + 6.201982078912227 1.8325951339673043 CCACCCCAGGGAAA chr10 79491153 79491154 chr10:79491154:C:G rs78222745 C G . EBF1_EBF_1 6 1 + 6.201982078912227 4.39679001543903 CCACCCCAGGGAAA chr10 79491587 79491588 chr10:79491588:G:A rs1351767 G A . EBF1_EBF_1 13 1 - 5.816575914080388 6.661070028665906 TCACCCTGGGGACC chr10 79501729 79501730 chr10:79501730:C:T rs150924482 C T . EBF1_EBF_1 17 0 + 0 0 . chr10 79507110 79507111 chr10:79507111:C:G rs61860482 C G . EBF1_EBF_1 -6 0 - 0 0 . chr10 79507834 79507835 chr10:79507835:C:T rs1250778 C T . EBF1_EBF_1 -19 0 + 0 0 . chr10 79522063 79522064 chr10:79522064:T:C rs1250986 T C . EBF1_EBF_1 29 0 + 0 0 . chr10 79522323 79522324 chr10:79522324:C:A rs76533724 C A . EBF1_EBF_1 3 1 + 5.404862348841262 -1.5013116806245446 ACTCCCCAGGAAGA chr10 79558600 79558601 chr10:79558601:C:T rs551134778 C T C EBF1_EBF_1 29 0 + 0 0 . chr10 79558788 79558789 chr10:79558789:A:T rs2217231 A T A EBF1_EBF_1 1 1 - 6.33914199001866 5.37278137226783 TTCCCCAAGGGCAT chr10 79558906 79558907 chr10:79558907:C:G rs17886395 C G G EBF1_EBF_1 -18 0 + 0 0 . chr10 79595386 79595387 chr10:79595387:C:A rs731320 C A C EBF1_EBF_1 5 1 + 5.7309337919889 -1.1739473606462683 GCTCCCCTGGGCAC chr10 79612324 79612325 chr10:79612325:A:G rs1136451 A G g EBF1_EBF_1 14 0 + 0 0 . chr10 79612763 79612764 chr10:79612764:G:A rs4253516 G A g EBF1_EBF_1 -18 0 - 0 0 . chr10 79694547 79694548 chr10:79694548:C:T rs34262988 C T T EBF1_EBF_1 -1 0 - 0 0 . chr10 79797218 79797219 chr10:79797219:A:C rs371601605 A C c EBF1_EBF_1 -4 0 + 0 0 . chr10 79920484 79920485 chr10:79920485:A:G rs34165190 A G a EBF1_EBF_1 11 1 - 5.194984113925175 4.876936672171511 TCCCCCTTGGGTCT chr10 79922601 79922602 chr10:79922602:C:A rs374691067 C A c EBF1_EBF_1 25 0 + 0 0 . chr10 79926026 79926027 chr10:79926027:A:G rs75279161 A G A EBF1_EBF_1 9 1 + 11.093986250521455 13.74892551596906 ATTCCCCTGAGACT chr10 79941965 79941966 chr10:79941966:T:C rs2243639 T C C EBF1_EBF_1 -18 0 + 0 0 . chr10 80132309 80132310 chr10:80132310:G:A rs2819884 G A G EBF1_EBF_1 7 1 + 8.505731448851227 9.818189854140051 ACTCCCAGGAGACA chr10 80138008 80138009 chr10:80138009:G:C rs540206726 G C G EBF1_EBF_1 -6 0 + 0 0 . chr10 80144465 80144466 chr10:80144466:C:A rs145627590 C A C EBF1_EBF_1 12 1 - 9.03114942826682 9.393518801394121 CGTCCCCAGGGAGC chr10 80144785 80144786 chr10:80144786:C:T rs143576526 C T C EBF1_EBF_1 21 0 - 0 0 . chr10 80144807 80144808 chr10:80144808:G:A rs148022488 G A G EBF1_EBF_1 -1 0 - 0 0 . chr10 80152422 80152423 chr10:80152423:T:C rs751740 T C C EBF1_EBF_1 9 1 - 9.165668732875178 11.820607998322782 ACTCCCAAGAGATC chr10 80153580 80153581 chr10:80153581:A:G rs17100316 A G A EBF1_EBF_1 30 0 + 0 0 . chr10 80156033 80156034 chr10:80156034:T:C rs2789687 T C C EBF1_EBF_1 -5 0 - 0 0 . chr10 80161689 80161690 chr10:80161690:C:T rs7092401 C T C EBF1_EBF_1 27 0 + 0 0 . chr10 80166414 80166415 chr10:80166415:A:G rs71481556 A G A EBF1_EBF_1 -10 0 - 0 0 . chr10 80166945 80166946 chr10:80166946:G:A rs1049550 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 80172091 80172092 chr10:80172092:C:T rs61860034 C T C EBF1_EBF_1 -9 0 - 0 0 . chr10 80172923 80172924 chr10:80172924:C:T rs11201972 C T T EBF1_EBF_1 8 1 - 4.06087622036947 -0.16541386851679207 GGTCCCACGGGCCA chr10 80177819 80177820 chr10:80177820:C:T rs1018723452 C T C EBF1_EBF_1 20 0 + 0 0 . chr10 80178447 80178448 chr10:80178448:G:T rs2789690 G T T EBF1_EBF_1 33 0 - 0 0 . chr10 80185848 80185849 chr10:80185849:C:T rs535379011 C T C EBF1_EBF_1 7 1 - 6.874164432076139 8.186622837364965 AGTCCCTGGGGCCA chr10 80191722 80191723 chr10:80191723:C:T rs35565616 C T c EBF1_EBF_1 -9 0 - 0 0 . chr10 80195355 80195356 chr10:80195356:A:G rs73299551 A G a EBF1_EBF_1 25 0 - 0 0 . chr10 80196305 80196306 chr10:80196306:A:T rs139866390 A T a EBF1_EBF_1 17 0 + 0 0 . chr10 80204708 80204709 chr10:80204709:T:C rs970933800 T C t EBF1_EBF_1 14 0 + 0 0 . chr10 80207581 80207582 chr10:80207582:T:G rs79501983 T G t EBF1_EBF_1 16 0 - 0 0 . chr10 80252991 80252992 chr10:80252992:G:A rs12217395 G A . EBF1_EBF_1 -17 0 - 0 0 . chr10 80254269 80254270 chr10:80254270:G:A rs370384997 G A . EBF1_EBF_1 30 0 - 0 0 . chr10 80255574 80255575 chr10:80255575:G:A rs114437786 G A . EBF1_EBF_1 -10 0 + 0 0 . chr10 80257929 80257930 chr10:80257930:G:A rs2994387 G A . EBF1_EBF_1 17 0 - 0 0 . chr10 80260739 80260740 chr10:80260740:C:T chr10:80260740:C:T C T . EBF1_EBF_1 -14 0 + 0 0 . chr10 80260812 80260813 chr10:80260813:C:T rs10887701 C T . EBF1_EBF_1 -4 0 - 0 0 . chr10 80264999 80265000 chr10:80265000:G:A rs9421450 G A . EBF1_EBF_1 9 1 + 3.845166281048314 1.190227015600711 GGCCCCAGGGGTCC chr10 80269640 80269641 chr10:80269641:G:A rs1010246 G A . EBF1_EBF_1 2 1 - 5.207697073478347 7.0965204849204975 GCCCCCATAGGACT chr10 80289846 80289847 chr10:80289847:A:G rs17677908 A G A EBF1_EBF_1 22 0 - 0 0 . chr10 80441047 80441048 chr10:80441048:C:T rs73305114 C T C EBF1_EBF_1 19 0 - 0 0 . chr10 80444907 80444908 chr10:80444908:C:T rs10887884 C T C EBF1_EBF_1 6 1 + 10.044251487403026 10.345537616264783 TCTCCCCGGGGACT chr10 80444909 80444910 chr10:80444910:G:A rs74143126 G A G EBF1_EBF_1 8 1 + 10.044251487403026 5.817961398516765 TCTCCCCGGGGACT chr10 80454813 80454814 chr10:80454814:C:T rs542631910 C T C EBF1_EBF_1 -10 0 + 0 0 . chr10 80454829 80454830 chr10:80454830:A:C rs7098414 A C C EBF1_EBF_1 6 1 + 5.96092645802152 5.599324090220243 GCTCCCAGGAGATC chr10 80456401 80456402 chr10:80456402:A:G rs56154304 A G A EBF1_EBF_1 -6 0 - 0 0 . chr10 80458628 80458629 chr10:80458629:G:T rs17618275 G T G EBF1_EBF_1 -11 0 - 0 0 . chr10 80463401 80463402 chr10:80463402:G:T rs4933397 G T G EBF1_EBF_1 0 1 + 4.569067982368349 4.48829542896716 GCTCCCCTGAGGCC chr10 80474830 80474831 chr10:80474831:T:G rs878652 T G G EBF1_EBF_1 2 1 - 7.633590180988583 9.429234357145308 AAACCCAAGGGAAG chr10 80485482 80485483 chr10:80485483:C:T rs17680710 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 80486610 80486611 chr10:80486611:C:G rs78355602 C G C EBF1_EBF_1 -14 0 - 0 0 . chr10 80488326 80488327 chr10:80488327:G:A rs1455505071 G A G EBF1_EBF_1 24 0 - 0 0 . chr10 80504389 80504390 chr10:80504390:C:T rs180931796 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 80504523 80504524 chr10:80504524:A:G rs2292696 A G A EBF1_EBF_1 14 0 + 0 0 . chr10 80520170 80520171 chr10:80520171:C:T rs873259 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 80529139 80529140 chr10:80529140:T:C rs11812910 T C T EBF1_EBF_1 -1 0 - 0 0 . chr10 80552456 80552457 chr10:80552457:C:T rs12412983 C T C EBF1_EBF_1 24 0 + 0 0 . chr10 80580570 80580571 chr10:80580571:T:C rs7894899 T C t EBF1_EBF_1 -20 0 - 0 0 . chr10 80590151 80590152 chr10:80590152:G:A rs12770270 G A G EBF1_EBF_1 14 0 - 0 0 . chr10 80663058 80663059 chr10:80663059:G:A rs779880080 G A G EBF1_EBF_1 -5 0 + 0 0 . chr10 80702778 80702779 chr10:80702779:C:T rs73294406 C T C EBF1_EBF_1 -2 0 + 0 0 . chr10 80806782 80806783 chr10:80806783:T:C rs11187113 T C T EBF1_EBF_1 -8 0 + 0 0 . chr10 80841762 80841763 chr10:80841763:C:G rs112923687 C G C EBF1_EBF_1 -9 0 - 0 0 . chr10 80855486 80855487 chr10:80855487:G:A rs67675361 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 80859490 80859491 chr10:80859491:G:A rs7079398 G A G EBF1_EBF_1 8 1 + 5.92681824631561 1.7005281574293476 CTTCCCACGGGGCA chr10 80996885 80996886 chr10:80996886:G:A rs11188011 G A A EBF1_EBF_1 14 0 - 0 0 . chr10 81251669 81251670 chr10:81251670:A:T rs2345859 A T A EBF1_EBF_1 -20 0 - 0 0 . chr10 81269308 81269309 chr10:81269309:C:T rs11189079 C T C EBF1_EBF_1 6 1 + 5.202822954684799 5.504109083546557 ACTCACCAGGGAAG chr10 81381906 81381907 chr10:81381907:A:G rs265504 A G A EBF1_EBF_1 28 0 + 0 0 . chr10 81444342 81444343 chr10:81444343:T:C rs11813825 T C T EBF1_EBF_1 33 0 + 0 0 . chr10 81504179 81504180 chr10:81504180:C:G rs28897154 C G C EBF1_EBF_1 -10 0 + 0 0 . chr10 81794491 81794492 chr10:81794492:T:C rs7086225 T C C EBF1_EBF_1 5 1 - 7.20564673113517 7.20564673113517 ATTCCAATGGGACT chr10 81874898 81874899 chr10:81874899:G:A rs1484417186 G A g EBF1_EBF_1 14 0 - 0 0 . chr10 81935963 81935964 chr10:81935964:G:A rs74487526 G A G EBF1_EBF_1 5 1 - 4.579817157538428 -0.7419700580022425 TCCCCCCAGAGAGC chr10 81962544 81962545 chr10:81962545:C:G rs10883981 C G G EBF1_EBF_1 29 0 - 0 0 . chr10 82037273 82037274 chr10:82037274:C:T rs7073654 C T C EBF1_EBF_1 27 0 + 0 0 . chr10 82043061 82043062 chr10:82043062:A:G rs7094235 A G G EBF1_EBF_1 25 0 - 0 0 . chr10 82208952 82208953 chr10:82208953:A:G chr10:82208953:A:G A G A EBF1_EBF_1 16 0 + 0 0 . chr10 82409753 82409754 chr10:82409754:G:T rs56035147 G T G EBF1_EBF_1 5 1 - 6.466342863544983 -0.4385382890901846 GATCCCAAGAGAGA chr10 82628462 82628463 chr10:82628463:C:T rs75354015 C T C EBF1_EBF_1 5 1 + 5.570880323055942 0.2490931075152718 CATCCCATGGAACT chr10 82628471 82628472 chr10:82628472:A:G rs1481129 A G A EBF1_EBF_1 14 0 + 0 0 . chr10 82706270 82706271 chr10:82706271:T:A rs2256900 T A A EBF1_EBF_1 14 0 - 0 0 . chr10 82917071 82917072 chr10:82917072:C:T rs12262125 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 82942261 82942262 chr10:82942262:A:G rs373342608 A G A EBF1_EBF_1 18 0 - 0 0 . chr10 83073433 83073434 chr10:83073434:T:C rs10787560 T C C EBF1_EBF_1 -12 0 + 0 0 . chr10 83108691 83108692 chr10:83108692:C:T rs71485124 C T c EBF1_EBF_1 4 1 + 5.573379721538153 1.203992776593229 ATCCCCCTGGGCTC chr10 83108840 83108841 chr10:83108841:C:T rs12255125 C T t EBF1_EBF_1 33 0 - 0 0 . chr10 83208951 83208952 chr10:83208952:T:C rs11197788 T C C EBF1_EBF_1 17 0 + 0 0 . chr10 83252522 83252523 chr10:83252523:C:G rs10886041 C G C EBF1_EBF_1 29 0 + 0 0 . chr10 83272864 83272865 chr10:83272865:G:T rs4457698 G T T EBF1_EBF_1 15 0 - 0 0 . chr10 83581048 83581049 chr10:83581049:A:G rs117710022 A G A EBF1_EBF_1 30 0 + 0 0 . chr10 83649584 83649585 chr10:83649585:G:A rs11199748 G A G EBF1_EBF_1 3 1 - 7.851097849356112 1.4456991078027963 ATTCCCAAGAGGAA chr10 83677826 83677827 chr10:83677827:T:C rs7919111 T C T EBF1_EBF_1 -7 0 + 0 0 . chr10 83791991 83791992 chr10:83791992:G:A rs524856 G A G EBF1_EBF_1 7 1 + 4.711183580062298 6.023641985351124 AGCCCCAGGGGTTC chr10 83922855 83922856 chr10:83922856:A:G rs12766238 A G G EBF1_EBF_1 -7 0 + 0 0 . chr10 83978105 83978106 chr10:83978106:A:G rs4933305 A G A EBF1_EBF_1 -7 0 - 0 0 . chr10 83983697 83983698 chr10:83983698:C:T rs182730573 C T C EBF1_EBF_1 4 1 + 11.395364420831791 7.025977475886868 CATCCCAAGGGAAT chr10 84016452 84016453 chr10:84016453:G:A rs12252165 G A G EBF1_EBF_1 26 0 + 0 0 . chr10 84185185 84185186 chr10:84185186:A:G rs7091978 A G A EBF1_EBF_1 -7 0 + 0 0 . chr10 84194346 84194347 chr10:84194347:C:A rs12242102 C A C EBF1_EBF_1 28 0 - 0 0 . chr10 84198251 84198252 chr10:84198252:T:C rs7098698 T C C EBF1_EBF_1 31 0 + 0 0 . chr10 84200539 84200540 chr10:84200540:C:T rs10788334 C T C EBF1_EBF_1 -2 0 + 0 0 . chr10 84256903 84256904 chr10:84256904:G:C rs736138 G C G EBF1_EBF_1 -4 0 + 0 0 . chr10 84265916 84265917 chr10:84265917:G:A rs74508612 G A A EBF1_EBF_1 28 0 + 0 0 . chr10 84344616 84344617 chr10:84344617:G:A rs4129390 G A G EBF1_EBF_1 0 1 - 11.083169235771607 11.365371296502184 CTTCCCTAGGGAAA chr10 84527427 84527428 chr10:84527428:G:A rs112133737 G A G EBF1_EBF_1 12 1 - 5.3217681031854 4.2955015326345505 TGCCCCGGGGGACA chr10 84591108 84591109 chr10:84591109:G:A rs2350415 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 84591309 84591310 chr10:84591310:A:G rs1539524 A G G EBF1_EBF_1 -17 0 + 0 0 . chr10 84607627 84607628 chr10:84607628:T:C rs2505750 T C C EBF1_EBF_1 -10 0 + 0 0 . chr10 84607660 84607661 chr10:84607661:C:T rs10128100 C T C EBF1_EBF_1 23 0 + 0 0 . chr10 84750320 84750321 chr10:84750321:T:C rs4933332 T C T EBF1_EBF_1 24 0 - 0 0 . chr10 84755279 84755280 chr10:84755280:A:G rs2497994 A G A EBF1_EBF_1 -3 0 + 0 0 . chr10 84862926 84862927 chr10:84862927:C:T rs77650597 C T c EBF1_EBF_1 20 0 - 0 0 . chr10 84862945 84862946 chr10:84862946:A:G rs147822308 A G a EBF1_EBF_1 1 1 - 8.599950798739414 7.704517358340667 ATACCCAAGGGAAG chr10 84991114 84991115 chr10:84991115:T:G rs1933955 T G T EBF1_EBF_1 -5 0 - 0 0 . chr10 85213304 85213305 chr10:85213305:A:G rs7901089 A G G EBF1_EBF_1 33 0 + 0 0 . chr10 85429880 85429881 chr10:85429881:G:C rs10788443 G C G EBF1_EBF_1 20 0 - 0 0 . chr10 85448776 85448777 chr10:85448777:C:A rs3750686 C A C EBF1_EBF_1 12 1 + 6.031580833483933 6.061762231905259 CTTCCCAGGAGACG chr10 85451359 85451360 chr10:85451360:T:C rs7081147 T C C EBF1_EBF_1 7 1 - 7.444846562780512 6.132388157491687 GTTCCCCAGAGAGC chr10 85574259 85574260 chr10:85574260:G:A rs2136590 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 85597295 85597296 chr10:85597296:C:T rs117578803 C T C EBF1_EBF_1 28 0 + 0 0 . chr10 85601297 85601298 chr10:85601298:G:T rs75071083 G T G EBF1_EBF_1 -4 0 + 0 0 . chr10 85616434 85616435 chr10:85616435:G:A rs7922990 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 85789351 85789352 chr10:85789352:A:G rs557968109 A G A EBF1_EBF_1 -8 0 - 0 0 . chr10 85789728 85789729 chr10:85789729:G:A rs7071221 G A G EBF1_EBF_1 8 1 + 6.173853770761983 1.947563681875723 ATCCCCCAGGAACC chr10 85789735 85789736 chr10:85789736:A:G rs570692544 A G A EBF1_EBF_1 15 0 + 0 0 . chr10 85861913 85861914 chr10:85861914:G:A rs76778149 G A G EBF1_EBF_1 5 1 - 6.287185669876175 0.9653984543355056 TCTCCCTAGGTAAA chr10 85916365 85916366 chr10:85916366:C:T rs58382677 C T C EBF1_EBF_1 2 1 + 8.12290114633738 10.011724557779528 ATCCCCCTGGGGCC chr10 85920873 85920874 chr10:85920874:A:G rs1880384 A G G EBF1_EBF_1 -9 0 + 0 0 . chr10 85942236 85942237 chr10:85942237:T:C rs7096816 T C C EBF1_EBF_1 16 0 - 0 0 . chr10 85976374 85976375 chr10:85976375:C:T rs17106095 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 85979447 85979448 chr10:85979448:G:A rs4448624 G A G EBF1_EBF_1 -15 0 + 0 0 . chr10 85996206 85996207 chr10:85996207:A:G rs147736879 A G A EBF1_EBF_1 -9 0 + 0 0 . chr10 86123158 86123159 chr10:86123159:C:T rs11595656 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 86187523 86187524 chr10:86187524:C:T rs117662428 C T C EBF1_EBF_1 2 1 + 6.531697382289558 8.420520793731708 ATCCCTAAGGGACC chr10 86188536 86188537 chr10:86188537:G:A rs6586000 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 86221104 86221105 chr10:86221105:G:A rs11201946 G A G EBF1_EBF_1 -11 0 + 0 0 . chr10 86229166 86229167 chr10:86229167:G:C rs67131863 G C C EBF1_EBF_1 -9 0 + 0 0 . chr10 86241956 86241957 chr10:86241957:C:A rs2814337 C A c EBF1_EBF_1 17 0 - 0 0 . chr10 86252756 86252757 chr10:86252757:C:T rs79580489 C T T EBF1_EBF_1 13 1 - 4.750926287831569 5.865251859901008 GGCCCCCAGAGACG chr10 86255112 86255113 chr10:86255113:C:G rs117901566 C G C EBF1_EBF_1 31 0 - 0 0 . chr10 86281006 86281007 chr10:86281007:C:T rs2168725 C T C EBF1_EBF_1 33 0 - 0 0 . chr10 86287033 86287034 chr10:86287034:T:G rs1902690 T G G EBF1_EBF_1 11 1 - 7.68785252200997 3.2718908241575804 AGTCCCTACGGAAC chr10 86303861 86303862 chr10:86303862:A:G rs71471195 A G A EBF1_EBF_1 -10 0 - 0 0 . chr10 86316939 86316940 chr10:86316940:C:G rs10788488 C G C EBF1_EBF_1 -10 0 + 0 0 . chr10 86339455 86339456 chr10:86339456:G:A rs12415259 G A G EBF1_EBF_1 3 1 - 6.935894429707769 0.5304956881544515 ACACCCCTGGGAGC chr10 86354369 86354370 chr10:86354370:T:C rs184351109 T C T EBF1_EBF_1 -12 0 - 0 0 . chr10 86357907 86357908 chr10:86357908:G:A rs75262910 G A G EBF1_EBF_1 19 0 - 0 0 . chr10 86357935 86357936 chr10:86357936:C:T rs112555777 C T C EBF1_EBF_1 -9 0 - 0 0 . chr10 86366123 86366124 chr10:86366124:G:A rs547521985 G A G EBF1_EBF_1 -1 0 - 0 0 . chr10 86366132 86366133 chr10:86366133:C:A rs34731943 C A C EBF1_EBF_1 -10 0 - 0 0 . chr10 86368392 86368393 chr10:86368393:G:A rs11202003 G A G EBF1_EBF_1 4 1 - 7.611074580069037 3.2416876351241126 ACTCCCATGGGCAA chr10 86373422 86373423 chr10:86373423:G:T rs144109263 G T G EBF1_EBF_1 30 0 + 0 0 . chr10 86401733 86401734 chr10:86401734:G:A rs116480091 G A G EBF1_EBF_1 0 1 - 4.792640398424508 5.074842459155087 CTCCCCCACGGAAT chr10 86522123 86522124 chr10:86522124:A:C rs1046010725 A C A EBF1_EBF_1 20 0 - 0 0 . chr10 86522128 86522129 chr10:86522129:C:G rs67726194 C G C EBF1_EBF_1 15 0 - 0 0 . chr10 86522853 86522854 chr10:86522854:G:A rs11202058 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 86536332 86536333 chr10:86536333:G:A rs115746221 G A G EBF1_EBF_1 -18 0 + 0 0 . chr10 86536347 86536348 chr10:86536348:G:T rs2588292 G T G EBF1_EBF_1 -3 0 + 0 0 . chr10 86585641 86585642 chr10:86585642:G:A rs146507295 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 86585687 86585688 chr10:86585688:C:T rs140530777 C T C EBF1_EBF_1 -18 0 - 0 0 . chr10 86644655 86644656 chr10:86644656:T:A rs34829948 T A T EBF1_EBF_1 25 0 - 0 0 . chr10 86649225 86649226 chr10:86649226:T:A chr10:86649226:T:A T A T EBF1_EBF_1 7 1 + 8.751860613577604 9.22813485366516 ATTCCCCTGGTAAC chr10 86649228 86649229 chr10:86649229:T:C rs2803547 T C C EBF1_EBF_1 10 1 + 8.751860613577604 6.043810412475394 ATTCCCCTGGTAAC chr10 86649242 86649243 chr10:86649243:C:T rs17333786 C T C EBF1_EBF_1 24 0 + 0 0 . chr10 86655853 86655854 chr10:86655854:A:C rs2675704 A C C EBF1_EBF_1 26 0 + 0 0 . chr10 86660579 86660580 chr10:86660580:C:T rs576849263 C T C EBF1_EBF_1 4 1 + 4.207430668811794 -0.16195627613313113 TCCCCCCAGGGCCC chr10 86661611 86661612 chr10:86661612:G:A rs1125774 G A G EBF1_EBF_1 4 1 - 6.687689151378465 2.318302206433541 ACTGCCCAGGGAAC chr10 86664748 86664749 chr10:86664749:C:T rs11202111 C T C EBF1_EBF_1 6 1 + 4.418227117771346 4.719513246633104 TCCCCCCGGGGGCC chr10 86665185 86665186 chr10:86665186:T:C rs34549734 T C T EBF1_EBF_1 0 1 - 8.316430767621542 6.424146898056712 AATCCCCAGGGTCC chr10 86667451 86667452 chr10:86667452:C:T rs34346910 C T C EBF1_EBF_1 -12 0 + 0 0 . chr10 86668180 86668181 chr10:86668181:G:A rs4256897 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 86668318 86668319 chr10:86668319:A:T rs2675692 A T T EBF1_EBF_1 31 0 - 0 0 . chr10 86668400 86668401 chr10:86668401:T:C rs2803555 T C C EBF1_EBF_1 33 0 + 0 0 . chr10 86672440 86672441 chr10:86672441:G:A rs10788522 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 86673957 86673958 chr10:86673958:T:C rs2803563 T C C EBF1_EBF_1 -7 0 + 0 0 . chr10 86673979 86673980 chr10:86673980:G:T rs2803564 G T G EBF1_EBF_1 15 0 + 0 0 . chr10 86673987 86673988 chr10:86673988:G:C rs2675700 G C C EBF1_EBF_1 23 0 + 0 0 . chr10 86677569 86677570 chr10:86677570:T:A rs55731706 T A T EBF1_EBF_1 28 0 - 0 0 . chr10 86677584 86677585 chr10:86677585:A:G rs10887646 A G A EBF1_EBF_1 13 1 - 7.36394836550075 6.519454250915232 ATTCCCCATGGAAT chr10 86681145 86681146 chr10:86681146:C:G rs11813013 C G C EBF1_EBF_1 31 0 - 0 0 . chr10 86691112 86691113 chr10:86691113:C:T rs72848117 C T C EBF1_EBF_1 9 1 - 4.695813330592273 2.0408740651446684 AGCCCCTCGGGTCC chr10 86701458 86701459 chr10:86701459:G:T rs7908829 G T G EBF1_EBF_1 1 1 - 4.3183846206343075 4.247457443282223 GCCCCCCAGGGCAC chr10 86708068 86708069 chr10:86708069:C:T rs17106968 C T C EBF1_EBF_1 17 0 + 0 0 . chr10 86710669 86710670 chr10:86710670:T:C rs148708927 T C T EBF1_EBF_1 -17 0 + 0 0 . chr10 86734606 86734607 chr10:86734607:T:C rs34218952 T C C EBF1_EBF_1 1 1 - 6.075900495273113 6.336599327194414 AATCCCCTGGAAGT chr10 86734716 86734717 chr10:86734717:G:A rs45468798 G A G EBF1_EBF_1 8 1 + 7.847987802654199 3.621697713767937 CTCCCCCAGGGAGC chr10 86756899 86756900 chr10:86756900:A:G rs730881438 A G A EBF1_EBF_1 17 0 - 0 0 . chr10 86757371 86757372 chr10:86757372:C:G rs993590495 C G C EBF1_EBF_1 10 1 - 4.163950662748436 -2.7268517245810386 CTTCCCGCGGGGAC chr10 86757386 86757387 chr10:86757387:C:T rs116909934 C T C EBF1_EBF_1 -5 0 - 0 0 . chr10 86857013 86857014 chr10:86857014:G:A rs10788528 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 86954561 86954562 chr10:86954562:A:G rs73353629 A G A EBF1_EBF_1 6 1 + 4.863195982035817 2.6964015507613412 CCTCCCAGGGGCCC chr10 86957907 86957908 chr10:86957908:C:G rs11813415 C G C EBF1_EBF_1 10 1 - 5.137208888635313 -1.7535934986941617 GGTCCCCTGAGATG chr10 86959195 86959196 chr10:86959196:C:T rs115331426 C T C EBF1_EBF_1 -5 0 + 0 0 . chr10 86969537 86969538 chr10:86969538:G:C rs548734554 G C g EBF1_EBF_1 -13 0 + 0 0 . chr10 86969556 86969557 chr10:86969557:C:T rs11202278 C T C EBF1_EBF_1 6 1 + 4.362173204676697 4.663459333538455 GCCCCCCCGGGGCT chr10 86969564 86969565 chr10:86969565:G:A chr10:86969565:G:A G A G EBF1_EBF_1 14 0 + 0 0 . chr10 86971326 86971327 chr10:86971327:C:T rs1388626017 C T c EBF1_EBF_1 22 0 - 0 0 . chr10 86972272 86972273 chr10:86972273:C:G chr10:86972273:C:G C G C EBF1_EBF_1 12 1 + 13.620441506107065 12.231805562428915 AATCCCAAGGGACT chr10 86972272 86972273 chr10:86972273:C:T rs3858288 C T C EBF1_EBF_1 12 1 + 13.620441506107065 12.594174935556216 AATCCCAAGGGACT chr10 87015986 87015987 chr10:87015987:T:C rs1745898 T C C EBF1_EBF_1 -14 0 - 0 0 . chr10 87586408 87586409 chr10:87586409:A:G rs1220162224 A G A EBF1_EBF_1 7 1 + 8.118656613415837 6.806198208127013 CTTCCCTAGGTAAT chr10 87586421 87586422 chr10:87586422:G:C rs12265819 G C G EBF1_EBF_1 20 0 + 0 0 . chr10 87646883 87646884 chr10:87646884:G:A rs10437508 G A g EBF1_EBF_1 7 1 - 5.8253591108969385 7.642863857179561 AGCCCCACGAGACA chr10 87660169 87660170 chr10:87660170:G:A rs149375333 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 87671302 87671303 chr10:87671303:G:A rs7893652 G A A EBF1_EBF_1 26 0 - 0 0 . chr10 87802288 87802289 chr10:87802289:C:T rs12772552 C T C EBF1_EBF_1 26 0 + 0 0 . chr10 87818173 87818174 chr10:87818174:C:G rs113141122 C G C EBF1_EBF_1 32 0 + 0 0 . chr10 88004563 88004564 chr10:88004564:A:C rs115907781 A C A EBF1_EBF_1 18 0 - 0 0 . chr10 88027654 88027655 chr10:88027655:T:A rs12414916 T A T EBF1_EBF_1 -5 0 - 0 0 . chr10 88130277 88130278 chr10:88130278:A:T rs2152533 A T A EBF1_EBF_1 -3 0 + 0 0 . chr10 88159247 88159248 chr10:88159248:C:T rs575865 C T T EBF1_EBF_1 -14 0 + 0 0 . chr10 88190823 88190824 chr10:88190824:C:T rs868872 C T C EBF1_EBF_1 8 1 - 5.962274437913963 1.7359843490277016 ATCCCCAAGAGGAA chr10 88190938 88190939 chr10:88190939:C:T rs61855302 C T C EBF1_EBF_1 -4 0 + 0 0 . chr10 88216857 88216858 chr10:88216858:C:T rs142497793 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 88221153 88221154 chr10:88221154:C:T rs1556382 C T C EBF1_EBF_1 6 1 - 8.607282931440249 10.774077362714722 AGCCCCGAGGGACA chr10 88221154 88221155 chr10:88221155:G:A rs75022285 G A G EBF1_EBF_1 5 1 - 8.607282931440249 3.2854957158995783 AGCCCCGAGGGACA chr10 88254260 88254261 chr10:88254261:A:C rs906464139 A C A EBF1_EBF_1 27 0 + 0 0 . chr10 88254263 88254264 chr10:88254264:C:T rs77959898 C T C EBF1_EBF_1 30 0 + 0 0 . chr10 88257619 88257620 chr10:88257620:C:G rs10887795 C G C EBF1_EBF_1 28 0 + 0 0 . chr10 88266251 88266252 chr10:88266252:T:G rs73348657 T G T EBF1_EBF_1 7 1 + 6.003885203038271 5.167701037837004 GCTCCCCTGAGAAG chr10 88283016 88283017 chr10:88283017:G:T rs3781197 G T G EBF1_EBF_1 15 0 - 0 0 . chr10 88387105 88387106 chr10:88387106:A:G rs145186627 A G A EBF1_EBF_1 14 0 - 0 0 . chr10 88405082 88405083 chr10:88405083:G:A rs792201 G A G EBF1_EBF_1 4 1 - 6.409232265773745 2.0398453208288214 GATCCCCAGAGAAG chr10 88471804 88471805 chr10:88471805:A:G rs1169043 A G A EBF1_EBF_1 -20 0 - 0 0 . chr10 88489187 88489188 chr10:88489188:A:C rs2437875 A C A EBF1_EBF_1 22 0 - 0 0 . chr10 88744102 88744103 chr10:88744103:C:T rs72824204 C T T EBF1_EBF_1 7 1 + 5.417259563051322 7.234764309333945 TTACCCACGGGACA chr10 88810891 88810892 chr10:88810892:T:G rs112141895 T G T EBF1_EBF_1 -17 0 - 0 0 . chr10 88900092 88900093 chr10:88900093:A:G rs139082159 A G A EBF1_EBF_1 -4 0 + 0 0 . chr10 88908226 88908227 chr10:88908227:A:G rs10509559 A G A EBF1_EBF_1 23 0 + 0 0 . chr10 88951217 88951218 chr10:88951218:G:A rs12241898 G A G EBF1_EBF_1 -19 0 + 0 0 . chr10 88991711 88991712 chr10:88991712:A:G rs544751900 A G A EBF1_EBF_1 6 1 + 6.1698168119616446 4.0030223806871685 ACTCCCATGGTGAT chr10 89021152 89021153 chr10:89021153:G:T rs12411483 G T G EBF1_EBF_1 -11 0 + 0 0 . chr10 89034710 89034711 chr10:89034711:G:A rs7082723 G A A EBF1_EBF_1 -5 0 + 0 0 . chr10 89043166 89043167 chr10:89043167:T:G rs2105436 T G T EBF1_EBF_1 13 1 - 7.5965875307975725 7.9703329800835485 ATTCCCCGGGGTCA chr10 89055172 89055173 chr10:89055173:C:G rs17378140 C G C EBF1_EBF_1 6 1 + 7.239080134978223 5.433888071505025 ATTCCTCTGGGAAA chr10 89118442 89118443 chr10:89118443:G:A rs2154250 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 89156327 89156328 chr10:89156328:A:G rs11203006 A G . EBF1_EBF_1 21 0 + 0 0 . chr10 89220725 89220726 chr10:89220726:C:T rs141945037 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 89235632 89235633 chr10:89235633:C:G rs1298097879 C G C EBF1_EBF_1 22 0 + 0 0 . chr10 89278522 89278523 chr10:89278523:G:A rs41284128 G A G EBF1_EBF_1 -18 0 + 0 0 . chr10 89303268 89303269 chr10:89303269:A:G rs1407439810 A G A EBF1_EBF_1 -20 0 + 0 0 . chr10 89310293 89310294 chr10:89310294:G:A rs10887942 G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 89328306 89328307 chr10:89328307:G:C rs12242873 G C g EBF1_EBF_1 21 0 + 0 0 . chr10 89348282 89348283 chr10:89348283:G:A rs117041426 G A G EBF1_EBF_1 14 0 - 0 0 . chr10 89348283 89348284 chr10:89348284:C:T rs118043504 C T C EBF1_EBF_1 13 1 - 7.909513479165857 9.023839051235296 AGCCCCCAGGGAGG chr10 89348313 89348314 chr10:89348314:C:T rs7907341 C T T EBF1_EBF_1 -17 0 - 0 0 . chr10 89352016 89352017 chr10:89352017:C:T rs145986066 C T C EBF1_EBF_1 32 0 + 0 0 . chr10 89414333 89414334 chr10:89414334:C:A rs771705206 C A C EBF1_EBF_1 28 0 + 0 0 . chr10 89415590 89415591 chr10:89415591:C:T rs572662188 C T C EBF1_EBF_1 3 1 + 8.393075871735755 1.9876771301824367 TATCCCCAGGGGCT chr10 89415606 89415607 chr10:89415607:C:A rs117211926 C A C EBF1_EBF_1 19 0 + 0 0 . chr10 89419787 89419788 chr10:89419788:T:A rs1802845 T A T EBF1_EBF_1 -7 0 - 0 0 . chr10 89519621 89519622 chr10:89519622:C:T rs4933508 C T T EBF1_EBF_1 -1 0 + 0 0 . chr10 89531710 89531711 chr10:89531711:G:T rs10509575 G T G EBF1_EBF_1 0 1 + 5.958813979791279 5.878041426390091 GTTCCCAAGAGGAA chr10 89609880 89609881 chr10:89609881:G:A rs11185789 G A A EBF1_EBF_1 -2 0 + 0 0 . chr10 89644418 89644419 chr10:89644419:T:C rs73378990 T C T EBF1_EBF_1 -12 0 + 0 0 . chr10 89701698 89701699 chr10:89701699:G:C rs2281884 G C G EBF1_EBF_1 4 1 - 4.81007488624302 -0.8156902149065244 ATTCCCGCGGGTCA chr10 89850906 89850907 chr10:89850907:G:C rs10881692 G C C EBF1_EBF_1 -8 0 - 0 0 . chr10 89963666 89963667 chr10:89963667:C:T rs4265510 C T C EBF1_EBF_1 1 1 + 6.505438289478796 7.400871729877541 ACTCCTCTGGGATT chr10 90125377 90125378 chr10:90125378:A:G rs1854459 A G G EBF1_EBF_1 2 1 - 5.98324144275254 4.094418031310389 ACTCCCACAGGAAA chr10 90458791 90458792 chr10:90458792:G:A rs72824640 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 90466206 90466207 chr10:90466207:C:G rs1782743 C G C EBF1_EBF_1 -13 0 - 0 0 . chr10 90484672 90484673 chr10:90484673:C:T rs1274356 C T C EBF1_EBF_1 7 1 - 7.459335615899829 8.771794021188652 CTTCCCTGGAGACC chr10 90642542 90642543 chr10:90642543:G:A rs76719102 G A G EBF1_EBF_1 1 1 + 6.000564059964556 5.739865228043254 AGACCCAAAGGAAT chr10 90822669 90822670 chr10:90822670:T:C rs66795651 T C T EBF1_EBF_1 -9 0 + 0 0 . chr10 90842908 90842909 chr10:90842909:C:G rs78622249 C G C EBF1_EBF_1 -8 0 + 0 0 . chr10 90959969 90959970 chr10:90959970:G:A rs7099671 G A G EBF1_EBF_1 12 1 - 8.436610685270614 7.410344114719765 AGTCCCAAAGGACA chr10 90965857 90965858 chr10:90965858:C:T rs79417625 C T C EBF1_EBF_1 5 1 + 4.393484402917244 -0.9283028126234253 GGCCCCATGGGCAC chr10 90987160 90987161 chr10:90987161:T:C rs115013526 T C T EBF1_EBF_1 3 1 + 5.002250476951761 11.407649218505076 TTTTCCCAGGGACC chr10 91034873 91034874 chr10:91034874:G:T rs571719070 G T G EBF1_EBF_1 -14 0 + 0 0 . chr10 91061811 91061812 chr10:91061812:A:C rs12260337 A C C EBF1_EBF_1 -10 0 + 0 0 . chr10 91104275 91104276 chr10:91104276:C:T rs78785590 C T T EBF1_EBF_1 20 0 - 0 0 . chr10 91104280 91104281 chr10:91104281:C:T rs145021033 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 91104283 91104284 chr10:91104284:C:G rs78804036 C G C EBF1_EBF_1 12 1 - 5.439723802587977 6.828359746266128 AATCCCCAGGGTGG chr10 91199232 91199233 chr10:91199233:G:T rs10881901 G T G EBF1_EBF_1 12 1 - 4.856562661019987 4.8867440594413125 CTTCCCAGGGAACC chr10 91199236 91199237 chr10:91199237:C:G rs112574400 C G C EBF1_EBF_1 8 1 - 4.856562661019987 -0.4620961998947001 CTTCCCAGGGAACC chr10 91220394 91220395 chr10:91220395:G:A rs1366657522 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 91289692 91289693 chr10:91289693:A:G rs2648716 A G G EBF1_EBF_1 13 1 + 6.216039950980827 5.101714378911388 AATCACCAGGGACA chr10 91381380 91381381 chr10:91381381:C:T rs72815486 C T C EBF1_EBF_1 26 0 - 0 0 . chr10 91395996 91395997 chr10:91395997:T:A rs7905307 T A A EBF1_EBF_1 -17 0 + 0 0 . chr10 91410150 91410151 chr10:91410151:G:A rs146186055 G A G EBF1_EBF_1 -17 0 - 0 0 . chr10 91410151 91410152 chr10:91410152:C:T rs139084796 C T C EBF1_EBF_1 -18 0 - 0 0 . chr10 91526258 91526259 chr10:91526259:T:C rs11186600 T C t EBF1_EBF_1 18 0 + 0 0 . chr10 91552688 91552689 chr10:91552689:C:G rs7910891 C G C EBF1_EBF_1 4 1 + 4.841058080756704 -0.7847070203928406 CATCCCCAGGAACC chr10 91552693 91552694 chr10:91552694:G:A rs7911125 G A A EBF1_EBF_1 9 1 + 4.841058080756704 2.186118815309099 CATCCCCAGGAACC chr10 91552713 91552714 chr10:91552714:G:A rs77321233 G A G EBF1_EBF_1 29 0 + 0 0 . chr10 91588252 91588253 chr10:91588253:A:T rs1054444384 A T A EBF1_EBF_1 15 0 + 0 0 . chr10 91588256 91588257 chr10:91588257:A:G rs1329651 A G G EBF1_EBF_1 19 0 + 0 0 . chr10 91591002 91591003 chr10:91591003:G:A rs61644446 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 91611480 91611481 chr10:91611481:G:T rs55954710 G T G EBF1_EBF_1 9 1 + 5.906040523456043 -0.9309488846327669 CTTCTCCTGGGACA chr10 91613341 91613342 chr10:91613342:A:T rs11186629 A T T EBF1_EBF_1 29 0 + 0 0 . chr10 91613436 91613437 chr10:91613437:C:G rs10881930 C G G EBF1_EBF_1 -3 0 - 0 0 . chr10 91701040 91701041 chr10:91701041:C:G rs59065354 C G C EBF1_EBF_1 -11 0 + 0 0 . chr10 91706535 91706536 chr10:91706536:A:G rs73323996 A G G EBF1_EBF_1 -17 0 + 0 0 . chr10 91712425 91712426 chr10:91712426:G:A rs7918686 G A G EBF1_EBF_1 -15 0 + 0 0 . chr10 91884005 91884006 chr10:91884006:T:C rs145233079 T C T EBF1_EBF_1 -10 0 + 0 0 . chr10 92329514 92329515 chr10:92329515:C:G rs73318084 C G C EBF1_EBF_1 32 0 + 0 0 . chr10 92381680 92381681 chr10:92381681:C:T rs3980562 C T t EBF1_EBF_1 31 0 - 0 0 . chr10 92430893 92430894 chr10:92430894:C:T rs72809159 C T C EBF1_EBF_1 2 1 + 9.461618957425896 11.350442368868046 AGCCCCAGGGGACA chr10 92451546 92451547 chr10:92451547:C:T rs2251101 C T T EBF1_EBF_1 -15 0 + 0 0 . chr10 92480611 92480612 chr10:92480612:G:C chr10:92480612:G:C G C G EBF1_EBF_1 -9 0 - 0 0 . chr10 92480619 92480620 chr10:92480620:G:C chr10:92480620:G:C G C G EBF1_EBF_1 -17 0 - 0 0 . chr10 92574649 92574650 chr10:92574650:T:C rs548718535 T C T EBF1_EBF_1 -3 0 - 0 0 . chr10 92594954 92594955 chr10:92594955:A:G rs11187085 A G G EBF1_EBF_1 29 0 - 0 0 . chr10 92719349 92719350 chr10:92719350:A:G rs1112718 A G G EBF1_EBF_1 2 1 + 7.259207114210291 4.1953490116087 CTACCCCAGGGATT chr10 92720633 92720634 chr10:92720634:T:C rs2497313 T C C EBF1_EBF_1 0 1 - 7.239080134978223 5.346796265413389 ATTCCTCTGGGAAA chr10 92802697 92802698 chr10:92802698:G:C rs111567407 G C G EBF1_EBF_1 28 0 - 0 0 . chr10 92907457 92907458 chr10:92907458:G:A rs11187214 G A A EBF1_EBF_1 -1 0 - 0 0 . chr10 93022099 93022100 chr10:93022100:T:C rs1475366 T C T EBF1_EBF_1 17 0 - 0 0 . chr10 93063838 93063839 chr10:93063839:G:C rs4919594 G C G EBF1_EBF_1 25 0 - 0 0 . chr10 93115365 93115366 chr10:93115366:C:T rs12784076 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 93138678 93138679 chr10:93138679:A:G rs118100092 A G A EBF1_EBF_1 6 1 + 6.304064051759787 4.137269620485313 TGTCCCAAGGGCAA chr10 93150768 93150769 chr10:93150769:G:T rs57708198 G T G EBF1_EBF_1 -8 0 + 0 0 . chr10 93174526 93174527 chr10:93174527:C:T rs117738100 C T C EBF1_EBF_1 -2 0 - 0 0 . chr10 93176021 93176022 chr10:93176022:G:A rs4078522 G A G EBF1_EBF_1 9 1 + 8.999175615041478 6.344236349593874 ATTCTCAAGGGACA chr10 93182665 93182666 chr10:93182666:A:G rs61861119 A G A EBF1_EBF_1 22 0 - 0 0 . chr10 93238350 93238351 chr10:93238351:C:T rs117691857 C T c EBF1_EBF_1 19 0 + 0 0 . chr10 93249118 93249119 chr10:93249119:C:T rs72817209 C T C EBF1_EBF_1 -6 0 - 0 0 . chr10 93259826 93259827 chr10:93259827:C:T rs12359829 C T C EBF1_EBF_1 26 0 - 0 0 . chr10 93274910 93274911 chr10:93274911:C:G rs72817236 C G G EBF1_EBF_1 28 0 - 0 0 . chr10 93319221 93319222 chr10:93319222:A:G rs61861284 A G G EBF1_EBF_1 23 0 - 0 0 . chr10 93368396 93368397 chr10:93368397:C:T rs75188854 C T C EBF1_EBF_1 4 1 + 6.927794823943392 2.5584078789984694 AATCCCCAGGGTGC chr10 93376488 93376489 chr10:93376489:C:T rs10882226 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 93441130 93441131 chr10:93441131:T:C rs73321738 T C T EBF1_EBF_1 -16 0 + 0 0 . chr10 93451448 93451449 chr10:93451449:A:G rs701867 A G G EBF1_EBF_1 20 0 - 0 0 . chr10 93465840 93465841 chr10:93465841:G:T rs10786102 G T G EBF1_EBF_1 -14 0 + 0 0 . chr10 93466109 93466110 chr10:93466110:C:T rs952614800 C T C EBF1_EBF_1 -11 0 + 0 0 . chr10 93473617 93473618 chr10:93473618:T:G rs7913298 T G T EBF1_EBF_1 -15 0 - 0 0 . chr10 93496145 93496146 chr10:93496146:G:C chr10:93496146:G:C G C G EBF1_EBF_1 7 1 - 6.24596923100732 7.2272898120886735 ATCCCCTCGGGTCT chr10 93577004 93577005 chr10:93577005:T:C rs2152643 T C t EBF1_EBF_1 -12 0 + 0 0 . chr10 93577031 93577032 chr10:93577032:C:T rs11187518 C T T EBF1_EBF_1 15 0 + 0 0 . chr10 93587121 93587122 chr10:93587122:C:G rs11187538 C G C EBF1_EBF_1 9 1 - 5.80717632508627 0.7314871787408073 ATCCCCAAGGCACT chr10 93600622 93600623 chr10:93600623:A:G rs61461737 A G G EBF1_EBF_1 1 1 - 5.464466477011816 4.569033036613071 TTCCCCAAGGGCCC chr10 93600638 93600639 chr10:93600639:G:C rs116736522 G C G EBF1_EBF_1 -15 0 - 0 0 . chr10 93612451 93612452 chr10:93612452:G:A rs12252137 G A G EBF1_EBF_1 17 0 + 0 0 . chr10 93630205 93630206 chr10:93630206:C:T rs72822872 C T C EBF1_EBF_1 18 0 + 0 0 . chr10 93631161 93631162 chr10:93631162:C:T rs1409333 C T T EBF1_EBF_1 6 1 + 5.346087728820058 5.647373857681816 CCTCCCCGGGGCCT chr10 93672842 93672843 chr10:93672843:C:T rs4144098 C T T EBF1_EBF_1 -3 0 - 0 0 . chr10 93702755 93702756 chr10:93702756:T:C rs114017650 T C T EBF1_EBF_1 33 0 - 0 0 . chr10 93702773 93702774 chr10:93702774:T:C rs951257431 T C T EBF1_EBF_1 15 0 - 0 0 . chr10 93702775 93702776 chr10:93702776:C:T rs9419779 C T C EBF1_EBF_1 13 1 - 5.2576386962476755 6.3719642683171145 ATTCCCTAGAGGGG chr10 93702806 93702807 chr10:93702807:T:C rs12250970 T C T EBF1_EBF_1 -18 0 - 0 0 . chr10 93712066 93712067 chr10:93712067:C:T rs12772131 C T T EBF1_EBF_1 24 0 + 0 0 . chr10 93712133 93712134 chr10:93712134:G:T rs4919457 G T G EBF1_EBF_1 -11 0 - 0 0 . chr10 93751505 93751506 chr10:93751506:C:T rs2095890 C T T EBF1_EBF_1 7 1 + 4.184533776146708 6.002038522429332 GCTCCCTCGGGCCC chr10 93751592 93751593 chr10:93751593:C:T rs2095891 C T T EBF1_EBF_1 18 0 + 0 0 . chr10 93757413 93757414 chr10:93757414:G:A rs3758532 G A G EBF1_EBF_1 12 1 - 6.9176937338426665 5.891427163291817 ATCCCCCTGGGTCC chr10 93757420 93757421 chr10:93757421:G:A rs71480878 G A G EBF1_EBF_1 5 1 - 6.9176937338426665 1.5959065183019971 ATCCCCCTGGGTCC chr10 93826563 93826564 chr10:93826564:T:C rs184184301 T C T EBF1_EBF_1 25 0 - 0 0 . chr10 93842064 93842065 chr10:93842065:C:T rs60994317 C T C EBF1_EBF_1 30 0 + 0 0 . chr10 93992617 93992618 chr10:93992618:A:G rs80207202 A G A EBF1_EBF_1 -6 0 - 0 0 . chr10 94156696 94156697 chr10:94156697:G:C rs11819021 G C G EBF1_EBF_1 11 1 + 5.8583292501927415 4.335074395944364 CCTCCCTAGAGGAT chr10 94199862 94199863 chr10:94199863:C:T rs2689707 C T c EBF1_EBF_1 -3 0 - 0 0 . chr10 94266293 94266294 chr10:94266294:C:T rs6583932 C T T EBF1_EBF_1 27 0 + 0 0 . chr10 94349567 94349568 chr10:94349568:C:A rs7085378 C A A EBF1_EBF_1 27 0 - 0 0 . chr10 94545922 94545923 chr10:94545923:T:C rs113154675 T C T EBF1_EBF_1 -10 0 - 0 0 . chr10 95183626 95183627 chr10:95183627:G:A rs527679890 G A G EBF1_EBF_1 13 1 - 6.927405092320675 7.771899206906193 TTCCCCTAGGGGCC chr10 95186886 95186887 chr10:95186887:A:G rs672428 A G G EBF1_EBF_1 -13 0 - 0 0 . chr10 95235191 95235192 chr10:95235192:A:G rs11598953 A G G EBF1_EBF_1 -3 0 - 0 0 . chr10 95262118 95262119 chr10:95262119:A:G rs12358530 A G A EBF1_EBF_1 2 1 - 5.812693058964023 3.9238696475218724 CCTCCCCAGAGAGA chr10 95289985 95289986 chr10:95289986:G:A rs117750640 G A G EBF1_EBF_1 5 1 - 8.763140219313994 3.4413530037733224 AACCCCCAGGGAGA chr10 95297113 95297114 chr10:95297114:G:A rs12778481 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 95308651 95308652 chr10:95308652:C:T rs7075651 C T C EBF1_EBF_1 21 0 + 0 0 . chr10 95311092 95311093 chr10:95311093:C:T rs1410598 C T C EBF1_EBF_1 -1 0 - 0 0 . chr10 95327996 95327997 chr10:95327997:C:T rs11813979 C T C EBF1_EBF_1 9 1 - 6.2684036915831145 3.6134644261355113 TAACCCATGGGACA chr10 95337743 95337744 chr10:95337744:G:A rs115282883 G A G EBF1_EBF_1 5 1 - 7.271987813187306 1.9502005976466354 AAACCCCAGGGAAG chr10 95363363 95363364 chr10:95363364:G:A rs7085208 G A A EBF1_EBF_1 6 1 + 5.331103297993288 7.497897729267763 AGTCCCGGAGGACC chr10 95363363 95363364 chr10:95363364:G:C chr10:95363364:G:C G C A EBF1_EBF_1 6 1 + 5.331103297993288 7.136295361466486 AGTCCCGGAGGACC chr10 95405861 95405862 chr10:95405862:C:T rs17849168 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 95414306 95414307 chr10:95414307:G:A rs1017897971 G A G EBF1_EBF_1 6 1 + 6.326089115278621 8.492883546553095 AGCCCCGAGAGACC chr10 95420645 95420646 chr10:95420646:C:T rs6584000 C T T EBF1_EBF_1 17 0 - 0 0 . chr10 95572437 95572438 chr10:95572438:C:T rs17110984 C T C EBF1_EBF_1 19 0 - 0 0 . chr10 95572468 95572469 chr10:95572469:T:C rs577381818 T C T EBF1_EBF_1 -12 0 - 0 0 . chr10 95656305 95656306 chr10:95656306:G:A rs541470629 G A G EBF1_EBF_1 1 1 - 5.686006619610796 6.581440060009544 CCTCCCCTGGGTAC chr10 95693675 95693676 chr10:95693676:G:A rs41291570 G A G EBF1_EBF_1 10 1 + 6.525816884775748 1.2077884255087814 ATTCCCAGGGGCCG chr10 95720713 95720714 chr10:95720714:G:A rs150402321 G A G EBF1_EBF_1 10 1 + 6.677058838967428 1.359030379700461 CGTCCCCTGGGGCA chr10 95758147 95758148 chr10:95758148:A:G rs78859769 A G A EBF1_EBF_1 -2 0 + 0 0 . chr10 95758181 95758182 chr10:95758182:G:A rs539837380 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 95864219 95864220 chr10:95864220:G:A rs116257016 G A G EBF1_EBF_1 -3 0 + 0 0 . chr10 95907618 95907619 chr10:95907619:C:T rs1038766027 C T C EBF1_EBF_1 4 1 + 7.429484290731515 3.0600973457865903 CCTCCCCGGGGACG chr10 96044297 96044298 chr10:96044298:G:A rs528686211 G A G EBF1_EBF_1 16 0 + 0 0 . chr10 96044385 96044386 chr10:96044386:C:T rs199607348 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 96090853 96090854 chr10:96090854:G:A rs17384909 G A G EBF1_EBF_1 6 1 - 5.262014923191792 5.563301052053549 GTTCCCCAGAGCCT chr10 96211980 96211981 chr10:96211981:C:T rs7911407 C T C EBF1_EBF_1 -6 0 - 0 0 . chr10 96227387 96227388 chr10:96227388:C:G rs2305846 C G C EBF1_EBF_1 28 0 + 0 0 . chr10 96227778 96227779 chr10:96227779:C:A rs12414118 C A C EBF1_EBF_1 10 1 - 4.99956282229188 0.816810636064615 GTCCCCCAAGGACA chr10 96273512 96273513 chr10:96273513:A:G rs115037569 A G A EBF1_EBF_1 20 0 + 0 0 . chr10 96371461 96371462 chr10:96371462:C:T rs78250270 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 96375690 96375691 chr10:96375691:G:T rs11188738 G T G EBF1_EBF_1 11 1 - 3.933833047689565 8.349794745541956 GGCCCCCAGGGCGT chr10 96375975 96375976 chr10:96375976:A:G rs58698852 A G A EBF1_EBF_1 -6 0 - 0 0 . chr10 96378810 96378811 chr10:96378811:G:A rs3827865 G A G EBF1_EBF_1 5 1 - 7.866363033659533 2.5445758181188642 TTTCCCCAGGGCAT chr10 96396363 96396364 chr10:96396364:G:A rs920786055 G A g EBF1_EBF_1 -5 0 + 0 0 . chr10 96407666 96407667 chr10:96407667:T:C rs3827866 T C T EBF1_EBF_1 -13 0 + 0 0 . chr10 96456383 96456384 chr10:96456384:G:A rs10882791 G A G EBF1_EBF_1 21 0 + 0 0 . chr10 96478837 96478838 chr10:96478838:T:A rs11188783 T A T EBF1_EBF_1 -5 0 - 0 0 . chr10 96486900 96486901 chr10:96486901:C:G rs7919971 C G G EBF1_EBF_1 27 0 + 0 0 . chr10 96588814 96588815 chr10:96588815:A:G rs7070686 A G G EBF1_EBF_1 9 1 + 7.348569726617383 10.003508992064987 GATCCCTTGAGAAA chr10 96588837 96588838 chr10:96588838:G:A rs7071044 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 96612346 96612347 chr10:96612347:T:C rs4146727 T C C EBF1_EBF_1 -10 0 - 0 0 . chr10 96644135 96644136 chr10:96644136:G:A rs11188871 G A G EBF1_EBF_1 0 1 - 4.24961917322066 4.531821233951238 CGCCCCCAGGGGGC chr10 96645170 96645171 chr10:96645171:C:A rs72819000 C A A EBF1_EBF_1 5 1 + 5.8116203154241335 -1.0932608372110355 GACCCCTGGAGACT chr10 96645173 96645174 chr10:96645174:G:A rs12773084 G A G EBF1_EBF_1 8 1 + 5.8116203154241335 1.5853302265378728 GACCCCTGGAGACT chr10 96691327 96691328 chr10:96691328:G:T rs72824454 G T g EBF1_EBF_1 9 1 - 6.18715335935514 8.607903240253 TTTCCCTTGCGAAC chr10 96691348 96691349 chr10:96691349:C:T rs526219 C T T EBF1_EBF_1 -12 0 - 0 0 . chr10 96700911 96700912 chr10:96700912:G:A rs1890680 G A A EBF1_EBF_1 -7 0 + 0 0 . chr10 96703304 96703305 chr10:96703305:T:C rs146415996 T C T EBF1_EBF_1 -14 0 - 0 0 . chr10 96740384 96740385 chr10:96740385:C:T rs144264834 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 96765674 96765675 chr10:96765675:G:T rs117156556 G T G EBF1_EBF_1 -13 0 - 0 0 . chr10 96766128 96766129 chr10:96766129:C:T rs12242765 C T C EBF1_EBF_1 0 1 + 7.209820110876289 7.492022171606867 CCTCCCAAAGGACT chr10 96795146 96795147 chr10:96795147:G:C rs112908113 G C G EBF1_EBF_1 27 0 - 0 0 . chr10 96831933 96831934 chr10:96831934:T:C rs368897487 T C T EBF1_EBF_1 24 0 - 0 0 . chr10 96831963 96831964 chr10:96831964:G:C rs7088648 G C G EBF1_EBF_1 -6 0 - 0 0 . chr10 96833628 96833629 chr10:96833629:G:T chr10:96833629:G:T G T G EBF1_EBF_1 29 0 - 0 0 . chr10 96833670 96833671 chr10:96833671:G:T rs7917855 G T G EBF1_EBF_1 -8 0 + 0 0 . chr10 97014344 97014345 chr10:97014345:T:C rs12254525 T C T EBF1_EBF_1 -12 0 - 0 0 . chr10 97053494 97053495 chr10:97053495:G:A rs17112373 G A G EBF1_EBF_1 16 0 + 0 0 . chr10 97065791 97065792 chr10:97065792:C:T rs115811735 C T C EBF1_EBF_1 18 0 + 0 0 . chr10 97116682 97116683 chr10:97116683:G:A rs2636787 G A G EBF1_EBF_1 9 1 + 8.830606938543395 6.1756676730957905 GCTCCCCAGGGAGA chr10 97119665 97119666 chr10:97119666:A:G rs2636816 A G G EBF1_EBF_1 9 1 + 5.604553027979707 8.25949229342731 GACCCCCAGAGACA chr10 97124555 97124556 chr10:97124556:C:T rs1336259 C T C EBF1_EBF_1 16 0 - 0 0 . chr10 97149016 97149017 chr10:97149017:C:T rs11189012 C T C EBF1_EBF_1 8 1 - 6.573630724109712 2.3473406352234516 CATCCCGAGAGAAT chr10 97150096 97150097 chr10:97150097:C:A rs2784923 C A C EBF1_EBF_1 -14 0 + 0 0 . chr10 97150138 97150139 chr10:97150139:G:A rs78893846 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 97163001 97163002 chr10:97163002:A:G rs2817661 A G G EBF1_EBF_1 22 0 + 0 0 . chr10 97169156 97169157 chr10:97169157:C:G rs2784918 C G C EBF1_EBF_1 10 1 - 5.9909274896136955 -0.8998748977157791 GCCCCCAGGGGAGA chr10 97169184 97169185 chr10:97169185:A:G rs2784917 A G A EBF1_EBF_1 -18 0 - 0 0 . chr10 97202921 97202922 chr10:97202922:C:T rs1253412 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 97319061 97319062 chr10:97319062:G:A rs778151380 G A G EBF1_EBF_1 -20 0 + 0 0 . chr10 97319091 97319092 chr10:97319092:G:A rs113709542 G A g EBF1_EBF_1 10 1 + 4.978162344546198 -0.3398661147207675 GGTCCCCAGGGCGC chr10 97319432 97319433 chr10:97319433:C:T rs566964311 C T C EBF1_EBF_1 26 0 + 0 0 . chr10 97319800 97319801 chr10:97319801:G:C rs186819363 G C G EBF1_EBF_1 3 1 - 3.7950007189335753 -2.032763729181641 GTCCCCCAGGGCGC chr10 97334206 97334207 chr10:97334207:G:A rs577297633 G A G EBF1_EBF_1 -15 0 + 0 0 . chr10 97413752 97413753 chr10:97413753:T:C rs1889545 T C T EBF1_EBF_1 30 0 + 0 0 . chr10 97483722 97483723 chr10:97483723:C:T rs369332222 C T C EBF1_EBF_1 28 0 + 0 0 . chr10 97498465 97498466 chr10:97498466:T:G rs3750558 T G G EBF1_EBF_1 9 1 - 6.9762770310727396 4.555527150174879 CTTCCCCGGAGATT chr10 97499853 97499854 chr10:97499854:G:A chr10:97499854:G:A G A G EBF1_EBF_1 20 0 - 0 0 . chr10 97519062 97519063 chr10:97519063:T:C rs7908417 T C C EBF1_EBF_1 21 0 + 0 0 . chr10 97531667 97531668 chr10:97531668:T:C rs10882955 T C C EBF1_EBF_1 1 1 + 5.218820495504691 4.323387055105945 GTCCCCCAGGGGCG chr10 97535005 97535006 chr10:97535006:C:T rs115022857 C T C EBF1_EBF_1 18 0 + 0 0 . chr10 97542541 97542542 chr10:97542542:G:C rs12253617 G C G EBF1_EBF_1 -3 0 + 0 0 . chr10 97570916 97570917 chr10:97570917:T:C rs576314549 T C T EBF1_EBF_1 -4 0 + 0 0 . chr10 97574818 97574819 chr10:97574819:C:A chr10:97574819:C:A C A C EBF1_EBF_1 -1 0 + 0 0 . chr10 97574827 97574828 chr10:97574828:G:A rs115503474 G A G EBF1_EBF_1 8 1 + 5.443708549619915 1.2174184607336538 TCCCCCAAGGGCAT chr10 97574844 97574845 chr10:97574845:T:A rs530838753 T A T EBF1_EBF_1 25 0 + 0 0 . chr10 97574849 97574850 chr10:97574850:G:A rs114572464 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 97583212 97583213 chr10:97583213:G:A rs2282372 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 97609298 97609299 chr10:97609299:A:G rs17108161 A G G EBF1_EBF_1 28 0 - 0 0 . chr10 97687166 97687167 chr10:97687167:G:A rs139955867 G A G EBF1_EBF_1 -14 0 + 0 0 . chr10 97687184 97687185 chr10:97687185:C:T rs1273205260 C T C EBF1_EBF_1 4 1 + 5.37226798683199 1.002881041887067 GTTCCCGGGAGAAA chr10 97687499 97687500 chr10:97687500:C:T rs185481733 C T C EBF1_EBF_1 17 0 + 0 0 . chr10 97707593 97707594 chr10:97707594:C:T rs78486069 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 97707616 97707617 chr10:97707617:A:C rs71486130 A C A EBF1_EBF_1 -3 0 - 0 0 . chr10 97715688 97715689 chr10:97715689:T:G rs547188441 T G T EBF1_EBF_1 -19 0 + 0 0 . chr10 97718681 97718682 chr10:97718682:C:G rs560476633 C G C EBF1_EBF_1 -18 0 + 0 0 . chr10 97731471 97731472 chr10:97731472:T:C rs12572244 T C C EBF1_EBF_1 21 0 - 0 0 . chr10 97731488 97731489 chr10:97731489:G:A rs1253026 G A A EBF1_EBF_1 4 1 - 6.189308130848838 1.8199211859039142 CCTCCCTCGGGGCT chr10 97740887 97740888 chr10:97740888:G:T rs11189348 G T G EBF1_EBF_1 18 0 - 0 0 . chr10 97750310 97750311 chr10:97750311:G:T rs3750614 G T G EBF1_EBF_1 -7 0 + 0 0 . chr10 97757075 97757076 chr10:97757076:A:G rs11189358 A G A EBF1_EBF_1 6 1 - 6.3932521934716116 6.091966064609855 AGCCCCTGAGGACT chr10 97771093 97771094 chr10:97771094:C:A rs4244330 C A C EBF1_EBF_1 33 0 - 0 0 . chr10 97831243 97831244 chr10:97831244:A:T rs567341944 A T A EBF1_EBF_1 15 0 + 0 0 . chr10 97850351 97850352 chr10:97850352:T:C rs111519319 T C t EBF1_EBF_1 28 0 - 0 0 . chr10 97850380 97850381 chr10:97850381:G:C rs74920985 G C g EBF1_EBF_1 -1 0 - 0 0 . chr10 97851906 97851907 chr10:97851907:A:G rs73328563 A G A EBF1_EBF_1 -13 0 - 0 0 . chr10 97889773 97889774 chr10:97889774:G:A rs12412747 G A G EBF1_EBF_1 31 0 - 0 0 . chr10 97894866 97894867 chr10:97894867:T:C rs11189424 T C T EBF1_EBF_1 -15 0 + 0 0 . chr10 97904698 97904699 chr10:97904699:T:C rs7068503 T C C EBF1_EBF_1 18 0 + 0 0 . chr10 97906128 97906129 chr10:97906129:C:G rs7913685 C G C EBF1_EBF_1 13 1 + 5.792904467520753 4.304833446165338 GGCCCCCTGAGAAC chr10 97912615 97912616 chr10:97912616:G:A rs4917791 G A G EBF1_EBF_1 29 0 + 0 0 . chr10 97931835 97931836 chr10:97931836:C:T rs2862050 C T - EBF1_EBF_1 4 1 + 6.323158975336437 1.9537720303915134 CCCCCCCAGGGGAT chr10 97934192 97934193 chr10:97934193:T:C rs768485868 T C T EBF1_EBF_1 -12 0 - 0 0 . chr10 98008854 98008855 chr10:98008855:G:C rs370411596 G C G EBF1_EBF_1 9 1 + 9.421623714128364 4.345934567782901 AGTCCCCAGGGTCT chr10 98029970 98029971 chr10:98029971:C:T rs11189470 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 98031025 98031026 chr10:98031026:G:T rs188397943 G T - EBF1_EBF_1 -5 0 - 0 0 . chr10 98104067 98104068 chr10:98104068:A:G rs11189489 A G G EBF1_EBF_1 25 0 - 0 0 . chr10 98290262 98290263 chr10:98290263:C:T rs556931916 C T C EBF1_EBF_1 7 1 + 6.217864318273077 8.0353690645557 CTCCCCTCGGGATC chr10 98320659 98320660 chr10:98320660:A:G rs7915867 A G A EBF1_EBF_1 25 0 - 0 0 . chr10 98323432 98323433 chr10:98323433:A:C rs1425451646 A C a EBF1_EBF_1 -20 0 + 0 0 . chr10 98326457 98326458 chr10:98326458:A:G rs73329432 A G A EBF1_EBF_1 19 0 - 0 0 . chr10 98326583 98326584 chr10:98326584:T:G rs4919209 T G T EBF1_EBF_1 33 0 - 0 0 . chr10 98349616 98349617 chr10:98349617:T:C chr10:98349617:T:C T C T EBF1_EBF_1 6 1 + 9.581816055080452 9.280529926218696 GCTCCCTGGGGACC chr10 98351716 98351717 chr10:98351717:A:C rs4919219 A C C EBF1_EBF_1 16 0 + 0 0 . chr10 98371693 98371694 chr10:98371694:G:A rs112488635 G A A EBF1_EBF_1 -11 0 + 0 0 . chr10 98371700 98371701 chr10:98371701:G:A rs17109539 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 98415896 98415897 chr10:98415897:C:T rs3750605 C T C EBF1_EBF_1 14 0 + 0 0 . chr10 98416346 98416347 chr10:98416347:A:G rs3830025 A G A EBF1_EBF_1 7 1 - 4.86716982033272 3.049665074050095 AATCCCCTGAGCCC chr10 98416348 98416349 chr10:98416349:G:A rs3830024 G A A EBF1_EBF_1 5 1 - 4.86716982033272 -0.4546173952079512 AATCCCCTGAGCCC chr10 98424304 98424305 chr10:98424305:C:A rs2296433 C A C EBF1_EBF_1 -6 0 + 0 0 . chr10 98424305 98424306 chr10:98424306:C:G rs2296432 C G C EBF1_EBF_1 -5 0 + 0 0 . chr10 98428186 98428187 chr10:98428187:A:G rs74154470 A G G EBF1_EBF_1 19 0 - 0 0 . chr10 98428468 98428469 chr10:98428469:G:C rs12240457 G C G EBF1_EBF_1 -2 0 + 0 0 . chr10 98430016 98430017 chr10:98430017:T:C rs56733454 T C T EBF1_EBF_1 -18 0 + 0 0 . chr10 98430506 98430507 chr10:98430507:T:C rs2296431 T C C EBF1_EBF_1 -16 0 - 0 0 . chr10 98467924 98467925 chr10:98467925:G:C rs1448877458 G C G EBF1_EBF_1 14 0 - 0 0 . chr10 98467925 98467926 chr10:98467926:G:A rs12249838 G A G EBF1_EBF_1 13 1 - 3.925975029172979 4.770469143758498 CCTGCCCTGGGACC chr10 98471354 98471355 chr10:98471355:C:T rs6584210 C T T EBF1_EBF_1 -5 0 + 0 0 . chr10 98515084 98515085 chr10:98515085:C:A rs11189644 C A C EBF1_EBF_1 23 0 - 0 0 . chr10 98560468 98560469 chr10:98560469:C:G rs11189677 C G C EBF1_EBF_1 10 1 - 11.309342768737594 4.4185403814081186 ATCCCCAAGGGAGT chr10 98601792 98601793 chr10:98601793:G:A rs4917846 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 98601804 98601805 chr10:98601805:G:T rs12356529 G T G EBF1_EBF_1 4 1 - 6.546758546607733 0.7221989231542666 AGTCCCACAGGAAC chr10 98601825 98601826 chr10:98601826:T:C rs564239 T C C EBF1_EBF_1 -17 0 - 0 0 . chr10 98608338 98608339 chr10:98608339:T:C rs72831936 T C T EBF1_EBF_1 13 1 - 8.185612757974923 7.071287185905484 GCCCCCTTGGGAAA chr10 98611019 98611020 chr10:98611020:G:A rs77452737 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 98611048 98611049 chr10:98611049:T:C rs1209427836 T C T EBF1_EBF_1 25 0 + 0 0 . chr10 98611175 98611176 chr10:98611176:C:T rs72831940 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 98661128 98661129 chr10:98661129:C:T rs75860012 C T C EBF1_EBF_1 -3 0 - 0 0 . chr10 98751756 98751757 chr10:98751757:G:A rs1414962 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 98967948 98967949 chr10:98967949:T:C rs11189910 T C C EBF1_EBF_1 -20 0 - 0 0 . chr10 98971776 98971777 chr10:98971777:C:A rs7900872 C A C EBF1_EBF_1 24 0 - 0 0 . chr10 98971809 98971810 chr10:98971810:A:G rs11812824 A G A EBF1_EBF_1 -9 0 - 0 0 . chr10 99029891 99029892 chr10:99029892:T:C rs57159510 T C T EBF1_EBF_1 33 0 + 0 0 . chr10 99041510 99041511 chr10:99041511:T:C rs2487894 T C T EBF1_EBF_1 33 0 + 0 0 . chr10 99172347 99172348 chr10:99172348:A:G rs555470787 A G A EBF1_EBF_1 7 1 - 5.603333751523085 3.785829005240461 GGTCCCCTGAGGCT chr10 99172370 99172371 chr10:99172371:C:G rs73333757 C G C EBF1_EBF_1 -16 0 - 0 0 . chr10 99203241 99203242 chr10:99203242:T:A rs12765469 T A T EBF1_EBF_1 17 0 + 0 0 . chr10 99233141 99233142 chr10:99233142:G:A rs17111328 G A G EBF1_EBF_1 -9 0 + 0 0 . chr10 99316263 99316264 chr10:99316264:G:C rs17111484 G C G EBF1_EBF_1 6 1 - 5.029778691002756 3.2245866275295576 AGCCCCCGCGGAAT chr10 99330670 99330671 chr10:99330671:T:G rs2862600 T G G EBF1_EBF_1 -16 0 + 0 0 . chr10 99392433 99392434 chr10:99392434:T:C rs11592057 T C T EBF1_EBF_1 32 0 - 0 0 . chr10 99506565 99506566 chr10:99506566:T:C rs115327808 T C T EBF1_EBF_1 -17 0 - 0 0 . chr10 99527556 99527557 chr10:99527557:C:T rs11596008 C T C EBF1_EBF_1 8 1 - 5.576246001102313 1.349955912216051 GCTCCCTAGGAACC chr10 99528027 99528028 chr10:99528028:G:A rs10883366 G A A EBF1_EBF_1 7 1 + 5.558397944460334 6.87085634974916 GACCCCCGGGGAGA chr10 99530819 99530820 chr10:99530820:A:G rs976350654 A G A EBF1_EBF_1 26 0 + 0 0 . chr10 99558944 99558945 chr10:99558945:G:A rs12260709 G A G EBF1_EBF_1 10 1 + 7.300755023832405 1.982726564565439 AGTCCCTCAGGACT chr10 99597959 99597960 chr10:99597960:G:C rs11190170 G C G EBF1_EBF_1 -3 0 + 0 0 . chr10 99621015 99621016 chr10:99621016:C:T rs568894801 C T c EBF1_EBF_1 17 0 - 0 0 . chr10 99788858 99788859 chr10:99788859:C:T rs2145852 C T C EBF1_EBF_1 7 1 - 5.605589697599313 6.918048102888138 AATGCCTGGGGAAC chr10 99999224 99999225 chr10:99999225:A:C rs4919409 A C C EBF1_EBF_1 2 1 - 6.256443748984825 -0.49188194121564394 GGTCCCCAGGTAAA chr10 100038647 100038648 chr10:100038648:G:A rs78723267 G A G EBF1_EBF_1 1 1 - 6.296540306551557 7.1919737469503024 GCTCCCTAGGGCTT chr10 100185850 100185851 chr10:100185851:A:G chr10:100185851:A:G A G A EBF1_EBF_1 -17 0 + 0 0 . chr10 100301462 100301463 chr10:100301463:C:A rs71488056 C A C EBF1_EBF_1 6 1 + 6.922086832570267 7.283689200371545 CCCCCCCCGGGAAT chr10 100306427 100306428 chr10:100306428:C:T rs17112901 C T T EBF1_EBF_1 23 0 + 0 0 . chr10 100315721 100315722 chr10:100315722:G:A rs603424 G A A EBF1_EBF_1 -17 0 + 0 0 . chr10 100315769 100315770 chr10:100315770:C:G rs113015186 C G C EBF1_EBF_1 31 0 + 0 0 . chr10 100345872 100345873 chr10:100345873:T:C rs74942995 T C T EBF1_EBF_1 21 0 - 0 0 . chr10 100347097 100347098 chr10:100347098:A:C rs202110963 A C A EBF1_EBF_1 13 1 + 5.486257660167488 5.860003109453464 TTTCCCCAGAGGCA chr10 100365082 100365083 chr10:100365083:G:A rs114424554 G A G EBF1_EBF_1 -7 0 - 0 0 . chr10 100365088 100365089 chr10:100365089:C:T rs773315265 C T C EBF1_EBF_1 -13 0 - 0 0 . chr10 100373609 100373610 chr10:100373610:C:T rs148316393 C T C EBF1_EBF_1 -12 0 - 0 0 . chr10 100519358 100519359 chr10:100519359:C:G rs57050752 C G C EBF1_EBF_1 24 0 - 0 0 . chr10 100563198 100563199 chr10:100563199:C:T rs145019812 C T C EBF1_EBF_1 -3 0 - 0 0 . chr10 100568489 100568490 chr10:100568490:C:T rs2495747 C T T EBF1_EBF_1 4 1 + 5.6997725063596425 1.3303855614147186 ACACCCCTGAGAAC chr10 100568512 100568513 chr10:100568513:T:C rs2495746 T C C EBF1_EBF_1 27 0 + 0 0 . chr10 100618781 100618782 chr10:100618782:T:C rs2489025 T C C EBF1_EBF_1 -8 0 - 0 0 . chr10 100618953 100618954 chr10:100618954:T:C rs1419180 T C C EBF1_EBF_1 18 0 - 0 0 . chr10 100644742 100644743 chr10:100644743:G:T rs1891911 G T T EBF1_EBF_1 33 0 - 0 0 . chr10 100715244 100715245 chr10:100715245:T:A rs66523119 T A A EBF1_EBF_1 -11 0 + 0 0 . chr10 100736259 100736260 chr10:100736260:A:G rs10786605 A G A EBF1_EBF_1 -16 0 + 0 0 . chr10 100741762 100741763 chr10:100741763:C:G rs11190677 C G C EBF1_EBF_1 -14 0 + 0 0 . chr10 100745885 100745886 chr10:100745886:C:A rs7094977 C A C EBF1_EBF_1 16 0 - 0 0 . chr10 100748377 100748378 chr10:100748378:G:C rs6584399 G C C EBF1_EBF_1 26 0 - 0 0 . chr10 100750122 100750123 chr10:100750123:C:T rs184992787 C T C EBF1_EBF_1 27 0 - 0 0 . chr10 100779671 100779672 chr10:100779672:C:G rs7901210 C G G EBF1_EBF_1 24 0 + 0 0 . chr10 100798736 100798737 chr10:100798737:T:C rs10748799 T C C EBF1_EBF_1 0 1 - 5.449705207025255 3.557421337460421 AGTCACAAGGGAGA chr10 100798748 100798749 chr10:100798749:T:G rs12570050 T G T EBF1_EBF_1 -12 0 - 0 0 . chr10 100803018 100803019 chr10:100803019:C:T rs74152682 C T C EBF1_EBF_1 5 1 + 5.3830388219862 0.06125160644552981 CTTCCCGGGAGAAC chr10 100803674 100803675 chr10:100803675:G:A rs79520577 G A G EBF1_EBF_1 -1 0 - 0 0 . chr10 100808644 100808645 chr10:100808645:T:C rs11594822 T C T EBF1_EBF_1 -5 0 - 0 0 . chr10 100809114 100809115 chr10:100809115:C:T rs1800897 C T C EBF1_EBF_1 13 1 + 9.309339078309533 10.153833192895052 CCTCCCAGGGGAAC chr10 100828384 100828385 chr10:100828385:C:G rs577109795 C G C EBF1_EBF_1 3 1 + 7.072460074053876 1.2446956259386606 GTTCCCCAGGGCCC chr10 100830995 100830996 chr10:100830996:G:T rs996359 G T G EBF1_EBF_1 4 1 - 5.365351095756937 -0.4592085276965289 TGTCCCAGGGGCAC chr10 100878828 100878829 chr10:100878829:A:G rs912474 A G A EBF1_EBF_1 29 0 - 0 0 . chr10 100907993 100907994 chr10:100907994:C:T rs7902725 C T T EBF1_EBF_1 12 1 + 10.43705947205386 9.410792901503012 ACTCCCCAGGGGCT chr10 100998176 100998177 chr10:100998177:C:T rs7902510 C T C EBF1_EBF_1 -8 0 + 0 0 . chr10 100999387 100999388 chr10:100999388:G:A rs1027614075 G A G EBF1_EBF_1 33 0 - 0 0 . chr10 100999421 100999422 chr10:100999422:C:T rs183412538 C T C EBF1_EBF_1 -1 0 - 0 0 . chr10 101002369 101002370 chr10:101002370:A:G rs701835 A G G EBF1_EBF_1 -18 0 - 0 0 . chr10 101036199 101036200 chr10:101036200:T:C rs11190804 T C T EBF1_EBF_1 -2 0 - 0 0 . chr10 101045152 101045153 chr10:101045153:G:A rs11190807 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 101046907 101046908 chr10:101046908:G:A rs807047 G A A EBF1_EBF_1 7 1 + 4.983312659980351 6.295771065269176 GATCCCCGAGGACC chr10 101061886 101061887 chr10:101061887:T:G rs146845346 T G T EBF1_EBF_1 -10 0 - 0 0 . chr10 101062406 101062407 chr10:101062407:T:G rs73336007 T G T EBF1_EBF_1 25 0 + 0 0 . chr10 101067127 101067128 chr10:101067128:G:T rs147633015 G T G EBF1_EBF_1 4 1 - 7.443032227726169 1.6184726042726998 CTTCCCCCGGGAGC chr10 101103339 101103340 chr10:101103340:G:A rs7085631 G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 101107659 101107660 chr10:101107660:C:T rs4917923 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 101118822 101118823 chr10:101118823:C:T rs12783463 C T C EBF1_EBF_1 30 0 - 0 0 . chr10 101118851 101118852 chr10:101118852:T:A rs1556864 T A T EBF1_EBF_1 1 1 - 4.981940413649861 5.948301031400691 CATCCCAGAGGACC chr10 101122949 101122950 chr10:101122950:C:T rs7096022 C T c EBF1_EBF_1 -4 0 + 0 0 . chr10 101125441 101125442 chr10:101125442:G:A rs78929402 G A G EBF1_EBF_1 0 1 - 5.059969854695862 5.34217191542644 CCTCCCAAGAGAGG chr10 101126266 101126267 chr10:101126267:G:A rs2742052 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 101225687 101225688 chr10:101225688:C:A rs112129937 C A C EBF1_EBF_1 33 0 - 0 0 . chr10 101228315 101228316 chr10:101228316:T:C rs111563614 T C T EBF1_EBF_1 7 1 - 6.975306899917784 5.662848494628958 ATTTCCCAGGGACC chr10 101250474 101250475 chr10:101250475:T:C rs56329913 T C T EBF1_EBF_1 -20 0 + 0 0 . chr10 101262031 101262032 chr10:101262032:C:A rs12258849 C A C EBF1_EBF_1 29 0 - 0 0 . chr10 101284387 101284388 chr10:101284388:G:C rs34549196 G C G EBF1_EBF_1 19 0 - 0 0 . chr10 101284396 101284397 chr10:101284397:C:G rs12571356 C G G EBF1_EBF_1 10 1 - 4.819641055988205 -2.0711613313412713 CCTCCCCGGGGTCC chr10 101306180 101306181 chr10:101306181:T:C rs619654 T C T EBF1_EBF_1 -6 0 + 0 0 . chr10 101556043 101556044 chr10:101556044:C:T rs1045232 C T C EBF1_EBF_1 8 1 - 5.567741688905164 1.3414516000189027 TTTGCCAAGGGACA chr10 101558802 101558803 chr10:101558803:C:G rs138250961 C G C EBF1_EBF_1 21 0 - 0 0 . chr10 101558813 101558814 chr10:101558814:C:T rs3095807 C T C EBF1_EBF_1 10 1 - 5.5487580788860935 0.23072961961912697 AGCCCCTGAGGACC chr10 101561569 101561570 chr10:101561570:C:A rs73348191 C A C EBF1_EBF_1 17 0 + 0 0 . chr10 101568634 101568635 chr10:101568635:G:T rs3095803 G T G EBF1_EBF_1 25 0 - 0 0 . chr10 101577852 101577853 chr10:101577853:C:T rs142651946 C T C EBF1_EBF_1 -8 0 - 0 0 . chr10 101606887 101606888 chr10:101606888:T:C rs11191062 T C T EBF1_EBF_1 13 1 + 6.08387724113208 5.239383126546562 ACTCCCTTGAGCCT chr10 101607644 101607645 chr10:101607645:G:A rs189052330 G A G EBF1_EBF_1 29 0 + 0 0 . chr10 101624888 101624889 chr10:101624889:G:A rs1010785571 G A G EBF1_EBF_1 19 0 - 0 0 . chr10 101626923 101626924 chr10:101626924:T:G rs3095790 T G G EBF1_EBF_1 -17 0 + 0 0 . chr10 101659229 101659230 chr10:101659230:G:A rs75299772 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 101781258 101781259 chr10:101781259:T:G rs2735421 T G G EBF1_EBF_1 31 0 - 0 0 . chr10 101781290 101781291 chr10:101781291:C:T rs964565300 C T C EBF1_EBF_1 -1 0 - 0 0 . chr10 101781295 101781296 chr10:101781296:C:T rs149987320 C T C EBF1_EBF_1 -6 0 - 0 0 . chr10 102065255 102065256 chr10:102065256:C:T rs796085764 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 102065263 102065264 chr10:102065264:C:T chr10:102065264:C:T C T C EBF1_EBF_1 30 0 + 0 0 . chr10 102069465 102069466 chr10:102069466:A:G rs61873654 A G G EBF1_EBF_1 -8 0 - 0 0 . chr10 102114590 102114591 chr10:102114591:G:C rs558642541 G C g EBF1_EBF_1 29 0 - 0 0 . chr10 102119060 102119061 chr10:102119061:G:A rs61874767 G A g EBF1_EBF_1 5 1 - 10.395594974896138 5.073807759355469 ATCCCCAAGGGAAG chr10 102119516 102119517 chr10:102119517:T:C chr10:102119517:T:C T C t EBF1_EBF_1 19 0 + 0 0 . chr10 102133578 102133579 chr10:102133579:T:G rs2815403 T G g EBF1_EBF_1 -12 0 + 0 0 . chr10 102133610 102133611 chr10:102133611:G:A chr10:102133611:G:A G A g EBF1_EBF_1 20 0 + 0 0 . chr10 102201461 102201462 chr10:102201462:A:G rs1887637 A G G EBF1_EBF_1 -8 0 - 0 0 . chr10 102227132 102227133 chr10:102227133:C:T rs77398669 C T C EBF1_EBF_1 -6 0 + 0 0 . chr10 102233206 102233207 chr10:102233207:T:C rs3758552 T C T EBF1_EBF_1 30 0 + 0 0 . chr10 102302736 102302737 chr10:102302737:A:G rs772014 A G G EBF1_EBF_1 11 1 + 5.705661603753117 2.8129547601491063 GCCCCCCAGAGAAA chr10 102384279 102384280 chr10:102384280:G:C rs77788016 G C G EBF1_EBF_1 22 0 + 0 0 . chr10 102394189 102394190 chr10:102394190:G:A rs565010281 G A G EBF1_EBF_1 3 1 - 7.304755298072741 0.8993565565194239 ATCCCCAGGGGGAA chr10 102394547 102394548 chr10:102394548:G:T rs570589161 G T G EBF1_EBF_1 13 1 - 4.295614926089179 3.921869476803203 ACCCACCTGGGACC chr10 102394557 102394558 chr10:102394558:G:A rs537929750 G A G EBF1_EBF_1 3 1 - 4.295614926089179 -2.109783815464139 ACCCACCTGGGACC chr10 102397153 102397154 chr10:102397154:A:G rs12772374 A G A EBF1_EBF_1 -15 0 + 0 0 . chr10 102403612 102403613 chr10:102403613:T:A rs41287458 T A T EBF1_EBF_1 30 0 + 0 0 . chr10 102404730 102404731 chr10:102404731:G:A rs41287460 G A G EBF1_EBF_1 -12 0 + 0 0 . chr10 102421608 102421609 chr10:102421609:G:C rs76302201 G C G EBF1_EBF_1 16 0 + 0 0 . chr10 102450940 102450941 chr10:102450941:C:A rs1162171731 C A C EBF1_EBF_1 19 0 + 0 0 . chr10 102482225 102482226 chr10:102482226:T:C rs12763720 T C T EBF1_EBF_1 8 1 - 5.758059034804687 9.984349123690945 ACTCCCAAAGGGAC chr10 102502857 102502858 chr10:102502858:G:C rs558476897 G C G EBF1_EBF_1 28 0 - 0 0 . chr10 102502870 102502871 chr10:102502871:G:A rs2296590 G A A EBF1_EBF_1 15 0 - 0 0 . chr10 102599592 102599593 chr10:102599593:T:C rs3824756 T C T EBF1_EBF_1 22 0 - 0 0 . chr10 102600043 102600044 chr10:102600044:G:C chr10:102600044:G:C G C G EBF1_EBF_1 27 0 + 0 0 . chr10 102648034 102648035 chr10:102648035:G:A rs12241531 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 102654951 102654952 chr10:102654952:C:A rs2286748 C A C EBF1_EBF_1 15 0 - 0 0 . chr10 102669196 102669197 chr10:102669197:G:A rs144925936 G A G EBF1_EBF_1 26 0 + 0 0 . chr10 102713780 102713781 chr10:102713781:G:A rs749285695 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 102732949 102732950 chr10:102732950:G:C rs2778037 G C C EBF1_EBF_1 26 0 - 0 0 . chr10 102762468 102762469 chr10:102762469:T:C rs4919674 T C C EBF1_EBF_1 -1 0 + 0 0 . chr10 102775387 102775388 chr10:102775388:C:T rs2486757 C T C EBF1_EBF_1 32 0 + 0 0 . chr10 102775692 102775693 chr10:102775693:G:C rs2482498 G C C EBF1_EBF_1 -15 0 + 0 0 . chr10 102779762 102779763 chr10:102779763:A:T rs2254111 A T A EBF1_EBF_1 -13 0 + 0 0 . chr10 102779802 102779803 chr10:102779803:G:A rs61870779 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 102814664 102814665 chr10:102814665:A:G rs284855 A G A EBF1_EBF_1 24 0 - 0 0 . chr10 102835207 102835208 chr10:102835208:T:G chr10:102835208:T:G T G T EBF1_EBF_1 -19 0 + 0 0 . chr10 102917932 102917933 chr10:102917933:C:A rs77760630 C A C EBF1_EBF_1 32 0 - 0 0 . chr10 102917993 102917994 chr10:102917994:T:C rs541824833 T C T EBF1_EBF_1 -13 0 - 0 0 . chr10 102941493 102941494 chr10:102941494:T:C rs77059182 T C T EBF1_EBF_1 -9 0 - 0 0 . chr10 103066503 103066504 chr10:103066504:T:C rs943038 T C C EBF1_EBF_1 -9 0 + 0 0 . chr10 103069711 103069712 chr10:103069712:C:T rs1926032 C T C EBF1_EBF_1 -2 0 - 0 0 . chr10 103069712 103069713 chr10:103069713:G:A rs77370986 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 103069718 103069719 chr10:103069719:T:G rs542964084 T G T EBF1_EBF_1 -9 0 - 0 0 . chr10 103154733 103154734 chr10:103154734:A:T rs12414232 A T T EBF1_EBF_1 7 1 - 7.238026060934368 7.714300301021927 TTTCCCATGAGATA chr10 103193119 103193120 chr10:103193120:G:A rs965331896 G A g EBF1_EBF_1 -5 0 - 0 0 . chr10 103193421 103193422 chr10:103193422:G:A rs370657614 G A G EBF1_EBF_1 27 0 - 0 0 . chr10 103231119 103231120 chr10:103231120:A:G rs7922955 A G A EBF1_EBF_1 1 1 - 9.506682725578228 8.611249285179484 TTCCCCAAGGGACA chr10 103360130 103360131 chr10:103360131:A:G rs80010464 A G A EBF1_EBF_1 23 0 + 0 0 . chr10 103442481 103442482 chr10:103442482:G:C rs200216755 G C G EBF1_EBF_1 1 1 - 4.8222903063634135 5.012061960932631 GCTCCCTGGGGCAA chr10 103476721 103476722 chr10:103476722:A:G rs113615165 A G A EBF1_EBF_1 2 1 - 5.1552434188155 3.2664200073733483 GATCCCAGGGGCCC chr10 103482422 103482423 chr10:103482423:T:C rs56142804 T C T EBF1_EBF_1 6 1 + 5.514239343175196 5.212953214313438 CTTCCCTGAGGACA chr10 103512267 103512268 chr10:103512268:A:G rs2986059 A G G EBF1_EBF_1 31 0 - 0 0 . chr10 103519875 103519876 chr10:103519876:C:T rs12781250 C T C EBF1_EBF_1 7 1 + 4.291246027324612 6.108750773607236 GACCCCCCGGGGCT chr10 103535254 103535255 chr10:103535255:G:T rs72848966 G T G EBF1_EBF_1 17 0 + 0 0 . chr10 103568483 103568484 chr10:103568484:G:A rs11191730 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 103571189 103571190 chr10:103571190:T:A rs12253987 T A T EBF1_EBF_1 22 0 + 0 0 . chr10 103614937 103614938 chr10:103614938:C:T rs7901223 C T C EBF1_EBF_1 -2 0 + 0 0 . chr10 103619082 103619083 chr10:103619083:A:G rs11191749 A G A EBF1_EBF_1 -15 0 - 0 0 . chr10 103620274 103620275 chr10:103620275:C:T rs192108135 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 103645815 103645816 chr10:103645816:A:G rs17115784 A G A EBF1_EBF_1 -16 0 + 0 0 . chr10 103652488 103652489 chr10:103652489:C:T rs117073820 C T C EBF1_EBF_1 7 1 + 5.264618120010091 7.082122866292715 ACTCCCACCGGAAC chr10 103652489 103652490 chr10:103652490:C:T rs114987798 C T c EBF1_EBF_1 8 1 + 5.264618120010091 3.691844191947583 ACTCCCACCGGAAC chr10 103664612 103664613 chr10:103664613:G:A rs10748847 G A A EBF1_EBF_1 -18 0 - 0 0 . chr10 103676030 103676031 chr10:103676031:C:T rs12355387 C T C EBF1_EBF_1 16 0 - 0 0 . chr10 103688777 103688778 chr10:103688778:T:C rs75255011 T C T EBF1_EBF_1 25 0 - 0 0 . chr10 103704728 103704729 chr10:103704729:G:T rs72815777 G T G EBF1_EBF_1 -19 0 + 0 0 . chr10 103711673 103711674 chr10:103711674:G:C rs11592656 G C G EBF1_EBF_1 8 1 + 5.9720976706615625 0.6534388097468766 AGTCCCTGGGAACA chr10 103716323 103716324 chr10:103716324:C:T rs12244353 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 103729605 103729606 chr10:103729606:G:A rs2863998 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 103729607 103729608 chr10:103729608:A:G rs972069 A G A EBF1_EBF_1 14 0 - 0 0 . chr10 103745886 103745887 chr10:103745887:G:C rs116662005 G C G EBF1_EBF_1 8 1 + 5.738998756037142 0.42033989512245556 GCCCCCTAGGGGCA chr10 103747774 103747775 chr10:103747775:G:A rs114617557 G A G EBF1_EBF_1 -1 0 - 0 0 . chr10 103751842 103751843 chr10:103751843:G:C rs11592750 G C G EBF1_EBF_1 8 1 + 7.4920041930913674 2.1733453321766816 CATCCCAAGAGACA chr10 103763408 103763409 chr10:103763409:C:G rs56178332 C G C EBF1_EBF_1 2 1 + 7.913502561390388 3.05400028263207 CACCCCTTGGGATT chr10 103763911 103763912 chr10:103763912:A:G rs10736161 A G G EBF1_EBF_1 18 0 + 0 0 . chr10 103777590 103777591 chr10:103777591:T:C rs1107300 T C C EBF1_EBF_1 -10 0 + 0 0 . chr10 103828645 103828646 chr10:103828646:C:T rs112007943 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 103848478 103848479 chr10:103848479:A:G rs7087004 A G A EBF1_EBF_1 2 1 - 6.276083992089888 4.387260580647739 TCTCCCAAGGGCTT chr10 103879039 103879040 chr10:103879040:G:A rs78850560 G A G EBF1_EBF_1 29 0 - 0 0 . chr10 103916042 103916043 chr10:103916043:G:A rs74852420 G A G EBF1_EBF_1 31 0 - 0 0 . chr10 103929073 103929074 chr10:103929074:T:A rs59160691 T A T EBF1_EBF_1 -1 0 - 0 0 . chr10 103936141 103936142 chr10:103936142:T:C rs1418317 T C C EBF1_EBF_1 -2 0 + 0 0 . chr10 103960592 103960593 chr10:103960593:C:T rs11191884 C T T EBF1_EBF_1 12 1 + 5.206645599151211 4.1803790286003615 ACTCCACAGGGACA chr10 104036640 104036641 chr10:104036641:G:C rs2274098 G C G EBF1_EBF_1 13 1 + 4.9490637231207115 6.437134744476126 ATCCCCTGGAGAGG chr10 104039457 104039458 chr10:104039458:G:T rs2296219 G T G EBF1_EBF_1 14 0 - 0 0 . chr10 104039472 104039473 chr10:104039473:A:T rs61731077 A T A EBF1_EBF_1 -1 0 - 0 0 . chr10 104041134 104041135 chr10:104041135:C:T rs34260939 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 104042229 104042230 chr10:104042230:A:C rs805715 A C A EBF1_EBF_1 33 0 - 0 0 . chr10 104077241 104077242 chr10:104077242:A:G rs2274106 A G G EBF1_EBF_1 33 0 - 0 0 . chr10 104086076 104086077 chr10:104086077:A:G rs192613472 A G A EBF1_EBF_1 23 0 - 0 0 . chr10 104122296 104122297 chr10:104122297:A:C rs7901021 A C A EBF1_EBF_1 31 0 - 0 0 . chr10 104211119 104211120 chr10:104211120:T:C rs647037 T C T EBF1_EBF_1 -5 0 + 0 0 . chr10 104253291 104253292 chr10:104253292:G:A rs17883150 G A G EBF1_EBF_1 32 0 - 0 0 . chr10 104274944 104274945 chr10:104274945:G:A chr10:104274945:G:A G A G EBF1_EBF_1 3 1 - 7.309734962406353 0.9043362208530352 TTTCCCCAGGGTCC chr10 104298028 104298029 chr10:104298029:C:T rs74373638 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 104298039 104298040 chr10:104298040:G:T rs80113875 G T T EBF1_EBF_1 4 1 - 8.588426084037048 2.763866460583581 GTCCCCTGGGGACC chr10 104305865 104305866 chr10:104305866:G:A rs138764496 G A G EBF1_EBF_1 -3 0 + 0 0 . chr10 104317827 104317828 chr10:104317828:G:A rs10883991 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 104330023 104330024 chr10:104330024:G:A rs147133782 G A G EBF1_EBF_1 2 1 - 6.203580584505483 8.092403995947633 AGCCCCTGGGGCCT chr10 104330041 104330042 chr10:104330042:G:A rs138577751 G A G EBF1_EBF_1 -16 0 - 0 0 . chr10 104415485 104415486 chr10:104415486:G:C rs182867945 G C G EBF1_EBF_1 -18 0 - 0 0 . chr10 104445691 104445692 chr10:104445692:G:A rs74157113 G A G EBF1_EBF_1 -15 0 - 0 0 . chr10 104489239 104489240 chr10:104489240:G:T rs17761970 G T T EBF1_EBF_1 4 1 - 7.228194875078569 1.4036352516251007 AGACCCCAGGGAGA chr10 104489241 104489242 chr10:104489242:T:C rs12764572 T C T EBF1_EBF_1 2 1 - 7.228194875078569 4.164336772476977 AGACCCCAGGGAGA chr10 104563259 104563260 chr10:104563260:T:G rs7905754 T G T EBF1_EBF_1 -17 0 - 0 0 . chr10 104609027 104609028 chr10:104609028:C:T rs10884022 C T c EBF1_EBF_1 5 1 + 4.812608291704927 -0.5091789238357427 CATCCCGAGGGCAT chr10 104774423 104774424 chr10:104774424:C:A rs12247784 C A C EBF1_EBF_1 9 1 - 7.862803350909454 1.0258139428206436 TTCCCCCGGGGAAA chr10 104868405 104868406 chr10:104868406:A:G rs74906395 A G A EBF1_EBF_1 31 0 - 0 0 . chr10 104872278 104872279 chr10:104872279:T:C rs2451497 T C T EBF1_EBF_1 2 1 + 5.1720085528959885 3.2831851414538367 ACTCCCCTGTGACC chr10 104924623 104924624 chr10:104924624:G:C rs7073140 G C G EBF1_EBF_1 13 1 - 4.191050048076887 2.702979026721472 ACCTCCCAGGGACC chr10 104935983 104935984 chr10:104935984:G:C rs73342171 G C G EBF1_EBF_1 29 0 - 0 0 . chr10 104936018 104936019 chr10:104936019:C:T rs1525393 C T C EBF1_EBF_1 -6 0 - 0 0 . chr10 105008717 105008718 chr10:105008718:G:C rs790657 G C C EBF1_EBF_1 25 0 - 0 0 . chr10 105031606 105031607 chr10:105031607:C:T rs2791461 C T T EBF1_EBF_1 21 0 + 0 0 . chr10 105071168 105071169 chr10:105071169:C:G rs1349625 C G G EBF1_EBF_1 -8 0 + 0 0 . chr10 105207942 105207943 chr10:105207943:A:G rs7900080 A G G EBF1_EBF_1 14 0 + 0 0 . chr10 105207944 105207945 chr10:105207945:G:A rs7900435 G A A EBF1_EBF_1 16 0 + 0 0 . chr10 105269302 105269303 chr10:105269303:C:T rs72819936 C T C EBF1_EBF_1 3 1 + 5.647373857681816 -0.7580248838715016 CCTCCCTGGGGCCT chr10 105698831 105698832 chr10:105698832:T:C rs7915061 T C C EBF1_EBF_1 -16 0 + 0 0 . chr10 105698863 105698864 chr10:105698864:T:C rs7915080 T C C EBF1_EBF_1 16 0 + 0 0 . chr10 105753214 105753215 chr10:105753215:A:C rs3982453 A C C EBF1_EBF_1 14 0 + 0 0 . chr10 105762074 105762075 chr10:105762075:G:A rs73355940 G A G EBF1_EBF_1 10 1 + 7.357812191460414 2.039783732193449 AGCCCCTTGGGTCT chr10 105950477 105950478 chr10:105950478:T:C rs374989820 T C T EBF1_EBF_1 1 1 + 7.740986190205664 6.845552749806918 ATACCCTTGAGAAT chr10 105973781 105973782 chr10:105973782:C:T rs481179 C T C EBF1_EBF_1 22 0 - 0 0 . chr10 106136428 106136429 chr10:106136429:A:C rs4495831 A C A EBF1_EBF_1 29 0 - 0 0 . chr10 106314535 106314536 chr10:106314536:C:T rs1326354 C T C EBF1_EBF_1 -17 0 + 0 0 . chr10 106381628 106381629 chr10:106381629:C:T rs821680 C T T EBF1_EBF_1 -11 0 + 0 0 . chr10 106381644 106381645 chr10:106381645:C:T rs821681 C T T EBF1_EBF_1 5 1 + 8.096762443040921 2.7749752275002506 CTTCCCCAGAGACA chr10 106399407 106399408 chr10:106399408:A:G rs10786945 A G G EBF1_EBF_1 -15 0 + 0 0 . chr10 106399443 106399444 chr10:106399444:C:T rs1525935 C T T EBF1_EBF_1 21 0 + 0 0 . chr10 106625946 106625947 chr10:106625947:G:C rs911571 G C G EBF1_EBF_1 -15 0 + 0 0 . chr10 106899266 106899267 chr10:106899267:A:G rs150699325 A G A EBF1_EBF_1 -3 0 - 0 0 . chr10 106991162 106991163 chr10:106991163:A:C rs7072384 A C A EBF1_EBF_1 27 0 - 0 0 . chr10 107141669 107141670 chr10:107141670:C:T rs7916651 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 107164391 107164392 chr10:107164392:G:C rs61732174 G C C EBF1_EBF_1 31 0 + 0 0 . chr10 107220394 107220395 chr10:107220395:G:A rs7900362 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 107315233 107315234 chr10:107315234:C:T rs1338028 C T C EBF1_EBF_1 -8 0 - 0 0 . chr10 107599255 107599256 chr10:107599256:C:A rs1596035 C A C EBF1_EBF_1 17 0 + 0 0 . chr10 107627018 107627019 chr10:107627019:A:T rs55658269 A T A EBF1_EBF_1 2 1 - 6.411340986802122 2.726873399203244 ACTTCCTAGGGAAC chr10 107627077 107627078 chr10:107627078:A:G rs147265334 A G A EBF1_EBF_1 20 0 + 0 0 . chr10 107671773 107671774 chr10:107671774:G:A rs10787034 G A A EBF1_EBF_1 12 1 - 10.619404453121465 9.593137882570616 ATTCCCAGGAGACT chr10 107685997 107685998 chr10:107685998:G:A rs2264268 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 107731520 107731521 chr10:107731521:T:C rs59735006 T C T EBF1_EBF_1 6 1 - 7.416764972585937 5.249970541311462 ACCCCCAAGGGCAT chr10 107732433 107732434 chr10:107732434:G:A rs142932344 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 107813457 107813458 chr10:107813458:C:T rs10884549 C T T EBF1_EBF_1 -5 0 - 0 0 . chr10 107880376 107880377 chr10:107880377:A:T rs77086940 A T A EBF1_EBF_1 27 0 - 0 0 . chr10 107914619 107914620 chr10:107914620:C:A rs73401559 C A C EBF1_EBF_1 20 0 - 0 0 . chr10 107949875 107949876 chr10:107949876:T:G rs111829322 T G T EBF1_EBF_1 31 0 + 0 0 . chr10 107976557 107976558 chr10:107976558:G:T rs7078176 G T G EBF1_EBF_1 19 0 + 0 0 . chr10 107976558 107976559 chr10:107976559:A:T rs7077805 A T a EBF1_EBF_1 20 0 + 0 0 . chr10 108177430 108177431 chr10:108177431:T:A rs1779620 T A A EBF1_EBF_1 10 1 + 7.422942790191963 6.287666517152261 ATTCCCGAGGTAAC chr10 108215268 108215269 chr10:108215269:C:T rs317473 C T C EBF1_EBF_1 29 0 + 0 0 . chr10 108412236 108412237 chr10:108412237:C:G rs1831284 C G C EBF1_EBF_1 9 1 - 5.722468421391371 0.6467792750459092 ATCCCCCAGGGGGG chr10 108584783 108584784 chr10:108584784:G:A rs74155846 G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 108584785 108584786 chr10:108584786:T:A rs34470774 T A T EBF1_EBF_1 -14 0 - 0 0 . chr10 109087667 109087668 chr10:109087668:T:C rs7071522 T C C EBF1_EBF_1 -11 0 - 0 0 . chr10 109118391 109118392 chr10:109118392:T:C rs4342956 T C C EBF1_EBF_1 28 0 - 0 0 . chr10 109156570 109156571 chr10:109156571:C:G rs11194430 C G C EBF1_EBF_1 29 0 + 0 0 . chr10 109215364 109215365 chr10:109215365:G:A chr10:109215365:G:A G A G EBF1_EBF_1 26 0 - 0 0 . chr10 109221495 109221496 chr10:109221496:C:G rs10884714 C G G EBF1_EBF_1 23 0 - 0 0 . chr10 109248077 109248078 chr10:109248078:G:A rs72833536 G A A EBF1_EBF_1 32 0 - 0 0 . chr10 109264196 109264197 chr10:109264197:T:C rs7076090 T C C EBF1_EBF_1 -12 0 + 0 0 . chr10 109267110 109267111 chr10:109267111:A:G rs3906109 A G G EBF1_EBF_1 11 1 + 4.235571107418989 1.3428642638149768 CCTGCCTGGGGACT chr10 109287641 109287642 chr10:109287642:G:A rs10884737 G A G EBF1_EBF_1 12 1 - 9.06270724344228 8.03644067289143 ATTCCCTTGAGACG chr10 109432326 109432327 chr10:109432327:G:A rs7904521 G A G EBF1_EBF_1 -14 0 - 0 0 . chr10 109699132 109699133 chr10:109699133:A:G rs1573187 A G A EBF1_EBF_1 -10 0 - 0 0 . chr10 109900023 109900024 chr10:109900024:C:T rs7070422 C T C EBF1_EBF_1 30 0 + 0 0 . chr10 110007751 110007752 chr10:110007752:C:G rs1283480877 C G C EBF1_EBF_1 1 1 + 6.299302272639731 6.489073927208948 ACTCCCGAGGGGCG chr10 110168054 110168055 chr10:110168055:C:T rs113987999 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 110168309 110168310 chr10:110168310:G:A rs7914613 G A G EBF1_EBF_1 0 1 + 8.821339424973964 10.713623294538795 GTTCCCAAGAGACA chr10 110175645 110175646 chr10:110175646:G:C rs4918481 G C G EBF1_EBF_1 -14 0 - 0 0 . chr10 110175649 110175650 chr10:110175650:G:A rs4918482 G A G EBF1_EBF_1 -18 0 - 0 0 . chr10 110207723 110207724 chr10:110207724:C:A rs560254912 C A C EBF1_EBF_1 22 0 - 0 0 . chr10 110207763 110207764 chr10:110207764:G:T rs145057169 G T G EBF1_EBF_1 -18 0 - 0 0 . chr10 110209159 110209160 chr10:110209160:G:A rs188599895 G A G EBF1_EBF_1 -19 0 - 0 0 . chr10 110222639 110222640 chr10:110222640:G:A rs7072547 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 110225900 110225901 chr10:110225901:T:G rs1018458962 T G T EBF1_EBF_1 25 0 - 0 0 . chr10 110228420 110228421 chr10:110228421:A:T rs111835482 A T A EBF1_EBF_1 17 0 - 0 0 . chr10 110269860 110269861 chr10:110269861:C:T rs1001233886 C T C EBF1_EBF_1 -11 0 + 0 0 . chr10 110327328 110327329 chr10:110327329:T:C rs143925290 T C C EBF1_EBF_1 -5 0 - 0 0 . chr10 110359489 110359490 chr10:110359490:C:A rs188523837 C A C EBF1_EBF_1 11 1 - 4.663439174413622 3.4582317619189067 ATTCACCAGGGGCC chr10 110366026 110366027 chr10:110366027:A:G rs7077476 A G G EBF1_EBF_1 13 1 + 6.869484103418672 5.755158531349233 CACCCCAAGGGAGA chr10 110376334 110376335 chr10:110376335:C:T rs74748025 C T C EBF1_EBF_1 13 1 + 4.787785448972516 5.632279563558034 CCTCCCCAGGGCTC chr10 110376887 110376888 chr10:110376888:G:T rs566072242 G T G EBF1_EBF_1 17 0 + 0 0 . chr10 110410808 110410809 chr10:110410809:G:A rs149178346 G A G EBF1_EBF_1 -15 0 - 0 0 . chr10 110412816 110412817 chr10:110412817:C:G rs139472241 C G C EBF1_EBF_1 10 1 - 7.651287076454152 0.7604846891246781 ATTCCCCAGAGGTT chr10 110426977 110426978 chr10:110426978:A:G rs73345556 A G A EBF1_EBF_1 -14 0 + 0 0 . chr10 110427007 110427008 chr10:110427008:C:T rs1038457121 C T C EBF1_EBF_1 16 0 + 0 0 . chr10 110431051 110431052 chr10:110431052:G:A rs76900453 G A G EBF1_EBF_1 25 0 + 0 0 . chr10 110446907 110446908 chr10:110446908:C:T rs10884972 C T T EBF1_EBF_1 -12 0 + 0 0 . chr10 110457534 110457535 chr10:110457535:G:A rs142035011 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 110457948 110457949 chr10:110457949:G:A rs10884981 G A G EBF1_EBF_1 4 1 + 5.420024287213174 5.221229764909251 ATCCGCCTGGGAAC chr10 110496309 110496310 chr10:110496310:G:C rs75763983 G C G EBF1_EBF_1 -3 0 + 0 0 . chr10 110496954 110496955 chr10:110496955:T:C rs533285406 T C T EBF1_EBF_1 -3 0 + 0 0 . chr10 110499705 110499706 chr10:110499706:T:C chr10:110499706:T:C T C T EBF1_EBF_1 -18 0 + 0 0 . chr10 110499734 110499735 chr10:110499735:G:C rs11195157 G C G EBF1_EBF_1 11 1 + 9.473850899431781 7.950596045183404 ATCCCCCAGGGGAT chr10 110499742 110499743 chr10:110499743:G:T rs147328410 G T G EBF1_EBF_1 19 0 + 0 0 . chr10 110505376 110505377 chr10:110505377:G:T rs3793892 G T G EBF1_EBF_1 -6 0 + 0 0 . chr10 110528637 110528638 chr10:110528638:C:T rs531370430 C T C EBF1_EBF_1 10 1 - 5.83839689201467 0.5203684327477035 CTCCCCTTGGGTAT chr10 110609850 110609851 chr10:110609851:A:G rs11195222 A G A EBF1_EBF_1 17 0 - 0 0 . chr10 110631745 110631746 chr10:110631746:C:T rs1832689 C T C EBF1_EBF_1 -11 0 + 0 0 . chr10 110637289 110637290 chr10:110637290:C:T rs950953973 C T c EBF1_EBF_1 19 0 + 0 0 . chr10 110637290 110637291 chr10:110637291:G:A rs78140292 G A G EBF1_EBF_1 20 0 + 0 0 . chr10 110643983 110643984 chr10:110643984:G:A rs547555121 G A G EBF1_EBF_1 21 0 + 0 0 . chr10 110701834 110701835 chr10:110701835:G:A rs72834060 G A G EBF1_EBF_1 10 1 + 8.316430767621542 2.9984023083545774 AATCCCCAGGGTCC chr10 110702619 110702620 chr10:110702620:G:A rs11195274 G A G EBF1_EBF_1 4 1 - 7.50638452512128 3.136997580176357 ACACCCAGGGGAAA chr10 110803509 110803510 chr10:110803510:C:T rs6585015 C T C EBF1_EBF_1 27 0 - 0 0 . chr10 110821121 110821122 chr10:110821122:C:T rs10787283 C T T EBF1_EBF_1 8 1 - 3.8520648497431926 -0.3742252391430684 AGTCCCACGGCACC chr10 110843186 110843187 chr10:110843187:C:T rs11195352 C T C EBF1_EBF_1 10 1 - 8.15519440642826 2.8371659471612936 AGTCCCCTGAGAGC chr10 110848338 110848339 chr10:110848339:G:A rs7067787 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 110852325 110852326 chr10:110852326:C:T chr10:110852326:C:T C T C EBF1_EBF_1 -9 0 - 0 0 . chr10 110857905 110857906 chr10:110857906:C:T rs7913363 C T C EBF1_EBF_1 28 0 - 0 0 . chr10 110857908 110857909 chr10:110857909:T:G rs559413808 T G T EBF1_EBF_1 25 0 - 0 0 . chr10 110862611 110862612 chr10:110862612:C:T rs1008451887 C T C EBF1_EBF_1 25 0 - 0 0 . chr10 110869263 110869264 chr10:110869264:C:T rs74391865 C T C EBF1_EBF_1 30 0 - 0 0 . chr10 110871098 110871099 chr10:110871099:C:A rs1322997 C A A EBF1_EBF_1 -17 0 + 0 0 . chr10 110918898 110918899 chr10:110918899:G:T rs7895872 G T G EBF1_EBF_1 24 0 + 0 0 . chr10 110945203 110945204 chr10:110945204:G:A rs114803431 G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 111034332 111034333 chr10:111034333:G:A rs12249521 G A G EBF1_EBF_1 7 1 + 4.819641055988205 6.132099461277029 CCTCCCCGGGGTCC chr10 111126121 111126122 chr10:111126122:T:C rs1360862 T C T EBF1_EBF_1 -20 0 - 0 0 . chr10 111178661 111178662 chr10:111178662:C:T rs11195455 C T C EBF1_EBF_1 -15 0 + 0 0 . chr10 111206847 111206848 chr10:111206848:T:C rs147887882 T C T EBF1_EBF_1 -9 0 + 0 0 . chr10 111247341 111247342 chr10:111247342:A:G rs7914221 A G A EBF1_EBF_1 1 1 + 5.3030748597647035 5.563773691686005 AATCCCTTGAGGGC chr10 111297812 111297813 chr10:111297813:T:G rs74156360 T G T EBF1_EBF_1 -2 0 + 0 0 . chr10 111370222 111370223 chr10:111370223:A:G rs74156378 A G A EBF1_EBF_1 32 0 - 0 0 . chr10 111394544 111394545 chr10:111394545:C:A rs10885157 C A C EBF1_EBF_1 3 1 + 4.68236760832414 -2.2238064211416675 CCTCCCCAGAGGCC chr10 111432335 111432336 chr10:111432336:G:T rs11195586 G T G EBF1_EBF_1 18 0 - 0 0 . chr10 111522977 111522978 chr10:111522978:T:A rs34127800 T A T EBF1_EBF_1 10 1 - 7.242838891251926 8.378115164291629 ATTCCCCTGGAAAA chr10 111522982 111522983 chr10:111522983:G:A rs12412805 G A G EBF1_EBF_1 5 1 - 7.242838891251926 1.921051675711257 ATTCCCCTGGAAAA chr10 111555686 111555687 chr10:111555687:G:A rs11195624 G A G EBF1_EBF_1 11 1 + 4.5204451577925635 7.4131520013965755 TGCCCCCAGGGGTA chr10 111565873 111565874 chr10:111565874:A:G rs1914076 A G G EBF1_EBF_1 27 0 - 0 0 . chr10 112080107 112080108 chr10:112080108:A:C rs2792690 A C C EBF1_EBF_1 -12 0 + 0 0 . chr10 112132193 112132194 chr10:112132194:T:C rs78695392 T C C EBF1_EBF_1 -9 0 - 0 0 . chr10 112183390 112183391 chr10:112183391:C:G rs569408798 C G C EBF1_EBF_1 18 0 + 0 0 . chr10 112279005 112279006 chr10:112279006:T:C rs56884579 T C C EBF1_EBF_1 17 0 - 0 0 . chr10 112279032 112279033 chr10:112279033:C:T rs58624758 C T C EBF1_EBF_1 8 1 - 6.985471285840058 2.759181196953797 ACTCCCCAGGAATT chr10 112288452 112288453 chr10:112288453:C:G rs111878028 C G C EBF1_EBF_1 0 1 + 4.3958650228058 4.758839636937567 CGTCCCCTGAGGCC chr10 112296795 112296796 chr10:112296796:T:A rs12258992 T A T EBF1_EBF_1 18 0 - 0 0 . chr10 112296821 112296822 chr10:112296822:G:A rs12251373 G A A EBF1_EBF_1 -8 0 - 0 0 . chr10 112308326 112308327 chr10:112308327:G:T rs190591804 G T G EBF1_EBF_1 -15 0 - 0 0 . chr10 112331000 112331001 chr10:112331001:C:T rs11195902 C T C EBF1_EBF_1 24 0 + 0 0 . chr10 112338851 112338852 chr10:112338852:T:C rs12569887 T C t EBF1_EBF_1 27 0 - 0 0 . chr10 112351443 112351444 chr10:112351444:G:A rs10885336 G A G EBF1_EBF_1 -14 0 - 0 0 . chr10 112680581 112680582 chr10:112680582:C:T rs7906919 C T T EBF1_EBF_1 27 0 + 0 0 . chr10 112716195 112716196 chr10:112716196:C:G rs7918958 C G G EBF1_EBF_1 -7 0 - 0 0 . chr10 112742458 112742459 chr10:112742459:G:A rs12217440 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 112790840 112790841 chr10:112790841:A:G rs76518708 A G A EBF1_EBF_1 0 1 + 5.1393104642931835 3.24702659472835 AGTCCCCTGGTAGG chr10 112825720 112825721 chr10:112825721:T:C rs481419 T C C EBF1_EBF_1 33 0 + 0 0 . chr10 112905668 112905669 chr10:112905669:C:G rs7098452 C G G EBF1_EBF_1 10 1 - 6.718487586522023 -0.17231480080745104 AGCCCCCAGGGTAC chr10 112911079 112911080 chr10:112911080:T:C rs7076475 T C C EBF1_EBF_1 18 0 - 0 0 . chr10 112915925 112915926 chr10:112915926:G:A rs776867620 G A G EBF1_EBF_1 -12 0 + 0 0 . chr10 112933999 112934000 chr10:112934000:G:A rs2148960 G A G EBF1_EBF_1 8 1 + 8.654403503289991 4.42811341440373 ATCCCCAAGAGAGT chr10 112951393 112951394 chr10:112951394:G:A rs546297376 G A G EBF1_EBF_1 12 1 - 4.115265114156191 3.088998543605341 TGCCCCGAGGGGCC chr10 112962234 112962235 chr10:112962235:G:C rs146991647 G C G EBF1_EBF_1 -1 0 + 0 0 . chr10 112984588 112984589 chr10:112984589:T:C rs140634278 T C C EBF1_EBF_1 21 0 - 0 0 . chr10 113021640 113021641 chr10:113021641:C:A rs10787473 C A C EBF1_EBF_1 5 1 + 5.087770164420396 -1.8171109882147711 CCCCCCCAGGGTCT chr10 113023043 113023044 chr10:113023044:T:C rs6585202 T C T EBF1_EBF_1 2 1 + 5.788201019943032 3.899377608500882 AGTCCAAAGGGAAA chr10 113128968 113128969 chr10:113128969:G:C rs1467576 G C C EBF1_EBF_1 14 0 - 0 0 . chr10 113144276 113144277 chr10:113144277:G:A rs7903424 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 113149222 113149223 chr10:113149223:G:C rs290488 G C C EBF1_EBF_1 2 1 - 8.588152108088194 3.728649829329875 AACCCCTTGGGAGA chr10 113272648 113272649 chr10:113272649:T:A rs10787482 T A G EBF1_EBF_1 21 0 + 0 0 . chr10 113272997 113272998 chr10:113272998:C:G rs79216637 C G C EBF1_EBF_1 28 0 + 0 0 . chr10 113310191 113310192 chr10:113310192:C:T rs11196296 C T C EBF1_EBF_1 -20 0 + 0 0 . chr10 113317842 113317843 chr10:113317843:G:A rs10787483 G A G EBF1_EBF_1 7 1 - 6.616059442042808 8.43356418832543 ACCCCCACGGGGCT chr10 113369501 113369502 chr10:113369502:C:T rs531790 C T T EBF1_EBF_1 15 0 + 0 0 . chr10 113385798 113385799 chr10:113385799:T:C rs11196314 T C T EBF1_EBF_1 -6 0 - 0 0 . chr10 113423651 113423652 chr10:113423652:T:A rs1338425 T A T EBF1_EBF_1 11 1 - 7.277563159934497 3.1796489038357727 CTTCCCTGGAGATT chr10 113427617 113427618 chr10:113427618:C:T rs35305725 C T C EBF1_EBF_1 9 1 - 5.8219511725241615 3.1670119070765583 CCTCCCCAAGGATT chr10 113436720 113436721 chr10:113436721:G:A rs11196330 G A G EBF1_EBF_1 22 0 - 0 0 . chr10 113437026 113437027 chr10:113437027:G:A rs11196331 G A G EBF1_EBF_1 8 1 + 7.151686164149248 2.925396075262986 TACCCCAAGGGAGA chr10 113443545 113443546 chr10:113443546:T:G rs10885455 T G T EBF1_EBF_1 33 0 - 0 0 . chr10 113454928 113454929 chr10:113454929:T:C rs749238 T C T EBF1_EBF_1 33 0 - 0 0 . chr10 113456850 113456851 chr10:113456851:C:T rs886094341 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 113456893 113456894 chr10:113456894:G:A rs17130403 G A G EBF1_EBF_1 -20 0 - 0 0 . chr10 113519877 113519878 chr10:113519878:C:T rs59167829 C T C EBF1_EBF_1 6 1 + 5.6382551168988115 5.939541245760569 CGCCCCCAGGGGCC chr10 113520051 113520052 chr10:113520052:A:G rs7100851 A G a EBF1_EBF_1 -3 0 + 0 0 . chr10 113525731 113525732 chr10:113525732:T:G rs140746303 T G T EBF1_EBF_1 -7 0 + 0 0 . chr10 113539197 113539198 chr10:113539198:A:G rs55760941 A G A EBF1_EBF_1 0 1 + 4.9233023512944545 3.031018481729619 ACTCCCTAGTGATC chr10 113569333 113569334 chr10:113569334:T:A rs2419839 T A A EBF1_EBF_1 -9 0 - 0 0 . chr10 113569586 113569587 chr10:113569587:G:A rs3850691 G A A EBF1_EBF_1 5 1 - 4.605211004335188 -0.7165762112054812 ACCCACTGGGGACT chr10 113603431 113603432 chr10:113603432:C:G rs114772684 C G C EBF1_EBF_1 4 1 + 4.659217464609127 -0.9665476365404181 CCCCCCAAGAGAGC chr10 113631852 113631853 chr10:113631853:G:A rs17090786 G A C EBF1_EBF_1 -15 0 + 0 0 . chr10 113636303 113636304 chr10:113636304:G:T rs3127096 G T G EBF1_EBF_1 22 0 + 0 0 . chr10 113679770 113679771 chr10:113679771:G:C rs28411397 G C G EBF1_EBF_1 30 0 + 0 0 . chr10 113705117 113705118 chr10:113705118:C:T rs137957498 C T C EBF1_EBF_1 12 1 + 5.196766755171702 4.1705001846208525 CTCCCCTGGAGACA chr10 113720822 113720823 chr10:113720823:T:C rs11196449 T C C EBF1_EBF_1 -18 0 + 0 0 . chr10 113733169 113733170 chr10:113733170:C:G rs10885497 C G C EBF1_EBF_1 26 0 + 0 0 . chr10 113781141 113781142 chr10:113781142:T:A rs12218072 T A A EBF1_EBF_1 -10 0 + 0 0 . chr10 113785105 113785106 chr10:113785106:A:C rs11196492 A C C EBF1_EBF_1 16 0 + 0 0 . chr10 113854165 113854166 chr10:113854166:A:C chr10:113854166:A:C A C A EBF1_EBF_1 1 1 + 7.243229552704648 7.314156730056732 CACCCCAAGGGAGC chr10 113854702 113854703 chr10:113854703:G:A rs530117240 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 113855226 113855227 chr10:113855227:C:T rs1337253360 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 113947609 113947610 chr10:113947610:A:G rs534580109 A G A EBF1_EBF_1 1 1 - 6.335740010636135 5.440306570237389 CTTCCCCAGGGCCA chr10 113958549 113958550 chr10:113958550:A:T rs1296772152 A T A EBF1_EBF_1 33 0 - 0 0 . chr10 113960265 113960266 chr10:113960266:C:T rs61368889 C T C EBF1_EBF_1 25 0 - 0 0 . chr10 113960270 113960271 chr10:113960271:G:A rs58338978 G A G EBF1_EBF_1 20 0 - 0 0 . chr10 113988160 113988161 chr10:113988161:T:G rs238760 T G G EBF1_EBF_1 -3 0 + 0 0 . chr10 114043792 114043793 chr10:114043793:C:T rs1480540399 C T C EBF1_EBF_1 7 1 - 5.6415956237224245 6.95405402901125 AACCCCCGGGGCCT chr10 114043795 114043796 chr10:114043796:G:A rs752321871 G A G EBF1_EBF_1 4 1 - 5.6415956237224245 1.2722086787774995 AACCCCCGGGGCCT chr10 114261214 114261215 chr10:114261215:C:G rs71484937 C G C EBF1_EBF_1 -15 0 + 0 0 . chr10 114306460 114306461 chr10:114306461:A:G rs1468498 A G A EBF1_EBF_1 25 0 - 0 0 . chr10 114343780 114343781 chr10:114343781:G:A rs186834041 G A G EBF1_EBF_1 5 1 - 5.6450543860352616 0.3232671704945916 GCTCCCCTGGGTCA chr10 114385941 114385942 chr10:114385942:C:G rs515392 C G - EBF1_EBF_1 20 0 - 0 0 . chr10 114405364 114405365 chr10:114405365:G:A rs7899139 G A A EBF1_EBF_1 -4 0 + 0 0 . chr10 114408107 114408108 chr10:114408108:G:A rs12356147 G A G EBF1_EBF_1 -12 0 + 0 0 . chr10 114408111 114408112 chr10:114408112:C:T rs11196729 C T C EBF1_EBF_1 10 1 - 4.823822449435549 -0.4942060098314171 GATCCCCGGGGCAC chr10 114472038 114472039 chr10:114472039:C:T rs187906397 C T C EBF1_EBF_1 29 0 + 0 0 . chr10 114535945 114535946 chr10:114535946:A:G rs7076323 A G A EBF1_EBF_1 7 1 - 6.4892917528494785 4.671787006566855 ATCCCCCTGGTAAA chr10 114542735 114542736 chr10:114542736:A:G rs11196782 A G a EBF1_EBF_1 29 0 + 0 0 . chr10 114571767 114571768 chr10:114571768:C:T rs116496379 C T C EBF1_EBF_1 -20 0 + 0 0 . chr10 114647646 114647647 chr10:114647647:C:T rs11196826 C T C EBF1_EBF_1 13 1 + 5.809226727268246 6.653720841853764 TATCCCAGGAGATC chr10 114648193 114648194 chr10:114648194:G:A rs10885589 G A G EBF1_EBF_1 11 1 + 7.195314976490817 10.088021820094827 ATACCCAAGGGGAC chr10 114683784 114683785 chr10:114683785:C:T rs78011423 C T C EBF1_EBF_1 29 0 - 0 0 . chr10 114694291 114694292 chr10:114694292:G:A rs113916838 G A G EBF1_EBF_1 31 0 - 0 0 . chr10 114708119 114708120 chr10:114708120:G:A rs808261 G A A EBF1_EBF_1 -20 0 + 0 0 . chr10 114749045 114749046 chr10:114749046:T:G rs11196898 T G T EBF1_EBF_1 -16 0 - 0 0 . chr10 114754830 114754831 chr10:114754831:G:A rs61869113 G A G EBF1_EBF_1 6 1 + 6.144316659313289 8.311111090587765 AGCCCCGAGAGATT chr10 114824602 114824603 chr10:114824603:T:C rs61867966 T C T EBF1_EBF_1 20 0 - 0 0 . chr10 114824626 114824627 chr10:114824627:C:T rs11196932 C T C EBF1_EBF_1 -4 0 - 0 0 . chr10 114829640 114829641 chr10:114829641:G:A rs10885613 G A g EBF1_EBF_1 31 0 - 0 0 . chr10 116049969 116049970 chr10:116049970:A:C rs180578 A C C EBF1_EBF_1 21 0 - 0 0 . chr10 116058585 116058586 chr10:116058586:T:C rs1679569 T C T EBF1_EBF_1 19 0 + 0 0 . chr10 116058586 116058587 chr10:116058587:C:T rs899698 C T C EBF1_EBF_1 20 0 + 0 0 . chr10 116098633 116098634 chr10:116098634:A:C rs3781511 A C C EBF1_EBF_1 -14 0 + 0 0 . chr10 116171165 116171166 chr10:116171166:A:C rs3781536 A C C EBF1_EBF_1 -18 0 - 0 0 . chr10 116201760 116201761 chr10:116201761:A:G rs3781550 A G A EBF1_EBF_1 -19 0 - 0 0 . chr10 116278864 116278865 chr10:116278865:G:A rs143925316 G A G EBF1_EBF_1 5 1 - 5.438421760878121 0.11663454533745117 AATCCCATTGGAAC chr10 116524794 116524795 chr10:116524795:T:C rs12266913 T C T EBF1_EBF_1 -1 0 - 0 0 . chr10 116609690 116609691 chr10:116609691:T:C rs7075196 T C C EBF1_EBF_1 -1 0 + 0 0 . chr10 116609708 116609709 chr10:116609709:C:A rs11197754 C A C EBF1_EBF_1 17 0 + 0 0 . chr10 116609910 116609911 chr10:116609911:C:G rs567910529 C G C EBF1_EBF_1 -19 0 + 0 0 . chr10 116632889 116632890 chr10:116632890:T:C rs10047264 T C T EBF1_EBF_1 23 0 - 0 0 . chr10 116688566 116688567 chr10:116688567:C:T rs1900500 C T C EBF1_EBF_1 21 0 + 0 0 . chr10 116691404 116691405 chr10:116691405:A:G rs58545354 A G A EBF1_EBF_1 29 0 - 0 0 . chr10 116699524 116699525 chr10:116699525:C:T rs3010488 C T T EBF1_EBF_1 33 0 - 0 0 . chr10 116708136 116708137 chr10:116708137:G:A rs10787718 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 116711238 116711239 chr10:116711239:C:T rs1900508 C T C EBF1_EBF_1 7 1 + 9.364217035183426 11.181721781466049 TCTCCCTCGGGACT chr10 116724265 116724266 chr10:116724266:C:T rs4752005 C T C EBF1_EBF_1 3 1 + 8.09568530349522 1.690286561941902 CTCCCCATGGGATC chr10 116726423 116726424 chr10:116726424:C:T rs1867988 C T T EBF1_EBF_1 -16 0 + 0 0 . chr10 116736466 116736467 chr10:116736467:A:G rs10787726 A G A EBF1_EBF_1 21 0 + 0 0 . chr10 116753973 116753974 chr10:116753974:G:C rs10736258 G C C EBF1_EBF_1 -1 0 + 0 0 . chr10 116765786 116765787 chr10:116765787:G:A rs111958451 G A G EBF1_EBF_1 -15 0 - 0 0 . chr10 116775091 116775092 chr10:116775092:G:A rs61874878 G A G EBF1_EBF_1 -8 0 + 0 0 . chr10 116775094 116775095 chr10:116775095:C:T rs192863689 C T C EBF1_EBF_1 -5 0 + 0 0 . chr10 116775409 116775410 chr10:116775410:G:A rs731762 G A G EBF1_EBF_1 -3 0 - 0 0 . chr10 116797881 116797882 chr10:116797882:G:C rs1637569 G C G EBF1_EBF_1 -7 0 + 0 0 . chr10 116832103 116832104 chr10:116832104:C:T rs1681723 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 116888595 116888596 chr10:116888596:A:G rs143335311 A G A EBF1_EBF_1 -15 0 + 0 0 . chr10 116939138 116939139 chr10:116939139:C:T rs191055376 C T C EBF1_EBF_1 31 0 - 0 0 . chr10 116978962 116978963 chr10:116978963:A:G chr10:116978963:A:G A G a EBF1_EBF_1 22 0 - 0 0 . chr10 116990429 116990430 chr10:116990430:A:G rs12253831 A G a EBF1_EBF_1 -3 0 - 0 0 . chr10 117007168 117007169 chr10:117007169:C:G rs74159021 C G C EBF1_EBF_1 27 0 + 0 0 . chr10 117216563 117216564 chr10:117216564:G:T rs73387889 G T G EBF1_EBF_1 6 1 - 4.721446621621994 5.083048989423272 CCTCCCCGAGGAAC chr10 117261602 117261603 chr10:117261603:T:G rs7085415 T G G EBF1_EBF_1 0 1 - 6.450406351712446 4.195147868015846 ATTCCCCAGGGCTG chr10 117302724 117302725 chr10:117302725:C:T rs2794418 C T C EBF1_EBF_1 0 1 - 4.1299764238790875 6.022260293443921 GCCCCCCTGGGTCC chr10 117346875 117346876 chr10:117346876:G:C rs2794416 G C G EBF1_EBF_1 -20 0 + 0 0 . chr10 117391733 117391734 chr10:117391734:G:A rs17546173 G A G EBF1_EBF_1 22 0 - 0 0 . chr10 117439596 117439597 chr10:117439597:A:G rs416281 A G A EBF1_EBF_1 13 1 - 7.7509145897566984 6.90642047517118 TCTCCCAGGAGACT chr10 117442137 117442138 chr10:117442138:G:A rs396129 G A A EBF1_EBF_1 20 0 - 0 0 . chr10 117443099 117443100 chr10:117443100:G:C rs385540 G C G EBF1_EBF_1 25 0 - 0 0 . chr10 117461593 117461594 chr10:117461594:G:T rs567664850 G T G EBF1_EBF_1 -1 0 + 0 0 . chr10 117510957 117510958 chr10:117510958:T:A rs7068819 T A A EBF1_EBF_1 -2 0 - 0 0 . chr10 117512106 117512107 chr10:117512107:T:C rs242986 T C T EBF1_EBF_1 6 1 - 8.364263292890357 6.1974688616158815 AGTCCCATAGGAAC chr10 117534818 117534819 chr10:117534819:A:G rs11198028 A G A EBF1_EBF_1 -20 0 + 0 0 . chr10 117536453 117536454 chr10:117536454:A:G rs111313778 A G A EBF1_EBF_1 18 0 - 0 0 . chr10 117539843 117539844 chr10:117539844:C:T rs34306087 C T C EBF1_EBF_1 5 1 + 5.015522419055315 -0.30626479648535515 AGCCCCTGGGGCAA chr10 117542877 117542878 chr10:117542878:C:G rs540237347 C G C EBF1_EBF_1 32 0 + 0 0 . chr10 117558292 117558293 chr10:117558293:C:G rs4752078 C G C EBF1_EBF_1 -7 0 + 0 0 . chr10 117609290 117609291 chr10:117609291:C:T rs78815926 C T C EBF1_EBF_1 30 0 + 0 0 . chr10 117622815 117622816 chr10:117622816:C:A rs181661 C A C EBF1_EBF_1 33 0 + 0 0 . chr10 117668172 117668173 chr10:117668173:T:G rs12784278 T G T EBF1_EBF_1 -13 0 - 0 0 . chr10 117747490 117747491 chr10:117747491:C:T rs7476731 C T C EBF1_EBF_1 17 0 - 0 0 . chr10 117804631 117804632 chr10:117804632:C:T rs11198112 C T C EBF1_EBF_1 11 1 - 4.83047478730219 7.723181630906201 TTACCCCAGGGGCC chr10 117838924 117838925 chr10:117838925:T:G rs2094020 T G T EBF1_EBF_1 0 1 + 9.518825807062928 9.599598360464116 TTCCCCCAGGGACC chr10 117838929 117838930 chr10:117838930:C:G rs72641384 C G C EBF1_EBF_1 5 1 + 9.518825807062928 2.613944654427759 TTCCCCCAGGGACC chr10 118047807 118047808 chr10:118047808:C:T rs2907599 C T C EBF1_EBF_1 3 1 + 7.043696579315656 0.6382978377623395 CTTCCCAAGGAAAT chr10 118047820 118047821 chr10:118047821:T:C rs79085101 T C T EBF1_EBF_1 16 0 + 0 0 . chr10 118234724 118234725 chr10:118234725:A:C rs72833671 A C C EBF1_EBF_1 -5 0 - 0 0 . chr10 118234735 118234736 chr10:118234736:T:C rs11198316 T C T EBF1_EBF_1 -16 0 - 0 0 . chr10 118295148 118295149 chr10:118295149:G:A rs34685641 G A G EBF1_EBF_1 4 1 - 5.610572446858513 1.2411855019135893 ACTCCGCAGGGAAC chr10 118342422 118342423 chr10:118342423:C:G chr10:118342423:C:G C G C EBF1_EBF_1 -17 0 - 0 0 . chr10 118359085 118359086 chr10:118359086:T:C rs236212 T C T EBF1_EBF_1 -10 0 + 0 0 . chr10 118386135 118386136 chr10:118386136:C:T rs7916161 C T C EBF1_EBF_1 19 0 - 0 0 . chr10 118434140 118434141 chr10:118434141:G:T rs12240742 G T - EBF1_EBF_1 9 1 + 6.6760444473727585 -0.16094496071605113 ATACCCAAAGGACT chr10 118506971 118506972 chr10:118506972:G:A rs12260435 G A G EBF1_EBF_1 -20 0 + 0 0 . chr10 118520334 118520335 chr10:118520335:G:A rs10886268 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 118566449 118566450 chr10:118566450:G:A rs11198488 G A G EBF1_EBF_1 17 0 + 0 0 . chr10 118595353 118595354 chr10:118595354:T:A rs78731480 T A T EBF1_EBF_1 13 1 + 10.929701119156798 9.711461555285304 CCTCCCTTGGGAAT chr10 118621789 118621790 chr10:118621790:C:T rs17662061 C T C EBF1_EBF_1 6 1 + 8.856193321952858 9.157479450814616 TCTCCCCGGGGAAA chr10 118662664 118662665 chr10:118662665:A:G rs1247128 A G G EBF1_EBF_1 2 1 - 7.106848351689653 5.218024940247502 ATTGCCCTGGGAAC chr10 118669380 118669381 chr10:118669381:C:T rs76172040 C T C EBF1_EBF_1 -17 0 + 0 0 . chr10 118748406 118748407 chr10:118748407:T:C rs1886901 T C C EBF1_EBF_1 -12 0 + 0 0 . chr10 118805245 118805246 chr10:118805246:G:T rs138019220 G T G EBF1_EBF_1 -13 0 - 0 0 . chr10 118809983 118809984 chr10:118809984:A:G rs34576207 A G A EBF1_EBF_1 -9 0 + 0 0 . chr10 118810041 118810042 chr10:118810042:A:T rs11593999 A T A EBF1_EBF_1 -2 0 - 0 0 . chr10 118816878 118816879 chr10:118816879:C:G rs7096371 C G C EBF1_EBF_1 32 0 + 0 0 . chr10 118818589 118818590 chr10:118818590:G:A rs11198634 G A G EBF1_EBF_1 -17 0 - 0 0 . chr10 118819243 118819244 chr10:118819244:C:T rs4752205 C T C EBF1_EBF_1 6 1 + 8.664855678769513 8.96614180763127 CATCCCCGGGGATT chr10 118819250 118819251 chr10:118819251:T:G rs10886313 T G G EBF1_EBF_1 13 1 + 8.664855678769513 6.33229054282858 CATCCCCGGGGATT chr10 118819533 118819534 chr10:118819534:A:C rs11198636 A C C EBF1_EBF_1 -9 0 + 0 0 . chr10 118826819 118826820 chr10:118826820:T:C rs4752212 T C C EBF1_EBF_1 -1 0 + 0 0 . chr10 118832180 118832181 chr10:118832181:C:T rs80224653 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 118843829 118843830 chr10:118843830:C:A rs4642993 C A C EBF1_EBF_1 -18 0 + 0 0 . chr10 118853869 118853870 chr10:118853870:C:T rs2420488 C T C EBF1_EBF_1 5 1 + 4.401794352301495 -0.9199928632391743 GGCCCCAGGGGCAT chr10 118857546 118857547 chr10:118857547:C:T rs10886325 C T C EBF1_EBF_1 -9 0 + 0 0 . chr10 118884963 118884964 chr10:118884964:T:C rs1853947 T C C EBF1_EBF_1 17 0 + 0 0 . chr10 118966603 118966604 chr10:118966604:T:G rs77907970 T G T EBF1_EBF_1 7 1 + 7.094705270204955 6.258521105003687 ATTGCCATGGGAAA chr10 118981132 118981133 chr10:118981133:C:A rs79594656 C A c EBF1_EBF_1 32 0 - 0 0 . chr10 118982566 118982567 chr10:118982567:C:A rs55935954 C A C EBF1_EBF_1 -4 0 - 0 0 . chr10 118994420 118994421 chr10:118994421:C:A rs690870 C A A EBF1_EBF_1 30 0 - 0 0 . chr10 119022024 119022025 chr10:119022025:A:G rs683874 A G G EBF1_EBF_1 33 0 + 0 0 . chr10 119029839 119029840 chr10:119029840:C:A chr10:119029840:C:A C A C EBF1_EBF_1 30 0 + 0 0 . chr10 119080274 119080275 chr10:119080275:A:T rs982216275 A T a EBF1_EBF_1 -6 0 + 0 0 . chr10 119133775 119133776 chr10:119133776:T:C rs78804492 T C T EBF1_EBF_1 28 0 - 0 0 . chr10 119135618 119135619 chr10:119135619:C:A rs7920891 C A A EBF1_EBF_1 25 0 - 0 0 . chr10 119135663 119135664 chr10:119135664:T:C rs115296734 T C T EBF1_EBF_1 -20 0 - 0 0 . chr10 119147019 119147020 chr10:119147020:T:A rs7079245 T A T EBF1_EBF_1 18 0 - 0 0 . chr10 119165920 119165921 chr10:119165921:G:C rs2146054 G C C EBF1_EBF_1 -14 0 - 0 0 . chr10 119243753 119243754 chr10:119243754:A:G rs10787939 A G A EBF1_EBF_1 -14 0 - 0 0 . chr10 119253867 119253868 chr10:119253868:G:C rs74157641 G C G EBF1_EBF_1 -17 0 - 0 0 . chr10 119323851 119323852 chr10:119323852:C:A rs11599102 C A A EBF1_EBF_1 -12 0 + 0 0 . chr10 119362669 119362670 chr10:119362670:C:A rs17098773 C A C EBF1_EBF_1 -5 0 + 0 0 . chr10 119396626 119396627 chr10:119396627:G:C rs3781495 G C G EBF1_EBF_1 -17 0 - 0 0 . chr10 119418481 119418482 chr10:119418482:T:A chr10:119418482:T:A T A T EBF1_EBF_1 2 1 + 5.741883905730527 2.05741631813165 TGTCCCCAGGGCGT chr10 119421954 119421955 chr10:119421955:T:C rs76208362 T C T EBF1_EBF_1 12 1 + 8.088490672616189 9.114757243167038 CACCCCCAGGGATT chr10 119430527 119430528 chr10:119430528:C:G rs10787967 C G G EBF1_EBF_1 15 0 - 0 0 . chr10 119442685 119442686 chr10:119442686:C:T rs115259852 C T C EBF1_EBF_1 14 0 + 0 0 . chr10 119449350 119449351 chr10:119449351:T:C rs7084779 T C C EBF1_EBF_1 18 0 - 0 0 . chr10 119449929 119449930 chr10:119449930:C:T rs116667232 C T C EBF1_EBF_1 25 0 - 0 0 . chr10 119453481 119453482 chr10:119453482:C:A rs7094661 C A C EBF1_EBF_1 -14 0 - 0 0 . chr10 119468043 119468044 chr10:119468044:C:T rs10886494 C T C EBF1_EBF_1 24 0 - 0 0 . chr10 119489790 119489791 chr10:119489791:G:A rs150846393 G A G EBF1_EBF_1 24 0 + 0 0 . chr10 119489791 119489792 chr10:119489792:A:G rs977684837 A G A EBF1_EBF_1 25 0 + 0 0 . chr10 119524653 119524654 chr10:119524654:C:T rs3009919 C T C EBF1_EBF_1 -12 0 + 0 0 . chr10 119528988 119528989 chr10:119528989:G:A rs17098973 G A G EBF1_EBF_1 23 0 - 0 0 . chr10 119539333 119539334 chr10:119539334:G:C rs6585552 G C G EBF1_EBF_1 3 1 - 8.58507072331692 2.757306275201703 AAACCCTTGGGAAC chr10 119541246 119541247 chr10:119541247:C:A rs2917930 C A a EBF1_EBF_1 -15 0 + 0 0 . chr10 119543387 119543388 chr10:119543388:C:A rs184518045 C A c EBF1_EBF_1 13 1 + 6.003823674092582 5.630078224806606 CGTCCCCAGGGCCC chr10 119630405 119630406 chr10:119630406:A:G rs196266 A G G EBF1_EBF_1 -8 0 - 0 0 . chr10 119667712 119667713 chr10:119667713:G:A rs73352000 G A G EBF1_EBF_1 19 0 + 0 0 . chr10 119668135 119668136 chr10:119668136:C:T chr10:119668136:C:T C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 119687190 119687191 chr10:119687191:G:A rs10886531 G A a EBF1_EBF_1 20 0 + 0 0 . chr10 119689656 119689657 chr10:119689657:C:A rs542886497 C A C EBF1_EBF_1 21 0 - 0 0 . chr10 119711354 119711355 chr10:119711355:C:T rs10466211 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 119725531 119725532 chr10:119725532:G:A rs117541381 G A G EBF1_EBF_1 27 0 - 0 0 . chr10 119889355 119889356 chr10:119889356:T:C rs11199112 T C T EBF1_EBF_1 -9 0 + 0 0 . chr10 119958419 119958420 chr10:119958420:G:A rs11199132 G A G EBF1_EBF_1 14 0 + 0 0 . chr10 119974480 119974481 chr10:119974481:T:C rs10788008 T C C EBF1_EBF_1 28 0 - 0 0 . chr10 120051812 120051813 chr10:120051813:C:G rs4237514 C G C EBF1_EBF_1 21 0 + 0 0 . chr10 120086405 120086406 chr10:120086406:G:A rs11594146 G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 120127986 120127987 chr10:120127987:T:C rs1929686 T C C EBF1_EBF_1 -19 0 + 0 0 . chr10 120160764 120160765 chr10:120160765:T:G rs61057414 T G T EBF1_EBF_1 8 1 - 5.575927828445205 4.48355905641678 GTTCCCCGAGGACA chr10 120271179 120271180 chr10:120271180:T:A rs80329564 T A T EBF1_EBF_1 7 1 - 7.712102369644054 7.235828129556496 ATTCCAAAGGGAAT chr10 120335671 120335672 chr10:120335672:T:C rs2420698 T C C EBF1_EBF_1 15 0 - 0 0 . chr10 120335693 120335694 chr10:120335694:T:G rs77864471 T G G EBF1_EBF_1 -7 0 - 0 0 . chr10 120431073 120431074 chr10:120431074:T:A rs11199336 T A T EBF1_EBF_1 29 0 + 0 0 . chr10 120486774 120486775 chr10:120486775:G:A rs4595466 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 120499725 120499726 chr10:120499726:C:G rs2463153 C G G EBF1_EBF_1 -1 0 + 0 0 . chr10 120500079 120500080 chr10:120500080:A:G rs12784524 A G G EBF1_EBF_1 -19 0 + 0 0 . chr10 120585819 120585820 chr10:120585820:C:T rs11596765 C T C EBF1_EBF_1 -12 0 - 0 0 . chr10 120595497 120595498 chr10:120595498:C:T rs11199431 C T C EBF1_EBF_1 -6 0 - 0 0 . chr10 120644998 120644999 chr10:120644999:C:A rs61871971 C A C EBF1_EBF_1 8 1 - 7.232815587238333 0.341382798261139 AGTCCCCAGGGCTC chr10 120715821 120715822 chr10:120715822:T:C rs17100647 T C C EBF1_EBF_1 -20 0 - 0 0 . chr10 120775074 120775075 chr10:120775075:T:C rs11199546 T C T EBF1_EBF_1 -7 0 + 0 0 . chr10 120788970 120788971 chr10:120788971:A:C rs2186168 A C A EBF1_EBF_1 -19 0 - 0 0 . chr10 120839398 120839399 chr10:120839399:C:G rs1550345 C G C EBF1_EBF_1 -17 0 + 0 0 . chr10 120918567 120918568 chr10:120918568:C:T rs10886803 C T C EBF1_EBF_1 32 0 - 0 0 . chr10 120939723 120939724 chr10:120939724:G:A rs1617065 G A G EBF1_EBF_1 6 1 + 7.668658835958586 9.835453267233058 ATCCCCGAGGGGAT chr10 120949942 120949943 chr10:120949943:G:A chr10:120949943:G:A G A G EBF1_EBF_1 13 1 + 7.200256507690162 8.314582079759601 ATTCCCTGGAGATG chr10 120963806 120963807 chr10:120963807:A:G rs145128690 A G A EBF1_EBF_1 16 0 - 0 0 . chr10 121078234 121078235 chr10:121078235:A:C rs80062034 A C A EBF1_EBF_1 -6 0 - 0 0 . chr10 121084707 121084708 chr10:121084708:G:C rs964025584 G C G EBF1_EBF_1 14 0 - 0 0 . chr10 121173619 121173620 chr10:121173620:C:T rs12354663 C T C EBF1_EBF_1 -12 0 - 0 0 . chr10 121240035 121240036 chr10:121240036:G:A rs878409 G A a EBF1_EBF_1 33 0 + 0 0 . chr10 121262269 121262270 chr10:121262270:C:T rs11199861 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 121382343 121382344 chr10:121382344:C:G rs143404773 C G C EBF1_EBF_1 -4 0 + 0 0 . chr10 121394474 121394475 chr10:121394475:A:G rs9421421 A G A EBF1_EBF_1 24 0 + 0 0 . chr10 121434740 121434741 chr10:121434741:G:A rs7084101 G A G EBF1_EBF_1 -6 0 + 0 0 . chr10 121457992 121457993 chr10:121457993:C:T rs7079213 C T C EBF1_EBF_1 -14 0 + 0 0 . chr10 121465364 121465365 chr10:121465365:A:G rs7911727 A G A EBF1_EBF_1 -12 0 + 0 0 . chr10 121524839 121524840 chr10:121524840:C:T rs191044852 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 121567678 121567679 chr10:121567679:G:C rs3135726 G C G EBF1_EBF_1 12 1 - 4.763851637061816 3.3752156933836637 CCTGCCAAGGGACC chr10 121621740 121621741 chr10:121621741:C:T rs4752572 C T C EBF1_EBF_1 -8 0 + 0 0 . chr10 121624874 121624875 chr10:121624875:G:A rs11598352 G A G EBF1_EBF_1 1 1 - 5.898809151035131 6.794242591433877 ACTCGCGAGGGACT chr10 121677968 121677969 chr10:121677969:T:G rs731594 T G T EBF1_EBF_1 7 1 - 6.963561424485768 4.669782438115587 CCTCCCCAGGGGCA chr10 121697099 121697100 chr10:121697100:A:C rs137881378 A C A EBF1_EBF_1 -5 0 - 0 0 . chr10 121730805 121730806 chr10:121730806:G:C rs1696830 G C C EBF1_EBF_1 29 0 + 0 0 . chr10 121765192 121765193 chr10:121765193:A:G rs2132910 A G g EBF1_EBF_1 -7 0 + 0 0 . chr10 121864789 121864790 chr10:121864790:T:C rs11200201 T C T EBF1_EBF_1 -5 0 + 0 0 . chr10 121929850 121929851 chr10:121929851:T:A rs11200267 T A T EBF1_EBF_1 -17 0 + 0 0 . chr10 121950468 121950469 chr10:121950469:C:T rs111916939 C T C EBF1_EBF_1 18 0 + 0 0 . chr10 122102680 122102681 chr10:122102681:A:G rs886617525 A G A EBF1_EBF_1 -9 0 - 0 0 . chr10 122114561 122114562 chr10:122114562:G:C rs12251221 G C G EBF1_EBF_1 11 1 + 9.4003162938837 7.877061439635323 ATTCCCACGGGGCT chr10 122115954 122115955 chr10:122115955:A:G rs113489977 A G G EBF1_EBF_1 -4 0 + 0 0 . chr10 122115969 122115970 chr10:122115970:A:G rs112698648 A G A EBF1_EBF_1 11 1 + 4.47990471071434 1.5871978671103284 ATCCCCTTGTGACC chr10 122120028 122120029 chr10:122120029:G:A rs10887078 G A A EBF1_EBF_1 -16 0 + 0 0 . chr10 122133108 122133109 chr10:122133109:C:T rs7922980 C T C EBF1_EBF_1 18 0 - 0 0 . chr10 122137593 122137594 chr10:122137594:T:C rs4752654 T C C EBF1_EBF_1 -8 0 + 0 0 . chr10 122142196 122142197 chr10:122142197:C:T rs10788250 C T T EBF1_EBF_1 -9 0 + 0 0 . chr10 122144178 122144179 chr10:122144179:A:G rs7917144 A G G EBF1_EBF_1 -5 0 - 0 0 . chr10 122149295 122149296 chr10:122149296:T:C rs17638681 T C T EBF1_EBF_1 -17 0 - 0 0 . chr10 122161772 122161773 chr10:122161773:G:A rs12355672 G A G EBF1_EBF_1 -15 0 + 0 0 . chr10 122198204 122198205 chr10:122198205:T:C rs4752668 T C C EBF1_EBF_1 7 1 + 6.333965134640817 4.516460388358193 AGTCCCATGAGCCT chr10 122199159 122199160 chr10:122199160:C:G rs11200468 C G C EBF1_EBF_1 23 0 - 0 0 . chr10 122206435 122206436 chr10:122206436:G:A rs35176001 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 122233128 122233129 chr10:122233129:G:A rs963534 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 122247013 122247014 chr10:122247014:T:C rs11200500 T C T EBF1_EBF_1 14 0 + 0 0 . chr10 122264852 122264853 chr10:122264853:A:C rs35083075 A C A EBF1_EBF_1 9 1 + 7.29034385891151 4.869593978013649 AATCCCTGGAGAAG chr10 122282038 122282039 chr10:122282039:C:T rs17103331 C T C EBF1_EBF_1 0 1 - 4.919724849948889 6.812008719513721 GTTCCCAAGGGCTG chr10 122290911 122290912 chr10:122290912:G:A rs150990184 G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 122299072 122299073 chr10:122299073:A:G rs1330397417 A G A EBF1_EBF_1 -20 0 + 0 0 . chr10 122310637 122310638 chr10:122310638:A:G rs10788277 A G G EBF1_EBF_1 7 1 - 6.116968123863915 4.299463377581291 TCTCCCCTGGGGTC chr10 122347243 122347244 chr10:122347244:G:A rs11595694 G A G EBF1_EBF_1 24 0 + 0 0 . chr10 122353909 122353910 chr10:122353910:A:G rs376538009 A G A EBF1_EBF_1 31 0 + 0 0 . chr10 122375078 122375079 chr10:122375079:C:T rs905272908 C T c EBF1_EBF_1 0 1 + 4.74606659811502 5.028268658845597 CTTCCCGTGGGTCC chr10 122481205 122481206 chr10:122481206:T:G rs67307815 T G G EBF1_EBF_1 -17 0 + 0 0 . chr10 122488725 122488726 chr10:122488726:C:G rs12267142 C G C EBF1_EBF_1 12 1 - 10.0474742560844 11.436110199762549 CCTCCCAAGGGAGT chr10 122498901 122498902 chr10:122498902:C:T rs76728606 C T C EBF1_EBF_1 6 1 + 7.681727878962904 7.983014007824662 GGTCCCCTGAGAAC chr10 122518228 122518229 chr10:122518229:G:A rs28738684 G A A EBF1_EBF_1 33 0 - 0 0 . chr10 122520022 122520023 chr10:122520023:G:A rs149990530 G A g EBF1_EBF_1 -12 0 - 0 0 . chr10 122524602 122524603 chr10:122524603:C:T rs28592583 C T c EBF1_EBF_1 -11 0 + 0 0 . chr10 122529207 122529208 chr10:122529208:G:A rs7902878 G A G EBF1_EBF_1 16 0 + 0 0 . chr10 122556632 122556633 chr10:122556633:G:A rs3019479 G A G EBF1_EBF_1 31 0 - 0 0 . chr10 122557726 122557727 chr10:122557727:G:A rs3013228 G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 122562453 122562454 chr10:122562454:C:T rs4752708 C T c EBF1_EBF_1 22 0 - 0 0 . chr10 122568784 122568785 chr10:122568785:T:A rs3019528 T A A EBF1_EBF_1 17 0 - 0 0 . chr10 122568804 122568805 chr10:122568805:T:G rs3019529 T G T EBF1_EBF_1 -3 0 - 0 0 . chr10 122569700 122569701 chr10:122569701:G:A rs2981786 G A g EBF1_EBF_1 29 0 + 0 0 . chr10 122574368 122574369 chr10:122574369:A:G rs2981805 A G A EBF1_EBF_1 6 1 + 10.949413295354146 8.782618864079673 TTTCCCATGGGAAA chr10 122579932 122579933 chr10:122579933:T:C rs4339974 T C T EBF1_EBF_1 6 1 - 5.432784401842561 3.265989970568085 CACCCCAAGAGAGT chr10 122585478 122585479 chr10:122585479:T:C rs11528754 T C T EBF1_EBF_1 -19 0 - 0 0 . chr10 122632711 122632712 chr10:122632712:A:G rs41308689 A G G EBF1_EBF_1 -11 0 + 0 0 . chr10 122636941 122636942 chr10:122636942:T:C rs3888714 T C T EBF1_EBF_1 33 0 - 0 0 . chr10 122642542 122642543 chr10:122642543:A:G rs75085292 A G A EBF1_EBF_1 -16 0 - 0 0 . chr10 122648457 122648458 chr10:122648458:T:C rs79299700 T C T EBF1_EBF_1 -6 0 + 0 0 . chr10 122658871 122658872 chr10:122658872:G:A rs4752718 G A G EBF1_EBF_1 5 1 - 6.284666774263819 0.9628795587231487 TGTCCCCAGAGAGA chr10 122660772 122660773 chr10:122660773:C:G rs12782312 C G C EBF1_EBF_1 32 0 - 0 0 . chr10 122665003 122665004 chr10:122665004:T:G rs3013195 T G G EBF1_EBF_1 6 1 - 8.134975045091926 7.773372677290649 TCCCCCATGGGACA chr10 122704523 122704524 chr10:122704524:C:T rs117268291 C T C EBF1_EBF_1 8 1 - 5.292548430068778 1.0662583411825166 ATTCACCCGGGAAC chr10 122802516 122802517 chr10:122802517:T:A rs112065914 T A T EBF1_EBF_1 24 0 + 0 0 . chr10 122820704 122820705 chr10:122820705:G:C rs7895289 G C C EBF1_EBF_1 -3 0 - 0 0 . chr10 122847223 122847224 chr10:122847224:T:C rs111487277 T C T EBF1_EBF_1 6 1 + 8.768918453273386 8.467632324411628 CCTCCCTAGGGAGA chr10 122912106 122912107 chr10:122912107:T:C rs993252425 T C T EBF1_EBF_1 -2 0 + 0 0 . chr10 122912138 122912139 chr10:122912139:C:T rs7921647 C T t EBF1_EBF_1 30 0 + 0 0 . chr10 122954638 122954639 chr10:122954639:G:T rs368735236 G T G EBF1_EBF_1 -1 0 - 0 0 . chr10 122980231 122980232 chr10:122980232:C:T rs7902253 C T T EBF1_EBF_1 28 0 - 0 0 . chr10 123008462 123008463 chr10:123008463:G:A chr10:123008463:G:A G A G EBF1_EBF_1 13 1 - 7.331693605126771 8.17618771971229 AACCCCGAGGGAGC chr10 123135226 123135227 chr10:123135227:G:A rs11248410 G A G EBF1_EBF_1 -8 0 - 0 0 . chr10 123147746 123147747 chr10:123147747:C:G rs115360094 C G C EBF1_EBF_1 20 0 - 0 0 . chr10 123154565 123154566 chr10:123154566:C:T rs17585356 C T C EBF1_EBF_1 3 1 + 5.777817932283159 -0.6275808092701587 ATTCGCAGGGGATC chr10 123212518 123212519 chr10:123212519:T:A rs752920 T A A EBF1_EBF_1 -15 0 + 0 0 . chr10 123237625 123237626 chr10:123237626:C:T rs11248469 C T C EBF1_EBF_1 24 0 - 0 0 . chr10 123240697 123240698 chr10:123240698:G:T rs117715403 G T G EBF1_EBF_1 2 1 - 6.400517265923879 4.604873089767153 GTCCCCCAGAGAGT chr10 123250715 123250716 chr10:123250716:T:C rs72831281 T C C EBF1_EBF_1 1 1 - 5.433551407168585 5.694250239089887 CACCCCCAGAGATT chr10 123256794 123256795 chr10:123256795:C:T rs36051759 C T C EBF1_EBF_1 25 0 + 0 0 . chr10 123334438 123334439 chr10:123334439:G:A rs7902912 G A G EBF1_EBF_1 -10 0 - 0 0 . chr10 123365634 123365635 chr10:123365635:A:G rs7894765 A G G EBF1_EBF_1 -11 0 + 0 0 . chr10 123391879 123391880 chr10:123391880:A:G rs72833301 A G A EBF1_EBF_1 -10 0 + 0 0 . chr10 123419889 123419890 chr10:123419890:T:C rs11594959 T C T EBF1_EBF_1 22 0 + 0 0 . chr10 123455090 123455091 chr10:123455091:C:T rs6599697 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 123472551 123472552 chr10:123472552:C:A rs12244603 C A C EBF1_EBF_1 -16 0 + 0 0 . chr10 123474014 123474015 chr10:123474015:A:C rs697369 A C C EBF1_EBF_1 0 1 + 8.01909736947785 5.763838885781251 AATCCCCTGGGGTC chr10 123526420 123526421 chr10:123526421:A:C rs705170 A C A EBF1_EBF_1 -15 0 - 0 0 . chr10 123553251 123553252 chr10:123553252:G:A rs705181 G A G EBF1_EBF_1 3 1 - 6.289389826261677 -0.11600891529164081 AACCCCAAGGGCTT chr10 123557866 123557867 chr10:123557867:C:T rs73374189 C T C EBF1_EBF_1 -6 0 + 0 0 . chr10 123559308 123559309 chr10:123559309:C:A rs79287471 C A C EBF1_EBF_1 0 1 - 5.095337466015479 5.01456491261429 GTTCCCAGGGGCTC chr10 123560026 123560027 chr10:123560027:T:C rs7893296 T C T EBF1_EBF_1 -14 0 + 0 0 . chr10 123560593 123560594 chr10:123560594:G:A rs35155830 G A G EBF1_EBF_1 -8 0 + 0 0 . chr10 123570431 123570432 chr10:123570432:G:A rs77750572 G A G EBF1_EBF_1 21 0 + 0 0 . chr10 123574429 123574430 chr10:123574430:T:G rs880413 T G G EBF1_EBF_1 -18 0 + 0 0 . chr10 123575432 123575433 chr10:123575433:C:T rs1317737 C T C EBF1_EBF_1 10 1 - 6.050400382717477 0.7323719234505108 ATTGCCCTGGGATC chr10 123584456 123584457 chr10:123584457:G:A rs57952672 G A G EBF1_EBF_1 2 1 - 4.4911049693421745 6.379928380784325 CGCCCCTGGAGACA chr10 123596266 123596267 chr10:123596267:C:T rs58714078 C T C EBF1_EBF_1 -17 0 + 0 0 . chr10 123597199 123597200 chr10:123597200:C:T rs953557 C T C EBF1_EBF_1 32 0 + 0 0 . chr10 123646220 123646221 chr10:123646221:G:A rs72840445 G A G EBF1_EBF_1 25 0 + 0 0 . chr10 123649420 123649421 chr10:123649421:C:T rs6599712 C T C EBF1_EBF_1 29 0 - 0 0 . chr10 123704087 123704088 chr10:123704088:A:C rs4980202 A C A EBF1_EBF_1 -16 0 - 0 0 . chr10 123706446 123706447 chr10:123706447:A:T rs6599617 A T T EBF1_EBF_1 27 0 - 0 0 . chr10 123710463 123710464 chr10:123710464:G:T rs79828451 G T G EBF1_EBF_1 30 0 - 0 0 . chr10 123720758 123720759 chr10:123720759:G:T rs67116405 G T G EBF1_EBF_1 22 0 - 0 0 . chr10 123732588 123732589 chr10:123732589:A:G rs11248259 A G A EBF1_EBF_1 7 1 - 11.855269400073738 10.037764653791115 CTTCCCATGGGACT chr10 123760545 123760546 chr10:123760546:G:A rs3808966 G A G EBF1_EBF_1 -16 0 - 0 0 . chr10 123760673 123760674 chr10:123760674:G:A rs1914539 G A G EBF1_EBF_1 5 1 - 6.006545207272714 0.6847579917320452 ATCCCCTGCGGACT chr10 123768959 123768960 chr10:123768960:A:G rs1219723 A G A EBF1_EBF_1 -1 0 - 0 0 . chr10 123772910 123772911 chr10:123772911:G:A rs1408157617 G A - EBF1_EBF_1 15 0 + 0 0 . chr10 123842164 123842165 chr10:123842165:G:A rs7923509 G A G EBF1_EBF_1 -8 0 + 0 0 . chr10 123854708 123854709 chr10:123854709:G:A rs28424121 G A G EBF1_EBF_1 -17 0 + 0 0 . chr10 123854757 123854758 chr10:123854758:G:A rs80337903 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 123858748 123858749 chr10:123858749:T:A rs74162957 T A T EBF1_EBF_1 -14 0 + 0 0 . chr10 123862169 123862170 chr10:123862170:C:T rs183248985 C T C EBF1_EBF_1 0 1 - 4.722983080151384 6.615266949716216 GTTCCCCCGGGTCA chr10 123884150 123884151 chr10:123884151:A:G rs79746537 A G A EBF1_EBF_1 23 0 + 0 0 . chr10 123890853 123890854 chr10:123890854:A:G rs28445838 A G G EBF1_EBF_1 9 1 + 4.804955500831536 7.459894766279139 GGACCCAAGAGACC chr10 123893667 123893668 chr10:123893668:A:G rs28624661 A G G EBF1_EBF_1 -20 0 + 0 0 . chr10 123913994 123913995 chr10:123913995:C:G rs138018900 C G C EBF1_EBF_1 21 0 - 0 0 . chr10 123913996 123913997 chr10:123913997:G:A rs61861939 G A G EBF1_EBF_1 19 0 - 0 0 . chr10 123914030 123914031 chr10:123914031:T:G rs4329614 T G G EBF1_EBF_1 -15 0 - 0 0 . chr10 123916238 123916239 chr10:123916239:A:T rs28534680 A T T EBF1_EBF_1 -20 0 - 0 0 . chr10 123916504 123916505 chr10:123916505:A:G rs17618205 A G G EBF1_EBF_1 -15 0 - 0 0 . chr10 123926795 123926796 chr10:123926796:A:G rs61861976 A G G EBF1_EBF_1 -5 0 + 0 0 . chr10 123929299 123929300 chr10:123929300:G:A rs77155783 G A G EBF1_EBF_1 13 1 - 5.699380147237085 6.543874261822603 CCTCCCATGGGCCC chr10 123943506 123943507 chr10:123943507:T:C rs6588746 T C C EBF1_EBF_1 -3 0 + 0 0 . chr10 123945950 123945951 chr10:123945951:G:A rs115345639 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 123950651 123950652 chr10:123950652:C:A rs28469573 C A C EBF1_EBF_1 18 0 + 0 0 . chr10 123966853 123966854 chr10:123966854:G:A rs76662805 G A G EBF1_EBF_1 2 1 - 5.720096882487925 7.608920293930076 AGCCCCTGGAGATA chr10 123967805 123967806 chr10:123967806:C:T rs887096483 C T C EBF1_EBF_1 18 0 - 0 0 . chr10 123993688 123993689 chr10:123993689:C:T rs4929808 C T C EBF1_EBF_1 5 1 + 5.208970862182784 -0.11281635335788685 TGTCCCTTGGAACC chr10 123994247 123994248 chr10:123994248:C:T rs9422275 C T c EBF1_EBF_1 -15 0 + 0 0 . chr10 123994861 123994862 chr10:123994862:C:A rs372208866 C A C EBF1_EBF_1 11 1 + 4.61456204784555 9.030523745697941 AACCCCAGGGGCGT chr10 123994870 123994871 chr10:123994871:G:T rs753383259 G T G EBF1_EBF_1 20 0 + 0 0 . chr10 124000809 124000810 chr10:124000810:A:G rs74642449 A G a EBF1_EBF_1 -17 0 + 0 0 . chr10 124003146 124003147 chr10:124003147:A:G rs28582646 A G A EBF1_EBF_1 14 0 - 0 0 . chr10 124006212 124006213 chr10:124006213:C:T rs113722165 C T C EBF1_EBF_1 -16 0 + 0 0 . chr10 124013786 124013787 chr10:124013787:T:C rs4244799 T C C EBF1_EBF_1 -9 0 + 0 0 . chr10 124055449 124055450 chr10:124055450:C:G rs4929798 C G C EBF1_EBF_1 19 0 + 0 0 . chr10 124064481 124064482 chr10:124064482:G:A rs77493443 G A G EBF1_EBF_1 -14 0 - 0 0 . chr10 124106260 124106261 chr10:124106261:T:G rs9422302 T G G EBF1_EBF_1 1 1 - 5.286668913342264 5.357596090694348 AACCCCATGGGTTC chr10 124124205 124124206 chr10:124124206:G:A rs975878595 G A g EBF1_EBF_1 -19 0 + 0 0 . chr10 124128283 124128284 chr10:124128284:G:A rs906245207 G A G EBF1_EBF_1 -2 0 - 0 0 . chr10 124152702 124152703 chr10:124152703:A:C rs3922688 A C C EBF1_EBF_1 9 1 + 6.298278313951229 3.877528433053369 TATCCCCAGAGACG chr10 124170926 124170927 chr10:124170927:T:G rs36183228 T G T EBF1_EBF_1 -11 0 + 0 0 . chr10 124174694 124174695 chr10:124174695:C:G rs1015089124 C G C EBF1_EBF_1 28 0 + 0 0 . chr10 124177175 124177176 chr10:124177176:T:C rs922533526 T C C EBF1_EBF_1 10 1 + 6.3595884665308615 3.651538265428652 AGTCCCATGGTGAT chr10 124178039 124178040 chr10:124178040:T:C rs1048759136 T C T EBF1_EBF_1 7 1 - 5.577801048722886 4.26534264343406 CTTCCCCAGAGGCC chr10 124179398 124179399 chr10:124179399:A:T rs966991581 A T A EBF1_EBF_1 11 1 + 9.088008310796582 4.990094054697857 CTTCCCTGGGGATC chr10 124225296 124225297 chr10:124225297:A:G rs1037170917 A G G EBF1_EBF_1 15 0 + 0 0 . chr10 124234352 124234353 chr10:124234353:A:G rs7071778 A G G EBF1_EBF_1 11 1 + 4.918307613619201 2.0256007700151892 AGTCACACGGGACC chr10 124240635 124240636 chr10:124240636:T:C rs4387318 T C C EBF1_EBF_1 -7 0 + 0 0 . chr10 124240670 124240671 chr10:124240671:G:A rs4503487 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 124243584 124243585 chr10:124243585:A:G rs4075027 A G G EBF1_EBF_1 31 0 + 0 0 . chr10 124256537 124256538 chr10:124256538:A:T rs148906636 A T A EBF1_EBF_1 25 0 - 0 0 . chr10 124256564 124256565 chr10:124256565:C:T rs143581222 C T C EBF1_EBF_1 -2 0 - 0 0 . chr10 124273252 124273253 chr10:124273253:G:A rs10751602 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 124292946 124292947 chr10:124292947:C:T rs538884934 C T C EBF1_EBF_1 8 1 - 6.394865087465206 2.168574998578944 ACTCCCTCGGGTCC chr10 124293519 124293520 chr10:124293520:C:T rs4326749 C T C EBF1_EBF_1 18 0 - 0 0 . chr10 124296534 124296535 chr10:124296535:C:A rs111778657 C A C EBF1_EBF_1 -11 0 + 0 0 . chr10 124303736 124303737 chr10:124303737:C:T rs7098121 C T T EBF1_EBF_1 5 1 + 5.519884800230493 0.19809758468982303 GCACCCCAGGGAGC chr10 124303740 124303741 chr10:124303741:G:A rs76818591 G A A EBF1_EBF_1 9 1 + 5.519884800230493 2.864945534782889 GCACCCCAGGGAGC chr10 124313530 124313531 chr10:124313531:G:A rs10901858 G A A EBF1_EBF_1 28 0 + 0 0 . chr10 124322960 124322961 chr10:124322961:A:G rs10901869 A G A EBF1_EBF_1 -12 0 + 0 0 . chr10 124341514 124341515 chr10:124341515:C:T rs6597696 C T C EBF1_EBF_1 -14 0 + 0 0 . chr10 124341551 124341552 chr10:124341552:C:T rs6597697 C T C EBF1_EBF_1 23 0 + 0 0 . chr10 124346695 124346696 chr10:124346696:C:T rs61863784 C T C EBF1_EBF_1 1 1 + 6.118081740495585 7.01351518089433 CCTCCCAAGGAACT chr10 124357804 124357805 chr10:124357805:C:T rs10901389 C T T EBF1_EBF_1 33 0 - 0 0 . chr10 124368121 124368122 chr10:124368122:G:A rs76369894 G A G EBF1_EBF_1 7 1 + 4.973467283931247 6.285925689220072 TCTCCCCGAGGACC chr10 124375061 124375062 chr10:124375062:A:G rs1467175 A G A EBF1_EBF_1 2 1 - 9.481215848490223 7.592392437048074 AGTCCCGAGGGAGC chr10 124387116 124387117 chr10:124387117:T:C rs2807066 T C T EBF1_EBF_1 22 0 - 0 0 . chr10 124420427 124420428 chr10:124420428:A:C rs12267839 A C C EBF1_EBF_1 14 0 + 0 0 . chr10 124447907 124447908 chr10:124447908:T:G chr10:124447908:T:G T G T EBF1_EBF_1 33 0 - 0 0 . chr10 124447911 124447912 chr10:124447912:A:C chr10:124447912:A:C A C A EBF1_EBF_1 29 0 - 0 0 . chr10 124450247 124450248 chr10:124450248:T:G rs1313280 T G G EBF1_EBF_1 -19 0 + 0 0 . chr10 124450658 124450659 chr10:124450659:C:G rs1254957 C G G EBF1_EBF_1 3 1 + 6.935989513120377 1.1082250650051606 TTTCCCCAGGGTCA chr10 124455609 124455610 chr10:124455610:A:C rs2459210 A C A EBF1_EBF_1 -4 0 - 0 0 . chr10 124455908 124455909 chr10:124455909:G:A rs556804426 G A G EBF1_EBF_1 16 0 - 0 0 . chr10 124467999 124468000 chr10:124468000:A:G rs7091226 A G A EBF1_EBF_1 -7 0 + 0 0 . chr10 124481075 124481076 chr10:124481076:G:T rs10901679 G T G EBF1_EBF_1 23 0 - 0 0 . chr10 124506467 124506468 chr10:124506468:C:T rs73365865 C T T EBF1_EBF_1 -8 0 - 0 0 . chr10 124509758 124509759 chr10:124509759:A:C rs1869658 A C C EBF1_EBF_1 -7 0 - 0 0 . chr10 124509763 124509764 chr10:124509764:A:G rs2100486 A G G EBF1_EBF_1 -12 0 - 0 0 . chr10 124538265 124538266 chr10:124538266:C:T rs72631139 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 124543260 124543261 chr10:124543261:C:A rs34548073 C A C EBF1_EBF_1 4 1 + 5.175244699056613 -0.6493149243968546 ACTCCCCAGAGGGA chr10 124543990 124543991 chr10:124543991:C:T rs59111116 C T C EBF1_EBF_1 -16 0 + 0 0 . chr10 124544377 124544378 chr10:124544378:A:G rs7093795 A G G EBF1_EBF_1 7 1 + 8.75607044148671 7.443612036197885 AGTCCCCAGGGGTC chr10 124569391 124569392 chr10:124569392:T:A rs7099187 T A A EBF1_EBF_1 25 0 + 0 0 . chr10 124572043 124572044 chr10:124572044:G:A rs12260583 G A A EBF1_EBF_1 -6 0 - 0 0 . chr10 124577502 124577503 chr10:124577503:A:G rs12250595 A G A EBF1_EBF_1 1 1 - 12.399714763465356 11.504281323066612 ATTCCCTGGGGAAC chr10 124578953 124578954 chr10:124578954:T:C rs61870248 T C T EBF1_EBF_1 16 0 + 0 0 . chr10 124578958 124578959 chr10:124578959:C:T rs35422383 C T T EBF1_EBF_1 21 0 + 0 0 . chr10 124599834 124599835 chr10:124599835:G:A rs1563282 G A C EBF1_EBF_1 12 1 - 5.244536513884661 4.218269943333811 AGTGCCATGGGACG chr10 124600722 124600723 chr10:124600723:T:C rs897290 T C C EBF1_EBF_1 30 0 + 0 0 . chr10 124604198 124604199 chr10:124604199:G:A rs9783250 G A G EBF1_EBF_1 0 1 - 4.819330305207839 5.101532365938417 CGTCCCCTGGGTTC chr10 124606303 124606304 chr10:124606304:C:A rs4962392 C A C EBF1_EBF_1 -19 0 - 0 0 . chr10 124611660 124611661 chr10:124611661:C:A chr10:124611661:C:A C A C EBF1_EBF_1 8 1 - 4.416643473679437 -2.474789315297758 GGCCCTCAGGGACT chr10 124611673 124611674 chr10:124611674:G:A rs11819478 G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 124612933 124612934 chr10:124612934:T:C rs78641914 T C T EBF1_EBF_1 -20 0 - 0 0 . chr10 124625905 124625906 chr10:124625906:C:T rs3781476 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 124630040 124630041 chr10:124630041:T:G rs143860676 T G T EBF1_EBF_1 21 0 + 0 0 . chr10 124647749 124647750 chr10:124647750:T:C rs567497209 T C T EBF1_EBF_1 24 0 + 0 0 . chr10 124658188 124658189 chr10:124658189:G:A rs7079739 G A G EBF1_EBF_1 -12 0 - 0 0 . chr10 124678706 124678707 chr10:124678707:C:T rs1164080316 C T C EBF1_EBF_1 23 0 + 0 0 . chr10 124743254 124743255 chr10:124743255:C:T rs182189338 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 124743279 124743280 chr10:124743280:C:T rs531725229 C T C EBF1_EBF_1 7 1 + 5.9553614286857295 7.772866174968354 ACTCCCACGGGCTT chr10 124791543 124791544 chr10:124791544:C:T rs1311574302 C T C EBF1_EBF_1 14 0 - 0 0 . chr10 124791577 124791578 chr10:124791578:T:C rs1020925333 T C T EBF1_EBF_1 -20 0 - 0 0 . chr10 124801996 124801997 chr10:124801997:C:T rs1025123387 C T C EBF1_EBF_1 4 1 + 3.6689074209842003 -0.7004795239607232 CGCCCCCTGGGCAC chr10 124802011 124802012 chr10:124802012:C:T rs146309339 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 124817748 124817749 chr10:124817749:C:T rs555451272 C T C EBF1_EBF_1 -18 0 - 0 0 . chr10 124863825 124863826 chr10:124863826:G:A rs2629542 G A G EBF1_EBF_1 7 1 - 8.117436889172009 9.934941635454631 GCTCCCCCGGGATT chr10 124870341 124870342 chr10:124870342:G:A rs72828918 G A G EBF1_EBF_1 5 1 - 6.99561255000028 1.6738253344596103 ACACCCGAGGGACC chr10 124890469 124890470 chr10:124890470:C:T rs12261638 C T C EBF1_EBF_1 7 1 + 5.697177691982522 7.514682438265145 GATCCCACGGGGCC chr10 124898572 124898573 chr10:124898573:A:G rs7085829 A G . EBF1_EBF_1 33 0 + 0 0 . chr10 124916971 124916972 chr10:124916972:C:G rs930522599 C G C EBF1_EBF_1 2 1 + 4.188970245114147 -0.6705320336441705 CGCCCCCCGGGGCT chr10 124917278 124917279 chr10:124917279:G:C rs932695648 G C G EBF1_EBF_1 13 1 - 2.9757448169241556 1.4876737955687411 GCCCCCCGGGGCCC chr10 124970323 124970324 chr10:124970324:A:G rs10751611 A G A EBF1_EBF_1 -5 0 - 0 0 . chr10 124997297 124997298 chr10:124997298:T:C rs4962716 T C C EBF1_EBF_1 21 0 - 0 0 . chr10 124997311 124997312 chr10:124997312:C:A rs73373187 C A C EBF1_EBF_1 7 1 - 5.195009310538327 6.031193475739594 ACACCCAGGAGACC chr10 124997358 124997359 chr10:124997359:G:A rs7916617 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 124999630 124999631 chr10:124999631:C:T rs12782469 C T C EBF1_EBF_1 -6 0 + 0 0 . chr10 124999631 124999632 chr10:124999632:C:T rs74160999 C T a EBF1_EBF_1 -5 0 + 0 0 . chr10 125017113 125017114 chr10:125017114:G:A rs79648187 G A G EBF1_EBF_1 19 0 + 0 0 . chr10 125031006 125031007 chr10:125031007:C:T rs2919286 C T c EBF1_EBF_1 31 0 - 0 0 . chr10 125034244 125034245 chr10:125034245:G:A rs876147 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 125043988 125043989 chr10:125043989:G:A rs11245481 G A G EBF1_EBF_1 -12 0 + 0 0 . chr10 125045545 125045546 chr10:125045546:A:G rs2949372 A G G EBF1_EBF_1 -5 0 + 0 0 . chr10 125045849 125045850 chr10:125045850:A:G rs12243317 A G G EBF1_EBF_1 -12 0 + 0 0 . chr10 125048664 125048665 chr10:125048665:C:G rs12246653 C G C EBF1_EBF_1 29 0 + 0 0 . chr10 125054207 125054208 chr10:125054208:A:G rs11245484 A G A EBF1_EBF_1 18 0 + 0 0 . chr10 125061406 125061407 chr10:125061407:C:A rs190657855 C A C EBF1_EBF_1 21 0 - 0 0 . chr10 125068681 125068682 chr10:125068682:T:C rs7087286 T C T EBF1_EBF_1 14 0 - 0 0 . chr10 125077766 125077767 chr10:125077767:A:G rs35354883 A G G EBF1_EBF_1 11 1 + 4.7002033327017285 1.8074964890977168 ATGCCCACGGGACC chr10 125084582 125084583 chr10:125084583:C:T rs12762037 C T C EBF1_EBF_1 22 0 - 0 0 . chr10 125092645 125092646 chr10:125092646:C:A rs7081340 C A C EBF1_EBF_1 19 0 - 0 0 . chr10 125092654 125092655 chr10:125092655:C:A rs1152670 C A C EBF1_EBF_1 10 1 - 3.929238032677703 -0.25351415354956197 TGCCCCCGGGGCCT chr10 125123674 125123675 chr10:125123675:G:A rs12356434 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 125123690 125123691 chr10:125123691:C:T rs567882132 C T C EBF1_EBF_1 15 0 + 0 0 . chr10 125123700 125123701 chr10:125123701:C:T rs10901863 C T C EBF1_EBF_1 25 0 + 0 0 . chr10 125134987 125134988 chr10:125134988:T:C rs2454054 T C T EBF1_EBF_1 15 0 + 0 0 . chr10 125135396 125135397 chr10:125135397:T:C rs10901868 T C T EBF1_EBF_1 33 0 + 0 0 . chr10 125153146 125153147 chr10:125153147:G:A rs78191224 G A G EBF1_EBF_1 33 0 - 0 0 . chr10 125159629 125159630 chr10:125159630:C:T rs571514166 C T C EBF1_EBF_1 -19 0 + 0 0 . chr10 125159631 125159632 chr10:125159632:C:A chr10:125159632:C:A C A C EBF1_EBF_1 -17 0 + 0 0 . chr10 125159715 125159716 chr10:125159716:C:T rs140984525 C T C EBF1_EBF_1 33 0 + 0 0 . chr10 125159757 125159758 chr10:125159758:G:A rs569523061 G A G EBF1_EBF_1 -14 0 + 0 0 . chr10 125161165 125161166 chr10:125161166:G:T rs777918643 G T G EBF1_EBF_1 16 0 - 0 0 . chr10 125161175 125161176 chr10:125161176:G:A rs536972633 G A G EBF1_EBF_1 6 1 - 5.703245378938358 6.004531507800115 AATCCCCCGAGAGA chr10 125161180 125161181 chr10:125161181:T:G rs930531033 T G T EBF1_EBF_1 1 1 - 5.703245378938358 5.774172556290443 AATCCCCCGAGAGA chr10 125161279 125161280 chr10:125161280:C:T rs143639460 C T C EBF1_EBF_1 6 1 - 4.853354070635686 7.02014850191016 CCTCCCGAGGGCCT chr10 125161298 125161299 chr10:125161299:G:C rs570943033 G C G EBF1_EBF_1 -13 0 - 0 0 . chr10 125161465 125161466 chr10:125161466:A:G rs1926785 A G G EBF1_EBF_1 -20 0 - 0 0 . chr10 125189729 125189730 chr10:125189730:C:G rs1715868 C G G EBF1_EBF_1 -19 0 - 0 0 . chr10 125190181 125190182 chr10:125190182:G:A rs1693661 G A A EBF1_EBF_1 14 0 + 0 0 . chr10 125201996 125201997 chr10:125201997:G:T rs77538223 G T G EBF1_EBF_1 11 1 - 4.721181730590003 9.137143428442393 GATCCCTGGGGCCA chr10 125219049 125219050 chr10:125219050:T:C rs10901878 T C C EBF1_EBF_1 -1 0 - 0 0 . chr10 125265236 125265237 chr10:125265237:G:A rs79627046 G A G EBF1_EBF_1 -20 0 - 0 0 . chr10 125317735 125317736 chr10:125317736:C:T rs141873114 C T C EBF1_EBF_1 -2 0 + 0 0 . chr10 125375309 125375310 chr10:125375310:G:T rs34040178 G T G EBF1_EBF_1 30 0 + 0 0 . chr10 125462837 125462838 chr10:125462838:T:C rs17152991 T C t EBF1_EBF_1 0 1 + 7.528496005137495 7.246293944406918 TGTCCCTTGAGAAA chr10 125511447 125511448 chr10:125511448:T:C rs7076447 T C T EBF1_EBF_1 30 0 - 0 0 . chr10 125517102 125517103 chr10:125517103:G:T rs4962466 G T G EBF1_EBF_1 21 0 - 0 0 . chr10 125577852 125577853 chr10:125577853:G:A rs79768333 G A G EBF1_EBF_1 32 0 - 0 0 . chr10 125774263 125774264 chr10:125774264:C:T rs28381281 C T C EBF1_EBF_1 29 0 - 0 0 . chr10 125783707 125783708 chr10:125783708:A:G rs61871474 A G A EBF1_EBF_1 -9 0 - 0 0 . chr10 125820724 125820725 chr10:125820725:G:C rs10901450 G C G EBF1_EBF_1 -5 0 - 0 0 . chr10 125979138 125979139 chr10:125979139:T:G rs35958975 T G G EBF1_EBF_1 -20 0 + 0 0 . chr10 125985783 125985784 chr10:125985784:G:T rs9633754 G T G EBF1_EBF_1 3 1 - 7.1042476028749455 0.19807357340913795 AGCCCCAAGAGAGC chr10 126060513 126060514 chr10:126060514:G:A rs12242949 G A A EBF1_EBF_1 15 0 + 0 0 . chr10 126074316 126074317 chr10:126074317:C:T rs12415903 C T T EBF1_EBF_1 -7 0 + 0 0 . chr10 126085405 126085406 chr10:126085406:A:G rs1278354 A G G EBF1_EBF_1 30 0 + 0 0 . chr10 126092908 126092909 chr10:126092909:C:T rs115974230 C T C EBF1_EBF_1 21 0 + 0 0 . chr10 126110534 126110535 chr10:126110535:C:A rs1037804 C A T EBF1_EBF_1 -14 0 + 0 0 . chr10 126126859 126126860 chr10:126126860:T:G rs1278314 T G G EBF1_EBF_1 -20 0 - 0 0 . chr10 126160611 126160612 chr10:126160612:C:T rs10901543 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 126186250 126186251 chr10:126186251:G:A rs2927510 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 126188008 126188009 chr10:126188009:A:G rs147231330 A G A EBF1_EBF_1 26 0 - 0 0 . chr10 126215341 126215342 chr10:126215342:G:C rs12261060 G C C EBF1_EBF_1 26 0 + 0 0 . chr10 126216727 126216728 chr10:126216728:C:T rs76099878 C T C EBF1_EBF_1 -2 0 - 0 0 . chr10 126224535 126224536 chr10:126224536:T:G rs10901562 T G G EBF1_EBF_1 -8 0 - 0 0 . chr10 126227739 126227740 chr10:126227740:C:T rs61466742 C T C EBF1_EBF_1 -10 0 + 0 0 . chr10 126253618 126253619 chr10:126253619:C:T rs547581957 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 126259951 126259952 chr10:126259952:T:A rs7071914 T A T EBF1_EBF_1 -11 0 - 0 0 . chr10 126289171 126289172 chr10:126289172:T:C rs75142684 T C T EBF1_EBF_1 -20 0 - 0 0 . chr10 126311591 126311592 chr10:126311592:C:T rs4962551 C T C EBF1_EBF_1 22 0 - 0 0 . chr10 126418836 126418837 chr10:126418837:G:A rs7073580 G A G EBF1_EBF_1 33 0 - 0 0 . chr10 126468595 126468596 chr10:126468596:C:T rs9919375 C T T EBF1_EBF_1 9 1 - 5.77803304573904 3.123093780291436 ACTCCCCTGGTGCT chr10 126476762 126476763 chr10:126476763:T:G rs7919269 T G G EBF1_EBF_1 6 1 + 5.983236644559995 3.8767584522250393 TGCCCCTTGAGACC chr10 126521175 126521176 chr10:126521176:C:A rs115436922 C A C EBF1_EBF_1 -7 0 + 0 0 . chr10 126521199 126521200 chr10:126521200:T:C rs2099552 T C C EBF1_EBF_1 17 0 + 0 0 . chr10 126594374 126594375 chr10:126594375:A:G rs1370105 A G G EBF1_EBF_1 16 0 - 0 0 . chr10 126687910 126687911 chr10:126687911:A:G rs7910321 A G A EBF1_EBF_1 -11 0 + 0 0 . chr10 126714763 126714764 chr10:126714764:G:A rs7083668 G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 126737152 126737153 chr10:126737153:A:G rs7894611 A G G EBF1_EBF_1 11 1 + 7.145916520772761 4.2532096771687495 ACTCCCTAGGTAAG chr10 126798892 126798893 chr10:126798893:G:A rs10901707 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 126844500 126844501 chr10:126844501:G:A rs115208276 G A G EBF1_EBF_1 9 1 + 7.014257025577099 4.359317760129496 GTTCCCCACGGACT chr10 126872930 126872931 chr10:126872931:G:A rs139583969 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 126873467 126873468 chr10:126873468:C:A rs116830386 C A C EBF1_EBF_1 22 0 - 0 0 . chr10 126906374 126906375 chr10:126906375:G:A rs117803789 G A G EBF1_EBF_1 4 1 - 3.6657039392573725 -0.7036830056875517 GGTCCCCGGGGCGC chr10 126918543 126918544 chr10:126918544:C:G rs77592778 C G C EBF1_EBF_1 -15 0 - 0 0 . chr10 126918710 126918711 chr10:126918711:A:G rs61875475 A G A EBF1_EBF_1 15 0 - 0 0 . chr10 126918717 126918718 chr10:126918718:C:T rs141085736 C T C EBF1_EBF_1 8 1 - 5.055657195250044 0.8293671063637826 TTACCCCCGGGACA chr10 126919726 126919727 chr10:126919727:A:G rs67601813 A G A EBF1_EBF_1 27 0 - 0 0 . chr10 126928551 126928552 chr10:126928552:C:T rs939165212 C T - EBF1_EBF_1 1 1 + 5.4942997045997775 6.389733144998523 GCCCCCAAGGGCCT chr10 126930316 126930317 chr10:126930317:C:T rs913067672 C T c EBF1_EBF_1 -7 0 - 0 0 . chr10 126956664 126956665 chr10:126956665:T:C rs36164073 T C C EBF1_EBF_1 -17 0 + 0 0 . chr10 126967592 126967593 chr10:126967593:G:T rs903310641 G T G EBF1_EBF_1 19 0 - 0 0 . chr10 127015566 127015567 chr10:127015567:T:C rs9418777 T C C EBF1_EBF_1 23 0 - 0 0 . chr10 127016056 127016057 chr10:127016057:T:C rs9418695 T C T EBF1_EBF_1 -8 0 + 0 0 . chr10 127016070 127016071 chr10:127016071:G:A rs4411215 G A A EBF1_EBF_1 6 1 + 5.605991607519299 7.772786038793774 TCTCCCGTGAGAAC chr10 127016081 127016082 chr10:127016082:G:A rs41282906 G A G EBF1_EBF_1 17 0 + 0 0 . chr10 127066155 127066156 chr10:127066156:G:T rs946855 G T G EBF1_EBF_1 -18 0 + 0 0 . chr10 127068003 127068004 chr10:127068004:A:G rs74158604 A G G EBF1_EBF_1 24 0 + 0 0 . chr10 127076891 127076892 chr10:127076892:C:T rs11597085 C T C EBF1_EBF_1 -19 0 - 0 0 . chr10 127082778 127082779 chr10:127082779:C:T rs12260301 C T c EBF1_EBF_1 26 0 - 0 0 . chr10 127084740 127084741 chr10:127084741:C:T rs35131411 C T C EBF1_EBF_1 -16 0 - 0 0 . chr10 127100402 127100403 chr10:127100403:G:A rs74380234 G A G EBF1_EBF_1 -20 0 + 0 0 . chr10 127100409 127100410 chr10:127100410:C:T rs71474205 C T C EBF1_EBF_1 -13 0 + 0 0 . chr10 127127748 127127749 chr10:127127749:A:G rs1060561 A G A EBF1_EBF_1 -1 0 - 0 0 . chr10 127149204 127149205 chr10:127149205:G:T rs11016484 G T G EBF1_EBF_1 -16 0 + 0 0 . chr10 127253163 127253164 chr10:127253164:G:A rs36078995 G A G EBF1_EBF_1 4 1 - 4.737381012187143 0.3679940672422206 AGCCCCTTGGGCAG chr10 127257648 127257649 chr10:127257649:C:T rs139668742 C T C EBF1_EBF_1 -1 0 + 0 0 . chr10 127276257 127276258 chr10:127276258:G:C rs2498950 G C G EBF1_EBF_1 8 1 + 6.670185914649449 1.3515270537347632 AGCCCCTAGAGAGA chr10 127303973 127303974 chr10:127303974:C:T rs56258256 C T C EBF1_EBF_1 19 0 - 0 0 . chr10 127344152 127344153 chr10:127344153:G:A rs751008 G A G EBF1_EBF_1 -11 0 - 0 0 . chr10 127346831 127346832 chr10:127346832:T:G rs7919057 T G T EBF1_EBF_1 11 1 - 6.490829591115589 2.0748678932631996 ACTGCCTGGGGACT chr10 127378353 127378354 chr10:127378354:G:T rs35769599 G T G EBF1_EBF_1 13 1 - 5.446023603555038 5.072278154269062 GCTCCCAGAGGAAC chr10 127418393 127418394 chr10:127418394:T:C rs2229600 T C C EBF1_EBF_1 19 0 + 0 0 . chr10 127429767 127429768 chr10:127429768:G:A rs1887096 G A G EBF1_EBF_1 -6 0 - 0 0 . chr10 127433976 127433977 chr10:127433977:G:A rs11592954 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 127435375 127435376 chr10:127435376:C:T rs149913213 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 127441660 127441661 chr10:127441661:G:A rs12218557 G A G EBF1_EBF_1 -7 0 + 0 0 . chr10 127441673 127441674 chr10:127441674:T:C rs12220050 T C t EBF1_EBF_1 6 1 + 11.995787915758054 11.694501786896298 ATTCCCTGGGGACA chr10 127447363 127447364 chr10:127447364:G:A rs869800 G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 127460691 127460692 chr10:127460692:T:C rs4751416 T C C EBF1_EBF_1 -5 0 + 0 0 . chr10 127467718 127467719 chr10:127467719:G:A rs61870367 G A A EBF1_EBF_1 -18 0 - 0 0 . chr10 127498360 127498361 chr10:127498361:A:G rs76757255 A G A EBF1_EBF_1 17 0 + 0 0 . chr10 127564135 127564136 chr10:127564136:C:A rs1009534618 C A C EBF1_EBF_1 -18 0 + 0 0 . chr10 127564159 127564160 chr10:127564160:C:G rs74491259 C G C EBF1_EBF_1 6 1 + 6.23087299082417 4.425680927350973 TCTCCCCAGGGGGC chr10 127564169 127564170 chr10:127564170:A:G rs554603606 A G A EBF1_EBF_1 16 0 + 0 0 . chr10 127577819 127577820 chr10:127577820:C:A rs11018209 C A C EBF1_EBF_1 33 0 - 0 0 . chr10 127717684 127717685 chr10:127717685:G:T rs10741286 G T T EBF1_EBF_1 30 0 + 0 0 . chr10 127720345 127720346 chr10:127720346:C:A rs6482956 C A C EBF1_EBF_1 -1 0 + 0 0 . chr10 127790496 127790497 chr10:127790497:G:A rs4097323 G A A EBF1_EBF_1 -3 0 + 0 0 . chr10 127798437 127798438 chr10:127798438:T:C rs149780621 T C T EBF1_EBF_1 -3 0 - 0 0 . chr10 127801450 127801451 chr10:127801451:G:A rs61873579 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 127848846 127848847 chr10:127848847:C:G rs144779267 C G C EBF1_EBF_1 28 0 + 0 0 . chr10 127849523 127849524 chr10:127849524:C:T rs627082 C T C EBF1_EBF_1 -20 0 - 0 0 . chr10 127852134 127852135 chr10:127852135:C:T rs581256 C T T EBF1_EBF_1 5 1 + 7.822858456413176 2.5010712408725064 AATGCCAAGGGAAT chr10 127853082 127853083 chr10:127853083:G:A rs677519 G A G EBF1_EBF_1 -15 0 + 0 0 . chr10 127888314 127888315 chr10:127888315:A:G rs10741297 A G G EBF1_EBF_1 6 1 - 6.617393216503155 6.316107087641398 TCTCCCTAAGGAAC chr10 127893035 127893036 chr10:127893036:A:C rs541605852 A C A EBF1_EBF_1 -15 0 + 0 0 . chr10 127934912 127934913 chr10:127934913:G:C rs7097909 G C C EBF1_EBF_1 14 0 + 0 0 . chr10 127935568 127935569 chr10:127935569:C:T rs141156165 C T C EBF1_EBF_1 5 1 + 4.163950662748436 -1.1578365527922345 CTTCCCGCGGGGAC chr10 127947467 127947468 chr10:127947468:G:T rs11596732 G T G EBF1_EBF_1 24 0 - 0 0 . chr10 127968832 127968833 chr10:127968833:T:C rs12266909 T C T EBF1_EBF_1 6 1 + 6.341525656793581 6.040239527931823 TTTCCCTGGAGATA chr10 127971644 127971645 chr10:127971645:C:T rs7085177 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 128008145 128008146 chr10:128008146:T:G rs140088357 T G T EBF1_EBF_1 12 1 - 6.915506996171984 6.885325597750658 TTTCCCAGGGGCAT chr10 128008192 128008193 chr10:128008193:G:C rs13376883 G C C EBF1_EBF_1 -20 0 - 0 0 . chr10 128016645 128016646 chr10:128016646:G:A rs61873750 G A G EBF1_EBF_1 13 1 - 4.191050048076887 5.035544162662405 ACCTCCCAGGGACC chr10 128018337 128018338 chr10:128018338:A:G rs61873753 A G G EBF1_EBF_1 -1 0 + 0 0 . chr10 128046712 128046713 chr10:128046713:G:T rs35743042 G T G EBF1_EBF_1 5 1 - 5.996803200682255 -0.9080779519529134 AATTCCAAGGGACA chr10 128047158 128047159 chr10:128047159:T:C rs10764741 T C C EBF1_EBF_1 0 1 - 5.529321426506723 3.6370375569418902 AATCCCTGGGGCAG chr10 128055466 128055467 chr10:128055467:G:A rs10741141 G A G EBF1_EBF_1 21 0 - 0 0 . chr10 128085236 128085237 chr10:128085237:A:C rs143891903 A C A EBF1_EBF_1 -1 0 + 0 0 . chr10 128150292 128150293 chr10:128150293:G:T rs116418986 G T T EBF1_EBF_1 -3 0 - 0 0 . chr10 128153073 128153074 chr10:128153074:C:A rs72851143 C A C EBF1_EBF_1 30 0 - 0 0 . chr10 128154336 128154337 chr10:128154337:G:C rs10764758 G C C EBF1_EBF_1 -6 0 + 0 0 . chr10 128157147 128157148 chr10:128157148:A:G rs116523302 A G A EBF1_EBF_1 -1 0 + 0 0 . chr10 128210921 128210922 chr10:128210922:C:T rs180765074 C T C EBF1_EBF_1 -18 0 - 0 0 . chr10 128211089 128211090 chr10:128211090:C:G rs577554023 C G C EBF1_EBF_1 -16 0 + 0 0 . chr10 128212203 128212204 chr10:128212204:T:G rs973753024 T G T EBF1_EBF_1 -10 0 + 0 0 . chr10 128212330 128212331 chr10:128212331:G:C rs56057037 G C G EBF1_EBF_1 9 1 + 6.767044947041273 1.6913558006958094 AGCCCCAAGGGGCG chr10 128215417 128215418 chr10:128215418:A:T rs11016130 A T T EBF1_EBF_1 12 1 + 6.474260338817142 5.417812369844966 AGTCCCCAGGTGAT chr10 128306714 128306715 chr10:128306715:A:G rs1255137 A G G EBF1_EBF_1 -6 0 + 0 0 . chr10 128309051 128309052 chr10:128309052:A:G rs1255136 A G A EBF1_EBF_1 16 0 - 0 0 . chr10 128312694 128312695 chr10:128312695:C:T rs61873910 C T C EBF1_EBF_1 -14 0 + 0 0 . chr10 128361726 128361727 chr10:128361727:G:A rs11592581 G A G EBF1_EBF_1 -9 0 - 0 0 . chr10 128367886 128367887 chr10:128367887:G:A rs77476887 G A G EBF1_EBF_1 -18 0 + 0 0 . chr10 128390604 128390605 chr10:128390605:G:A rs80317006 G A G EBF1_EBF_1 11 1 + 5.358088008044233 8.250794851648244 AGCCCCTAGAGGTT chr10 128396341 128396342 chr10:128396342:C:T rs12777874 C T C EBF1_EBF_1 -14 0 - 0 0 . chr10 128458594 128458595 chr10:128458595:A:G rs2387861 A G A EBF1_EBF_1 28 0 + 0 0 . chr10 128460193 128460194 chr10:128460194:G:T rs4750698 G T G EBF1_EBF_1 14 0 + 0 0 . chr10 128464219 128464220 chr10:128464220:C:T rs77866389 C T C EBF1_EBF_1 16 0 - 0 0 . chr10 128464236 128464237 chr10:128464237:C:G rs75602307 C G C EBF1_EBF_1 -1 0 - 0 0 . chr10 128488910 128488911 chr10:128488911:G:C rs1541174 G C G EBF1_EBF_1 -1 0 + 0 0 . chr10 128495699 128495700 chr10:128495700:C:T rs12358035 C T C EBF1_EBF_1 1 1 - 7.5427599300929495 7.282061098171648 GGTCCCTAGGGCAT chr10 128495706 128495707 chr10:128495707:A:G rs12359589 A G G EBF1_EBF_1 -6 0 - 0 0 . chr10 128498372 128498373 chr10:128498373:G:A rs1105126 G A G EBF1_EBF_1 -9 0 - 0 0 . chr10 128547330 128547331 chr10:128547331:A:C rs7098173 A C A EBF1_EBF_1 30 0 + 0 0 . chr10 128556203 128556204 chr10:128556204:G:A rs12217588 G A G EBF1_EBF_1 14 0 + 0 0 . chr10 128573229 128573230 chr10:128573230:G:A rs146035121 G A G EBF1_EBF_1 19 0 + 0 0 . chr10 128614342 128614343 chr10:128614343:G:A rs7097845 G A G EBF1_EBF_1 5 1 - 5.027509156997818 -0.2942780585428528 TCTCCCTGGGAACT chr10 128620805 128620806 chr10:128620806:C:T rs7894394 C T C EBF1_EBF_1 23 0 - 0 0 . chr10 128623584 128623585 chr10:128623585:T:C rs1328465 T C C EBF1_EBF_1 -6 0 - 0 0 . chr10 128743505 128743506 chr10:128743506:C:A rs10741164 C A C EBF1_EBF_1 4 1 + 7.470513082364554 1.6459534589110867 ATTCCCCGGGGCTT chr10 128819531 128819532 chr10:128819532:T:C rs942523 T C C EBF1_EBF_1 16 0 - 0 0 . chr10 128819550 128819551 chr10:128819551:C:G rs942524 C G G EBF1_EBF_1 -3 0 - 0 0 . chr10 128823239 128823240 chr10:128823240:A:G rs10764814 A G G EBF1_EBF_1 -9 0 - 0 0 . chr10 128871931 128871932 chr10:128871932:G:A rs11812783 G A G EBF1_EBF_1 14 0 - 0 0 . chr10 128871949 128871950 chr10:128871950:G:A rs77133494 G A g EBF1_EBF_1 -4 0 - 0 0 . chr10 128877299 128877300 chr10:128877300:G:A rs942529 G A G EBF1_EBF_1 -10 0 + 0 0 . chr10 128877300 128877301 chr10:128877301:T:C rs78357137 T C T EBF1_EBF_1 -9 0 + 0 0 . chr10 128894847 128894848 chr10:128894848:G:A rs534814763 G A G EBF1_EBF_1 10 1 + 5.389389784592267 0.07136132532530137 AGTGCCCAGGGAAG chr10 128939773 128939774 chr10:128939774:G:T rs11813853 G T G EBF1_EBF_1 18 0 - 0 0 . chr10 128945892 128945893 chr10:128945893:G:C rs554946431 G C G EBF1_EBF_1 11 1 + 7.52645284577208 6.0031979915237015 AACCCCAGGGGGCT chr10 128950944 128950945 chr10:128950945:G:T rs115791798 G T G EBF1_EBF_1 -4 0 + 0 0 . chr10 128951224 128951225 chr10:128951225:G:A rs141693956 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 128951299 128951300 chr10:128951300:C:G rs34614107 C G C EBF1_EBF_1 23 0 - 0 0 . chr10 128951318 128951319 chr10:128951319:G:A rs17295016 G A G EBF1_EBF_1 4 1 - 7.224639569936731 2.8552526249918078 ATCCCCATGGTAAC chr10 128955964 128955965 chr10:128955965:C:G rs1563036 C G C EBF1_EBF_1 1 1 + 7.861868541579214 8.051640196148432 GCTCCCAGGAGAAT chr10 128958433 128958434 chr10:128958434:C:T rs2060892 C T T EBF1_EBF_1 26 0 + 0 0 . chr10 128974223 128974224 chr10:128974224:G:T rs11016580 G T G EBF1_EBF_1 9 1 + 5.353957333350834 -1.4830320747379757 TCTGCCTTGGGACT chr10 128997054 128997055 chr10:128997055:G:A rs12357947 G A G EBF1_EBF_1 20 0 - 0 0 . chr10 128998805 128998806 chr10:128998806:G:C rs7099092 G C C EBF1_EBF_1 31 0 - 0 0 . chr10 128998818 128998819 chr10:128998819:C:G rs7100073 C G G EBF1_EBF_1 18 0 - 0 0 . chr10 129022768 129022769 chr10:129022769:C:G rs60261566 C G C EBF1_EBF_1 33 0 - 0 0 . chr10 129036690 129036691 chr10:129036691:A:G rs77160372 A G A EBF1_EBF_1 -18 0 + 0 0 . chr10 129043984 129043985 chr10:129043985:A:G rs10829556 A G A EBF1_EBF_1 13 1 - 6.0430699889891955 5.198575874403677 TCTCCCTAAGGAGT chr10 129046339 129046340 chr10:129046340:G:A rs7099916 G A A EBF1_EBF_1 -5 0 + 0 0 . chr10 129054611 129054612 chr10:129054612:G:A rs7079442 G A A EBF1_EBF_1 6 1 - 7.0215207482406505 7.322806877102407 GCTCCCCAGGGCCT chr10 129054625 129054626 chr10:129054626:G:A rs72856683 G A G EBF1_EBF_1 -8 0 - 0 0 . chr10 129079784 129079785 chr10:129079785:T:A rs115219506 T A T EBF1_EBF_1 0 1 + 5.776099274085383 7.749155697051405 TTCCCCCTGGGGCA chr10 129099414 129099415 chr10:129099415:C:T rs12769434 C T C EBF1_EBF_1 3 1 + 8.647305594340624 2.2419068527873054 TCTCCCCTGGGAGC chr10 129110393 129110394 chr10:129110394:T:C rs11016671 T C C EBF1_EBF_1 13 1 + 6.0470622883372265 5.202568173751708 CACCCCTTGGGGCT chr10 129115232 129115233 chr10:129115233:C:T rs10829572 C T C EBF1_EBF_1 -7 0 - 0 0 . chr10 129119559 129119560 chr10:129119560:C:T rs10764851 C T T EBF1_EBF_1 22 0 - 0 0 . chr10 129129834 129129835 chr10:129129835:G:C rs4750739 G C C EBF1_EBF_1 8 1 + 4.127679256039356 -1.1909796048753307 ACCCCCCTGTGACT chr10 129129842 129129843 chr10:129129843:A:C rs4750740 A C A EBF1_EBF_1 16 0 + 0 0 . chr10 129137321 129137322 chr10:129137322:A:G rs4750741 A G G EBF1_EBF_1 -15 0 + 0 0 . chr10 129210988 129210989 chr10:129210989:G:A rs4751072 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 129260837 129260838 chr10:129260838:C:G rs12573583 C G C EBF1_EBF_1 28 0 + 0 0 . chr10 129279596 129279597 chr10:129279597:C:T rs11016733 C T C EBF1_EBF_1 -8 0 + 0 0 . chr10 129382104 129382105 chr10:129382105:G:A rs1544700 G A G EBF1_EBF_1 16 0 + 0 0 . chr10 129418920 129418921 chr10:129418921:G:A rs183390872 G A G EBF1_EBF_1 -9 0 - 0 0 . chr10 129426273 129426274 chr10:129426274:T:C rs2095428 T C T EBF1_EBF_1 -3 0 + 0 0 . chr10 129440512 129440513 chr10:129440513:G:C rs72831506 G C G EBF1_EBF_1 29 0 - 0 0 . chr10 129445798 129445799 chr10:129445799:A:T rs1762448 A T A EBF1_EBF_1 -8 0 - 0 0 . chr10 129452400 129452401 chr10:129452401:G:A rs1762444 G A A EBF1_EBF_1 -1 0 - 0 0 . chr10 129505913 129505914 chr10:129505914:C:T rs76505020 C T C EBF1_EBF_1 -12 0 - 0 0 . chr10 129505914 129505915 chr10:129505915:G:A rs12261364 G A G EBF1_EBF_1 -13 0 - 0 0 . chr10 129517776 129517777 chr10:129517777:A:C rs72831597 A C a EBF1_EBF_1 -12 0 + 0 0 . chr10 129518033 129518034 chr10:129518034:C:T rs11814637 C T c EBF1_EBF_1 13 1 - 5.060736860021886 6.175062432091325 CCTCCCCAGAGATG chr10 129518050 129518051 chr10:129518051:C:T rs35476297 C T t EBF1_EBF_1 -4 0 - 0 0 . chr10 129518076 129518077 chr10:129518077:A:G rs34749379 A G g EBF1_EBF_1 -1 0 - 0 0 . chr10 129521793 129521794 chr10:129521794:C:T rs74607799 C T C EBF1_EBF_1 32 0 + 0 0 . chr10 129525563 129525564 chr10:129525564:C:T rs35720870 C T C EBF1_EBF_1 11 1 + 7.938477373067861 8.256524814821523 ATTCCCCAGGGCTC chr10 129532261 129532262 chr10:129532262:C:T rs774868799 C T C EBF1_EBF_1 11 1 + 5.8180647277125725 6.136112169466236 GGTCCCTTGGGCCA chr10 129553486 129553487 chr10:129553487:G:A rs76345335 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 129605477 129605478 chr10:129605478:T:C rs533013253 T C T EBF1_EBF_1 -14 0 - 0 0 . chr10 129609434 129609435 chr10:129609435:G:A rs117199334 G A G EBF1_EBF_1 25 0 + 0 0 . chr10 129654652 129654653 chr10:129654653:C:T rs4750761 C T C EBF1_EBF_1 3 1 + 7.2465509208027745 0.8411521792494563 AGTCCCTGGAGAGA chr10 129676193 129676194 chr10:129676194:C:T rs143495541 C T C EBF1_EBF_1 4 1 + 7.866363033659533 3.49697608871461 TTTCCCCAGGGCAT chr10 129676209 129676210 chr10:129676210:G:A rs7087131 G A G EBF1_EBF_1 20 0 + 0 0 . chr10 129691517 129691518 chr10:129691518:A:G rs11016879 A G G EBF1_EBF_1 22 0 - 0 0 . chr10 129704047 129704048 chr10:129704048:A:G rs1978756 A G G EBF1_EBF_1 7 1 + 10.09918058722365 8.786722181934824 CTTCCCCAGGGATC chr10 129704049 129704050 chr10:129704050:G:A rs1978757 G A G EBF1_EBF_1 9 1 + 10.09918058722365 7.444241321776048 CTTCCCCAGGGATC chr10 129744178 129744179 chr10:129744179:C:G rs74772751 C G C EBF1_EBF_1 -5 0 - 0 0 . chr10 129764329 129764330 chr10:129764330:G:A rs55736326 G A G EBF1_EBF_1 -19 0 + 0 0 . chr10 129764479 129764480 chr10:129764480:G:A rs3793908 G A A EBF1_EBF_1 -12 0 - 0 0 . chr10 129770369 129770370 chr10:129770370:T:C rs74160288 T C T EBF1_EBF_1 -16 0 - 0 0 . chr10 129777402 129777403 chr10:129777403:G:A rs74160290 G A G EBF1_EBF_1 7 1 + 4.424697721240663 5.737156126529489 AGACCCCGGGGTCT chr10 129787581 129787582 chr10:129787582:C:T rs11816476 C T C EBF1_EBF_1 -8 0 + 0 0 . chr10 129811772 129811773 chr10:129811773:C:T rs11016959 C T C EBF1_EBF_1 25 0 + 0 0 . chr10 129865062 129865063 chr10:129865063:C:T rs12769824 C T C EBF1_EBF_1 -20 0 + 0 0 . chr10 129893131 129893132 chr10:129893132:T:C rs118054468 T C T EBF1_EBF_1 18 0 + 0 0 . chr10 129896515 129896516 chr10:129896516:G:A rs869238 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 129913697 129913698 chr10:129913698:G:T rs77696328 G T G EBF1_EBF_1 19 0 - 0 0 . chr10 129926935 129926936 chr10:129926936:A:G rs542853 A G G EBF1_EBF_1 29 0 + 0 0 . chr10 129937398 129937399 chr10:129937399:A:G rs4751151 A G G EBF1_EBF_1 7 1 + 5.9267181654411045 4.6142597601522795 AGGCCCCAGGGACC chr10 129939289 129939290 chr10:129939290:T:C rs4751152 T C C EBF1_EBF_1 23 0 - 0 0 . chr10 129941965 129941966 chr10:129941966:G:A rs10764923 G A A EBF1_EBF_1 24 0 - 0 0 . chr10 129943430 129943431 chr10:129943431:G:A rs744680 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 129949440 129949441 chr10:129949441:C:A rs556327 C A A EBF1_EBF_1 -18 0 + 0 0 . chr10 130025623 130025624 chr10:130025624:T:A rs748381359 T A T EBF1_EBF_1 -18 0 - 0 0 . chr10 130038450 130038451 chr10:130038451:G:A rs61875994 G A c EBF1_EBF_1 3 1 - 4.792268804683733 -1.6131299368695857 CATCCCAGGGGCCC chr10 130043946 130043947 chr10:130043947:C:T rs56350218 C T c EBF1_EBF_1 -18 0 + 0 0 . chr10 130046351 130046352 chr10:130046352:C:T rs551973427 C T c EBF1_EBF_1 -2 0 + 0 0 . chr10 130062970 130062971 chr10:130062971:A:G rs1183344 A G G EBF1_EBF_1 12 1 + 6.1275982313623585 4.708780889262881 AACCCCAAGGGCAA chr10 130110682 130110683 chr10:130110683:G:A rs567416324 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 130110706 130110707 chr10:130110707:G:A chr10:130110707:G:A G A G EBF1_EBF_1 -7 0 - 0 0 . chr10 130110843 130110844 chr10:130110844:C:T chr10:130110844:C:T C T C EBF1_EBF_1 15 0 - 0 0 . chr10 130188068 130188069 chr10:130188069:G:A rs925283325 G A G EBF1_EBF_1 10 1 + 8.779264702348307 3.4612362430813413 GGCCCCATGGGACC chr10 130189638 130189639 chr10:130189639:C:T rs143062587 C T C EBF1_EBF_1 6 1 + 5.397027054633285 5.698313183495043 CTTCCCCGGGGCCC chr10 130190755 130190756 chr10:130190756:A:C rs75223829 A C C EBF1_EBF_1 -19 0 + 0 0 . chr10 130200351 130200352 chr10:130200352:T:C rs12413750 T C C EBF1_EBF_1 22 0 + 0 0 . chr10 130208164 130208165 chr10:130208165:A:G rs6482777 A G G EBF1_EBF_1 30 0 - 0 0 . chr10 130223023 130223024 chr10:130223024:C:T rs7085976 C T C EBF1_EBF_1 16 0 - 0 0 . chr10 130223049 130223050 chr10:130223050:T:A rs139213761 T A T EBF1_EBF_1 -10 0 - 0 0 . chr10 130231587 130231588 chr10:130231588:T:G rs913630 T G G EBF1_EBF_1 13 1 + 7.787338874547687 5.454773738606754 CTCCCCCCGGGACT chr10 130232642 130232643 chr10:130232643:A:G rs16910235 A G A EBF1_EBF_1 26 0 - 0 0 . chr10 130252876 130252877 chr10:130252877:G:T rs7084627 G T T EBF1_EBF_1 23 0 + 0 0 . chr10 130254323 130254324 chr10:130254324:T:C rs59842927 T C T EBF1_EBF_1 22 0 + 0 0 . chr10 130301183 130301184 chr10:130301184:C:T rs61874449 C T - EBF1_EBF_1 -17 0 - 0 0 . chr10 130333413 130333414 chr10:130333414:C:G rs61874269 C G C EBF1_EBF_1 16 0 - 0 0 . chr10 130336430 130336431 chr10:130336431:A:C rs435701 A C A EBF1_EBF_1 12 1 + 6.5020901017401 6.471908703318774 AATGCCATGGGAAC chr10 130351999 130352000 chr10:130352000:C:T rs528043006 C T C EBF1_EBF_1 9 1 - 3.929238032677703 1.274298767230099 TGCCCCCGGGGCCT chr10 130355553 130355554 chr10:130355554:C:T rs117896299 C T C EBF1_EBF_1 4 1 + 8.093179068220842 3.723792123275918 CGCCCCCGGGGAAT chr10 130357291 130357292 chr10:130357292:G:A rs433988 G A A EBF1_EBF_1 16 0 + 0 0 . chr10 130357298 130357299 chr10:130357299:A:G rs56360103 A G A EBF1_EBF_1 23 0 + 0 0 . chr10 130361386 130361387 chr10:130361387:G:T rs10829730 G T G EBF1_EBF_1 29 0 - 0 0 . chr10 130394597 130394598 chr10:130394598:C:A rs2766073 C A C EBF1_EBF_1 2 1 + 7.143794475027383 5.348150298870656 CACCCCAAGGGACG chr10 130414538 130414539 chr10:130414539:C:T rs73381857 C T C EBF1_EBF_1 3 1 + 6.921937044155333 0.5165383026020177 CCTCCCCAGGTAAT chr10 130427521 130427522 chr10:130427522:A:C rs74339161 A C A EBF1_EBF_1 -11 0 - 0 0 . chr10 130440104 130440105 chr10:130440105:A:G rs111885227 A G G EBF1_EBF_1 -12 0 - 0 0 . chr10 130462291 130462292 chr10:130462292:C:T rs447941 C T C EBF1_EBF_1 15 0 - 0 0 . chr10 130463668 130463669 chr10:130463669:G:A rs74160378 G A A EBF1_EBF_1 -7 0 - 0 0 . chr10 130479396 130479397 chr10:130479397:T:C rs67515596 T C C EBF1_EBF_1 30 0 - 0 0 . chr10 130479425 130479426 chr10:130479426:T:C rs35160199 T C T EBF1_EBF_1 1 1 - 4.721670391839345 4.982369223760647 AATGCCCTGGGAGC chr10 130480612 130480613 chr10:130480613:A:T rs549737 A T g EBF1_EBF_1 -19 0 + 0 0 . chr10 130480978 130480979 chr10:130480979:A:G rs560117866 A G A EBF1_EBF_1 11 1 + 6.1192295488630215 3.22652270525901 CATCCCTGGAGACA chr10 130483547 130483548 chr10:130483548:C:T rs2449892 C T C EBF1_EBF_1 10 1 - 5.3412626732712924 0.023234214004326015 CATCCCATGAGGCT chr10 130491304 130491305 chr10:130491305:T:C rs7096775 T C C EBF1_EBF_1 32 0 + 0 0 . chr10 130494403 130494404 chr10:130494404:T:C rs12413161 T C C EBF1_EBF_1 -8 0 + 0 0 . chr10 130494443 130494444 chr10:130494444:T:A rs12413167 T A T EBF1_EBF_1 32 0 + 0 0 . chr10 130496149 130496150 chr10:130496150:C:T rs7919473 C T C EBF1_EBF_1 32 0 + 0 0 . chr10 130498194 130498195 chr10:130498195:G:A rs4459206 G A G EBF1_EBF_1 -20 0 + 0 0 . chr10 130512131 130512132 chr10:130512132:C:T rs11816175 C T C EBF1_EBF_1 -10 0 + 0 0 . chr10 130525079 130525080 chr10:130525080:G:T rs4751221 G T G EBF1_EBF_1 9 1 + 6.306285469946839 -0.5307039381419709 ATCCCCACGGGTCT chr10 130621050 130621051 chr10:130621051:T:C rs12769811 T C t EBF1_EBF_1 28 0 + 0 0 . chr10 130622273 130622274 chr10:130622274:G:A rs1176489 G A G EBF1_EBF_1 16 0 + 0 0 . chr10 130745825 130745826 chr10:130745826:T:C rs61861735 T C T EBF1_EBF_1 12 1 - 5.396527647007933 3.977710304908456 CTTCCATAGGGAAT chr10 130745871 130745872 chr10:130745872:G:C rs61861736 G C G EBF1_EBF_1 4 1 - 5.212546707671024 -0.41321839347852074 CTTCCCAAAGGGCT chr10 130745884 130745885 chr10:130745885:C:T rs61051467 C T T EBF1_EBF_1 -9 0 - 0 0 . chr10 130747099 130747100 chr10:130747100:A:G rs73396028 A G A EBF1_EBF_1 33 0 + 0 0 . chr10 130748266 130748267 chr10:130748267:G:A rs539517740 G A G EBF1_EBF_1 -2 0 + 0 0 . chr10 130751696 130751697 chr10:130751697:T:C rs61861746 T C T EBF1_EBF_1 30 0 + 0 0 . chr10 130761900 130761901 chr10:130761901:C:G rs61864271 C G C EBF1_EBF_1 12 1 + 5.207074694214588 3.818438750536436 TGACCCCAGAGACT chr10 130763722 130763723 chr10:130763723:G:A rs6482821 G A G EBF1_EBF_1 -7 0 + 0 0 . chr10 130792706 130792707 chr10:130792707:A:G rs7917491 A G G EBF1_EBF_1 -8 0 - 0 0 . chr10 130796545 130796546 chr10:130796546:A:G rs56273185 A G A EBF1_EBF_1 27 0 - 0 0 . chr10 130806477 130806478 chr10:130806478:G:A rs1655168 G A A EBF1_EBF_1 -10 0 - 0 0 . chr10 130809946 130809947 chr10:130809947:G:A rs2136286 G A G EBF1_EBF_1 10 1 + 5.517299185162058 0.19927072589509154 GCTCCCCAGCGACC chr10 130844521 130844522 chr10:130844522:C:T rs1703714 C T C EBF1_EBF_1 21 0 - 0 0 . chr10 130845908 130845909 chr10:130845909:G:T rs74731406 G T G EBF1_EBF_1 3 1 - 4.068287938609078 -2.8378860908567294 CCCCCCTTGGGTCC chr10 130867760 130867761 chr10:130867761:C:T rs189847683 C T C EBF1_EBF_1 8 1 - 5.842642255031865 1.6163521661456035 ACTCCCAGGGAACA chr10 130891274 130891275 chr10:130891275:C:T rs892326 C T C EBF1_EBF_1 -5 0 - 0 0 . chr10 130891349 130891350 chr10:130891350:G:A rs734675 G A G EBF1_EBF_1 -14 0 + 0 0 . chr10 130894858 130894859 chr10:130894859:C:A rs1655127 C A A EBF1_EBF_1 -6 0 - 0 0 . chr10 130895944 130895945 chr10:130895945:G:A rs892327 G A G EBF1_EBF_1 2 1 - 9.205162839079307 11.093986250521455 ATCCCCCTGAGACT chr10 130898827 130898828 chr10:130898828:C:T rs7070008 C T C EBF1_EBF_1 -12 0 + 0 0 . chr10 130902326 130902327 chr10:130902327:G:A rs11017555 G A G EBF1_EBF_1 7 1 + 5.177540970851934 6.48999937614076 CACCCCCGGAGAAT chr10 130929593 130929594 chr10:130929594:T:C rs1655101 T C T EBF1_EBF_1 -13 0 + 0 0 . chr10 130951957 130951958 chr10:130951958:T:G rs3097961 T G G EBF1_EBF_1 13 1 + 7.392534990636795 5.059969854695862 CCTCCCAAGAGAGT chr10 130953936 130953937 chr10:130953937:G:A rs3097963 G A G EBF1_EBF_1 0 1 - 5.1058127790753245 5.388014839805902 CGTCCCACAGGACT chr10 130955090 130955091 chr10:130955091:C:T rs3097969 C T C EBF1_EBF_1 -17 0 + 0 0 . chr10 130956971 130956972 chr10:130956972:T:C rs10765007 T C T EBF1_EBF_1 25 0 - 0 0 . chr10 131000008 131000009 chr10:131000009:C:G rs142967335 C G C EBF1_EBF_1 3 1 + 5.724123975152708 -0.10364047296250822 AGACCCCAGGGGCA chr10 131007313 131007314 chr10:131007314:T:G chr10:131007314:T:G T G T EBF1_EBF_1 11 1 - 8.57017049176612 4.154208793913729 TTTCCCAAGAGAGT chr10 131011258 131011259 chr10:131011259:C:T rs80035223 C T C EBF1_EBF_1 31 0 - 0 0 . chr10 131021405 131021406 chr10:131021406:A:G rs7084321 A G G EBF1_EBF_1 -18 0 + 0 0 . chr10 131021445 131021446 chr10:131021446:C:T rs79318945 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 131021447 131021448 chr10:131021448:C:T rs118095293 C T C EBF1_EBF_1 24 0 + 0 0 . chr10 131025822 131025823 chr10:131025823:G:A rs61864586 G A G EBF1_EBF_1 27 0 + 0 0 . chr10 131050472 131050473 chr10:131050473:G:A rs549808801 G A G EBF1_EBF_1 -2 0 + 0 0 . chr10 131051032 131051033 chr10:131051033:G:A rs10159945 G A g EBF1_EBF_1 -9 0 - 0 0 . chr10 131074004 131074005 chr10:131074005:C:T rs74459933 C T C EBF1_EBF_1 -12 0 - 0 0 . chr10 131078253 131078254 chr10:131078254:C:T rs1891799 C T T EBF1_EBF_1 -5 0 + 0 0 . chr10 131084125 131084126 chr10:131084126:C:A rs1573198 C A A EBF1_EBF_1 -12 0 - 0 0 . chr10 131084246 131084247 chr10:131084247:G:A rs1573199 G A G EBF1_EBF_1 7 1 + 5.085254986339668 6.397713391628493 TATCCCCGGAGAGC chr10 131084390 131084391 chr10:131084391:C:T rs1573200 C T C EBF1_EBF_1 -10 0 - 0 0 . chr10 131084775 131084776 chr10:131084776:C:G chr10:131084776:C:G C G T EBF1_EBF_1 22 0 - 0 0 . chr10 131084775 131084776 chr10:131084776:C:T rs2397744 C T T EBF1_EBF_1 22 0 - 0 0 . chr10 131085614 131085615 chr10:131085615:C:T rs1891795 C T T EBF1_EBF_1 24 0 - 0 0 . chr10 131085996 131085997 chr10:131085997:T:C rs10829897 T C C EBF1_EBF_1 28 0 + 0 0 . chr10 131087893 131087894 chr10:131087894:A:T rs78138603 A T A EBF1_EBF_1 30 0 + 0 0 . chr10 131091706 131091707 chr10:131091707:G:A rs7098775 G A a EBF1_EBF_1 -10 0 - 0 0 . chr10 131093386 131093387 chr10:131093387:C:T rs145273281 C T C EBF1_EBF_1 20 0 + 0 0 . chr10 131115708 131115709 chr10:131115709:G:A rs11017734 G A A EBF1_EBF_1 18 0 - 0 0 . chr10 131116731 131116732 chr10:131116732:C:T rs112940327 C T C EBF1_EBF_1 -16 0 + 0 0 . chr10 131122558 131122559 chr10:131122559:C:G rs10741241 C G G EBF1_EBF_1 26 0 - 0 0 . chr10 131132477 131132478 chr10:131132478:G:A rs146545005 G A G EBF1_EBF_1 0 1 - 8.722114351048432 9.00431641177901 CATCCCGTGGGACT chr10 131135849 131135850 chr10:131135850:A:G rs11591970 A G G EBF1_EBF_1 6 1 + 7.392182448398342 5.225388017123866 ATTCCCATGGGCAG chr10 131147400 131147401 chr10:131147401:C:T rs79767606 C T c EBF1_EBF_1 31 0 + 0 0 . chr10 131153399 131153400 chr10:131153400:C:T rs74562267 C T C EBF1_EBF_1 -7 0 + 0 0 . chr10 131155405 131155406 chr10:131155406:A:G rs11017770 A G a EBF1_EBF_1 -9 0 + 0 0 . chr10 131162244 131162245 chr10:131162245:G:A rs12411530 G A G EBF1_EBF_1 -5 0 + 0 0 . chr10 131166571 131166572 chr10:131166572:C:G rs187265317 C G C EBF1_EBF_1 2 1 + 8.210962416785966 3.351460138027647 GTCCCCCAGGGAGC chr10 131187665 131187666 chr10:131187666:G:A rs2944463 G A A EBF1_EBF_1 5 1 - 5.423002811605371 0.10121559606470049 AGACCCGAGGGAGA chr10 131197022 131197023 chr10:131197023:G:A rs2918140 G A G EBF1_EBF_1 -17 0 - 0 0 . chr10 131237064 131237065 chr10:131237065:A:G rs11017832 A G G EBF1_EBF_1 29 0 + 0 0 . chr10 131238310 131238311 chr10:131238311:G:A rs10829956 G A A EBF1_EBF_1 -15 0 - 0 0 . chr10 131238530 131238531 chr10:131238531:C:T rs2944500 C T C EBF1_EBF_1 -11 0 + 0 0 . chr10 131245689 131245690 chr10:131245690:G:C rs3919692 G C G EBF1_EBF_1 3 1 - 7.3358379637569096 1.5080735156416947 AGCCCCTCGGGAAG chr10 131267915 131267916 chr10:131267916:A:G rs138924982 A G A EBF1_EBF_1 -10 0 - 0 0 . chr10 131273171 131273172 chr10:131273172:A:G rs57254349 A G A EBF1_EBF_1 -17 0 + 0 0 . chr10 131273215 131273216 chr10:131273216:C:T rs865855066 C T C EBF1_EBF_1 27 0 + 0 0 . chr10 131345825 131345826 chr10:131345826:G:A rs118011628 G A G EBF1_EBF_1 -8 0 - 0 0 . chr10 131346546 131346547 chr10:131346547:C:A rs56162982 C A A EBF1_EBF_1 31 0 - 0 0 . chr10 131382003 131382004 chr10:131382004:T:C rs4237475 T C C EBF1_EBF_1 25 0 + 0 0 . chr10 131392175 131392176 chr10:131392176:T:C rs112085810 T C T EBF1_EBF_1 2 1 + 7.861868541579214 5.973045130137064 GCTCCCAGGAGAAT chr10 131392199 131392200 chr10:131392200:T:G rs11017934 T G T EBF1_EBF_1 26 0 + 0 0 . chr10 131394094 131394095 chr10:131394095:A:G rs56229396 A G G EBF1_EBF_1 7 1 + 7.680339470509016 6.367881065220189 ATCCCCTAGAGAAG chr10 131397494 131397495 chr10:131397495:T:C rs3125503 T C C EBF1_EBF_1 -11 0 - 0 0 . chr10 131429290 131429291 chr10:131429291:G:A rs4751390 G A G EBF1_EBF_1 0 1 - 9.290965601223386 9.573167661953963 CGCCCCATGGGAAT chr10 131437768 131437769 chr10:131437769:C:T rs3123157 C T C EBF1_EBF_1 1 1 + 5.425813049811525 6.321246490210269 ACTCCCCAGGTAGG chr10 131440792 131440793 chr10:131440793:G:A rs3123155 G A G EBF1_EBF_1 24 0 + 0 0 . chr10 131450322 131450323 chr10:131450323:T:C rs7076722 T C T EBF1_EBF_1 17 0 + 0 0 . chr10 131450332 131450333 chr10:131450333:C:T rs3123222 C T C EBF1_EBF_1 27 0 + 0 0 . chr10 131454854 131454855 chr10:131454855:G:A rs3125555 G A G EBF1_EBF_1 -16 0 + 0 0 . chr10 131457499 131457500 chr10:131457500:G:A rs141657787 G A G EBF1_EBF_1 3 1 - 6.743917131344879 0.3385183897915612 CTTCTCAAGGGACA chr10 131464999 131465000 chr10:131465000:C:A rs4751398 C A C EBF1_EBF_1 -13 0 + 0 0 . chr10 131465042 131465043 chr10:131465043:G:C rs12778651 G C g EBF1_EBF_1 30 0 + 0 0 . chr10 131492421 131492422 chr10:131492422:T:C rs7085285 T C T EBF1_EBF_1 -7 0 + 0 0 . chr10 131492429 131492430 chr10:131492430:T:C rs12571415 T C T EBF1_EBF_1 1 1 + 5.859745647112381 4.964312206713635 ATCCCCATGAGGAC chr10 131498389 131498390 chr10:131498390:C:T rs10765074 C T T EBF1_EBF_1 30 0 - 0 0 . chr10 131499925 131499926 chr10:131499926:G:A rs4074863 G A G EBF1_EBF_1 -2 0 + 0 0 . chr10 131518188 131518189 chr10:131518189:G:A rs7922424 G A A EBF1_EBF_1 22 0 + 0 0 . chr10 131528710 131528711 chr10:131528711:G:A rs4751406 G A G EBF1_EBF_1 16 0 + 0 0 . chr10 131556433 131556434 chr10:131556434:G:A rs11018039 G A G EBF1_EBF_1 26 0 - 0 0 . chr10 131560073 131560074 chr10:131560074:C:T rs74160110 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 131561542 131561543 chr10:131561543:C:A rs10830039 C A C EBF1_EBF_1 -2 0 + 0 0 . chr10 131568897 131568898 chr10:131568898:G:A rs36149044 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 131617256 131617257 chr10:131617257:C:G rs1028890520 C G C EBF1_EBF_1 25 0 - 0 0 . chr10 131638810 131638811 chr10:131638811:C:T rs11156525 C T C EBF1_EBF_1 -19 0 + 0 0 . chr10 131638842 131638843 chr10:131638843:G:T rs10872822 G T G EBF1_EBF_1 13 1 + 6.241019618638932 8.573584754579866 CCTCCCAAGGGGAG chr10 131646165 131646166 chr10:131646166:G:A rs12256659 G A G EBF1_EBF_1 -19 0 + 0 0 . chr10 131646842 131646843 chr10:131646843:C:T rs112426177 C T C EBF1_EBF_1 -3 0 + 0 0 . chr10 131651979 131651980 chr10:131651980:T:C rs9419610 T C C EBF1_EBF_1 18 0 + 0 0 . chr10 131658791 131658792 chr10:131658792:G:T rs56411231 G T G EBF1_EBF_1 -10 0 - 0 0 . chr10 131660804 131660805 chr10:131660805:A:C rs4545466 A C A EBF1_EBF_1 15 0 + 0 0 . chr10 131663704 131663705 chr10:131663705:G:T rs9419683 G T G EBF1_EBF_1 12 1 - 5.762723069099427 5.792904467520753 GGCCCCCTGAGACC chr10 131668071 131668072 chr10:131668072:G:T rs12771851 G T G EBF1_EBF_1 26 0 - 0 0 . chr10 131678822 131678823 chr10:131678823:G:A rs117926231 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 131683498 131683499 chr10:131683499:C:T rs74160163 C T C EBF1_EBF_1 28 0 - 0 0 . chr10 131683502 131683503 chr10:131683503:G:A rs7088868 G A G EBF1_EBF_1 24 0 - 0 0 . chr10 131683505 131683506 chr10:131683506:T:C rs72636961 T C T EBF1_EBF_1 21 0 - 0 0 . chr10 131690938 131690939 chr10:131690939:A:G rs12358347 A G G EBF1_EBF_1 -5 0 + 0 0 . chr10 131691793 131691794 chr10:131691794:C:T rs77512078 C T C EBF1_EBF_1 1 1 + 5.330467402404281 6.225900842803027 ACCCCCCTGGGCCA chr10 131692747 131692748 chr10:131692748:G:A rs10872827 G A g EBF1_EBF_1 18 0 + 0 0 . chr10 131695904 131695905 chr10:131695905:C:T rs764613018 C T C EBF1_EBF_1 12 1 - 6.5743126366397835 7.993129978739261 ACTCCCAGGGGCGT chr10 131731428 131731429 chr10:131731429:A:G rs11816223 A G A EBF1_EBF_1 11 1 + 6.444454853905009 3.5517480103009977 TCCCCCGAGGGACA chr10 131733812 131733813 chr10:131733813:G:A rs7077896 G A G EBF1_EBF_1 2 1 - 5.411931612390255 7.300755023832405 AGCCCCTCAGGACT chr10 131736845 131736846 chr10:131736846:C:T rs4604796 C T C EBF1_EBF_1 -17 0 - 0 0 . chr10 131737775 131737776 chr10:131737776:G:A chr10:131737776:G:A G A G EBF1_EBF_1 -5 0 - 0 0 . chr10 131738016 131738017 chr10:131738017:G:A rs61861851 G A G EBF1_EBF_1 18 0 - 0 0 . chr10 131743954 131743955 chr10:131743955:G:A rs10735735 G A G EBF1_EBF_1 10 1 + 5.538352392675789 0.22032393340882345 AATACCCAGGGAAC chr10 131751599 131751600 chr10:131751600:C:A rs12411961 C A C EBF1_EBF_1 -15 0 - 0 0 . chr10 131751813 131751814 chr10:131751814:C:T rs35663918 C T C EBF1_EBF_1 2 1 + 6.8058310966120255 8.694654508054175 AGCCCCACGGGGCT chr10 131758047 131758048 chr10:131758048:G:A rs752959954 G A G EBF1_EBF_1 -7 0 + 0 0 . chr10 131767317 131767318 chr10:131767318:G:A rs77215157 G A G EBF1_EBF_1 1 1 - 7.823377193773637 8.718810634172382 GCTCCCATGAGACC chr10 131771773 131771774 chr10:131771774:C:T rs80082873 C T C EBF1_EBF_1 0 1 + 4.03947841264961 4.321680473380188 CGTCCCCTGGGCCG chr10 131771790 131771791 chr10:131771791:C:A rs79444127 C A C EBF1_EBF_1 17 0 + 0 0 . chr10 131815591 131815592 chr10:131815592:T:C rs11813753 T C t EBF1_EBF_1 11 1 - 8.514302027955138 5.621595184351127 AGACCCCTGGGACC chr10 131815614 131815615 chr10:131815615:G:T rs191923346 G T g EBF1_EBF_1 -12 0 - 0 0 . chr10 131817950 131817951 chr10:131817951:C:T rs56349872 C T C EBF1_EBF_1 -10 0 + 0 0 . chr10 131817973 131817974 chr10:131817974:T:C rs7074946 T C T EBF1_EBF_1 13 1 + 5.383218953840659 4.538724839255141 CTCCCCGAGGGGCT chr10 131845947 131845948 chr10:131845948:C:G chr10:131845948:C:G C G C EBF1_EBF_1 -13 0 - 0 0 . chr10 131846637 131846638 chr10:131846638:G:A rs893684322 G A G EBF1_EBF_1 24 0 - 0 0 . chr10 131853484 131853485 chr10:131853485:G:C rs959811097 G C C EBF1_EBF_1 -4 0 - 0 0 . chr10 131858155 131858156 chr10:131858156:A:T rs36175638 A T N EBF1_EBF_1 7 1 - 6.5224477612079195 6.998722001295478 ACTCCCCTGGGTGC chr10 131869370 131869371 chr10:131869371:G:T rs879098151 G T G EBF1_EBF_1 -9 0 - 0 0 . chr10 131886500 131886501 chr10:131886501:G:A rs3923108 G A A EBF1_EBF_1 -15 0 + 0 0 . chr10 131903814 131903815 chr10:131903815:G:T rs947142531 G T G EBF1_EBF_1 -11 0 + 0 0 . chr10 131941462 131941463 chr10:131941463:C:T rs72861364 C T C EBF1_EBF_1 33 0 - 0 0 . chr10 131957127 131957128 chr10:131957128:C:T rs11146340 C T C EBF1_EBF_1 25 0 - 0 0 . chr10 131961726 131961727 chr10:131961727:G:A rs7921418 G A G EBF1_EBF_1 -10 0 + 0 0 . chr10 131974124 131974125 chr10:131974125:C:T rs4880263 C T C EBF1_EBF_1 -7 0 + 0 0 . chr10 131996966 131996967 chr10:131996967:G:T rs61865660 G T G EBF1_EBF_1 -14 0 - 0 0 . chr10 132029652 132029653 chr10:132029653:C:T rs9419160 C T C EBF1_EBF_1 31 0 + 0 0 . chr10 132031123 132031124 chr10:132031124:T:C rs12359890 T C T EBF1_EBF_1 -8 0 - 0 0 . chr10 132032738 132032739 chr10:132032739:C:G rs9419315 C G G EBF1_EBF_1 20 0 - 0 0 . chr10 132054776 132054777 chr10:132054777:G:A rs557623042 G A G EBF1_EBF_1 10 1 + 5.5699192634154695 0.25189080414850346 GTTCCCCTGGGCTC chr10 132055783 132055784 chr10:132055784:G:A rs913869 G A A EBF1_EBF_1 11 1 + 4.861755431436231 7.754462275040242 CCTCCCCCGGGGTT chr10 132055787 132055788 chr10:132055788:G:C rs12412015 G C G EBF1_EBF_1 15 0 + 0 0 . chr10 132059745 132059746 chr10:132059746:T:C rs7085906 T C C EBF1_EBF_1 -5 0 - 0 0 . chr10 132098113 132098114 chr10:132098114:T:C rs9419183 T C c EBF1_EBF_1 -15 0 + 0 0 . chr10 132098146 132098147 chr10:132098147:C:T rs10870246 C T c EBF1_EBF_1 18 0 + 0 0 . chr10 132098158 132098159 chr10:132098159:C:T rs148746438 C T c EBF1_EBF_1 30 0 + 0 0 . chr10 132099743 132099744 chr10:132099744:G:A rs113476774 G A g EBF1_EBF_1 -14 0 + 0 0 . chr10 132099772 132099773 chr10:132099773:G:A rs10870248 G A g EBF1_EBF_1 15 0 + 0 0 . chr10 132100079 132100080 chr10:132100080:C:T rs186274841 C T c EBF1_EBF_1 -13 0 + 0 0 . chr10 132100478 132100479 chr10:132100479:T:C rs9419189 T C t EBF1_EBF_1 -18 0 + 0 0 . chr10 132101939 132101940 chr10:132101940:T:C rs12218117 T C c EBF1_EBF_1 -3 0 - 0 0 . chr10 132101940 132101941 chr10:132101941:G:A rs12220958 G A g EBF1_EBF_1 -4 0 - 0 0 . chr10 132105903 132105904 chr10:132105904:G:A rs11146179 G A G EBF1_EBF_1 -17 0 - 0 0 . chr10 132110834 132110835 chr10:132110835:C:T rs11146188 C T C EBF1_EBF_1 -9 0 - 0 0 . chr10 132111531 132111532 chr10:132111532:G:T rs9419205 G T G EBF1_EBF_1 2 1 - 3.1655164714933726 1.3698722953366462 GGCCCCCGGGGCCC chr10 132115493 132115494 chr10:132115494:C:T rs74161723 C T T EBF1_EBF_1 24 0 - 0 0 . chr10 132115531 132115532 chr10:132115532:G:A rs7086006 G A A EBF1_EBF_1 -14 0 - 0 0 . chr10 132121601 132121602 chr10:132121602:G:A rs117258311 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 132135673 132135674 chr10:132135674:T:A rs985671199 T A T EBF1_EBF_1 27 0 + 0 0 . chr10 132137173 132137174 chr10:132137174:G:A rs143120823 G A G EBF1_EBF_1 27 0 - 0 0 . chr10 132138202 132138203 chr10:132138203:G:A rs2814179 G A G EBF1_EBF_1 33 0 + 0 0 . chr10 132142970 132142971 chr10:132142971:C:T rs9419375 C T C EBF1_EBF_1 27 0 - 0 0 . chr10 132169922 132169923 chr10:132169923:C:T rs7096307 C T C EBF1_EBF_1 -1 0 - 0 0 . chr10 132171102 132171103 chr10:132171103:G:A rs187791555 G A G EBF1_EBF_1 30 0 - 0 0 . chr10 132179036 132179037 chr10:132179037:C:T rs79024485 C T C EBF1_EBF_1 -9 0 - 0 0 . chr10 132186323 132186324 chr10:132186324:C:T rs902631 C T T EBF1_EBF_1 -12 0 - 0 0 . chr10 132186586 132186587 chr10:132186587:G:A rs2637638 G A G EBF1_EBF_1 -17 0 - 0 0 . chr10 132203149 132203150 chr10:132203150:C:T chr10:132203150:C:T C T C EBF1_EBF_1 5 1 + 5.915811184085294 0.5940239685446246 CTCCCCACGGGAGC chr10 132208129 132208130 chr10:132208130:G:C rs146688423 G C G EBF1_EBF_1 3 1 - 7.036185710224407 1.2084212621091888 CCTCCCGAGGGAGC chr10 132208462 132208463 chr10:132208463:A:G rs923662 A G G EBF1_EBF_1 -4 0 + 0 0 . chr10 132208663 132208664 chr10:132208664:G:A rs115767560 G A G EBF1_EBF_1 23 0 - 0 0 . chr10 132223212 132223213 chr10:132223213:C:T rs145634623 C T C EBF1_EBF_1 -17 0 - 0 0 . chr10 132223672 132223673 chr10:132223673:A:C rs2814195 A C C EBF1_EBF_1 11 1 + 5.8219511725241615 1.4059894746717723 CCTCCCCAAGGATT chr10 132232365 132232366 chr10:132232366:C:T rs150313357 C T C EBF1_EBF_1 -6 0 + 0 0 . chr10 132238235 132238236 chr10:132238236:A:G rs1000536 A G A EBF1_EBF_1 20 0 + 0 0 . chr10 132247417 132247418 chr10:132247418:A:G rs4880359 A G G EBF1_EBF_1 -17 0 - 0 0 . chr10 132247654 132247655 chr10:132247655:T:A rs12412676 T A T EBF1_EBF_1 30 0 + 0 0 . chr10 132255264 132255265 chr10:132255265:C:G rs902630 C G C EBF1_EBF_1 -17 0 - 0 0 . chr10 132270993 132270994 chr10:132270994:T:C rs74161760 T C T EBF1_EBF_1 11 1 - 5.454990067977717 2.5622832243737057 CCTCCCTTAGGACA chr10 132281672 132281673 chr10:132281673:C:T rs11146308 C T C EBF1_EBF_1 -12 0 + 0 0 . chr10 132293798 132293799 chr10:132293799:G:T rs73383273 G T G EBF1_EBF_1 10 1 + 6.521010989536915 2.3382588033096505 ACTGCCTGGGGAAT chr10 132294638 132294639 chr10:132294639:C:T rs116881074 C T C EBF1_EBF_1 13 1 + 7.405091643012847 8.249585757598366 AGCCCCTCGGGAGC chr10 132298631 132298632 chr10:132298632:C:T rs2492647 C T c EBF1_EBF_1 7 1 - 4.3358243876947204 5.648282792983546 ACTCCCCGGTGACC chr10 132299519 132299520 chr10:132299520:A:G rs2492646 A G G EBF1_EBF_1 20 0 - 0 0 . chr10 132299795 132299796 chr10:132299796:C:T rs10870290 C T C EBF1_EBF_1 24 0 + 0 0 . chr10 132299801 132299802 chr10:132299802:G:C rs547158525 G C G EBF1_EBF_1 30 0 + 0 0 . chr10 132299982 132299983 chr10:132299983:G:A rs371992596 G A G EBF1_EBF_1 9 1 + 4.738898460660128 2.0839591952125236 AACCCCCGCGGACT chr10 132301480 132301481 chr10:132301481:C:T rs11146322 C T C EBF1_EBF_1 30 0 - 0 0 . chr10 132302427 132302428 chr10:132302428:T:G rs117015819 T G T EBF1_EBF_1 -8 0 + 0 0 . chr10 132303266 132303267 chr10:132303267:C:T rs11146323 C T C EBF1_EBF_1 -4 0 + 0 0 . chr10 132303278 132303279 chr10:132303279:C:A rs74161771 C A C EBF1_EBF_1 8 1 + 5.419686740000934 6.512055512029359 ACTCCCTACGGGCT chr10 132308136 132308137 chr10:132308137:G:A rs147222310 G A G EBF1_EBF_1 29 0 - 0 0 . chr10 132308164 132308165 chr10:132308165:G:C rs12268135 G C C EBF1_EBF_1 1 1 - 7.337123734302674 7.526895388871891 ACTCCCTAGCGACA chr10 132331575 132331576 chr10:132331576:G:C rs115195531 G C G EBF1_EBF_1 -3 0 + 0 0 . chr10 132331610 132331611 chr10:132331611:C:A rs766912647 C A C EBF1_EBF_1 32 0 + 0 0 . chr10 132336786 132336787 chr10:132336787:C:T rs41306842 C T C EBF1_EBF_1 3 1 + 5.92292520381302 -0.48247353774029605 ACTCCCTCAGGAAA chr10 132355949 132355950 chr10:132355950:G:C rs531984840 G C C EBF1_EBF_1 -14 0 - 0 0 . chr10 132360879 132360880 chr10:132360880:G:A rs78543130 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 132362619 132362620 chr10:132362620:T:C rs114665261 T C T EBF1_EBF_1 -6 0 - 0 0 . chr10 132363346 132363347 chr10:132363347:G:A rs147613713 G A G EBF1_EBF_1 28 0 - 0 0 . chr10 132363588 132363589 chr10:132363589:C:T rs77111094 C T C EBF1_EBF_1 27 0 + 0 0 . chr10 132374077 132374078 chr10:132374078:T:C rs72863827 T C C EBF1_EBF_1 -3 0 - 0 0 . chr10 132384905 132384906 chr10:132384906:C:A rs76453635 C A C EBF1_EBF_1 20 0 - 0 0 . chr10 132386082 132386083 chr10:132386083:G:T rs11146353 G T T EBF1_EBF_1 22 0 + 0 0 . chr10 132386990 132386991 chr10:132386991:C:G rs758526521 C G c EBF1_EBF_1 -10 0 - 0 0 . chr10 132391831 132391832 chr10:132391832:C:T rs4619072 C T C EBF1_EBF_1 -5 0 - 0 0 . chr10 132396421 132396422 chr10:132396422:G:A rs974862924 G A g EBF1_EBF_1 -19 0 + 0 0 . chr10 132396454 132396455 chr10:132396455:C:G rs190905630 C G C EBF1_EBF_1 14 0 + 0 0 . chr10 132400289 132400290 chr10:132400290:G:A rs1002786494 G A G EBF1_EBF_1 7 1 - 5.356379085717507 7.17388383200013 ATCCCCTCGAGACG chr10 132404083 132404084 chr10:132404084:T:G rs61865564 T G T EBF1_EBF_1 33 0 - 0 0 . chr10 132404134 132404135 chr10:132404135:C:G rs61192738 C G G EBF1_EBF_1 -18 0 - 0 0 . chr10 132404764 132404765 chr10:132404765:C:T rs11146363 C T C EBF1_EBF_1 -10 0 + 0 0 . chr10 132404791 132404792 chr10:132404792:C:G rs10747057 C G G EBF1_EBF_1 17 0 + 0 0 . chr10 132409135 132409136 chr10:132409136:G:A rs555267074 G A G EBF1_EBF_1 18 0 + 0 0 . chr10 132409141 132409142 chr10:132409142:G:A rs75918094 G A g EBF1_EBF_1 24 0 + 0 0 . chr10 132414524 132414525 chr10:132414525:G:A rs7901449 G A G EBF1_EBF_1 15 0 - 0 0 . chr10 132419477 132419478 chr10:132419478:T:G rs4997038 T G - EBF1_EBF_1 31 0 - 0 0 . chr10 132422176 132422177 chr10:132422177:G:A rs181912225 G A G EBF1_EBF_1 7 1 - 7.936234731005574 9.753739477288194 CCTCCCCCGGGACC chr10 132422994 132422995 chr10:132422995:C:T rs77374060 C T T EBF1_EBF_1 26 0 + 0 0 . chr10 132439051 132439052 chr10:132439052:C:T rs61865596 C T C EBF1_EBF_1 29 0 - 0 0 . chr10 132447156 132447157 chr10:132447157:A:T rs80285371 A T A EBF1_EBF_1 30 0 - 0 0 . chr10 132452311 132452312 chr10:132452312:G:A rs118045486 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 132460725 132460726 chr10:132460726:C:T rs11146382 C T C EBF1_EBF_1 27 0 - 0 0 . chr10 132464909 132464910 chr10:132464910:C:T rs61865630 C T C EBF1_EBF_1 17 0 - 0 0 . chr10 132469856 132469857 chr10:132469857:G:T rs61865636 G T G EBF1_EBF_1 -5 0 - 0 0 . chr10 132469868 132469869 chr10:132469869:G:A chr10:132469869:G:A G A G EBF1_EBF_1 -17 0 - 0 0 . chr10 132470744 132470745 chr10:132470745:T:C rs7898285 T C C EBF1_EBF_1 -20 0 + 0 0 . chr10 132486843 132486844 chr10:132486844:C:G rs561080217 C G C EBF1_EBF_1 -2 0 + 0 0 . chr10 132497894 132497895 chr10:132497895:G:A rs77262200 G A G EBF1_EBF_1 -13 0 + 0 0 . chr10 132504724 132504725 chr10:132504725:C:G chr10:132504725:C:G C G C EBF1_EBF_1 33 0 - 0 0 . chr10 132509445 132509446 chr10:132509446:C:T rs182660801 C T C EBF1_EBF_1 9 1 - 8.185612757974923 5.530673492527318 GCCCCCTTGGGAAA chr10 132509473 132509474 chr10:132509474:A:C rs10747065 A C A EBF1_EBF_1 -19 0 - 0 0 . chr10 132516517 132516518 chr10:132516518:C:T rs73393475 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 132517815 132517816 chr10:132517816:C:A rs114659523 C A C EBF1_EBF_1 -18 0 - 0 0 . chr10 132518472 132518473 chr10:132518473:G:A rs555889714 G A G EBF1_EBF_1 12 1 - 5.559743879720194 4.533477309169344 TGCCCCCAGGGTCT chr10 132518492 132518493 chr10:132518493:G:T rs74163961 G T G EBF1_EBF_1 -8 0 - 0 0 . chr10 132519024 132519025 chr10:132519025:G:C rs9783271 G C C EBF1_EBF_1 16 0 + 0 0 . chr10 132519972 132519973 chr10:132519973:G:A rs529941829 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 132522970 132522971 chr10:132522971:G:A rs759085584 G A G EBF1_EBF_1 31 0 + 0 0 . chr10 132527992 132527993 chr10:132527993:G:A rs12776944 G A G EBF1_EBF_1 1 1 + 3.344476130528628 3.083777298607327 CGCCCCCCGGGGCC chr10 132547087 132547088 chr10:132547088:C:A rs757925266 C A C EBF1_EBF_1 -9 0 - 0 0 . chr10 132563795 132563796 chr10:132563796:C:T rs77874356 C T C EBF1_EBF_1 8 1 - 8.072353308331596 3.846063219445335 AATCCCCCGGGGCT chr10 132572066 132572067 chr10:132572067:G:A rs1329420991 G A G EBF1_EBF_1 5 1 - 6.100364170713083 0.7785769551724139 AGTCCCAAGAGGGC chr10 132626377 132626378 chr10:132626378:G:A rs7071571 G A G EBF1_EBF_1 23 0 + 0 0 . chr10 132627347 132627348 chr10:132627348:C:T rs117854867 C T C EBF1_EBF_1 32 0 + 0 0 . chr10 132629723 132629724 chr10:132629724:A:G rs78032981 A G A EBF1_EBF_1 4 1 + 6.9036004873836045 7.102395009687528 ATCCACAAGGGAAT chr10 132646025 132646026 chr10:132646026:C:T rs146983957 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 132651459 132651460 chr10:132651460:G:A rs112764490 G A g EBF1_EBF_1 -14 0 - 0 0 . chr10 132685216 132685217 chr10:132685217:G:A rs117644802 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 132686212 132686213 chr10:132686213:C:T rs191698819 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 132686213 132686214 chr10:132686214:A:G rs12770172 A G A EBF1_EBF_1 -12 0 - 0 0 . chr10 132686233 132686234 chr10:132686234:T:G rs117585855 T G T EBF1_EBF_1 21 0 - 0 0 . chr10 132686270 132686271 chr10:132686271:G:A rs2786905 G A g EBF1_EBF_1 -16 0 - 0 0 . chr10 132688084 132688085 chr10:132688085:A:G rs2804000 A G G EBF1_EBF_1 0 1 + 5.028197555576347 3.1359136860115124 AGCCTCCAGGGAGC chr10 132688173 132688174 chr10:132688174:G:T rs59861210 G T G EBF1_EBF_1 3 1 - 5.893984506259476 -1.0121895232063323 AGCCCCCTGGGCCC chr10 132707420 132707421 chr10:132707421:G:A rs72868929 G A G EBF1_EBF_1 -1 0 + 0 0 . chr10 132711809 132711810 chr10:132711810:C:T rs2803997 C T C EBF1_EBF_1 -11 0 - 0 0 . chr10 132779677 132779678 chr10:132779678:C:T rs114611797 C T C EBF1_EBF_1 -6 0 + 0 0 . chr10 132786202 132786203 chr10:132786203:G:A rs1274534225 G A - EBF1_EBF_1 21 0 + 0 0 . chr10 132786367 132786368 chr10:132786368:A:C rs2737398 A C C EBF1_EBF_1 24 0 + 0 0 . chr10 132797597 132797598 chr10:132797598:G:A rs2148665 G A G EBF1_EBF_1 28 0 + 0 0 . chr10 132801676 132801677 chr10:132801677:G:C rs116504841 G C G EBF1_EBF_1 -15 0 + 0 0 . chr10 132805889 132805890 chr10:132805890:C:G rs377205876 C G C EBF1_EBF_1 32 0 + 0 0 . chr10 132808584 132808585 chr10:132808585:A:G rs143727872 A G A EBF1_EBF_1 32 0 - 0 0 . chr10 132814658 132814659 chr10:132814659:G:C rs57517709 G C G EBF1_EBF_1 0 1 + 3.5176790900911783 3.154704475959411 GCCCCCCCGGGGCC chr10 132828895 132828896 chr10:132828896:G:A rs76381359 G A G EBF1_EBF_1 -20 0 + 0 0 . chr10 132832397 132832398 chr10:132832398:C:G rs139899988 C G C EBF1_EBF_1 -20 0 + 0 0 . chr10 132834382 132834383 chr10:132834383:G:A rs373942871 G A G EBF1_EBF_1 17 0 - 0 0 . chr10 132835230 132835231 chr10:132835231:G:A rs60876884 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 132835748 132835749 chr10:132835749:C:T rs191680443 C T C EBF1_EBF_1 12 1 + 8.324530373385919 7.298263802835071 ACACCCCTGGGACC chr10 132837042 132837043 chr10:132837043:G:C rs150888776 G C G EBF1_EBF_1 16 0 - 0 0 . chr10 132838048 132838049 chr10:132838049:C:T rs79038327 C T C EBF1_EBF_1 -4 0 - 0 0 . chr10 132838687 132838688 chr10:132838688:T:C rs7091501 T C T EBF1_EBF_1 24 0 - 0 0 . chr10 132858921 132858922 chr10:132858922:G:A rs11146551 G A G EBF1_EBF_1 25 0 - 0 0 . chr10 132858943 132858944 chr10:132858944:G:T rs73391293 G T G EBF1_EBF_1 3 1 - 8.295849854354106 1.3896758248883017 CCTCCCCTGGGAAG chr10 132861435 132861436 chr10:132861436:A:C rs11817114 A C A EBF1_EBF_1 13 1 - 5.132212412245777 2.799647276304844 AGCCCCAGGAGGCT chr10 132862654 132862655 chr10:132862655:C:T rs149641949 C T C EBF1_EBF_1 4 1 + 5.762572011423427 1.3931850664785035 ACCCCCGAGAGACA chr10 132863907 132863908 chr10:132863908:G:C rs34561596 G C - EBF1_EBF_1 32 0 + 0 0 . chr10 132865394 132865395 chr10:132865395:C:T rs185509568 C T C EBF1_EBF_1 -5 0 - 0 0 . chr10 132865532 132865533 chr10:132865533:G:A rs2387073 G A g EBF1_EBF_1 18 0 - 0 0 . chr10 132865551 132865552 chr10:132865552:G:A rs147520303 G A G EBF1_EBF_1 -1 0 - 0 0 . chr10 132870242 132870243 chr10:132870243:G:C rs150259214 G C C EBF1_EBF_1 -12 0 + 0 0 . chr10 132879144 132879145 chr10:132879145:A:G rs73393239 A G A EBF1_EBF_1 24 0 - 0 0 . chr10 132880179 132880180 chr10:132880180:G:A rs543397337 G A G EBF1_EBF_1 -16 0 + 0 0 . chr10 132880216 132880217 chr10:132880217:C:T rs61514134 C T C EBF1_EBF_1 21 0 + 0 0 . chr10 132880217 132880218 chr10:132880218:G:A rs74161919 G A G EBF1_EBF_1 22 0 + 0 0 . chr10 132884631 132884632 chr10:132884632:G:A rs79208530 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 132884641 132884642 chr10:132884642:C:A rs60666797 C A C EBF1_EBF_1 25 0 + 0 0 . chr10 132893341 132893342 chr10:132893342:C:T rs61862359 C T C EBF1_EBF_1 4 1 + 9.00249623363598 4.633109288691056 GGTCCCCAGAGAAT chr10 132898516 132898517 chr10:132898517:C:T rs1009760039 C T C EBF1_EBF_1 30 0 + 0 0 . chr10 132899428 132899429 chr10:132899429:G:A rs557034196 G A G EBF1_EBF_1 -4 0 + 0 0 . chr10 132900595 132900596 chr10:132900596:C:A rs7913509 C A C EBF1_EBF_1 -14 0 - 0 0 . chr10 132910841 132910842 chr10:132910842:C:A rs12412225 C A A EBF1_EBF_1 -1 0 + 0 0 . chr10 132913998 132913999 chr10:132913999:C:T rs115056146 C T c EBF1_EBF_1 16 0 + 0 0 . chr10 132915583 132915584 chr10:132915584:C:T rs61863090 C T c EBF1_EBF_1 13 1 + 7.007971957760202 7.85246607234572 TGCCCCGAGGGACC chr10 132915635 132915636 chr10:132915636:C:T rs117162296 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 132915640 132915641 chr10:132915641:T:C rs4601687 T C C EBF1_EBF_1 27 0 + 0 0 . chr10 132921248 132921249 chr10:132921249:A:T rs111372879 A T A EBF1_EBF_1 -13 0 + 0 0 . chr10 132921251 132921252 chr10:132921252:C:T rs553728836 C T C EBF1_EBF_1 -10 0 + 0 0 . chr10 132933002 132933003 chr10:132933003:T:C rs12247909 T C C EBF1_EBF_1 7 1 - 5.992952793335284 4.680494388046459 GCTCCCCAAGGACA chr10 132935290 132935291 chr10:132935291:G:C rs12218167 G C C EBF1_EBF_1 8 1 + 4.968278396106131 -0.35038046480855506 ACTCCCCTGAGCAC chr10 132943495 132943496 chr10:132943496:T:G rs7902553 T G G EBF1_EBF_1 30 0 + 0 0 . chr10 132943729 132943730 chr10:132943730:G:A rs184581268 G A G EBF1_EBF_1 24 0 + 0 0 . chr10 132945381 132945382 chr10:132945382:C:G rs11146057 C G C EBF1_EBF_1 16 0 - 0 0 . chr10 132945595 132945596 chr10:132945596:G:A rs11146058 G A G EBF1_EBF_1 -2 0 + 0 0 . chr10 132961042 132961043 chr10:132961043:G:C rs79170290 G C G EBF1_EBF_1 6 1 + 5.087442183660485 6.892634247133682 CATCCCGAGGGGCA chr10 132963775 132963776 chr10:132963776:C:T rs74161940 C T C EBF1_EBF_1 -19 0 - 0 0 . chr10 132972586 132972587 chr10:132972587:A:G rs72863205 A G A EBF1_EBF_1 -9 0 + 0 0 . chr10 132972627 132972628 chr10:132972628:G:A rs143756918 G A G EBF1_EBF_1 32 0 + 0 0 . chr10 132973707 132973708 chr10:132973708:C:G rs11146071 C G C EBF1_EBF_1 23 0 - 0 0 . chr10 132978076 132978077 chr10:132978077:A:G rs3924148 A G - EBF1_EBF_1 -10 0 + 0 0 . chr10 132978101 132978102 chr10:132978102:G:A rs146707619 G A G EBF1_EBF_1 15 0 + 0 0 . chr10 132991291 132991292 chr10:132991292:G:A rs7090866 G A A EBF1_EBF_1 29 0 - 0 0 . chr10 133000285 133000286 chr10:133000286:T:C rs7096798 T C C EBF1_EBF_1 7 1 - 9.72604037894214 8.413581973653315 GTTCCCCAGGGAGA chr10 133000291 133000292 chr10:133000292:A:G rs7093215 A G A EBF1_EBF_1 1 1 - 9.72604037894214 8.830606938543395 GTTCCCCAGGGAGA chr10 133006948 133006949 chr10:133006949:G:A rs7079118 G A G EBF1_EBF_1 23 0 - 0 0 . chr10 133006951 133006952 chr10:133006952:G:T rs11817175 G T G EBF1_EBF_1 20 0 - 0 0 . chr10 133031076 133031077 chr10:133031077:C:T rs114156271 C T C EBF1_EBF_1 16 0 - 0 0 . chr10 133036173 133036174 chr10:133036174:G:A rs11101823 G A G EBF1_EBF_1 -3 0 + 0 0 . chr10 133036203 133036204 chr10:133036204:A:G rs11101827 A G A EBF1_EBF_1 27 0 + 0 0 . chr10 133041345 133041346 chr10:133041346:C:T rs189111770 C T c EBF1_EBF_1 13 1 + 5.366275268582084 6.210769383167602 ACTCCCCAAGGGCC chr10 133050465 133050466 chr10:133050466:C:T rs10776669 C T C EBF1_EBF_1 19 0 + 0 0 . chr10 133055570 133055571 chr10:133055571:C:T rs187434844 C T C EBF1_EBF_1 -18 0 + 0 0 . chr10 133072423 133072424 chr10:133072424:G:A rs533603290 G A G EBF1_EBF_1 16 0 + 0 0 . chr10 133072536 133072537 chr10:133072537:C:T rs117836009 C T C EBF1_EBF_1 8 1 - 4.507413548484912 0.28112345959865204 AGTCCCCCGGGCAG chr10 133080264 133080265 chr10:133080265:C:T rs56411752 C T C EBF1_EBF_1 26 0 - 0 0 . chr10 133080334 133080335 chr10:133080335:C:T rs41301445 C T C EBF1_EBF_1 1 1 + 4.248875489516472 5.144308929915217 ACGCCCCAGGGACG chr10 133087302 133087303 chr10:133087303:T:G rs10776691 T G G EBF1_EBF_1 6 1 - 6.282059545430666 5.920457177629389 TGCCCCAAGGGGCC chr10 133098593 133098594 chr10:133098594:C:T rs4838796 C T C EBF1_EBF_1 -18 0 - 0 0 . chr10 133104329 133104330 chr10:133104330:T:C rs7070794 T C T EBF1_EBF_1 -2 0 - 0 0 . chr10 133114889 133114890 chr10:133114890:G:A rs9419009 G A G EBF1_EBF_1 32 0 - 0 0 . chr10 133117466 133117467 chr10:133117467:G:C rs1009624 G C C EBF1_EBF_1 -4 0 - 0 0 . chr10 133125037 133125038 chr10:133125038:C:G rs150832996 C G C EBF1_EBF_1 14 0 + 0 0 . chr10 133133711 133133712 chr10:133133712:C:T rs73399175 C T T EBF1_EBF_1 -5 0 + 0 0 . chr10 133141185 133141186 chr10:133141186:G:A rs7092624 G A G EBF1_EBF_1 -11 0 + 0 0 . chr10 133163049 133163050 chr10:133163050:C:T rs10745291 C T C EBF1_EBF_1 -4 0 + 0 0 . chr10 133167312 133167313 chr10:133167313:T:C rs146726424 T C T EBF1_EBF_1 16 0 + 0 0 . chr10 133185053 133185054 chr10:133185054:C:T rs68100130 C T c EBF1_EBF_1 20 0 + 0 0 . chr10 133199789 133199790 chr10:133199790:C:T rs12766730 C T C EBF1_EBF_1 -11 0 + 0 0 . chr10 133210809 133210810 chr10:133210810:G:C rs75115646 G C G EBF1_EBF_1 22 0 - 0 0 . chr10 133214263 133214264 chr10:133214264:C:T rs72859892 C T C EBF1_EBF_1 22 0 + 0 0 . chr10 133216870 133216871 chr10:133216871:G:C rs9418945 G C G EBF1_EBF_1 -18 0 + 0 0 . chr10 133230742 133230743 chr10:133230743:G:T rs754427104 G T G EBF1_EBF_1 -18 0 + 0 0 . chr10 133230758 133230759 chr10:133230759:C:G rs371187098 C G C EBF1_EBF_1 -2 0 + 0 0 . chr10 133231120 133231121 chr10:133231121:G:A rs536655330 G A g EBF1_EBF_1 9 1 + 4.620338045848583 1.9653987804009792 CATCCCCGAGGACC chr10 133238038 133238039 chr10:133238039:T:C rs2240892 T C T EBF1_EBF_1 7 1 - 8.830606938543395 7.51814853325457 GCTCCCCAGGGAGA chr10 133238057 133238058 chr10:133238058:A:G rs2998143 A G G EBF1_EBF_1 -12 0 - 0 0 . chr10 133239618 133239619 chr10:133239619:A:G rs880340 A G G EBF1_EBF_1 20 0 - 0 0 . chr10 133245832 133245833 chr10:133245833:G:A rs189441077 G A G EBF1_EBF_1 9 1 + 5.238970668216859 2.5840314027692552 TTTCCCCTCGGACA chr10 133251946 133251947 chr10:133251947:C:T rs11101666 C T C EBF1_EBF_1 -5 0 + 0 0 . chr10 133254752 133254753 chr10:133254753:T:C rs3008307 T C C EBF1_EBF_1 0 1 - 11.089743536946745 9.197459667381914 AATCCCAGGGGACA chr10 133262613 133262614 chr10:133262614:C:T rs140271621 C T C EBF1_EBF_1 4 1 + 11.95610910056934 7.586722155624416 AATCCCATGGGAAA chr10 133262634 133262635 chr10:133262635:A:C rs79453662 A C A EBF1_EBF_1 25 0 + 0 0 . chr10 133263882 133263883 chr10:133263883:G:A rs72864780 G A G EBF1_EBF_1 9 1 + 7.31552897638722 4.6605897109396155 GCCCCCCAGGGAGC chr10 133275347 133275348 chr10:133275348:C:G rs112995498 C G C EBF1_EBF_1 10 1 - 7.417239360507854 0.5264369731783785 AGCCCCCTGGGGCC chr10 133275685 133275686 chr10:133275686:C:A rs901671606 C A C EBF1_EBF_1 -2 0 + 0 0 . chr10 133275892 133275893 chr10:133275893:C:T rs2995316 C T C EBF1_EBF_1 -19 0 + 0 0 . chr10 133277735 133277736 chr10:133277736:G:C rs11101676 G C G EBF1_EBF_1 22 0 - 0 0 . chr10 133325891 133325892 chr10:133325892:C:T rs140691452 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 133348398 133348399 chr10:133348399:G:A rs143674922 G A G EBF1_EBF_1 13 1 - 3.688107178872352 4.532601293457871 GCTCCACAGGGACC chr10 133351750 133351751 chr10:133351751:C:T rs12772276 C T C EBF1_EBF_1 20 0 - 0 0 . chr10 133355015 133355016 chr10:133355016:A:G rs59130573 A G G EBF1_EBF_1 12 1 + 6.316207133244486 4.897389791145009 TGTCCCCAGGGCAC chr10 133365242 133365243 chr10:133365243:G:A rs61671635 G A G EBF1_EBF_1 4 1 - 8.354332698455837 3.984945753510912 GCTCCCCTGGGAGA chr10 133372412 133372413 chr10:133372413:G:A rs11101717 G A G EBF1_EBF_1 -9 0 + 0 0 . chr10 133372871 133372872 chr10:133372872:G:C rs192155878 G C G EBF1_EBF_1 31 0 - 0 0 . chr10 133379589 133379590 chr10:133379590:G:A rs1272555217 G A G EBF1_EBF_1 -15 0 + 0 0 . chr10 133380508 133380509 chr10:133380509:G:A rs112773825 G A G EBF1_EBF_1 -17 0 + 0 0 . chr10 133393971 133393972 chr10:133393972:C:T rs1018665498 C T c EBF1_EBF_1 7 1 + 4.052399232580728 5.869903978863353 GTCCCCCCGGGTCT chr10 133401603 133401604 chr10:133401604:G:A rs139199152 G A g EBF1_EBF_1 9 1 + 4.460549796496892 1.8056105310492871 AGTCCTCAGGGGCC chr10 133404038 133404039 chr10:133404039:C:T rs75623163 C T c EBF1_EBF_1 17 0 - 0 0 . chr10 133418489 133418490 chr10:133418490:C:T rs11101745 C T c EBF1_EBF_1 6 1 + 5.292809143787875 5.594095272649633 TCTCTCCTGGGACA chr10 133425054 133425055 chr10:133425055:C:T rs570167469 C T - EBF1_EBF_1 4 1 + 4.9744150451038225 0.6050281001588977 GATCCCCAGAGGCC chr10 133457625 133457626 chr10:133457626:C:T rs10466128 C T T EBF1_EBF_1 -11 0 - 0 0 . chr10 133481515 133481516 chr10:133481516:C:G rs187851826 C G C EBF1_EBF_1 8 1 + 6.185622462151928 11.504281323066612 ACTCCCTGCGGAAC chr10 133520321 133520322 chr10:133520322:C:T rs752336203 C T C EBF1_EBF_1 -12 0 + 0 0 . chr10 133520389 133520390 chr10:133520390:G:A rs60697302 G A G EBF1_EBF_1 30 0 + 0 0 . chr10 133529277 133529278 chr10:133529278:C:G rs41299414 C G . EBF1_EBF_1 -10 0 - 0 0 . chr10 133541698 133541699 chr10:133541699:C:T rs71484084 C T C EBF1_EBF_1 27 0 - 0 0 . chr10 133565012 133565013 chr10:133565013:C:T rs11101842 C T C EBF1_EBF_1 6 1 + 4.320426399273674 4.62171252813543 GCTCCCCGGGGCGT chr10 133565039 133565040 chr10:133565040:C:T rs9630002 C T T EBF1_EBF_1 33 0 + 0 0 . chr10 133568087 133568088 chr10:133568088:C:G rs3020501 C G c EBF1_EBF_1 31 0 - 0 0 . chr10 133568118 133568119 chr10:133568119:T:C rs3020502 T C N EBF1_EBF_1 0 1 - 4.322792438420305 2.430508568855471 AGTGCCCTGGGGCT chr10 133569047 133569048 chr10:133569048:A:C rs879847331 A C a EBF1_EBF_1 32 0 - 0 0 . chr10 133569086 133569087 chr10:133569087:C:T rs112345496 C T c EBF1_EBF_1 -7 0 - 0 0 . chr10 133651274 133651275 chr10:133651275:A:G chr10:133651275:A:G A G . EBF1_EBF_1 17 0 + 0 0 . chr11 197336 197337 chr11:197337:G:A rs3802984 G A G EBF1_EBF_1 -7 0 + 0 0 . chr11 202584 202585 chr11:202585:G:A rs115420689 G A G EBF1_EBF_1 -5 0 + 0 0 . chr11 202611 202612 chr11:202612:T:C rs140148848 T C T EBF1_EBF_1 22 0 + 0 0 . chr11 202872 202873 chr11:202873:A:G rs73386635 A G G EBF1_EBF_1 -15 0 + 0 0 . chr11 204099 204100 chr11:204100:T:C rs61876180 T C T EBF1_EBF_1 14 0 - 0 0 . chr11 204679 204680 chr11:204680:G:A rs11245997 G A A EBF1_EBF_1 -7 0 + 0 0 . chr11 204714 204715 chr11:204715:C:T rs56357554 C T C EBF1_EBF_1 28 0 + 0 0 . chr11 211014 211015 chr11:211015:C:T rs2293169 C T C EBF1_EBF_1 13 1 + 8.56796976957538 9.412463884160898 TTCCCCAGGGGACC chr11 211840 211841 chr11:211841:T:C rs75256197 T C T EBF1_EBF_1 6 1 - 5.855936924381087 3.6891424931066124 ATTCCCAGGAGGTC chr11 211861 211862 chr11:211862:A:C rs12421799 A C A EBF1_EBF_1 -15 0 - 0 0 . chr11 232854 232855 chr11:232855:T:C rs547025 T C T EBF1_EBF_1 32 0 + 0 0 . chr11 233211 233212 chr11:233212:C:A rs11555236 C A A EBF1_EBF_1 9 1 + 5.070858078887216 7.491607959785077 ACTCCCCGGCGATC chr11 267777 267778 chr11:267778:C:G rs7113424 C G g EBF1_EBF_1 28 0 - 0 0 . chr11 278125 278126 chr11:278126:G:A rs79248624 G A T EBF1_EBF_1 32 0 + 0 0 . chr11 278992 278993 chr11:278993:T:A rs143870174 T A T EBF1_EBF_1 16 0 + 0 0 . chr11 284038 284039 chr11:284039:A:G rs9734276 A G A EBF1_EBF_1 22 0 - 0 0 . chr11 284715 284716 chr11:284716:C:T rs760059 C T T EBF1_EBF_1 -1 0 - 0 0 . chr11 286238 286239 chr11:286239:C:T rs140588051 C T C EBF1_EBF_1 13 1 - 5.057523657631043 6.171849229700482 TTTCCCCTGGGCAG chr11 290493 290494 chr11:290494:C:T rs3809110 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 297637 297638 chr11:297638:T:A rs7924483 T A A EBF1_EBF_1 -18 0 + 0 0 . chr11 297669 297670 chr11:297670:G:A rs7947278 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 298315 298316 chr11:298316:C:T rs2293744 C T c EBF1_EBF_1 6 1 + 4.424697721240663 4.725983850102421 AGACCCCGGGGTCT chr11 298436 298437 chr11:298437:C:T rs375239391 C T c EBF1_EBF_1 -11 0 - 0 0 . chr11 298919 298920 chr11:298920:A:G rs117751519 A G A EBF1_EBF_1 -10 0 + 0 0 . chr11 299629 299630 chr11:299630:G:A rs557114772 G A G EBF1_EBF_1 -17 0 + 0 0 . chr11 300133 300134 chr11:300134:A:G rs7105970 A G G EBF1_EBF_1 0 1 + 10.52552161227812 8.633237742713286 AACCCCCAGGGACC chr11 300162 300163 chr11:300163:C:T rs150062883 C T C EBF1_EBF_1 29 0 + 0 0 . chr11 305618 305619 chr11:305619:T:C rs6421984 T C C EBF1_EBF_1 31 0 - 0 0 . chr11 305623 305624 chr11:305624:C:T rs4029212 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 320548 320549 chr11:320549:C:G rs201130624 C G C EBF1_EBF_1 5 1 + 7.579826217905666 0.6749450652704982 ATTCCCTGGGGCCA chr11 321043 321044 chr11:321044:G:A rs28602580 G A G EBF1_EBF_1 5 1 - 4.975771951878482 -0.34601526366218877 GCTCCCTTGGGCTC chr11 324715 324716 chr11:324716:G:A rs117280155 G A G EBF1_EBF_1 -20 0 + 0 0 . chr11 327390 327391 chr11:327391:C:G chr11:327391:C:G C G C EBF1_EBF_1 12 1 + 6.684845729978013 5.296209786299862 GGTCCCCAGGGTCC chr11 332856 332857 chr11:332857:C:A rs7937786 C A C EBF1_EBF_1 4 1 + 6.913766004274837 1.0892063808213708 CCACCCCTGGGACT chr11 353756 353757 chr11:353757:C:T rs7947784 C T T EBF1_EBF_1 23 0 - 0 0 . chr11 356107 356108 chr11:356108:T:G chr11:356108:T:G T G t EBF1_EBF_1 30 0 - 0 0 . chr11 356139 356140 chr11:356140:G:A rs191378759 G A g EBF1_EBF_1 -2 0 - 0 0 . chr11 356157 356158 chr11:356158:G:C rs952801153 G C g EBF1_EBF_1 -20 0 - 0 0 . chr11 367428 367429 chr11:367429:C:T rs113898293 C T C EBF1_EBF_1 -5 0 + 0 0 . chr11 367429 367430 chr11:367430:G:A rs12418486 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 371324 371325 chr11:371325:C:G rs11246137 C G C EBF1_EBF_1 -12 0 - 0 0 . chr11 372224 372225 chr11:372225:C:T rs79491678 C T . EBF1_EBF_1 8 1 - 5.069987509703093 0.8436974208168324 TCTCCCCAGGGCTC chr11 373403 373404 chr11:373404:G:A rs7396812 G A . EBF1_EBF_1 -3 0 - 0 0 . chr11 377436 377437 chr11:377437:T:C rs61876284 T C T EBF1_EBF_1 19 0 + 0 0 . chr11 377711 377712 chr11:377712:C:A rs7483942 C A A EBF1_EBF_1 -17 0 + 0 0 . chr11 378404 378405 chr11:378405:T:C rs10902142 T C C EBF1_EBF_1 20 0 - 0 0 . chr11 380241 380242 chr11:380242:G:A rs111471551 G A G EBF1_EBF_1 -19 0 - 0 0 . chr11 381146 381147 chr11:381147:G:A rs61876285 G A G EBF1_EBF_1 8 1 + 4.172348872482794 -0.05394121640346726 CACCCCCAGGGTCC chr11 393368 393369 chr11:393369:C:T rs1452616352 C T C EBF1_EBF_1 -11 0 + 0 0 . chr11 393391 393392 chr11:393392:C:T rs150195296 C T C EBF1_EBF_1 12 1 + 5.668469507231136 4.642202936680286 AACCCCCTGGGGCG chr11 393398 393399 chr11:393399:G:A rs533903643 G A G EBF1_EBF_1 19 0 + 0 0 . chr11 393833 393834 chr11:393834:G:A rs7118448 G A A EBF1_EBF_1 17 0 + 0 0 . chr11 403879 403880 chr11:403880:G:C rs10794318 G C G EBF1_EBF_1 -4 0 - 0 0 . chr11 408554 408555 chr11:408555:C:T rs112985276 C T C EBF1_EBF_1 31 0 + 0 0 . chr11 415523 415524 chr11:415524:C:T rs73400598 C T C EBF1_EBF_1 3 1 + 5.735242838209174 -0.6701559033441434 TGACCCCGGGGAAC chr11 432593 432594 chr11:432594:C:A rs529418910 C A C EBF1_EBF_1 13 1 + 4.415024314042415 4.041278864756439 ACACCCCAGGGCAC chr11 434658 434659 chr11:434659:G:A rs10902165 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 439204 439205 chr11:439205:C:A rs10902166 C A A EBF1_EBF_1 18 0 - 0 0 . chr11 439218 439219 chr11:439219:G:A rs7479086 G A G EBF1_EBF_1 4 1 - 7.058138226792898 2.6887512818479733 ACTCCCTGGGGCCC chr11 451333 451334 chr11:451334:G:T rs540965032 G T G EBF1_EBF_1 -10 0 - 0 0 . chr11 486413 486414 chr11:486414:G:A rs71487293 G A G EBF1_EBF_1 -1 0 - 0 0 . chr11 489385 489386 chr11:489386:T:C rs67492572 T C T EBF1_EBF_1 -6 0 + 0 0 . chr11 507669 507670 chr11:507670:C:A rs3808975 C A C EBF1_EBF_1 -19 0 + 0 0 . chr11 536855 536856 chr11:536856:G:C rs7939028 G C G EBF1_EBF_1 30 0 - 0 0 . chr11 540718 540719 chr11:540719:G:A rs2277269 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 544826 544827 chr11:544827:C:T rs76537666 C T C EBF1_EBF_1 9 1 - 5.268656699474583 2.613717434026979 TGTCCCATCGGACC chr11 545275 545276 chr11:545276:G:T rs12421239 G T G EBF1_EBF_1 28 0 - 0 0 . chr11 551752 551753 chr11:551753:G:A rs4963198 G A A EBF1_EBF_1 8 1 - 3.5752777137199017 2.002503785657393 GGCCCCCACGGACC chr11 553865 553866 chr11:553866:G:A rs12792519 G A G EBF1_EBF_1 22 0 + 0 0 . chr11 555998 555999 chr11:555999:G:A rs200729988 G A G EBF1_EBF_1 11 1 - 4.969479721184182 5.287527162937846 AGCCCCAAGGGCGA chr11 560137 560138 chr11:560138:C:T chr11:560138:C:T C T C EBF1_EBF_1 23 0 - 0 0 . chr11 569590 569591 chr11:569591:A:G rs11246190 A G G EBF1_EBF_1 22 0 - 0 0 . chr11 580190 580191 chr11:580191:T:A rs983604426 T A T EBF1_EBF_1 -11 0 + 0 0 . chr11 595809 595810 chr11:595810:A:G rs7122021 A G A EBF1_EBF_1 -11 0 + 0 0 . chr11 598204 598205 chr11:598205:C:G rs12419720 C G C EBF1_EBF_1 -13 0 - 0 0 . chr11 606223 606224 chr11:606224:G:C rs7940747 G C G EBF1_EBF_1 8 1 + 5.606769794939645 0.2881109340249591 TTTCCCTGGGGCCA chr11 609887 609888 chr11:609888:T:C rs12421158 T C C EBF1_EBF_1 15 0 + 0 0 . chr11 614366 614367 chr11:614367:T:C rs11246214 T C T EBF1_EBF_1 28 0 - 0 0 . chr11 619788 619789 chr11:619789:T:G rs2246614 T G G EBF1_EBF_1 11 1 - 7.708380656200843 3.2924189583484536 TTCCCCCAGAGACT chr11 624850 624851 chr11:624851:C:T rs116248782 C T C EBF1_EBF_1 -4 0 - 0 0 . chr11 628206 628207 chr11:628207:A:G rs113340930 A G A EBF1_EBF_1 -11 0 - 0 0 . chr11 638097 638098 chr11:638098:C:T rs72844729 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 707612 707613 chr11:707613:C:T rs764187879 C T C EBF1_EBF_1 13 1 + 4.004424921562639 4.848919036148157 CTCCCCAGGGGGGC chr11 707776 707777 chr11:707777:G:A rs147330483 G A G EBF1_EBF_1 -4 0 - 0 0 . chr11 721121 721122 chr11:721122:G:T rs201479451 G T G EBF1_EBF_1 15 0 + 0 0 . chr11 721145 721146 chr11:721146:G:A rs375898678 G A G EBF1_EBF_1 -7 0 + 0 0 . chr11 722318 722319 chr11:722319:C:T rs777203148 C T C EBF1_EBF_1 5 1 + 4.530547947162938 -0.7912392683777332 CTTCCCGAGGGCCA chr11 722345 722346 chr11:722346:G:T rs552655387 G T G EBF1_EBF_1 32 0 + 0 0 . chr11 723308 723309 chr11:723309:C:A rs141487079 C A C EBF1_EBF_1 4 1 + 5.010700570047612 -0.8138590534058558 CCCCCCCGGGGGAT chr11 723310 723311 chr11:723311:C:T rs60889456 C T C EBF1_EBF_1 6 1 + 5.010700570047612 5.31198669890937 CCCCCCCGGGGGAT chr11 742507 742508 chr11:742508:C:T rs11246299 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 771715 771716 chr11:771716:A:C rs3934992 A C a EBF1_EBF_1 0 1 + 4.737381012187143 2.4821225284905433 AGCCCCTTGGGCAG chr11 771732 771733 chr11:771733:G:A rs1022196135 G A g EBF1_EBF_1 17 0 + 0 0 . chr11 776495 776496 chr11:776496:C:T rs10902220 C T C EBF1_EBF_1 -3 0 + 0 0 . chr11 776500 776501 chr11:776501:C:T rs7122304 C T C EBF1_EBF_1 2 1 + 4.92087382895928 6.809697240401431 ACCCCCCCAGGACT chr11 783044 783045 chr11:783045:C:T rs11828101 C T T EBF1_EBF_1 6 1 + 5.532710575321682 5.833996704183439 TGTCCCCAGAGATG chr11 786371 786372 chr11:786372:C:T chr11:786372:C:T C T C EBF1_EBF_1 2 1 + 6.270998885751342 8.159822297193491 TACCCCGTGGGACC chr11 786375 786376 chr11:786376:G:A rs76300016 G A G EBF1_EBF_1 6 1 + 6.270998885751342 8.437793317025816 TACCCCGTGGGACC chr11 787678 787679 chr11:787679:G:T rs7946354 G T G EBF1_EBF_1 4 1 - 5.365016970186519 -0.4595426532669498 ACCCCCCGGGGGTC chr11 792691 792692 chr11:792692:G:C rs111277421 G C G EBF1_EBF_1 17 0 + 0 0 . chr11 795365 795366 chr11:795366:G:A rs28501311 G A A EBF1_EBF_1 30 0 + 0 0 . chr11 796116 796117 chr11:796117:G:A rs565178069 G A G EBF1_EBF_1 -16 0 - 0 0 . chr11 805256 805257 chr11:805257:T:C rs1216900036 T C T EBF1_EBF_1 -20 0 + 0 0 . chr11 805301 805302 chr11:805302:G:A rs28710291 G A A EBF1_EBF_1 10 1 + 5.223601041201533 -0.09442741806543298 GCCCCCTCGGGACG chr11 805306 805307 chr11:805307:G:A rs12283335 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 809665 809666 chr11:809666:G:A rs72844798 G A G EBF1_EBF_1 30 0 - 0 0 . chr11 809683 809684 chr11:809684:G:A rs547306317 G A G EBF1_EBF_1 12 1 - 4.643694049452267 3.6174274789014174 AGTCCAAAGGGACG chr11 810099 810100 chr11:810100:G:A rs190451004 G A G EBF1_EBF_1 -4 0 - 0 0 . chr11 810112 810113 chr11:810113:G:A rs146929030 G A G EBF1_EBF_1 -17 0 - 0 0 . chr11 819463 819464 chr11:819464:T:G rs7928917 T G G EBF1_EBF_1 2 1 + 4.591787623172478 -2.156538067027991 GGTCCCGAGGGCAC chr11 827439 827440 chr11:827440:C:T rs114688208 C T C EBF1_EBF_1 7 1 + 4.223178067162355 6.040682813444978 ACCCCCTCGGGGCG chr11 828783 828784 chr11:828784:A:G rs28507876 A G G EBF1_EBF_1 1 1 + 6.821420345520712 7.082119177442014 CACCCCAAGAGACT chr11 830816 830817 chr11:830817:C:T rs35513523 C T C EBF1_EBF_1 7 1 - 4.326438552708797 5.638896957997623 CTTCCCCGGGGTGC chr11 831121 831122 chr11:831122:C:T rs7114218 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 832443 832444 chr11:832444:T:C rs35101768 T C T EBF1_EBF_1 25 0 - 0 0 . chr11 833514 833515 chr11:833515:C:T rs150762512 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 833628 833629 chr11:833629:A:G rs111609686 A G A EBF1_EBF_1 7 1 - 7.813399451761079 5.995894705478455 GACCCCCTGGGAAA chr11 838310 838311 chr11:838311:C:G rs906848545 C G C EBF1_EBF_1 15 0 + 0 0 . chr11 838963 838964 chr11:838964:C:G rs114742792 C G c EBF1_EBF_1 3 1 + 6.178305704628831 0.35054125651361384 CCCCCCATGGGGCT chr11 839077 839078 chr11:839078:G:A rs7929032 G A G EBF1_EBF_1 4 1 - 7.1884110173138565 2.819024072368933 CTCCCCCAGGGGCT chr11 839092 839093 chr11:839093:G:C rs7936838 G C G EBF1_EBF_1 -11 0 - 0 0 . chr11 844486 844487 chr11:844487:C:A rs1017594105 C A C EBF1_EBF_1 30 0 - 0 0 . chr11 856968 856969 chr11:856969:C:G rs188659079 C G C EBF1_EBF_1 -1 0 - 0 0 . chr11 859739 859740 chr11:859740:A:C chr11:859740:A:C A C A EBF1_EBF_1 31 0 - 0 0 . chr11 859764 859765 chr11:859765:T:G rs1028230269 T G T EBF1_EBF_1 6 1 - 7.519651854839348 7.158049487038071 CTTCCCAGGAGACC chr11 919448 919449 chr11:919449:A:G rs115404794 A G A EBF1_EBF_1 18 0 - 0 0 . chr11 925075 925076 chr11:925076:C:T rs116866348 C T C EBF1_EBF_1 1 1 - 5.331064819864513 5.070365987943211 AGCCCCTGCGGAAT chr11 925596 925597 chr11:925597:C:T rs184613989 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 925639 925640 chr11:925640:T:G rs187155911 T G T EBF1_EBF_1 -12 0 - 0 0 . chr11 1011828 1011829 chr11:1011829:T:C rs14346 T C C EBF1_EBF_1 -14 0 - 0 0 . chr11 1013329 1013330 chr11:1013330:G:A rs563531753 G A G EBF1_EBF_1 -12 0 - 0 0 . chr11 1029904 1029905 chr11:1029905:A:G rs78459074 A G A EBF1_EBF_1 -20 0 + 0 0 . chr11 1049988 1049989 chr11:1049989:T:C rs74045622 T C C EBF1_EBF_1 -2 0 + 0 0 . chr11 1051629 1051630 chr11:1051630:G:A rs139912811 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 1051714 1051715 chr11:1051715:T:C rs10794359 T C C EBF1_EBF_1 -18 0 + 0 0 . chr11 1055415 1055416 chr11:1055416:G:C rs573333474 G C G EBF1_EBF_1 5 1 - 4.891549826160475 -2.013331326474694 GGTCCCCAGAGGAA chr11 1055487 1055488 chr11:1055488:C:T rs1134943 C T C EBF1_EBF_1 16 0 + 0 0 . chr11 1056122 1056123 chr11:1056123:C:T rs190489350 C T c EBF1_EBF_1 20 0 + 0 0 . chr11 1071718 1071719 chr11:1071719:C:T rs114742927 C T C EBF1_EBF_1 -1 0 + 0 0 . chr11 1072742 1072743 chr11:1072743:T:C rs11604917 T C T EBF1_EBF_1 7 1 + 10.06728568912719 8.249780942844566 AACCCCATGGGAAA chr11 1075193 1075194 chr11:1075194:G:T rs2856092 G T G EBF1_EBF_1 2 1 - 7.53550940419998 5.739865228043254 AACCCCAAAGGAAT chr11 1081996 1081997 chr11:1081997:G:T rs11245925 G T G EBF1_EBF_1 -20 0 + 0 0 . chr11 1090270 1090271 chr11:1090271:G:C rs12222144 G C G EBF1_EBF_1 -10 0 - 0 0 . chr11 1102752 1102753 chr11:1102753:C:G rs151032301 C G C EBF1_EBF_1 20 0 + 0 0 . chr11 1110082 1110083 chr11:1110083:G:C rs41437546 G C G EBF1_EBF_1 15 0 + 0 0 . chr11 1110717 1110718 chr11:1110718:G:A rs7927765 G A A EBF1_EBF_1 17 0 + 0 0 . chr11 1110853 1110854 chr11:1110854:T:C rs11245958 T C T EBF1_EBF_1 7 1 - 5.440306570237389 4.127848164948564 CCTCCCCAGGGCCA chr11 1111117 1111118 chr11:1111118:A:G rs11245959 A G G EBF1_EBF_1 31 0 - 0 0 . chr11 1112054 1112055 chr11:1112055:C:T rs4077758 C T C EBF1_EBF_1 10 1 - 4.7860904733843395 -0.5319379858826275 ACGCCCAGGGGACC chr11 1112067 1112068 chr11:1112068:C:T rs4077759 C T c EBF1_EBF_1 -3 0 - 0 0 . chr11 1114835 1114836 chr11:1114836:C:T chr11:1114836:C:T C T c EBF1_EBF_1 -3 0 + 0 0 . chr11 1121246 1121247 chr11:1121247:A:T rs116291817 A T A EBF1_EBF_1 25 0 - 0 0 . chr11 1121823 1121824 chr11:1121824:C:G rs11245966 C G G EBF1_EBF_1 19 0 + 0 0 . chr11 1121826 1121827 chr11:1121827:C:T rs11245967 C T c EBF1_EBF_1 22 0 + 0 0 . chr11 1126553 1126554 chr11:1126554:T:A rs7479551 T A T EBF1_EBF_1 -18 0 + 0 0 . chr11 1131224 1131225 chr11:1131225:G:A rs10794298 G A G EBF1_EBF_1 21 0 - 0 0 . chr11 1131238 1131239 chr11:1131239:G:A rs566095054 G A G EBF1_EBF_1 7 1 - 4.814833567962105 6.632338314244729 ACTCCCGCGGGCCT chr11 1131242 1131243 chr11:1131243:G:C rs11245969 G C G EBF1_EBF_1 3 1 - 4.814833567962105 -1.0129308801531116 ACTCCCGCGGGCCT chr11 1149155 1149156 chr11:1149156:A:G rs9667825 A G G EBF1_EBF_1 -15 0 + 0 0 . chr11 1149192 1149193 chr11:1149193:C:T rs9667239 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 1153664 1153665 chr11:1153665:G:A rs756069259 G A G EBF1_EBF_1 23 0 - 0 0 . chr11 1153706 1153707 chr11:1153707:G:A rs4072382 G A G EBF1_EBF_1 -19 0 - 0 0 . chr11 1156819 1156820 chr11:1156820:A:G rs78659385 A G A EBF1_EBF_1 33 0 + 0 0 . chr11 1159100 1159101 chr11:1159101:G:A rs56185131 G A G EBF1_EBF_1 -12 0 + 0 0 . chr11 1160752 1160753 chr11:1160753:G:A rs74477410 G A G EBF1_EBF_1 0 1 + 4.40551555635798 6.297799425922813 GGCCCCTAGGGCCA chr11 1160959 1160960 chr11:1160960:G:T rs1253724835 G T G EBF1_EBF_1 -3 0 - 0 0 . chr11 1161801 1161802 chr11:1161802:T:C rs28569104 T C C EBF1_EBF_1 21 0 - 0 0 . chr11 1163091 1163092 chr11:1163092:G:A rs34664315 G A G EBF1_EBF_1 3 1 - 7.923694999016737 1.5182962574634196 AGCCCCCAGGGGAC chr11 1165559 1165560 chr11:1165560:G:A rs55913171 G A G EBF1_EBF_1 29 0 - 0 0 . chr11 1165560 1165561 chr11:1165561:C:T rs56002968 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 1165587 1165588 chr11:1165588:C:T rs777437377 C T C EBF1_EBF_1 1 1 - 5.288141271699437 5.027442439778135 GGCCCCAGGAGACC chr11 1175023 1175024 chr11:1175024:G:A rs878912893 G A g EBF1_EBF_1 8 1 + 6.677809501045763 2.4515194121595023 TGTCCCAAGGGCAC chr11 1205821 1205822 chr11:1205822:G:A rs185929560 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 1209351 1209352 chr11:1209352:T:C rs28464598 T C C EBF1_EBF_1 31 0 - 0 0 . chr11 1223876 1223877 chr11:1223877:G:A rs55983969 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 1223987 1223988 chr11:1223988:G:C rs56361106 G C G EBF1_EBF_1 -14 0 - 0 0 . chr11 1226567 1226568 chr11:1226568:C:T rs150068245 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 1226568 1226569 chr11:1226569:G:A rs144224133 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 1228370 1228371 chr11:1228371:C:G rs2672811 C G g EBF1_EBF_1 -12 0 + 0 0 . chr11 1228944 1228945 chr11:1228945:G:A rs2075856 G A G EBF1_EBF_1 2 1 - 3.926115929858981 5.814939341301132 AGCCCCCGGAGGCC chr11 1228952 1228953 chr11:1228953:A:G rs2735703 A G A EBF1_EBF_1 -6 0 - 0 0 . chr11 1230159 1230160 chr11:1230160:G:A rs56118514 G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 1234542 1234543 chr11:1234543:C:T rs7116614 C T C EBF1_EBF_1 29 0 - 0 0 . chr11 1235318 1235319 chr11:1235319:A:C rs140885000 A C A EBF1_EBF_1 -3 0 + 0 0 . chr11 1235494 1235495 chr11:1235495:T:C rs182444794 T C T EBF1_EBF_1 31 0 + 0 0 . chr11 1245368 1245369 chr11:1245369:C:T rs139960671 C T - EBF1_EBF_1 21 0 + 0 0 . chr11 1246331 1246332 chr11:1246332:C:T rs60268710 C T - EBF1_EBF_1 -15 0 + 0 0 . chr11 1247451 1247452 chr11:1247452:T:C rs2943518 T C T EBF1_EBF_1 4 1 + 5.267989191474162 9.637376136419086 CTTCTCCAGGGACG chr11 1250198 1250199 chr11:1250199:C:T rs2943516 C T - EBF1_EBF_1 -6 0 + 0 0 . chr11 1259007 1259008 chr11:1259008:G:A rs55856616 G A G EBF1_EBF_1 -6 0 - 0 0 . chr11 1259903 1259904 chr11:1259904:T:C rs2857476 T C t EBF1_EBF_1 25 0 + 0 0 . chr11 1260556 1260557 chr11:1260557:G:A rs56152756 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 1267645 1267646 chr11:1267646:G:A rs955396 G A G EBF1_EBF_1 28 0 + 0 0 . chr11 1271970 1271971 chr11:1271971:C:T rs12285466 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 1272584 1272585 chr11:1272585:G:T rs188779669 G T g EBF1_EBF_1 2 1 - 6.391283540737369 4.5956393645806415 ACCCCCCGGGGGCC chr11 1275132 1275133 chr11:1275133:T:G rs5744032 T G T EBF1_EBF_1 -16 0 + 0 0 . chr11 1275418 1275419 chr11:1275419:G:A rs3168046 G A G EBF1_EBF_1 -13 0 + 0 0 . chr11 1336437 1336438 chr11:1336438:C:A rs117313539 C A - EBF1_EBF_1 3 1 + 6.93762609202074 0.03145206255493273 ACTCCCCAGAGGCC chr11 1338560 1338561 chr11:1338561:G:A rs60069474 G A g EBF1_EBF_1 9 1 + 6.317709082864184 3.662769817416579 ATCCCCGTGGGGCC chr11 1338854 1338855 chr11:1338855:A:C rs577564887 A C a EBF1_EBF_1 -15 0 - 0 0 . chr11 1343706 1343707 chr11:1343707:C:T rs1980130 C T c EBF1_EBF_1 29 0 - 0 0 . chr11 1349089 1349090 chr11:1349090:T:C rs10741659 T C - EBF1_EBF_1 1 1 - 7.457992191056693 7.718691022977996 CATCCCCGGGGAGC chr11 1367972 1367973 chr11:1367973:G:A rs75916548 G A g EBF1_EBF_1 5 1 - 7.063777450691861 1.7419902351511918 ATCCCCAAGGGCCA chr11 1369202 1369203 chr11:1369203:T:G rs7396273 T G g EBF1_EBF_1 28 0 + 0 0 . chr11 1370386 1370387 chr11:1370387:T:C rs77167348 T C t EBF1_EBF_1 32 0 - 0 0 . chr11 1370436 1370437 chr11:1370437:C:T rs115824039 C T c EBF1_EBF_1 -18 0 - 0 0 . chr11 1375675 1375676 chr11:1375676:C:T rs10832803 C T c EBF1_EBF_1 -1 0 - 0 0 . chr11 1375689 1375690 chr11:1375690:G:C rs10832805 G C c EBF1_EBF_1 -15 0 - 0 0 . chr11 1383607 1383608 chr11:1383608:C:T rs7944761 C T c EBF1_EBF_1 17 0 - 0 0 . chr11 1387500 1387501 chr11:1387501:C:T rs7395835 C T c EBF1_EBF_1 -11 0 - 0 0 . chr11 1389004 1389005 chr11:1389005:G:A chr11:1389005:G:A G A G EBF1_EBF_1 8 1 + 7.131086976919757 2.9047968880334953 TCTCCCTCGGGAGC chr11 1389033 1389034 chr11:1389034:C:T rs73407462 C T T EBF1_EBF_1 8 1 - 5.393556163547953 1.1672660746616925 CACCCCCAGGGAGG chr11 1389049 1389050 chr11:1389050:T:A rs73407465 T A A EBF1_EBF_1 -8 0 - 0 0 . chr11 1398713 1398714 chr11:1398714:C:T rs1881506 C T c EBF1_EBF_1 4 1 + 5.5337978977186 1.1644109527736766 TTTCCCCAGGGCAG chr11 1400258 1400259 chr11:1400259:A:G rs4312093 A G g EBF1_EBF_1 -4 0 + 0 0 . chr11 1401495 1401496 chr11:1401496:C:T rs10833257 C T C EBF1_EBF_1 -16 0 - 0 0 . chr11 1401607 1401608 chr11:1401608:C:T rs61867618 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 1402539 1402540 chr11:1402540:A:G rs4963041 A G N EBF1_EBF_1 7 1 + 7.600554327415095 6.288095922126269 CTTCTCCAGGGACT chr11 1403036 1403037 chr11:1403037:A:G rs12808014 A G a EBF1_EBF_1 0 1 + 4.494283237203015 2.60199936763818 ACCCCCCGGGGCCA chr11 1403037 1403038 chr11:1403038:C:T rs150406389 C T C EBF1_EBF_1 1 1 + 4.494283237203015 5.3897166776017595 ACCCCCCGGGGCCA chr11 1403043 1403044 chr11:1403044:G:A rs12803791 G A G EBF1_EBF_1 7 1 + 4.494283237203015 5.80674164249184 ACCCCCCGGGGCCA chr11 1403169 1403170 chr11:1403170:T:C rs3934561 T C C EBF1_EBF_1 -16 0 + 0 0 . chr11 1403171 1403172 chr11:1403172:T:G rs903993353 T G T EBF1_EBF_1 -14 0 + 0 0 . chr11 1405082 1405083 chr11:1405083:G:A rs866273882 G A g EBF1_EBF_1 -20 0 + 0 0 . chr11 1405603 1405604 chr11:1405604:G:A rs4963042 G A g EBF1_EBF_1 -12 0 + 0 0 . chr11 1411460 1411461 chr11:1411461:T:C rs61868960 T C c EBF1_EBF_1 -15 0 + 0 0 . chr11 1412905 1412906 chr11:1412906:G:A rs7107140 G A g EBF1_EBF_1 4 1 + 5.256764206281116 5.057969683977193 ACTCGCATGGGACG chr11 1414734 1414735 chr11:1414735:C:T rs7948910 C T c EBF1_EBF_1 24 0 + 0 0 . chr11 1421553 1421554 chr11:1421554:C:T rs11026443 C T C EBF1_EBF_1 -12 0 + 0 0 . chr11 1440986 1440987 chr11:1440987:C:T rs9651643 C T c EBF1_EBF_1 32 0 + 0 0 . chr11 1451977 1451978 chr11:1451978:G:A rs60707923 G A G EBF1_EBF_1 26 0 + 0 0 . chr11 1458536 1458537 chr11:1458537:G:T rs117445905 G T G EBF1_EBF_1 -20 0 + 0 0 . chr11 1458557 1458558 chr11:1458558:C:T rs11028984 C T C EBF1_EBF_1 1 1 + 6.530745155071518 7.4261785954702635 CCCCCCCAGAGACT chr11 1459900 1459901 chr11:1459901:C:T rs116913469 C T C EBF1_EBF_1 24 0 - 0 0 . chr11 1485565 1485566 chr11:1485566:G:A rs142837424 G A G EBF1_EBF_1 25 0 + 0 0 . chr11 1487418 1487419 chr11:1487419:C:T rs3902918 C T C EBF1_EBF_1 13 1 + 4.840609086763907 5.685103201349425 CTCCCCATGGGGGC chr11 1528371 1528372 chr11:1528372:A:G rs6578483 A G G EBF1_EBF_1 30 0 + 0 0 . chr11 1555270 1555271 chr11:1555271:T:A rs76782264 T A T EBF1_EBF_1 33 0 - 0 0 . chr11 1556859 1556860 chr11:1556860:G:A rs7129499 G A g EBF1_EBF_1 -2 0 - 0 0 . chr11 1564046 1564047 chr11:1564047:C:T rs3740620 C T C EBF1_EBF_1 27 0 - 0 0 . chr11 1568924 1568925 chr11:1568925:C:T rs6578524 C T C EBF1_EBF_1 -18 0 - 0 0 . chr11 1574436 1574437 chr11:1574437:G:A chr11:1574437:G:A G A G EBF1_EBF_1 13 1 - 4.743463517598878 5.587957632184396 CCTCCCCAGGGTGC chr11 1578693 1578694 chr11:1578694:C:T rs77502726 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 1587560 1587561 chr11:1587561:T:A chr11:1587561:T:A T A t EBF1_EBF_1 -11 0 + 0 0 . chr11 1616281 1616282 chr11:1616282:T:C rs34639212 T C N EBF1_EBF_1 15 0 - 0 0 . chr11 1616302 1616303 chr11:1616303:G:A rs74906313 G A g EBF1_EBF_1 -6 0 - 0 0 . chr11 1616313 1616314 chr11:1616314:T:C rs10837692 T C N EBF1_EBF_1 -17 0 - 0 0 . chr11 1628022 1628023 chr11:1628023:A:G rs35446824 A G N EBF1_EBF_1 -9 0 + 0 0 . chr11 1628034 1628035 chr11:1628035:C:T rs35176793 C T C EBF1_EBF_1 3 1 + 6.50724195337852 0.10184321182520223 TGCCCCACGGGACA chr11 1631231 1631232 chr11:1631232:G:A rs10838030 G A g EBF1_EBF_1 7 1 - 6.809766077451436 8.627270823734058 CCTCCCACGGGACG chr11 1632364 1632365 chr11:1632365:A:G rs7944789 A G N EBF1_EBF_1 -3 0 + 0 0 . chr11 1632564 1632565 chr11:1632565:G:A rs7933446 G A g EBF1_EBF_1 -19 0 - 0 0 . chr11 1633379 1633380 chr11:1633380:C:T rs28782259 C T - EBF1_EBF_1 19 0 - 0 0 . chr11 1636444 1636445 chr11:1636445:C:G rs12421480 C G c EBF1_EBF_1 14 0 - 0 0 . chr11 1641122 1641123 chr11:1641123:G:T rs11037730 G T N EBF1_EBF_1 -13 0 - 0 0 . chr11 1641125 1641126 chr11:1641126:A:G rs11037731 A G a EBF1_EBF_1 -16 0 - 0 0 . chr11 1642817 1642818 chr11:1642818:T:C rs10769013 T C N EBF1_EBF_1 -15 0 + 0 0 . chr11 1650120 1650121 chr11:1650121:G:A chr11:1650121:G:A G A g EBF1_EBF_1 -18 0 + 0 0 . chr11 1669656 1669657 chr11:1669657:T:C rs12360952 T C - EBF1_EBF_1 26 0 - 0 0 . chr11 1669680 1669681 chr11:1669681:A:C rs28631755 A C C EBF1_EBF_1 2 1 - 5.180495060093855 -1.567830630106614 AATCCCCGGAGGCA chr11 1670333 1670334 chr11:1670334:G:A rs1489946 G A - EBF1_EBF_1 23 0 + 0 0 . chr11 1681090 1681091 chr11:1681091:T:C rs11039428 T C G EBF1_EBF_1 28 0 + 0 0 . chr11 1685410 1685411 chr11:1685411:T:G rs28670640 T G G EBF1_EBF_1 13 1 + 6.382129719535752 4.049564583594819 CCTCCCTGGAGATT chr11 1693505 1693506 chr11:1693506:A:C rs10839220 A C A EBF1_EBF_1 -16 0 + 0 0 . chr11 1693525 1693526 chr11:1693526:G:A rs116272352 G A G EBF1_EBF_1 4 1 + 5.922810100937891 5.724015578633968 AGTCGCAAGGGACG chr11 1693753 1693754 chr11:1693754:G:A rs11600502 G A A EBF1_EBF_1 -7 0 - 0 0 . chr11 1693765 1693766 chr11:1693766:G:A rs112374828 G A G EBF1_EBF_1 -19 0 - 0 0 . chr11 1694036 1694037 chr11:1694037:C:T rs549624386 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 1730662 1730663 chr11:1730663:G:C rs77624772 G C G EBF1_EBF_1 -2 0 + 0 0 . chr11 1732704 1732705 chr11:1732705:C:T rs7121544 C T C EBF1_EBF_1 -14 0 - 0 0 . chr11 1750640 1750641 chr11:1750641:C:T rs72850953 C T C EBF1_EBF_1 20 0 + 0 0 . chr11 1752905 1752906 chr11:1752906:T:G rs8839 T G G EBF1_EBF_1 0 1 - 8.182901633308479 5.927643149611878 AGTCCCAGGGGCAT chr11 1756738 1756739 chr11:1756739:C:T rs61869049 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 1756744 1756745 chr11:1756745:C:T rs569222807 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 1756873 1756874 chr11:1756874:G:A rs55797351 G A G EBF1_EBF_1 -13 0 + 0 0 . chr11 1761530 1761531 chr11:1761531:T:C rs72850961 T C C EBF1_EBF_1 32 0 - 0 0 . chr11 1762421 1762422 chr11:1762422:G:A rs527648168 G A G EBF1_EBF_1 -3 0 + 0 0 . chr11 1762429 1762430 chr11:1762430:C:G rs138758297 C G C EBF1_EBF_1 5 1 + 5.518979937602242 -1.385901215032926 GCTCCCCTGGGCTT chr11 1767301 1767302 chr11:1767302:T:C rs116347895 T C T EBF1_EBF_1 25 0 + 0 0 . chr11 1767637 1767638 chr11:1767638:T:C rs112657143 T C C EBF1_EBF_1 -1 0 - 0 0 . chr11 1773549 1773550 chr11:1773550:G:A rs115247937 G A G EBF1_EBF_1 13 1 + 4.561582940270295 5.675908512339734 ATCCCCCAGGGCTG chr11 1778502 1778503 chr11:1778503:G:A rs778763745 G A G EBF1_EBF_1 -4 0 - 0 0 . chr11 1803376 1803377 chr11:1803377:T:C rs4752761 T C t EBF1_EBF_1 32 0 - 0 0 . chr11 1820934 1820935 chr11:1820935:G:T rs551576190 G T G EBF1_EBF_1 31 0 - 0 0 . chr11 1823319 1823320 chr11:1823320:C:A rs869586 C A C EBF1_EBF_1 -4 0 + 0 0 . chr11 1823665 1823666 chr11:1823666:C:T rs11041302 C T c EBF1_EBF_1 23 0 + 0 0 . chr11 1824614 1824615 chr11:1824615:C:T rs1398255 C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 1825370 1825371 chr11:1825371:G:C rs11041314 G C A EBF1_EBF_1 32 0 - 0 0 . chr11 1825379 1825380 chr11:1825380:C:T rs368639985 C T c EBF1_EBF_1 23 0 - 0 0 . chr11 1829963 1829964 chr11:1829964:C:T rs186224987 C T - EBF1_EBF_1 -16 0 + 0 0 . chr11 1830028 1830029 chr11:1830029:G:A rs2334384 G A G EBF1_EBF_1 -14 0 + 0 0 . chr11 1830946 1830947 chr11:1830947:C:T rs116291269 C T C EBF1_EBF_1 5 1 + 5.612047297034656 0.2902600814939845 AGCCCCGTGGGGCC chr11 1830948 1830949 chr11:1830949:T:C rs112785405 T C C EBF1_EBF_1 7 1 + 5.612047297034656 3.7945425507520314 AGCCCCGTGGGGCC chr11 1832613 1832614 chr11:1832614:C:T rs192539775 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 1832747 1832748 chr11:1832748:C:T rs564973011 C T C EBF1_EBF_1 21 0 + 0 0 . chr11 1835631 1835632 chr11:1835632:T:C rs535778277 T C T EBF1_EBF_1 33 0 - 0 0 . chr11 1857028 1857029 chr11:1857029:A:G rs190114326 A G A EBF1_EBF_1 19 0 - 0 0 . chr11 1858713 1858714 chr11:1858714:C:T rs11041501 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 1862777 1862778 chr11:1862778:G:A rs61868770 G A g EBF1_EBF_1 30 0 + 0 0 . chr11 1866404 1866405 chr11:1866405:G:C rs532369234 G C G EBF1_EBF_1 -20 0 - 0 0 . chr11 1867110 1867111 chr11:1867111:A:G rs12801875 A G G EBF1_EBF_1 30 0 + 0 0 . chr11 1870356 1870357 chr11:1870357:C:T rs61868779 C T C EBF1_EBF_1 10 1 - 8.646348030155444 3.3283195708884783 TTTCCCAGGAGACT chr11 1870368 1870369 chr11:1870369:A:C rs7929436 A C T EBF1_EBF_1 -2 0 - 0 0 . chr11 1873246 1873247 chr11:1873247:C:T rs916098803 C T C EBF1_EBF_1 24 0 + 0 0 . chr11 1875704 1875705 chr11:1875705:G:A rs57670354 G A G EBF1_EBF_1 26 0 - 0 0 . chr11 1875726 1875727 chr11:1875727:G:C rs588321 G C G EBF1_EBF_1 4 1 - 3.4572643173779074 -2.1685007837716377 CCCCCCCGGGGCCT chr11 1878544 1878545 chr11:1878545:G:A rs72843956 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 1883200 1883201 chr11:1883201:G:A rs61868798 G A G EBF1_EBF_1 9 1 + 8.595714928302252 5.940775662854652 GTTCCCCAGGGGCC chr11 1883297 1883298 chr11:1883298:C:T rs7926382 C T C EBF1_EBF_1 3 1 - 6.182615204776784 5.104205623426194 AGTGCCAAGGGATC chr11 1919207 1919208 chr11:1919208:G:A rs370362837 G A G EBF1_EBF_1 -6 0 - 0 0 . chr11 1921742 1921743 chr11:1921743:C:T rs57927529 C T T EBF1_EBF_1 24 0 - 0 0 . chr11 1924576 1924577 chr11:1924577:G:A rs3781957 G A G EBF1_EBF_1 30 0 - 0 0 . chr11 1953665 1953666 chr11:1953666:C:T rs7102194 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 2093157 2093158 chr11:2093158:G:C rs76313378 G C G EBF1_EBF_1 -15 0 - 0 0 . chr11 2093567 2093568 chr11:2093568:G:A chr11:2093568:G:A G A G EBF1_EBF_1 11 1 - 4.732362851883712 5.050410293637376 CTTCCCAGGGGCTC chr11 2093580 2093581 chr11:2093581:T:C rs60723490 T C T EBF1_EBF_1 -2 0 - 0 0 . chr11 2095083 2095084 chr11:2095084:C:T rs117212336 C T C EBF1_EBF_1 4 1 + 5.814052019523365 1.4446650745784413 CCTCCCCAGGGCCC chr11 2100407 2100408 chr11:2100408:C:T rs74048201 C T C EBF1_EBF_1 18 0 + 0 0 . chr11 2104993 2104994 chr11:2104994:G:A rs80006615 G A G EBF1_EBF_1 -10 0 - 0 0 . chr11 2104998 2104999 chr11:2104999:A:G rs4601751 A G G EBF1_EBF_1 -15 0 - 0 0 . chr11 2120953 2120954 chr11:2120954:G:A rs74050116 G A G EBF1_EBF_1 -3 0 + 0 0 . chr11 2130821 2130822 chr11:2130822:G:A rs3802971 G A G EBF1_EBF_1 -12 0 + 0 0 . chr11 2133733 2133734 chr11:2133734:G:A rs3213232 G A G EBF1_EBF_1 18 0 + 0 0 . chr11 2147783 2147784 chr11:2147784:A:G rs10770125 A G A EBF1_EBF_1 32 0 - 0 0 . chr11 2148429 2148430 chr11:2148430:C:T rs4929963 C T C EBF1_EBF_1 30 0 + 0 0 . chr11 2165439 2165440 chr11:2165440:C:T rs116831738 C T C EBF1_EBF_1 32 0 + 0 0 . chr11 2167205 2167206 chr11:2167206:A:G rs67482701 A G A EBF1_EBF_1 6 1 + 10.063476966395896 7.896682535121423 AATCCCAGGGGATA chr11 2171567 2171568 chr11:2171568:C:T rs10840489 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 2172586 2172587 chr11:2172587:C:G rs10840490 C G C EBF1_EBF_1 6 1 - 5.3701560563060005 7.175348119779199 GACCCCGAGGGAAG chr11 2172609 2172610 chr11:2172610:A:G rs10770141 A G G EBF1_EBF_1 -17 0 - 0 0 . chr11 2173579 2173580 chr11:2173580:T:C rs7119275 T C T EBF1_EBF_1 20 0 - 0 0 . chr11 2175881 2175882 chr11:2175882:A:G rs7482891 A G G EBF1_EBF_1 21 0 + 0 0 . chr11 2181923 2181924 chr11:2181924:T:C rs11043003 T C T EBF1_EBF_1 1 1 - 6.104727209972558 6.365426041893859 CATCCCAAGGGCCC chr11 2191935 2191936 chr11:2191936:C:T rs7111341 C T T EBF1_EBF_1 0 1 - 8.625896326723579 10.518180196288412 GTTCCCCAGGGGAC chr11 2197586 2197587 chr11:2197587:G:T rs7124729 G T G EBF1_EBF_1 -16 0 - 0 0 . chr11 2199523 2199524 chr11:2199524:A:C rs10840546 A C C EBF1_EBF_1 -18 0 + 0 0 . chr11 2202611 2202612 chr11:2202612:C:A rs79041867 C A C EBF1_EBF_1 2 1 + 4.6866044951322685 2.8909603189755417 GCCCCCCTGGGCAT chr11 2202619 2202620 chr11:2202620:G:C rs10840550 G C G EBF1_EBF_1 10 1 + 4.6866044951322685 -2.2041978921972065 GCCCCCCTGGGCAT chr11 2209656 2209657 chr11:2209657:T:C rs11603281 T C T EBF1_EBF_1 -11 0 - 0 0 . chr11 2210632 2210633 chr11:2210633:C:A rs12791049 C A C EBF1_EBF_1 24 0 - 0 0 . chr11 2211079 2211080 chr11:2211080:A:C rs6579003 A C C EBF1_EBF_1 -2 0 - 0 0 . chr11 2215718 2215719 chr11:2215719:C:T rs1300980121 C T c EBF1_EBF_1 -19 0 - 0 0 . chr11 2216436 2216437 chr11:2216437:G:C rs12420721 G C G EBF1_EBF_1 -17 0 - 0 0 . chr11 2247990 2247991 chr11:2247991:A:G rs10741553 A G G EBF1_EBF_1 -1 0 + 0 0 . chr11 2249336 2249337 chr11:2249337:C:G rs1076173 C G G EBF1_EBF_1 18 0 - 0 0 . chr11 2250818 2250819 chr11:2250819:C:T rs11021937 C T T EBF1_EBF_1 16 0 - 0 0 . chr11 2250823 2250824 chr11:2250824:G:A rs11021938 G A A EBF1_EBF_1 11 1 - 5.177314013954633 5.495361455708297 AGCCCCTGGGGCTT chr11 2250874 2250875 chr11:2250875:G:A rs7395807 G A A EBF1_EBF_1 6 1 - 4.197005465796277 4.498291594658034 AATCCACGGGGACC chr11 2257053 2257054 chr11:2257054:G:A rs74857117 G A G EBF1_EBF_1 9 1 + 6.221643310811265 3.5667040453636614 AGTCCCTGGGGCTC chr11 2257066 2257067 chr11:2257067:G:A rs532022654 G A g EBF1_EBF_1 22 0 + 0 0 . chr11 2262001 2262002 chr11:2262002:A:C rs184363446 A C A EBF1_EBF_1 28 0 - 0 0 . chr11 2263824 2263825 chr11:2263825:C:T rs554511612 C T C EBF1_EBF_1 16 0 + 0 0 . chr11 2270484 2270485 chr11:2270485:G:T rs7126721 G T G EBF1_EBF_1 26 0 + 0 0 . chr11 2271011 2271012 chr11:2271012:C:T rs111826047 C T C EBF1_EBF_1 32 0 - 0 0 . chr11 2271047 2271048 chr11:2271048:C:G rs999914625 C G C EBF1_EBF_1 -4 0 - 0 0 . chr11 2286007 2286008 chr11:2286008:A:G rs61652157 A G A EBF1_EBF_1 -7 0 - 0 0 . chr11 2298213 2298214 chr11:2298214:C:T rs190911062 C T C EBF1_EBF_1 4 1 + 6.493701829078306 2.124314884133383 CCTCCCAAGGGTCC chr11 2299118 2299119 chr11:2299119:A:G rs61871560 A G G EBF1_EBF_1 15 0 - 0 0 . chr11 2303116 2303117 chr11:2303117:T:C rs55648810 T C T EBF1_EBF_1 2 1 + 4.242862770633485 2.354039359191335 GCTCCCCTGGGCAG chr11 2306475 2306476 chr11:2306476:C:T rs2237858 C T C EBF1_EBF_1 9 1 - 6.658546134108883 4.003606868661279 CCTCCCCAGGGCCT chr11 2306500 2306501 chr11:2306501:C:T rs548646323 C T C EBF1_EBF_1 -16 0 - 0 0 . chr11 2307564 2307565 chr11:2307565:G:A rs2237862 G A G EBF1_EBF_1 10 1 + 5.034905713374093 -0.28312274589287184 CATCCCCAGGGTTC chr11 2308846 2308847 chr11:2308847:C:G rs2234297 C G C EBF1_EBF_1 30 0 - 0 0 . chr11 2313509 2313510 chr11:2313510:G:A rs739546 G A G EBF1_EBF_1 29 0 + 0 0 . chr11 2347068 2347069 chr11:2347069:C:T rs800145 C T C EBF1_EBF_1 28 0 + 0 0 . chr11 2352213 2352214 chr11:2352214:G:T rs562530492 G T - EBF1_EBF_1 12 1 - 5.588835523422407 5.619016921843733 GGTCCCTGGGTACC chr11 2359239 2359240 chr11:2359240:T:C rs1088988 T C t EBF1_EBF_1 0 1 - 9.443669501010454 7.551385631445624 ATCCCCCAGGGGCT chr11 2359507 2359508 chr11:2359508:G:A rs11499976 G A g EBF1_EBF_1 -13 0 - 0 0 . chr11 2367781 2367782 chr11:2367782:T:C rs146200524 T C T EBF1_EBF_1 23 0 - 0 0 . chr11 2369084 2369085 chr11:2369085:G:A rs12419835 G A G EBF1_EBF_1 8 1 + 6.323051832386539 2.0967617435002768 AGACCCCCGGGACA chr11 2369546 2369547 chr11:2369547:G:A rs800131 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 2369871 2369872 chr11:2369872:G:T rs190436055 G T G EBF1_EBF_1 -12 0 + 0 0 . chr11 2380621 2380622 chr11:2380622:G:A rs138855561 G A A EBF1_EBF_1 23 0 - 0 0 . chr11 2387685 2387686 chr11:2387686:G:A rs11022567 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 2388868 2388869 chr11:2388869:C:T rs708564 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 2391573 2391574 chr11:2391574:A:G rs60538748 A G A EBF1_EBF_1 -18 0 + 0 0 . chr11 2391620 2391621 chr11:2391621:C:T rs56924489 C T C EBF1_EBF_1 29 0 + 0 0 . chr11 2395653 2395654 chr11:2395654:T:G rs60058561 T G G EBF1_EBF_1 -15 0 - 0 0 . chr11 2399580 2399581 chr11:2399581:G:A rs77753127 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 2399596 2399597 chr11:2399597:T:C rs739674 T C T EBF1_EBF_1 -1 0 - 0 0 . chr11 2400123 2400124 chr11:2400124:G:A rs111730918 G A G EBF1_EBF_1 3 1 - 5.497069957873935 -0.9083287836793832 CACCCCTAGGGGTT chr11 2400125 2400126 chr11:2400126:T:C rs909978124 T C T EBF1_EBF_1 1 1 - 5.497069957873935 5.757768789795238 CACCCCTAGGGGTT chr11 2406060 2406061 chr11:2406061:A:C rs800342 A C C EBF1_EBF_1 0 1 + 5.452998654515261 3.19774017081866 ACCCCCGAGGTACT chr11 2419045 2419046 chr11:2419046:T:C rs753138 T C C EBF1_EBF_1 -13 0 + 0 0 . chr11 2422237 2422238 chr11:2422238:C:A rs79388781 C A C EBF1_EBF_1 18 0 + 0 0 . chr11 2432377 2432378 chr11:2432378:C:T rs76036243 C T C EBF1_EBF_1 -5 0 + 0 0 . chr11 2450501 2450502 chr11:2450502:T:C rs11827153 T C T EBF1_EBF_1 26 0 - 0 0 . chr11 2465987 2465988 chr11:2465988:G:T rs151169966 G T G EBF1_EBF_1 -4 0 + 0 0 . chr11 2515986 2515987 chr11:2515987:C:T rs75724877 C T C EBF1_EBF_1 13 1 + 6.097869424438684 6.9423635390242024 AGACCCCAGGGGCC chr11 2521974 2521975 chr11:2521975:G:A rs144533661 G A G EBF1_EBF_1 6 1 + 3.7639767161413444 5.930771147415821 CACCCCGGGGGAGC chr11 2524329 2524330 chr11:2524330:G:A rs1010173 G A G EBF1_EBF_1 25 0 + 0 0 . chr11 2526944 2526945 chr11:2526945:C:T rs2283155 C T T EBF1_EBF_1 -11 0 - 0 0 . chr11 2526945 2526946 chr11:2526946:T:C rs113772972 T C T EBF1_EBF_1 -12 0 - 0 0 . chr11 2526999 2527000 chr11:2527000:C:T rs550614408 C T C EBF1_EBF_1 8 1 - 6.006300754498955 1.7800106656126953 ATTCCCACGGGCTC chr11 2531537 2531538 chr11:2531538:C:T rs150411047 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 2531538 2531539 chr11:2531539:G:A rs11823023 G A G EBF1_EBF_1 14 0 - 0 0 . chr11 2534297 2534298 chr11:2534298:G:T rs996778440 G T G EBF1_EBF_1 10 1 + 5.106815354964053 0.9240631687367882 TTTCACCTGGGACC chr11 2534909 2534910 chr11:2534910:G:A rs118047919 G A G EBF1_EBF_1 0 1 + 5.4059799332702365 7.298263802835071 GCACCCCTGGGATC chr11 2535024 2535025 chr11:2535025:T:C rs757086 T C C EBF1_EBF_1 -9 0 + 0 0 . chr11 2541158 2541159 chr11:2541159:C:T rs1376941241 C T C EBF1_EBF_1 13 1 + 7.528753834800393 8.373247949385911 ACCCCCTTGGGGCC chr11 2560133 2560134 chr11:2560134:G:T rs28451516 G T T EBF1_EBF_1 25 0 - 0 0 . chr11 2561722 2561723 chr11:2561723:G:A rs35218465 G A G EBF1_EBF_1 3 1 - 4.374087125421275 -2.031311616132042 GGCCCCCTGAGAGC chr11 2577758 2577759 chr11:2577759:G:C rs140139619 G C G EBF1_EBF_1 16 0 - 0 0 . chr11 2581306 2581307 chr11:2581307:A:G rs61871516 A G G EBF1_EBF_1 -5 0 + 0 0 . chr11 2583075 2583076 chr11:2583076:A:G rs559222927 A G A EBF1_EBF_1 -9 0 - 0 0 . chr11 2583709 2583710 chr11:2583710:C:T rs143907769 C T C EBF1_EBF_1 11 1 + 4.802879743096298 5.120927184849963 CCTCCCTGGGGCCC chr11 2585213 2585214 chr11:2585214:G:T chr11:2585214:G:T G T G EBF1_EBF_1 3 1 - 10.480181160698624 3.5740071312328165 AATCCCCTGGGAAG chr11 2590215 2590216 chr11:2590216:A:G rs1024163 A G A EBF1_EBF_1 12 1 + 7.176087803974698 5.757270461875221 CTTCCCAGGAGAAA chr11 2590218 2590219 chr11:2590219:C:A rs1024164 C A C EBF1_EBF_1 15 0 + 0 0 . chr11 2590751 2590752 chr11:2590752:C:T rs1024381 C T C EBF1_EBF_1 32 0 - 0 0 . chr11 2591271 2591272 chr11:2591272:C:T rs373818693 C T C EBF1_EBF_1 9 1 - 7.881370519110712 5.226431253663109 AGCCCCAAGGGGCA chr11 2655408 2655409 chr11:2655409:C:G rs231346 C G C EBF1_EBF_1 -3 0 + 0 0 . chr11 2662629 2662630 chr11:2662630:T:G rs547996714 T G T EBF1_EBF_1 -17 0 + 0 0 . chr11 2662640 2662641 chr11:2662641:G:A rs148158366 G A G EBF1_EBF_1 -6 0 + 0 0 . chr11 2662877 2662878 chr11:2662878:G:C chr11:2662878:G:C G C G EBF1_EBF_1 29 0 - 0 0 . chr11 2689911 2689912 chr11:2689912:T:C rs231351 T C C EBF1_EBF_1 -19 0 - 0 0 . chr11 2699482 2699483 chr11:2699483:C:G rs1016576178 C G C EBF1_EBF_1 4 1 + 7.7113806459464715 2.0856155447969247 AGCCCCCGGGGAGA chr11 2699604 2699605 chr11:2699605:G:A rs364930 G A A EBF1_EBF_1 31 0 + 0 0 . chr11 2699642 2699643 chr11:2699643:C:G rs80269905 C G c EBF1_EBF_1 12 1 + 8.83931775770332 7.450681814025169 AACCCCCGGGGACA chr11 2699696 2699697 chr11:2699697:C:T rs12360708 C T C EBF1_EBF_1 33 0 - 0 0 . chr11 2702973 2702974 chr11:2702974:T:C rs9971538 T C T EBF1_EBF_1 6 1 - 5.603198760164717 3.4364043288902417 TCCCCCAAAGGACT chr11 2702981 2702982 chr11:2702982:G:T rs78607138 G T G EBF1_EBF_1 -2 0 - 0 0 . chr11 2704865 2704866 chr11:2704866:C:T rs7109371 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 2717879 2717880 chr11:2717880:C:T rs231888 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 2722628 2722629 chr11:2722629:G:A rs2283208 G A A EBF1_EBF_1 -11 0 - 0 0 . chr11 2731362 2731363 chr11:2731363:G:A rs17743926 G A G EBF1_EBF_1 5 1 - 7.656540163843039 2.3347529483023712 ATTCCCGAGGGGTC chr11 2746914 2746915 chr11:2746915:G:C rs79516994 G C G EBF1_EBF_1 -8 0 - 0 0 . chr11 2750198 2750199 chr11:2750199:T:C rs231894 T C T EBF1_EBF_1 7 1 - 7.444241321776048 6.1317829164872215 CTTCCCCAGAGATC chr11 2751768 2751769 chr11:2751769:C:T rs934925818 C T C EBF1_EBF_1 18 0 + 0 0 . chr11 2776602 2776603 chr11:2776603:C:T rs55812754 C T C EBF1_EBF_1 -11 0 + 0 0 . chr11 2777573 2777574 chr11:2777574:A:C rs81205 A C A EBF1_EBF_1 -16 0 - 0 0 . chr11 2788267 2788268 chr11:2788268:C:T rs184141145 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 2788946 2788947 chr11:2788947:G:A rs115433741 G A G EBF1_EBF_1 3 1 - 4.3716129538801685 -2.0337857876731498 GGCCCCAGGGGCCT chr11 2796635 2796636 chr11:2796636:C:T rs12225767 C T C EBF1_EBF_1 13 1 + 5.901020743086987 6.7455148576725055 AGCCCCTCGGGGCC chr11 2797061 2797062 chr11:2797062:C:T rs234838 C T T EBF1_EBF_1 18 0 + 0 0 . chr11 2797786 2797787 chr11:2797787:C:A rs123379 C A C EBF1_EBF_1 22 0 + 0 0 . chr11 2802545 2802546 chr11:2802546:C:T rs80090190 C T C EBF1_EBF_1 0 1 - 6.739184776950984 8.631468646515817 GGTCCCCAGAGAGC chr11 2802603 2802604 chr11:2802604:A:G rs163169 A G a EBF1_EBF_1 6 1 - 8.685065777445155 8.383779648583397 ATACCCTGGGGACC chr11 2825903 2825904 chr11:2825904:C:G rs535737042 C G C EBF1_EBF_1 -7 0 + 0 0 . chr11 2837064 2837065 chr11:2837065:C:T rs2299620 C T C EBF1_EBF_1 12 1 - 5.009379962060232 6.42819730415971 ATCCCCAGGAGAGG chr11 2837081 2837082 chr11:2837082:A:G rs370548183 A G A EBF1_EBF_1 -5 0 - 0 0 . chr11 2855438 2855439 chr11:2855439:G:C rs61869853 G C G EBF1_EBF_1 31 0 + 0 0 . chr11 2869089 2869090 chr11:2869090:G:A rs12281952 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 2871160 2871161 chr11:2871161:A:G rs4930021 A G G EBF1_EBF_1 6 1 + 5.293065811112554 3.1262713798380792 CACCCCATGGGGAC chr11 2882119 2882120 chr11:2882120:G:A chr11:2882120:G:A G A G EBF1_EBF_1 3 1 - 4.3386009649004516 -2.0667977766528667 ATGCCCCCGGGACC chr11 2886544 2886545 chr11:2886545:C:T rs1008355932 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 2890649 2890650 chr11:2890650:C:T rs912878173 C T C EBF1_EBF_1 2 1 + 4.401794352301495 6.2906177637436445 GGCCCCAGGGGCAT chr11 2898238 2898239 chr11:2898239:G:A rs390266 G A A EBF1_EBF_1 5 1 - 4.210242162139628 -1.1115450534010425 CTTCCCTGGGGCCG chr11 2900164 2900165 chr11:2900165:C:G rs1182338 C G A EBF1_EBF_1 11 1 + 5.3823751927556165 6.905630047003995 AGTCCCCAGGGCGG chr11 2902583 2902584 chr11:2902584:C:G rs572649101 C G C EBF1_EBF_1 8 1 - 4.7982761944989605 -0.5203826664157262 AGCCCCGAGGTACC chr11 2902595 2902596 chr11:2902596:A:G rs367035 A G A EBF1_EBF_1 -4 0 - 0 0 . chr11 2908428 2908429 chr11:2908429:G:A rs424663 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 2908903 2908904 chr11:2908904:C:T rs2286659 C T C EBF1_EBF_1 22 0 - 0 0 . chr11 2911578 2911579 chr11:2911579:G:A rs7934804 G A G EBF1_EBF_1 -6 0 + 0 0 . chr11 2919728 2919729 chr11:2919729:G:A rs11606525 G A G EBF1_EBF_1 23 0 + 0 0 . chr11 2926672 2926673 chr11:2926673:T:A rs55895126 T A A EBF1_EBF_1 -11 0 - 0 0 . chr11 2930489 2930490 chr11:2930490:A:G rs560088962 A G A EBF1_EBF_1 9 1 + 4.443353133030258 7.098292398477861 GGACCCCAGAGACC chr11 2934765 2934766 chr11:2934766:G:A rs12363207 G A g EBF1_EBF_1 -17 0 - 0 0 . chr11 2943792 2943793 chr11:2943793:C:T rs748491161 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 2944191 2944192 chr11:2944192:G:C rs143853425 G C G EBF1_EBF_1 -13 0 + 0 0 . chr11 2976455 2976456 chr11:2976456:C:A rs74869735 C A C EBF1_EBF_1 16 0 - 0 0 . chr11 3009761 3009762 chr11:3009762:G:A rs2239900 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 3056485 3056486 chr11:3056486:G:C rs139649288 G C G EBF1_EBF_1 31 0 - 0 0 . chr11 3090551 3090552 chr11:3090552:G:A rs2412134 G A G EBF1_EBF_1 1 1 - 4.113215110987182 5.008648551385928 GCCCCCTTGGGCCC chr11 3101586 3101587 chr11:3101587:A:G rs7106225 A G G EBF1_EBF_1 -5 0 - 0 0 . chr11 3103175 3103176 chr11:3103176:C:T rs7126123 C T C EBF1_EBF_1 12 1 + 5.720810753972219 4.694544183421369 AGTGCCAAGGGACG chr11 3111416 3111417 chr11:3111417:A:G rs2100086 A G A EBF1_EBF_1 11 1 + 9.002483045951337 6.1097762023473265 CATCCCTAGGGAAG chr11 3111427 3111428 chr11:3111428:T:C rs11826536 T C T EBF1_EBF_1 22 0 + 0 0 . chr11 3112342 3112343 chr11:3112343:G:C rs185626142 G C G EBF1_EBF_1 27 0 - 0 0 . chr11 3120299 3120300 chr11:3120300:C:G rs34752531 C G G EBF1_EBF_1 24 0 - 0 0 . chr11 3120306 3120307 chr11:3120307:T:C rs55862536 T C C EBF1_EBF_1 17 0 - 0 0 . chr11 3120652 3120653 chr11:3120653:C:A rs77244861 C A C EBF1_EBF_1 -16 0 + 0 0 . chr11 3124415 3124416 chr11:3124416:C:G rs572804039 C G C EBF1_EBF_1 5 1 + 4.524549629689664 -2.3803315229455055 GGCCTCCAGGGACC chr11 3127080 3127081 chr11:3127081:C:T rs895555 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 3127088 3127089 chr11:3127089:C:T rs143944655 C T C EBF1_EBF_1 10 1 - 6.154325553741943 0.8362970944749769 TTTCCCAAAGGAGC chr11 3127150 3127151 chr11:3127151:C:T rs59956565 C T C EBF1_EBF_1 12 1 + 6.098428378723677 5.072161808172827 TTTCCCAAGGTACG chr11 3129251 3129252 chr11:3129252:A:G rs11025526 A G G EBF1_EBF_1 23 0 - 0 0 . chr11 3133542 3133543 chr11:3133543:C:T rs546150589 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 3133580 3133581 chr11:3133581:T:C rs11821454 T C T EBF1_EBF_1 -12 0 - 0 0 . chr11 3133801 3133802 chr11:3133802:A:G rs4601755 A G G EBF1_EBF_1 -10 0 + 0 0 . chr11 3147648 3147649 chr11:3147649:T:C rs11025612 T C T EBF1_EBF_1 0 1 - 8.595167916479474 6.70288404691464 AGTCCCAAGGGTAA chr11 3150630 3150631 chr11:3150631:G:C rs61503147 G C G EBF1_EBF_1 -19 0 + 0 0 . chr11 3154493 3154494 chr11:3154494:T:C rs145691673 T C T EBF1_EBF_1 7 1 - 5.939403416524163 4.626945011235337 CTTCCCAAGAGGCC chr11 3156005 3156006 chr11:3156006:A:T rs4758541 A T T EBF1_EBF_1 23 0 - 0 0 . chr11 3165895 3165896 chr11:3165896:T:G rs150237381 T G T EBF1_EBF_1 17 0 + 0 0 . chr11 3166815 3166816 chr11:3166816:A:T rs11025728 A T A EBF1_EBF_1 -20 0 + 0 0 . chr11 3172307 3172308 chr11:3172308:T:C rs146613491 T C T EBF1_EBF_1 19 0 - 0 0 . chr11 3201903 3201904 chr11:3201904:T:C rs4758484 T C C EBF1_EBF_1 33 0 - 0 0 . chr11 3202628 3202629 chr11:3202629:A:C rs7109232 A C C EBF1_EBF_1 -10 0 + 0 0 . chr11 3202629 3202630 chr11:3202630:C:T rs10833459 C T C EBF1_EBF_1 -9 0 + 0 0 . chr11 3204146 3204147 chr11:3204147:C:T rs117977620 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 3217179 3217180 chr11:3217180:C:T rs79589324 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 3220690 3220691 chr11:3220691:G:C rs7925294 G C C EBF1_EBF_1 -19 0 + 0 0 . chr11 3220925 3220926 chr11:3220926:C:T rs35358500 C T C EBF1_EBF_1 32 0 - 0 0 . chr11 3220957 3220958 chr11:3220958:C:T rs141717680 C T C EBF1_EBF_1 0 1 - 5.231868410416953 7.124152279981787 GGTCCCAGAGGACA chr11 3227645 3227646 chr11:3227646:C:T rs35035870 C T C EBF1_EBF_1 19 0 + 0 0 . chr11 3227711 3227712 chr11:3227712:C:T rs10833512 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 3231232 3231233 chr11:3231233:G:C rs12287395 G C G EBF1_EBF_1 -1 0 - 0 0 . chr11 3232205 3232206 chr11:3232206:C:T rs59802420 C T C EBF1_EBF_1 -16 0 + 0 0 . chr11 3239677 3239678 chr11:3239678:A:G rs72848269 A G a EBF1_EBF_1 -13 0 + 0 0 . chr11 3302435 3302436 chr11:3302436:T:G rs377446113 T G - EBF1_EBF_1 2 1 + 7.067970577542519 0.3196448873420516 AGTCCCTAGAGTCT chr11 3339225 3339226 chr11:3339226:C:G rs10766942 C G - EBF1_EBF_1 31 0 + 0 0 . chr11 3378712 3378713 chr11:3378713:A:C chr11:3378713:A:C A C A EBF1_EBF_1 15 0 + 0 0 . chr11 3378717 3378718 chr11:3378718:G:C chr11:3378718:G:C G C G EBF1_EBF_1 20 0 + 0 0 . chr11 3382728 3382729 chr11:3382729:C:G rs10766979 C G C EBF1_EBF_1 -5 0 + 0 0 . chr11 3387509 3387510 chr11:3387510:C:T rs72852208 C T C EBF1_EBF_1 5 1 + 4.859252489109168 -0.4625347264315016 GCTCCCCTGCGATT chr11 3394652 3394653 chr11:3394653:A:G rs61877987 A G a EBF1_EBF_1 19 0 - 0 0 . chr11 3395769 3395770 chr11:3395770:G:A rs4758586 G A N EBF1_EBF_1 28 0 - 0 0 . chr11 3418068 3418069 chr11:3418069:C:T rs12793978 C T - EBF1_EBF_1 27 0 + 0 0 . chr11 3418694 3418695 chr11:3418695:C:T rs111688281 C T - EBF1_EBF_1 -18 0 - 0 0 . chr11 3421871 3421872 chr11:3421872:C:A rs563262788 C A - EBF1_EBF_1 10 1 - 9.501043501679264 5.318291315452001 TCTCCCTGGGGACC chr11 3422228 3422229 chr11:3422229:G:A rs182979889 G A - EBF1_EBF_1 27 0 - 0 0 . chr11 3422246 3422247 chr11:3422247:C:T rs10834030 C T - EBF1_EBF_1 9 1 - 5.837145512251033 3.182206246803429 CGTCCCTGGGGCCT chr11 3564618 3564619 chr11:3564619:A:G rs10834443 A G a EBF1_EBF_1 24 0 + 0 0 . chr11 3602404 3602405 chr11:3602405:G:A rs11028412 G A N EBF1_EBF_1 -12 0 + 0 0 . chr11 3638872 3638873 chr11:3638873:G:T rs2271587 G T G EBF1_EBF_1 31 0 + 0 0 . chr11 3641780 3641781 chr11:3641781:G:A rs932097 G A G EBF1_EBF_1 2 1 - 6.492734575432561 8.38155798687471 AGCCCCAAGGGGGA chr11 3641790 3641791 chr11:3641791:G:A rs75902876 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 3641798 3641799 chr11:3641799:G:T rs113595429 G T G EBF1_EBF_1 -16 0 - 0 0 . chr11 3809539 3809540 chr11:3809540:A:G rs61896958 A G A EBF1_EBF_1 -17 0 + 0 0 . chr11 3809554 3809555 chr11:3809555:T:G rs148437024 T G T EBF1_EBF_1 -2 0 + 0 0 . chr11 3837518 3837519 chr11:3837519:G:C rs57000758 G C G EBF1_EBF_1 4 1 - 7.174549583603374 1.5487844824538293 TCCCCCAAGAGACT chr11 3841383 3841384 chr11:3841384:A:G rs115670429 A G G EBF1_EBF_1 -4 0 - 0 0 . chr11 3841492 3841493 chr11:3841493:G:C rs986209112 G C G EBF1_EBF_1 27 0 + 0 0 . chr11 3890963 3890964 chr11:3890964:C:T rs10767681 C T C EBF1_EBF_1 -5 0 + 0 0 . chr11 3914979 3914980 chr11:3914980:C:T rs183296944 C T c EBF1_EBF_1 32 0 - 0 0 . chr11 3944313 3944314 chr11:3944314:G:C rs56126231 G C G EBF1_EBF_1 -7 0 + 0 0 . chr11 3959531 3959532 chr11:3959532:G:A rs74051568 G A G EBF1_EBF_1 -4 0 - 0 0 . chr11 4044229 4044230 chr11:4044230:G:A rs11030728 G A G EBF1_EBF_1 17 0 - 0 0 . chr11 4058217 4058218 chr11:4058218:C:T rs2959066 C T T EBF1_EBF_1 7 1 - 4.691265223200668 6.003723628489493 CCTCCCCGAGGACC chr11 4058241 4058242 chr11:4058242:A:G rs531383988 A G A EBF1_EBF_1 -17 0 - 0 0 . chr11 4094677 4094678 chr11:4094678:G:A chr11:4094678:G:A G A G EBF1_EBF_1 -2 0 - 0 0 . chr11 4161506 4161507 chr11:4161507:G:C rs7114174 G C C EBF1_EBF_1 -4 0 - 0 0 . chr11 4162592 4162593 chr11:4162593:G:A rs4579921 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 4166168 4166169 chr11:4166169:C:A rs74912175 C A C EBF1_EBF_1 21 0 - 0 0 . chr11 4167585 4167586 chr11:4167586:G:C rs1348311 G C G EBF1_EBF_1 4 1 - 6.052343626497471 0.42657852534792756 CTACCCCAGGGAGC chr11 4184097 4184098 chr11:4184098:C:T rs7926932 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 4187540 4187541 chr11:4187541:G:A rs7941367 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 4190634 4190635 chr11:4190635:G:C rs10835735 G C C EBF1_EBF_1 -15 0 + 0 0 . chr11 4455964 4455965 chr11:4455965:T:C rs2115930 T C T EBF1_EBF_1 19 0 - 0 0 . chr11 4467351 4467352 chr11:4467352:C:A rs12281539 C A C EBF1_EBF_1 24 0 + 0 0 . chr11 4557007 4557008 chr11:4557008:G:A rs2709175 G A A EBF1_EBF_1 12 1 - 7.502001867542657 6.475735296991807 ACTGCCCAGGGACT chr11 4637097 4637098 chr11:4637098:G:C rs181301177 G C G EBF1_EBF_1 -20 0 + 0 0 . chr11 4661651 4661652 chr11:4661652:G:T rs11033233 G T T EBF1_EBF_1 4 1 - 4.74530709626724 -1.0792525271862283 CCTCCCTGGGAACT chr11 4671878 4671879 chr11:4671879:T:A rs12790662 T A T EBF1_EBF_1 26 0 - 0 0 . chr11 4671903 4671904 chr11:4671904:A:C rs11033275 A C A EBF1_EBF_1 1 1 - 6.957788201767596 6.252126415938069 GTTCCCATGGGCCC chr11 4826380 4826381 chr11:4826381:T:C rs17328371 T C T EBF1_EBF_1 19 0 + 0 0 . chr11 4830044 4830045 chr11:4830045:A:T rs7934231 A T A EBF1_EBF_1 28 0 - 0 0 . chr11 4830048 4830049 chr11:4830049:C:A rs7948040 C A C EBF1_EBF_1 24 0 - 0 0 . chr11 4880168 4880169 chr11:4880169:A:G rs11034467 A G G EBF1_EBF_1 0 1 + 7.386263031514335 5.493979161949501 ATTGCCTGGGGACT chr11 4901248 4901249 chr11:4901249:C:G rs71480739 C G c EBF1_EBF_1 8 1 - 4.14141885062611 -1.1772400102885765 AACCCCGGGGGGCA chr11 4970753 4970754 chr11:4970754:T:C rs11034955 T C T EBF1_EBF_1 -20 0 - 0 0 . chr11 5102365 5102366 chr11:5102366:T:G rs11035684 T G T EBF1_EBF_1 28 0 + 0 0 . chr11 5124138 5124139 chr11:5124139:G:T rs554303301 G T G EBF1_EBF_1 -11 0 + 0 0 . chr11 5124160 5124161 chr11:5124161:C:T rs1044264059 C T C EBF1_EBF_1 11 1 + 6.949409598649795 7.267457040403459 TTTCCCTAGGGCAA chr11 5124238 5124239 chr11:5124239:A:G chr11:5124239:A:G A G A EBF1_EBF_1 -14 0 - 0 0 . chr11 5125509 5125510 chr11:5125510:A:G rs12574190 A G G EBF1_EBF_1 -9 0 + 0 0 . chr11 5556952 5556953 chr11:5556953:T:C rs187238705 T C T EBF1_EBF_1 27 0 + 0 0 . chr11 5591876 5591877 chr11:5591877:C:G rs11826226 C G c EBF1_EBF_1 26 0 - 0 0 . chr11 5591900 5591901 chr11:5591901:G:C rs1560809 G C g EBF1_EBF_1 2 1 - 6.4442287288107485 1.58472645005243 AGCCCCCCGGGGCT chr11 5623772 5623773 chr11:5623773:G:C rs10838441 G C G EBF1_EBF_1 9 1 + 9.37778336707978 4.3020942207343165 ATTCCCAAGGTATT chr11 5689665 5689666 chr11:5689666:A:C rs2291841 A C A EBF1_EBF_1 30 0 - 0 0 . chr11 5689671 5689672 chr11:5689672:T:C rs139667844 T C T EBF1_EBF_1 24 0 - 0 0 . chr11 5720330 5720331 chr11:5720331:T:C rs78313893 T C t EBF1_EBF_1 -5 0 - 0 0 . chr11 5761095 5761096 chr11:5761096:G:A rs144166021 G A G EBF1_EBF_1 9 1 + 7.650580594293395 4.9956413288457915 CAACCCTAGGGAAT chr11 5815736 5815737 chr11:5815737:G:A rs61679778 G A A EBF1_EBF_1 7 1 + 8.760762440100644 10.073220845389468 ATCCCCAGGAGAAT chr11 5815742 5815743 chr11:5815743:T:C rs10838675 T C T EBF1_EBF_1 13 1 + 8.760762440100644 7.916268325515125 ATCCCCAGGAGAAT chr11 5818452 5818453 chr11:5818453:T:A rs73394362 T A T EBF1_EBF_1 21 0 + 0 0 . chr11 5831489 5831490 chr11:5831490:A:G rs4445666 A G G EBF1_EBF_1 -4 0 - 0 0 . chr11 5878854 5878855 chr11:5878855:T:C rs11823378 T C T EBF1_EBF_1 -4 0 - 0 0 . chr11 5935595 5935596 chr11:5935596:G:A rs61876226 G A G EBF1_EBF_1 -14 0 + 0 0 . chr11 5935811 5935812 chr11:5935812:A:G rs12288704 A G A EBF1_EBF_1 11 1 + 5.893459738792119 3.0007528951881075 AACCCCAGGAGATC chr11 5992845 5992846 chr11:5992846:C:T rs11605513 C T C EBF1_EBF_1 25 0 - 0 0 . chr11 6096866 6096867 chr11:6096867:T:C rs10839489 T C C EBF1_EBF_1 0 1 + 8.064047867739841 7.7818458070092635 TACCCCATGGGACA chr11 6097076 6097077 chr11:6097077:A:G rs10769656 A G G EBF1_EBF_1 -12 0 + 0 0 . chr11 6097098 6097099 chr11:6097099:G:A rs10769657 G A G EBF1_EBF_1 10 1 + 6.716338069821599 1.3983096105546327 TCTCCCATGAGATC chr11 6097116 6097117 chr11:6097117:C:T rs10769658 C T T EBF1_EBF_1 28 0 + 0 0 . chr11 6100358 6100359 chr11:6100359:T:C rs5005636 T C C EBF1_EBF_1 -7 0 + 0 0 . chr11 6100387 6100388 chr11:6100388:C:T rs5005637 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 6159688 6159689 chr11:6159689:T:C rs2664004 T C T EBF1_EBF_1 7 1 - 4.435696954779306 3.1232385494904817 GGCCCCTAGGGCAA chr11 6241030 6241031 chr11:6241031:A:G rs76267023 A G A EBF1_EBF_1 -1 0 + 0 0 . chr11 6245799 6245800 chr11:6245800:T:C rs72892212 T C T EBF1_EBF_1 33 0 - 0 0 . chr11 6252623 6252624 chr11:6252624:G:A rs75186878 G A G EBF1_EBF_1 5 1 - 7.059285834178305 1.737498618637636 TCTCCCATGGTACT chr11 6282165 6282166 chr11:6282166:T:C rs12296096 T C T EBF1_EBF_1 4 1 - 5.739765710893269 5.938560233197192 AATCACCTGGGACA chr11 6283479 6283480 chr11:6283480:G:A rs11040840 G A g EBF1_EBF_1 12 1 + 6.807522148264909 8.226339490364387 ACTCCCAGGGTAGT chr11 6315743 6315744 chr11:6315744:C:T rs78994504 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 6395728 6395729 chr11:6395729:C:T rs1800606 C T T EBF1_EBF_1 -9 0 + 0 0 . chr11 6403625 6403626 chr11:6403626:C:T rs2682093 C T C EBF1_EBF_1 -15 0 + 0 0 . chr11 6412034 6412035 chr11:6412035:C:G rs10839562 C G C EBF1_EBF_1 0 1 + 4.675855335675487 5.038829949807254 CTCCCCTTGGGCAC chr11 6473555 6473556 chr11:6473556:T:C rs11605777 T C C EBF1_EBF_1 6 1 + 5.341801184729173 5.040515055867415 CTCCCCTAAGGAAC chr11 6481177 6481178 chr11:6481178:T:C rs944080601 T C T EBF1_EBF_1 28 0 + 0 0 . chr11 6542815 6542816 chr11:6542816:T:A rs12285957 T A T EBF1_EBF_1 -16 0 + 0 0 . chr11 6580446 6580447 chr11:6580447:C:T rs2344832 C T T EBF1_EBF_1 12 1 - 5.4489576228784085 6.867774964977886 GAACCCCAGGGAGC chr11 6603785 6603786 chr11:6603786:G:T rs11607058 G T G EBF1_EBF_1 -2 0 + 0 0 . chr11 6603796 6603797 chr11:6603797:A:G rs45565234 A G A EBF1_EBF_1 9 1 + 6.0631344782357495 8.718073743683352 GTTCCCCGGAGAAG chr11 6619637 6619638 chr11:6619638:A:G rs1800752 A G A EBF1_EBF_1 -7 0 - 0 0 . chr11 6635663 6635664 chr11:6635664:C:G rs148517406 C G C EBF1_EBF_1 -19 0 + 0 0 . chr11 6635670 6635671 chr11:6635671:T:G rs142818481 T G T EBF1_EBF_1 -12 0 + 0 0 . chr11 6635679 6635680 chr11:6635680:G:A rs564797908 G A G EBF1_EBF_1 -3 0 + 0 0 . chr11 6703633 6703634 chr11:6703634:C:A chr11:6703634:C:A C A T EBF1_EBF_1 2 1 + 6.944659095016513 5.149014918859787 GTCCCCCAGAGACC chr11 6703633 6703634 chr11:6703634:C:T rs4758126 C T T EBF1_EBF_1 2 1 + 6.944659095016513 8.833482506458662 GTCCCCCAGAGACC chr11 6703727 6703728 chr11:6703728:T:G rs374599621 T G T EBF1_EBF_1 -15 0 - 0 0 . chr11 6757880 6757881 chr11:6757881:A:G rs12226233 A G A EBF1_EBF_1 -14 0 + 0 0 . chr11 6772196 6772197 chr11:6772197:T:C rs593313 T C T EBF1_EBF_1 25 0 + 0 0 . chr11 6856337 6856338 chr11:6856338:C:T rs2595477 C T t EBF1_EBF_1 -16 0 + 0 0 . chr11 6856341 6856342 chr11:6856342:C:T rs2595476 C T t EBF1_EBF_1 -12 0 + 0 0 . chr11 6895245 6895246 chr11:6895246:T:C rs2741756 T C t EBF1_EBF_1 -16 0 + 0 0 . chr11 7020106 7020107 chr11:7020107:A:G rs76532520 A G A EBF1_EBF_1 32 0 - 0 0 . chr11 7089904 7089905 chr11:7089905:G:T rs151210091 G T G EBF1_EBF_1 -18 0 - 0 0 . chr11 7194656 7194657 chr11:7194657:G:C rs10743011 G C C EBF1_EBF_1 13 1 - 8.516796774229537 7.028725752874123 AGTCCCATGAGAGC chr11 7282757 7282758 chr11:7282758:C:T rs10769773 C T C EBF1_EBF_1 19 0 + 0 0 . chr11 7302925 7302926 chr11:7302926:C:T rs181226000 C T C EBF1_EBF_1 21 0 - 0 0 . chr11 7332295 7332296 chr11:7332296:C:G rs10839767 C G C EBF1_EBF_1 3 1 + 6.343646694579478 0.5158822464642623 ACTCCTCTGGGAAA chr11 7332905 7332906 chr11:7332906:C:T rs12792362 C T C EBF1_EBF_1 3 1 + 13.74892551596906 7.343526774415744 ATTCCCCTGGGACT chr11 7353253 7353254 chr11:7353254:C:T rs73397549 C T C EBF1_EBF_1 4 1 + 5.0505874828221 0.6812005378771759 CCTCCCCTGAGGCT chr11 7513830 7513831 chr11:7513831:C:T rs118025493 C T C EBF1_EBF_1 33 0 - 0 0 . chr11 7513868 7513869 chr11:7513869:C:T rs76835853 C T C EBF1_EBF_1 -5 0 - 0 0 . chr11 7513882 7513883 chr11:7513883:C:T rs117105713 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 7514217 7514218 chr11:7514218:A:G rs184307141 A G A EBF1_EBF_1 -18 0 - 0 0 . chr11 7516888 7516889 chr11:7516889:C:T rs78648868 C T C EBF1_EBF_1 9 1 - 5.946337231304301 3.291397965856696 TCTCCCCGGGGTCT chr11 7521066 7521067 chr11:7521067:C:T rs4078258 C T T EBF1_EBF_1 -9 0 - 0 0 . chr11 7554790 7554791 chr11:7554791:G:T rs10743027 G T T EBF1_EBF_1 -2 0 - 0 0 . chr11 7571789 7571790 chr11:7571790:C:T rs77476052 C T C EBF1_EBF_1 -8 0 - 0 0 . chr11 7571791 7571792 chr11:7571792:G:T rs779331499 G T G EBF1_EBF_1 -10 0 - 0 0 . chr11 7574733 7574734 chr11:7574734:C:T rs3889980 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 7576161 7576162 chr11:7576162:A:G rs185267253 A G A EBF1_EBF_1 -17 0 + 0 0 . chr11 7576208 7576209 chr11:7576209:G:A rs73411141 G A G EBF1_EBF_1 30 0 + 0 0 . chr11 7636027 7636028 chr11:7636028:C:T rs4372441 C T T EBF1_EBF_1 13 1 - 6.00144599296574 7.115771565035179 CTTCCCTGGAGAAG chr11 7654419 7654420 chr11:7654420:C:T rs36036485 C T C EBF1_EBF_1 32 0 + 0 0 . chr11 7665022 7665023 chr11:7665023:G:A rs7928342 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 7748584 7748585 chr11:7748585:C:A rs10839859 C A C EBF1_EBF_1 -16 0 + 0 0 . chr11 7851612 7851613 chr11:7851613:T:C rs16932895 T C T EBF1_EBF_1 21 0 + 0 0 . chr11 7879538 7879539 chr11:7879539:C:A rs56003884 C A A EBF1_EBF_1 24 0 - 0 0 . chr11 7879573 7879574 chr11:7879574:C:T rs10839901 C T T EBF1_EBF_1 -11 0 - 0 0 . chr11 7901103 7901104 chr11:7901104:T:C rs10769847 T C T EBF1_EBF_1 -16 0 + 0 0 . chr11 7906680 7906681 chr11:7906681:T:C rs4758253 T C C EBF1_EBF_1 -19 0 - 0 0 . chr11 7985165 7985166 chr11:7985166:T:C rs4758265 T C T EBF1_EBF_1 2 1 + 6.383774660634822 4.494951249192672 ATTCCCCCGAGGCT chr11 8019115 8019116 chr11:8019116:G:C rs920907035 G C G EBF1_EBF_1 30 0 + 0 0 . chr11 8040418 8040419 chr11:8040419:A:G rs1528129 A G A EBF1_EBF_1 11 1 + 4.186217343623715 1.2935105000197031 CCTTCCAAGGGACC chr11 8040720 8040721 chr11:8040721:G:C rs1158993365 G C G EBF1_EBF_1 -16 0 - 0 0 . chr11 8067944 8067945 chr11:8067945:G:C rs7113295 G C G EBF1_EBF_1 13 1 - 5.301382514388177 3.813311493032762 GCTCCTCAGGGAAC chr11 8068217 8068218 chr11:8068218:C:T rs56103249 C T C EBF1_EBF_1 33 0 - 0 0 . chr11 8068233 8068234 chr11:8068234:C:T rs55680063 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 8092673 8092674 chr11:8092674:A:G rs114739857 A G A EBF1_EBF_1 25 0 - 0 0 . chr11 8093165 8093166 chr11:8093166:G:A rs1027734948 G A G EBF1_EBF_1 30 0 - 0 0 . chr11 8100511 8100512 chr11:8100512:G:A rs75594955 G A G EBF1_EBF_1 17 0 + 0 0 . chr11 8101384 8101385 chr11:8101385:C:A rs73409635 C A C EBF1_EBF_1 25 0 + 0 0 . chr11 8168885 8168886 chr11:8168886:T:C rs201286492 T C T EBF1_EBF_1 -8 0 + 0 0 . chr11 8232370 8232371 chr11:8232371:G:A rs7117825 G A G EBF1_EBF_1 -9 0 + 0 0 . chr11 8279861 8279862 chr11:8279862:G:T rs117085421 G T G EBF1_EBF_1 1 1 + 7.210421127591704 7.916082913421232 TGCCCCATGGGACG chr11 8279874 8279875 chr11:8279875:G:A rs73397933 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 8280450 8280451 chr11:8280451:T:C rs7108610 T C T EBF1_EBF_1 30 0 + 0 0 . chr11 8289154 8289155 chr11:8289155:C:T rs11041848 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 8292607 8292608 chr11:8292608:C:A rs4606466 C A C EBF1_EBF_1 1 1 - 4.26615136894298 4.971813154772508 AGCCCCCCAGGACC chr11 8313947 8313948 chr11:8313948:A:G rs10769894 A G A EBF1_EBF_1 23 0 + 0 0 . chr11 8316311 8316312 chr11:8316312:A:C rs11041876 A C A EBF1_EBF_1 31 0 + 0 0 . chr11 8320698 8320699 chr11:8320699:A:C rs72849862 A C A EBF1_EBF_1 32 0 - 0 0 . chr11 8328583 8328584 chr11:8328584:C:T rs12575105 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 8331802 8331803 chr11:8331803:C:T rs10769900 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 8352215 8352216 chr11:8352216:C:G rs35558051 C G C EBF1_EBF_1 -17 0 + 0 0 . chr11 8352402 8352403 chr11:8352403:G:A rs77361345 G A G EBF1_EBF_1 11 1 + 9.099610082982206 11.992316926586216 AGCCCCAAGGGGCT chr11 8361260 8361261 chr11:8361261:T:A rs36104415 T A T EBF1_EBF_1 29 0 + 0 0 . chr11 8370074 8370075 chr11:8370075:C:T rs4589290 C T C EBF1_EBF_1 -19 0 + 0 0 . chr11 8387820 8387821 chr11:8387821:A:T rs59645839 A T A EBF1_EBF_1 7 1 - 7.273538475681528 7.749812715769085 ATTCCCATGTGACT chr11 8593904 8593905 chr11:8593905:G:T rs538104928 G T G EBF1_EBF_1 22 0 + 0 0 . chr11 8613648 8613649 chr11:8613649:T:A rs117355572 T A T EBF1_EBF_1 0 1 - 5.565740517684477 3.5926840947184537 ACTCCCTGGAGTCT chr11 8658536 8658537 chr11:8658537:G:A rs112096207 G A G EBF1_EBF_1 31 0 - 0 0 . chr11 8658549 8658550 chr11:8658550:C:T rs148939556 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 8682674 8682675 chr11:8682675:G:A rs77383738 G A G EBF1_EBF_1 -6 0 + 0 0 . chr11 8690192 8690193 chr11:8690193:C:T rs74055109 C T C EBF1_EBF_1 -1 0 + 0 0 . chr11 8693727 8693728 chr11:8693728:C:A rs3751072 C A C EBF1_EBF_1 22 0 - 0 0 . chr11 8698407 8698408 chr11:8698408:T:C rs4929930 T C T EBF1_EBF_1 -15 0 - 0 0 . chr11 8704078 8704079 chr11:8704079:G:C rs7940366 G C G EBF1_EBF_1 16 0 + 0 0 . chr11 8707509 8707510 chr11:8707510:A:G rs3752849 A G A EBF1_EBF_1 8 1 + 7.743651183473758 11.969941272360018 CTTCCCCAAGGACT chr11 8718354 8718355 chr11:8718355:C:T rs117932843 C T C EBF1_EBF_1 13 1 + 9.501043501679264 10.345537616264783 TCTCCCTGGGGACC chr11 8755860 8755861 chr11:8755861:T:C rs34524863 T C T EBF1_EBF_1 0 1 + 5.271877836387855 4.989675775657277 TGCCCCCAGGGCAT chr11 8756804 8756805 chr11:8756805:A:G rs10769953 A G G EBF1_EBF_1 31 0 - 0 0 . chr11 8757293 8757294 chr11:8757294:C:T chr11:8757294:C:T C T C EBF1_EBF_1 0 1 + 7.062208644443661 7.344410705174239 CTTCCCTAGGTATT chr11 8812252 8812253 chr11:8812253:A:G rs3915868 A G A EBF1_EBF_1 -5 0 - 0 0 . chr11 8815027 8815028 chr11:8815028:C:G rs978637 C G C EBF1_EBF_1 -2 0 - 0 0 . chr11 8826124 8826125 chr11:8826125:C:T rs955862542 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 8829442 8829443 chr11:8829443:G:A rs7950491 G A G EBF1_EBF_1 6 1 - 7.470084775356611 7.771370904218367 GCTCCCCGGAGACT chr11 8831291 8831292 chr11:8831292:A:G rs75072453 A G A EBF1_EBF_1 13 1 + 7.859995464232641 6.745669892163202 ATTCCCAGAGGAAA chr11 8905668 8905669 chr11:8905669:C:T rs117317883 C T C EBF1_EBF_1 -14 0 + 0 0 . chr11 8925402 8925403 chr11:8925403:G:T rs3751068 G T G EBF1_EBF_1 21 0 + 0 0 . chr11 8931001 8931002 chr11:8931002:G:A rs74849653 G A G EBF1_EBF_1 24 0 - 0 0 . chr11 8935297 8935298 chr11:8935298:C:T rs2742506 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 9037579 9037580 chr11:9037580:G:A rs72856076 G A G EBF1_EBF_1 -20 0 + 0 0 . chr11 9048209 9048210 chr11:9048210:A:T rs79456890 A T A EBF1_EBF_1 19 0 + 0 0 . chr11 9060378 9060379 chr11:9060379:C:T rs7112378 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 9068006 9068007 chr11:9068007:C:A rs56277831 C A C EBF1_EBF_1 2 1 + 5.090687779372776 3.295043603216049 AGCCCTCAGGGACA chr11 9091255 9091256 chr11:9091256:G:A rs112006375 G A G EBF1_EBF_1 7 1 - 6.942844759962169 8.760349506244792 CTCCCCCCGGGACC chr11 9134139 9134140 chr11:9134140:G:A rs12366113 G A G EBF1_EBF_1 -11 0 + 0 0 . chr11 9134387 9134388 chr11:9134388:C:T rs1874822 C T T EBF1_EBF_1 22 0 + 0 0 . chr11 9138019 9138020 chr11:9138020:G:C rs115099456 G C G EBF1_EBF_1 -12 0 - 0 0 . chr11 9145287 9145288 chr11:9145288:T:C rs1039210 T C C EBF1_EBF_1 0 1 - 6.4268256320594945 4.534541762494661 ACCCCCATGAGATA chr11 9265537 9265538 chr11:9265538:T:G rs576785716 T G C EBF1_EBF_1 2 1 + 10.009720758736842 3.261395068536374 ACTCCCCCGGGATT chr11 9265547 9265548 chr11:9265548:T:C rs61877916 T C T EBF1_EBF_1 12 1 + 10.009720758736842 11.03598732928769 ACTCCCCCGGGATT chr11 9319357 9319358 chr11:9319358:C:T rs4641476 C T C EBF1_EBF_1 13 1 + 5.463129176436605 6.307623291022123 CTTCCCATGAGGCC chr11 9331012 9331013 chr11:9331013:C:T rs141327297 C T C EBF1_EBF_1 -16 0 - 0 0 . chr11 9352860 9352861 chr11:9352861:G:A rs148443707 G A a EBF1_EBF_1 6 1 - 5.526861722909658 5.828147851771416 CCTCCCCAGAGGCT chr11 9384886 9384887 chr11:9384887:T:G rs976032520 T G t EBF1_EBF_1 -20 0 + 0 0 . chr11 9385286 9385287 chr11:9385287:T:G rs1204004633 T G T EBF1_EBF_1 11 1 - 4.839477198980387 0.4235155011279973 GCCCCCAAAGGACC chr11 9573003 9573004 chr11:9573004:C:G rs7927591 C G C EBF1_EBF_1 -12 0 + 0 0 . chr11 9573213 9573214 chr11:9573214:G:T rs3763870 G T G EBF1_EBF_1 27 0 + 0 0 . chr11 9573931 9573932 chr11:9573932:C:T chr11:9573932:C:T C T C EBF1_EBF_1 14 0 + 0 0 . chr11 9595700 9595701 chr11:9595701:T:A rs10840265 T A T EBF1_EBF_1 -16 0 - 0 0 . chr11 9614333 9614334 chr11:9614334:C:G rs556966016 C G C EBF1_EBF_1 -11 0 - 0 0 . chr11 9745065 9745066 chr11:9745066:A:G rs58765157 A G G EBF1_EBF_1 0 1 - 7.0582188704362645 6.776016809705687 TTTCCCTGGGTACT chr11 9758177 9758178 chr11:9758178:G:A rs568771399 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 9765888 9765889 chr11:9765889:G:A rs117895032 G A G EBF1_EBF_1 8 1 - 5.42182320315916 3.849049275096652 GGTCCCTACGGAAA chr11 9765912 9765913 chr11:9765913:A:G rs56043731 A G A EBF1_EBF_1 -16 0 - 0 0 . chr11 9847816 9847817 chr11:9847817:G:A rs1553008 G A G EBF1_EBF_1 7 1 - 7.954273047942202 9.771777794224825 CCTCCCACGGGAAA chr11 9936930 9936931 chr11:9936931:T:C rs11042557 T C T EBF1_EBF_1 22 0 + 0 0 . chr11 9951514 9951515 chr11:9951515:T:G rs10500715 T G T EBF1_EBF_1 17 0 + 0 0 . chr11 9978338 9978339 chr11:9978339:C:T rs7120403 C T t EBF1_EBF_1 12 1 + 4.659444181711568 3.6331776111607184 AATCCACTGGGACA chr11 9983341 9983342 chr11:9983342:C:T rs11603139 C T C EBF1_EBF_1 -15 0 + 0 0 . chr11 10057456 10057457 chr11:10057457:T:C rs56275118 T C T EBF1_EBF_1 11 1 + 6.299629649721327 5.981582207967663 TCTCCCATGGGTCC chr11 10277773 10277774 chr11:10277774:T:C rs2920154 T C T EBF1_EBF_1 -18 0 + 0 0 . chr11 10290241 10290242 chr11:10290242:T:C rs11042721 T C T EBF1_EBF_1 -18 0 - 0 0 . chr11 10348589 10348590 chr11:10348590:T:C rs187780586 T C T EBF1_EBF_1 13 1 - 6.041462125894527 4.927136553825088 ATTCACATGGGATA chr11 10352108 10352109 chr11:10352109:G:T rs950326011 G T G EBF1_EBF_1 -12 0 - 0 0 . chr11 10352273 10352274 chr11:10352274:A:G rs1005065260 A G A EBF1_EBF_1 19 0 - 0 0 . chr11 10388768 10388769 chr11:10388769:G:A rs11042775 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 10410787 10410788 chr11:10410788:G:A rs3741045 G A G EBF1_EBF_1 23 0 - 0 0 . chr11 10429155 10429156 chr11:10429156:T:A rs11042801 T A T EBF1_EBF_1 -11 0 + 0 0 . chr11 10449808 10449809 chr11:10449809:C:T rs117982407 C T C EBF1_EBF_1 -9 0 - 0 0 . chr11 10451622 10451623 chr11:10451623:G:A rs12184411 G A G EBF1_EBF_1 -10 0 - 0 0 . chr11 10455810 10455811 chr11:10455811:G:T chr11:10455811:G:T G T G EBF1_EBF_1 5 1 - 6.205835785745968 -0.6990453668891993 CCTCCCAAGGGCAC chr11 10495446 10495447 chr11:10495447:G:C rs7105307 G C C EBF1_EBF_1 -6 0 - 0 0 . chr11 10498887 10498888 chr11:10498888:T:C rs115576236 T C T EBF1_EBF_1 -18 0 + 0 0 . chr11 10500877 10500878 chr11:10500878:G:A rs72859117 G A G EBF1_EBF_1 33 0 - 0 0 . chr11 10576123 10576124 chr11:10576124:T:C rs11042883 T C T EBF1_EBF_1 11 1 - 5.837669462004931 2.9449626184009206 ACTGCCCTGGGAAA chr11 10581782 10581783 chr11:10581783:G:A rs117152508 G A G EBF1_EBF_1 31 0 - 0 0 . chr11 10587072 10587073 chr11:10587073:G:C rs113793024 G C G EBF1_EBF_1 26 0 + 0 0 . chr11 10601771 10601772 chr11:10601772:G:A rs34866590 G A G EBF1_EBF_1 4 1 - 5.5574305683002265 1.188043623355302 ACTCCCTTGAGTCC chr11 10603598 10603599 chr11:10603599:C:T rs7115735 C T T EBF1_EBF_1 25 0 - 0 0 . chr11 10666946 10666947 chr11:10666947:C:A rs10840463 C A C EBF1_EBF_1 12 1 - 6.001871572129389 6.36424094525669 GTTCCCCAGGGTGC chr11 10666966 10666967 chr11:10666967:C:T rs10840464 C T C EBF1_EBF_1 -8 0 - 0 0 . chr11 10666972 10666973 chr11:10666973:A:G rs10840465 A G G EBF1_EBF_1 -14 0 - 0 0 . chr11 10687190 10687191 chr11:10687191:A:G rs10743146 A G G EBF1_EBF_1 29 0 - 0 0 . chr11 10728043 10728044 chr11:10728044:A:G rs116950681 A G A EBF1_EBF_1 19 0 + 0 0 . chr11 10728529 10728530 chr11:10728530:A:G rs2047299 A G G EBF1_EBF_1 -7 0 - 0 0 . chr11 10735327 10735328 chr11:10735328:C:A rs56746676 C A C EBF1_EBF_1 14 0 + 0 0 . chr11 10751184 10751185 chr11:10751185:G:A rs539201373 G A G EBF1_EBF_1 5 1 - 7.978985788632182 2.657198573091511 ACTCCCGGGGGAGC chr11 10793383 10793384 chr11:10793384:T:C rs4255529 T C C EBF1_EBF_1 12 1 - 6.808206310185213 5.389388968085735 AGTCACTAGGGAAA chr11 10809705 10809706 chr11:10809706:C:T rs370447183 C T C EBF1_EBF_1 17 0 + 0 0 . chr11 10862883 10862884 chr11:10862884:A:G rs1980287 A G A EBF1_EBF_1 12 1 + 5.431771746931846 4.012954404832368 ATTCCCACTGGAAT chr11 10884576 10884577 chr11:10884577:C:G rs189883545 C G C EBF1_EBF_1 -14 0 + 0 0 . chr11 10931477 10931478 chr11:10931478:C:T rs1055259471 C T c EBF1_EBF_1 20 0 - 0 0 . chr11 10931497 10931498 chr11:10931498:T:C rs145780149 T C t EBF1_EBF_1 0 1 - 9.608565236038789 7.7162813664739565 ACTCCCCAGGGAGG chr11 10933294 10933295 chr11:10933295:C:G rs4910201 C G c EBF1_EBF_1 3 1 + 7.756276610094586 1.9285121619793701 GCTCCCCAGAGATT chr11 10972851 10972852 chr11:10972852:A:C rs10743155 A C A EBF1_EBF_1 26 0 + 0 0 . chr11 11037300 11037301 chr11:11037301:C:T rs10770164 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 11061418 11061419 chr11:11061419:T:C rs12273047 T C T EBF1_EBF_1 0 1 - 6.653492475203431 4.761208605638598 ATTCCCAAAGGGAC chr11 11065511 11065512 chr11:11065512:C:T rs7940220 C T T EBF1_EBF_1 26 0 - 0 0 . chr11 11066658 11066659 chr11:11066659:G:A rs2655109 G A G EBF1_EBF_1 11 1 - 5.981582207967663 6.299629649721327 TCTCCCATGGGCCC chr11 11066659 11066660 chr11:11066660:C:G rs2631230 C G C EBF1_EBF_1 10 1 - 5.981582207967663 -0.9092201793618124 TCTCCCATGGGCCC chr11 11068432 11068433 chr11:11068433:C:A rs17427736 C A C EBF1_EBF_1 16 0 - 0 0 . chr11 11077704 11077705 chr11:11077705:G:A rs79250075 G A G EBF1_EBF_1 3 1 - 6.475697343789289 0.07029860223597097 TGTCCCCAAGGACC chr11 11148260 11148261 chr11:11148261:A:G rs10840586 A G A EBF1_EBF_1 -13 0 - 0 0 . chr11 11150317 11150318 chr11:11150318:A:G rs11043116 A G . EBF1_EBF_1 16 0 + 0 0 . chr11 11156302 11156303 chr11:11156303:G:T rs4910265 G T T EBF1_EBF_1 9 1 - 5.953738151661762 8.374488032559622 AATCCCTGGCGACA chr11 11156314 11156315 chr11:11156315:T:C rs7116836 T C C EBF1_EBF_1 -3 0 - 0 0 . chr11 11188608 11188609 chr11:11188609:C:A rs12286334 C A C EBF1_EBF_1 28 0 + 0 0 . chr11 11222568 11222569 chr11:11222569:T:C rs7924659 T C C EBF1_EBF_1 15 0 - 0 0 . chr11 11243881 11243882 chr11:11243882:C:T rs1051482821 C T C EBF1_EBF_1 -16 0 + 0 0 . chr11 11244623 11244624 chr11:11244624:A:G rs4910288 A G A EBF1_EBF_1 -11 0 - 0 0 . chr11 11271311 11271312 chr11:11271312:G:T rs12282460 G T G EBF1_EBF_1 26 0 + 0 0 . chr11 11271316 11271317 chr11:11271317:C:T rs12282401 C T C EBF1_EBF_1 31 0 + 0 0 . chr11 11271397 11271398 chr11:11271398:A:C rs35408328 A C A EBF1_EBF_1 -18 0 + 0 0 . chr11 11280147 11280148 chr11:11280148:C:G rs11021748 C G G EBF1_EBF_1 18 0 + 0 0 . chr11 11294210 11294211 chr11:11294211:A:G rs61618665 A G G EBF1_EBF_1 6 1 + 4.319054152943184 2.1522597216687087 CCTCCCAGGGGCGT chr11 11298556 11298557 chr11:11298557:G:A rs4612800 G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 11349856 11349857 chr11:11349857:C:A rs12225237 C A C EBF1_EBF_1 3 1 + 5.847797815922201 -1.058376213543606 ACTCCCTGAGGATA chr11 11358614 11358615 chr11:11358615:C:T rs141615291 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 11371886 11371887 chr11:11371887:T:C rs143924925 T C T EBF1_EBF_1 16 0 - 0 0 . chr11 11378275 11378276 chr11:11378276:C:T rs79608936 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 11380236 11380237 chr11:11380237:A:G rs76714496 A G A EBF1_EBF_1 6 1 + 5.655490830720124 3.488696399445648 AGTCCAATGGGACC chr11 11389303 11389304 chr11:11389304:G:A rs7945241 G A A EBF1_EBF_1 8 1 + 10.649172917686942 6.422882828800682 CTTCCCCTGGGACC chr11 11404407 11404408 chr11:11404408:T:C rs57202914 T C T EBF1_EBF_1 32 0 + 0 0 . chr11 11407807 11407808 chr11:11407808:C:T rs2403517 C T C EBF1_EBF_1 -2 0 - 0 0 . chr11 11407984 11407985 chr11:11407985:G:A rs2009422 G A G EBF1_EBF_1 20 0 - 0 0 . chr11 11416723 11416724 chr11:11416724:A:G rs186634408 A G A EBF1_EBF_1 -13 0 + 0 0 . chr11 11416751 11416752 chr11:11416752:A:G rs6416091 A G G EBF1_EBF_1 15 0 + 0 0 . chr11 11435951 11435952 chr11:11435952:T:C rs35106610 T C T EBF1_EBF_1 11 1 - 7.306867159742294 4.414160316138282 AGTCCCAGGAGAGA chr11 11463523 11463524 chr11:11463524:T:C rs79446301 T C T EBF1_EBF_1 23 0 + 0 0 . chr11 11469299 11469300 chr11:11469300:T:C rs58804453 T C T EBF1_EBF_1 -17 0 - 0 0 . chr11 11476994 11476995 chr11:11476995:C:A rs7394981 C A C EBF1_EBF_1 2 1 + 9.343126115691103 7.547481939534376 ATCCCCGAGGGAAA chr11 11491706 11491707 chr11:11491707:C:G rs79331953 C G C EBF1_EBF_1 21 0 - 0 0 . chr11 11531633 11531634 chr11:11531634:C:T rs66723122 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 11531658 11531659 chr11:11531659:A:G rs28662044 A G A EBF1_EBF_1 23 0 + 0 0 . chr11 11533498 11533499 chr11:11533499:G:A rs4910379 G A G EBF1_EBF_1 27 0 + 0 0 . chr11 11535739 11535740 chr11:11535740:A:C rs7127593 A C C EBF1_EBF_1 -2 0 - 0 0 . chr11 11553601 11553602 chr11:11553602:T:C rs7924742 T C T EBF1_EBF_1 29 0 - 0 0 . chr11 11574143 11574144 chr11:11574144:C:T rs4243936 C T T EBF1_EBF_1 -20 0 - 0 0 . chr11 11578731 11578732 chr11:11578732:A:G rs10765868 A G G EBF1_EBF_1 33 0 - 0 0 . chr11 11584587 11584588 chr11:11584588:T:C rs180743410 T C T EBF1_EBF_1 12 1 + 5.801464188594664 6.827730759145513 TGCCCCTTGAGATT chr11 11621144 11621145 chr11:11621145:G:A rs61870422 G A G EBF1_EBF_1 23 0 - 0 0 . chr11 11622201 11622202 chr11:11622202:A:T rs566916847 A T A EBF1_EBF_1 7 1 + 7.042890939147408 6.566616699059849 CGCCCCCAGGGACG chr11 11622213 11622214 chr11:11622214:G:A rs112613036 G A G EBF1_EBF_1 19 0 + 0 0 . chr11 11622224 11622225 chr11:11622225:C:G rs1022413726 C G C EBF1_EBF_1 30 0 + 0 0 . chr11 11622227 11622228 chr11:11622228:G:A chr11:11622228:G:A G A G EBF1_EBF_1 33 0 + 0 0 . chr11 11706336 11706337 chr11:11706337:G:T rs116944193 G T G EBF1_EBF_1 32 0 - 0 0 . chr11 11709390 11709391 chr11:11709391:A:C rs1869698 A C C EBF1_EBF_1 -12 0 - 0 0 . chr11 11783311 11783312 chr11:11783312:A:G rs996423273 A G A EBF1_EBF_1 0 1 + 6.765993758323151 4.873709888758317 AGTCACATGGGAAC chr11 11816773 11816774 chr11:11816774:C:T rs59891955 C T C EBF1_EBF_1 8 1 - 6.500319335774988 2.274029246888728 CCTCCCCTGGGTCT chr11 11843457 11843458 chr11:11843458:G:A rs142579540 G A G EBF1_EBF_1 10 1 + 5.152875996854809 -0.16515246241215625 CTTGCCTTGGGAAC chr11 11871669 11871670 chr11:11871670:G:A rs12418890 G A . EBF1_EBF_1 16 0 - 0 0 . chr11 11977605 11977606 chr11:11977606:G:A rs185749265 G A G EBF1_EBF_1 3 1 - 7.249756561971055 0.8443578204177378 AGTCCCCGGAGAAG chr11 11979337 11979338 chr11:11979338:C:G chr11:11979338:C:G C G C EBF1_EBF_1 13 1 + 6.426550562434741 4.938479541079326 AACCCCGCGGGACC chr11 11979344 11979345 chr11:11979345:G:A rs142394541 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 12009318 12009319 chr11:12009319:C:G rs954450705 C G c EBF1_EBF_1 5 1 + 4.610126177156041 -2.294754975479127 GTACCCGAGGGAGC chr11 12019322 12019323 chr11:12019323:C:T rs903008 C T C EBF1_EBF_1 -10 0 - 0 0 . chr11 12047593 12047594 chr11:12047594:T:C rs17466726 T C T EBF1_EBF_1 23 0 - 0 0 . chr11 12066074 12066075 chr11:12066075:A:G rs10831715 A G G EBF1_EBF_1 1 1 + 6.698562067776702 6.959260899698005 TATCCCCTGGGGCA chr11 12068541 12068542 chr11:12068542:C:T rs35338552 C T C EBF1_EBF_1 -5 0 + 0 0 . chr11 12077099 12077100 chr11:12077100:T:C rs1994322 T C T EBF1_EBF_1 -9 0 - 0 0 . chr11 12090579 12090580 chr11:12090580:T:C rs7110277 T C T EBF1_EBF_1 0 1 + 5.4752505979371735 5.193048537206594 TTCCCCCAGAGAGC chr11 12090590 12090591 chr11:12090591:A:C rs554855619 A C A EBF1_EBF_1 11 1 + 5.4752505979371735 1.0592889000847838 TTCCCCCAGAGAGC chr11 12091065 12091066 chr11:12091066:C:G rs79467769 C G C EBF1_EBF_1 -18 0 + 0 0 . chr11 12092982 12092983 chr11:12092983:C:T rs147287031 C T C EBF1_EBF_1 -5 0 - 0 0 . chr11 12092984 12092985 chr11:12092985:C:T rs570784952 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 12116660 12116661 chr11:12116661:G:A rs7947696 G A G EBF1_EBF_1 7 1 - 5.050551075160692 6.868055821443315 ACTCCCTCGGGCTC chr11 12119699 12119700 chr11:12119700:G:C rs715714 G C G EBF1_EBF_1 -3 0 - 0 0 . chr11 12133628 12133629 chr11:12133629:A:C rs76713915 A C C EBF1_EBF_1 -19 0 - 0 0 . chr11 12137030 12137031 chr11:12137031:A:G rs12282633 A G A EBF1_EBF_1 -3 0 + 0 0 . chr11 12139894 12139895 chr11:12139895:C:A chr11:12139895:C:A C A c EBF1_EBF_1 29 0 - 0 0 . chr11 12144903 12144904 chr11:12144904:G:A rs147863117 G A G EBF1_EBF_1 6 1 + 7.872784557570983 10.039578988845458 GTTCCCGAGAGACT chr11 12150710 12150711 chr11:12150711:C:T rs7943183 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 12150722 12150723 chr11:12150723:T:C rs9804669 T C C EBF1_EBF_1 -12 0 + 0 0 . chr11 12171295 12171296 chr11:12171296:T:C rs75390271 T C T EBF1_EBF_1 -4 0 - 0 0 . chr11 12180728 12180729 chr11:12180729:C:T rs7130607 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 12213859 12213860 chr11:12213860:G:T rs11022250 G T T EBF1_EBF_1 1 1 - 4.397114989670032 4.326187812317948 ACTCACCCGGGAAC chr11 12215493 12215494 chr11:12215494:A:G rs745577483 A G A EBF1_EBF_1 12 1 - 6.3186931398575 7.34495971040835 AAACCCTGGGGATA chr11 12217778 12217779 chr11:12217779:C:T rs11827638 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 12235279 12235280 chr11:12235280:C:T rs144949801 C T C EBF1_EBF_1 18 0 + 0 0 . chr11 12252783 12252784 chr11:12252784:A:G rs1493957 A G G EBF1_EBF_1 -1 0 + 0 0 . chr11 12252784 12252785 chr11:12252785:C:G rs1493958 C G C EBF1_EBF_1 0 1 + 4.717764764390553 5.0807393785223205 CCTCCCAAGGGCAG chr11 12281058 12281059 chr11:12281059:A:G rs4265585 A G G EBF1_EBF_1 -15 0 - 0 0 . chr11 12281529 12281530 chr11:12281530:C:T rs61875374 C T C EBF1_EBF_1 4 1 + 4.610209248388075 0.24082230344315148 ATCTCCCTGGGACC chr11 12287342 12287343 chr11:12287343:C:T rs147452075 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 12318957 12318958 chr11:12318958:G:A rs10831786 G A G EBF1_EBF_1 25 0 + 0 0 . chr11 12410180 12410181 chr11:12410181:G:A rs78048494 G A G EBF1_EBF_1 27 0 - 0 0 . chr11 12412084 12412085 chr11:12412085:C:T rs181882586 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 12414055 12414056 chr11:12414056:G:A rs4375421 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 12453912 12453913 chr11:12453913:T:G rs76551048 T G G EBF1_EBF_1 -14 0 + 0 0 . chr11 12469642 12469643 chr11:12469643:G:C rs141160968 G C G EBF1_EBF_1 -19 0 - 0 0 . chr11 12525492 12525493 chr11:12525493:T:G rs11022383 T G T EBF1_EBF_1 -2 0 - 0 0 . chr11 12539989 12539990 chr11:12539990:G:C chr11:12539990:G:C G C G EBF1_EBF_1 3 1 - 5.438207384201054 -0.38955706391416256 TCTCCCCTGGGCTT chr11 12556952 12556953 chr11:12556953:C:T rs145583137 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 12599412 12599413 chr11:12599413:A:C rs12270452 A C C EBF1_EBF_1 -17 0 + 0 0 . chr11 12628828 12628829 chr11:12628829:T:C rs147600935 T C T EBF1_EBF_1 -6 0 - 0 0 . chr11 12675615 12675616 chr11:12675616:G:T rs78749775 G T G EBF1_EBF_1 2 1 - 6.002465330093929 4.206821153937202 CCCCCCCGGGGATC chr11 12693129 12693130 chr11:12693130:A:T rs141438839 A T A EBF1_EBF_1 12 1 + 5.539645269506428 4.483197300534252 AATCCACAGGGAAC chr11 12706160 12706161 chr11:12706161:T:C rs148578326 T C T EBF1_EBF_1 7 1 - 6.42114768663092 5.108689281342095 AATCCCCAGATACT chr11 12719246 12719247 chr11:12719247:A:T rs56281759 A T A EBF1_EBF_1 -5 0 - 0 0 . chr11 12724200 12724201 chr11:12724201:G:A rs74439975 G A G EBF1_EBF_1 3 1 - 5.963325886734519 -0.4420728548187991 ACACCCAAGAGAGT chr11 12751978 12751979 chr11:12751979:G:C rs11022490 G C G EBF1_EBF_1 17 0 - 0 0 . chr11 12789881 12789882 chr11:12789882:G:T rs143350489 G T G EBF1_EBF_1 2 1 - 4.436094883325387 2.64045070716866 AGCCCCCTGGGCAG chr11 12789884 12789885 chr11:12789885:C:T chr11:12789885:C:T C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 12808205 12808206 chr11:12808206:A:T rs11022507 A T A EBF1_EBF_1 -1 0 - 0 0 . chr11 12818466 12818467 chr11:12818467:G:A rs575429500 G A G EBF1_EBF_1 10 1 + 8.845095991662712 3.5270675323957454 CCTCCCTGGGGACA chr11 12818469 12818470 chr11:12818470:A:G rs78162170 A G A EBF1_EBF_1 13 1 + 8.845095991662712 7.730770419593273 CCTCCCTGGGGACA chr11 12830097 12830098 chr11:12830098:C:T rs1992334 C T C EBF1_EBF_1 -10 0 - 0 0 . chr11 12832938 12832939 chr11:12832939:T:C chr11:12832939:T:C T C T EBF1_EBF_1 20 0 + 0 0 . chr11 12872014 12872015 chr11:12872015:G:C rs564300775 G C G EBF1_EBF_1 28 0 + 0 0 . chr11 12885933 12885934 chr11:12885934:C:T rs11022532 C T C EBF1_EBF_1 8 1 - 8.104494336112928 3.8782042472266687 ACTCCCCAGGGGCG chr11 12889814 12889815 chr11:12889815:A:G rs12287788 A G A EBF1_EBF_1 13 1 - 6.012950063779995 5.168455949194477 AATCCCTTGAGCCT chr11 12923398 12923399 chr11:12923399:C:T rs12790829 C T C EBF1_EBF_1 -11 0 - 0 0 . chr11 13007943 13007944 chr11:13007944:G:A rs34612154 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 13013850 13013851 chr11:13013851:C:G rs10831940 C G C EBF1_EBF_1 -13 0 - 0 0 . chr11 13052188 13052189 chr11:13052189:C:T rs115726173 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 13061505 13061506 chr11:13061506:A:G rs2727409 A G g EBF1_EBF_1 23 0 + 0 0 . chr11 13094633 13094634 chr11:13094634:C:T rs1441335 C T T EBF1_EBF_1 -13 0 + 0 0 . chr11 13097973 13097974 chr11:13097974:G:T rs10766037 G T G EBF1_EBF_1 -12 0 + 0 0 . chr11 13098360 13098361 chr11:13098361:G:A rs142545377 G A G EBF1_EBF_1 -15 0 + 0 0 . chr11 13110912 13110913 chr11:13110913:T:C rs144272488 T C T EBF1_EBF_1 33 0 - 0 0 . chr11 13110956 13110957 chr11:13110957:T:G rs7928742 T G T EBF1_EBF_1 -11 0 - 0 0 . chr11 13140615 13140616 chr11:13140616:T:C rs10766047 T C T EBF1_EBF_1 31 0 + 0 0 . chr11 13141102 13141103 chr11:13141103:G:T rs16912236 G T G EBF1_EBF_1 21 0 + 0 0 . chr11 13141271 13141272 chr11:13141272:A:G rs7925935 A G G EBF1_EBF_1 9 1 + 6.938336446359419 9.593275711807022 AGCCCCTGGAGATT chr11 13163368 13163369 chr11:13163369:C:T rs35811840 C T T EBF1_EBF_1 -16 0 - 0 0 . chr11 13177502 13177503 chr11:13177503:C:T rs55937333 C T c EBF1_EBF_1 -11 0 - 0 0 . chr11 13216090 13216091 chr11:13216091:A:G rs77232888 A G A EBF1_EBF_1 4 1 - 6.183708637558528 10.553095582503449 ACTCTCACGGGACC chr11 13271316 13271317 chr11:13271317:G:A rs2219998 G A G EBF1_EBF_1 6 1 - 5.46009589136918 5.761382020230938 CTTCCTCAGGGAAA chr11 13285057 13285058 chr11:13285058:C:T rs4146388 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 13316656 13316657 chr11:13316657:C:G rs17523129 C G C EBF1_EBF_1 18 0 + 0 0 . chr11 13336647 13336648 chr11:13336648:T:C rs191119325 T C T EBF1_EBF_1 7 1 - 5.356931340888633 4.044472935599807 ATTCCCTAGTGACG chr11 13342652 13342653 chr11:13342653:C:T rs1562438 C T C EBF1_EBF_1 29 0 - 0 0 . chr11 13668035 13668036 chr11:13668036:A:G rs2173994 A G G EBF1_EBF_1 11 1 + 13.04326373013953 10.150556886535519 AGTCCCCTGGGACT chr11 13668399 13668400 chr11:13668400:C:T rs557211523 C T C EBF1_EBF_1 24 0 + 0 0 . chr11 13826633 13826634 chr11:13826634:G:A rs11022977 G A G EBF1_EBF_1 9 1 + 5.756530777679721 3.1015915122321163 GACCCCCAGGGAGG chr11 13878641 13878642 chr11:13878642:C:T rs2017782 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 13917254 13917255 chr11:13917255:C:A rs7131580 C A C EBF1_EBF_1 32 0 + 0 0 . chr11 13920819 13920820 chr11:13920820:G:T rs187683742 G T G EBF1_EBF_1 3 1 - 6.285929368138993 -0.6202446613268136 GATCCCAAGGGCTT chr11 13939954 13939955 chr11:13939955:G:T rs2403711 G T G EBF1_EBF_1 2 1 - 8.909167178949016 7.113523002792289 AGCCCCATGGGAGA chr11 13940191 13940192 chr11:13940192:G:A rs4378366 G A G EBF1_EBF_1 21 0 - 0 0 . chr11 13966344 13966345 chr11:13966345:C:T rs1852757 C T T EBF1_EBF_1 -10 0 - 0 0 . chr11 13992189 13992190 chr11:13992190:A:C rs145406568 A C A EBF1_EBF_1 21 0 + 0 0 . chr11 14075613 14075614 chr11:14075614:G:T rs11023075 G T G EBF1_EBF_1 -5 0 + 0 0 . chr11 14229893 14229894 chr11:14229894:G:A rs61883840 G A G EBF1_EBF_1 3 1 - 7.140406558412859 0.7350078168595421 TTTCCCATGGTAAC chr11 14381489 14381490 chr11:14381490:C:T chr11:14381490:C:T C T C EBF1_EBF_1 23 0 + 0 0 . chr11 14591288 14591289 chr11:14591289:C:T rs142471669 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 14643370 14643371 chr11:14643371:C:A rs560784239 C A C EBF1_EBF_1 0 1 + 5.232749572173034 7.488008055869635 CACCCCTTGGGGAC chr11 14669415 14669416 chr11:14669416:G:A rs7119073 G A A EBF1_EBF_1 -16 0 - 0 0 . chr11 14773960 14773961 chr11:14773961:C:T rs187282752 C T C EBF1_EBF_1 -15 0 + 0 0 . chr11 14892098 14892099 chr11:14892099:G:A rs202122669 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 14895111 14895112 chr11:14895112:A:G rs74665432 A G A EBF1_EBF_1 13 1 - 5.902117952784979 5.057623838199461 GACCCCAGGAGAAT chr11 14907071 14907072 chr11:14907072:G:T rs78032499 G T G EBF1_EBF_1 -4 0 - 0 0 . chr11 14971909 14971910 chr11:14971910:C:T rs5237 C T C EBF1_EBF_1 30 0 + 0 0 . chr11 14974097 14974098 chr11:14974098:C:A rs73422603 C A C EBF1_EBF_1 25 0 - 0 0 . chr11 14974140 14974141 chr11:14974141:A:G rs139422647 A G A EBF1_EBF_1 -18 0 - 0 0 . chr11 15114715 15114716 chr11:15114716:C:A chr11:15114716:C:A C A G EBF1_EBF_1 4 1 + 5.074738013043617 -0.749821610409851 CCCCCCCAGGGGCA chr11 15114715 15114716 chr11:15114716:C:G rs58558919 C G G EBF1_EBF_1 4 1 + 5.074738013043617 -0.5510270881059278 CCCCCCCAGGGGCA chr11 15117428 15117429 chr11:15117429:A:G rs1894133 A G G EBF1_EBF_1 -20 0 - 0 0 . chr11 15158537 15158538 chr11:15158538:A:C rs80305551 A C A EBF1_EBF_1 1 1 - 5.898083329544242 5.192421543714714 CTTCGCCTGGGAAT chr11 15170502 15170503 chr11:15170503:A:G rs1627020 A G G EBF1_EBF_1 7 1 - 6.049137379514706 4.231632633232082 CTTCCCCTAGGACA chr11 15232591 15232592 chr11:15232592:G:A rs1540141 G A a EBF1_EBF_1 8 1 + 6.379598982728324 2.1533088938420626 GGCCCCCAGGGATG chr11 15307757 15307758 chr11:15307758:C:T rs10832400 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 15307783 15307784 chr11:15307784:G:A rs114726323 G A G EBF1_EBF_1 0 1 - 6.975843040229322 7.258045100959899 CACCCCAGGGGAAA chr11 15412051 15412052 chr11:15412052:C:T rs35321368 C T C EBF1_EBF_1 -14 0 + 0 0 . chr11 15497159 15497160 chr11:15497160:T:A rs10832451 T A A EBF1_EBF_1 24 0 + 0 0 . chr11 15580875 15580876 chr11:15580876:T:C rs117286906 T C T EBF1_EBF_1 10 1 + 6.083546008992671 3.37549580789046 AGTCCCAGGGTAAG chr11 15593813 15593814 chr11:15593814:C:T rs729416 C T C EBF1_EBF_1 6 1 + 7.708299261175196 8.009585390036953 AGACCCCGGGGAAC chr11 15649931 15649932 chr11:15649932:G:A rs12287595 G A G EBF1_EBF_1 33 0 - 0 0 . chr11 15649978 15649979 chr11:15649979:G:A rs558758916 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 15650445 15650446 chr11:15650446:G:A rs771920729 G A G EBF1_EBF_1 5 1 - 5.3405317176735 0.018744502132829147 GGTCCCCAGGGCTC chr11 15664131 15664132 chr11:15664132:G:C rs2068011 G C G EBF1_EBF_1 -14 0 + 0 0 . chr11 15671247 15671248 chr11:15671248:G:A rs115958768 G A G EBF1_EBF_1 -13 0 - 0 0 . chr11 15710718 15710719 chr11:15710719:C:T rs16931838 C T C EBF1_EBF_1 3 1 + 5.747858587280353 -0.657540154272964 GTTCCCAGGGGCCA chr11 15716779 15716780 chr11:15716780:T:A rs146071596 T A T EBF1_EBF_1 -20 0 - 0 0 . chr11 15751320 15751321 chr11:15751321:T:A rs11608200 T A T EBF1_EBF_1 0 1 - 5.766372441836266 3.793316018870244 ACCCCCTTGGGGGA chr11 15863589 15863590 chr11:15863590:G:A rs4757370 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 15873631 15873632 chr11:15873632:G:A rs4756835 G A G EBF1_EBF_1 -15 0 + 0 0 . chr11 15876079 15876080 chr11:15876080:T:C rs982988 T C T EBF1_EBF_1 19 0 - 0 0 . chr11 15918148 15918149 chr11:15918149:G:A rs878625 G A A EBF1_EBF_1 -9 0 + 0 0 . chr11 15941873 15941874 chr11:15941874:G:A rs56320811 G A G EBF1_EBF_1 5 1 - 6.9499043553827065 1.6281171398420362 CTCCCCCTGAGACT chr11 16293702 16293703 chr11:16293703:C:A rs1155685 C A C EBF1_EBF_1 29 0 - 0 0 . chr11 16603913 16603914 chr11:16603914:G:A rs148158951 G A G EBF1_EBF_1 8 1 + 9.112196372874175 4.8859062839879135 CCTCCCTCGGGAAT chr11 16603935 16603936 chr11:16603936:G:A rs187015299 G A G EBF1_EBF_1 30 0 + 0 0 . chr11 16605081 16605082 chr11:16605082:G:C rs11023993 G C g EBF1_EBF_1 24 0 + 0 0 . chr11 16605087 16605088 chr11:16605088:G:A rs574766076 G A G EBF1_EBF_1 30 0 + 0 0 . chr11 16605308 16605309 chr11:16605309:G:A rs142197432 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 16647890 16647891 chr11:16647891:A:G rs7481820 A G A EBF1_EBF_1 27 0 - 0 0 . chr11 16782512 16782513 chr11:16782513:A:G rs111901409 A G A EBF1_EBF_1 27 0 + 0 0 . chr11 16786652 16786653 chr11:16786653:G:C rs929297 G C G EBF1_EBF_1 1 1 + 10.439195935212089 10.249424280642872 GGTCCCCAGGGAAA chr11 16816326 16816327 chr11:16816327:A:G rs10832684 A G A EBF1_EBF_1 11 1 + 4.827751760639689 1.9350449170356778 ACTCCCAGGGAAGC chr11 16820864 16820865 chr11:16820865:C:T rs145214545 C T C EBF1_EBF_1 18 0 + 0 0 . chr11 16840152 16840153 chr11:16840153:T:G rs191873261 T G T EBF1_EBF_1 -14 0 - 0 0 . chr11 16843485 16843486 chr11:16843486:T:C rs376456 T C C EBF1_EBF_1 19 0 - 0 0 . chr11 16843509 16843510 chr11:16843510:G:A chr11:16843510:G:A G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 16857291 16857292 chr11:16857292:C:G rs434899 C G G EBF1_EBF_1 23 0 + 0 0 . chr11 16859839 16859840 chr11:16859840:C:G rs12806040 C G c EBF1_EBF_1 -3 0 + 0 0 . chr11 16935793 16935794 chr11:16935794:A:G rs4756875 A G G EBF1_EBF_1 18 0 + 0 0 . chr11 16962901 16962902 chr11:16962902:C:T rs76508724 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 16994345 16994346 chr11:16994346:G:A rs7944490 G A G EBF1_EBF_1 9 1 + 9.22585291117814 6.570913645730537 GTCCCCCAGGGACA chr11 17046301 17046302 chr11:17046302:C:T rs56030184 C T c EBF1_EBF_1 -1 0 - 0 0 . chr11 17063644 17063645 chr11:17063645:A:C rs7949405 A C A EBF1_EBF_1 -4 0 - 0 0 . chr11 17063688 17063689 chr11:17063689:G:T rs188071327 G T G EBF1_EBF_1 21 0 - 0 0 . chr11 17063717 17063718 chr11:17063718:C:G rs12419179 C G C EBF1_EBF_1 -8 0 - 0 0 . chr11 17086100 17086101 chr11:17086101:G:C rs1987694 G C G EBF1_EBF_1 1 1 - 6.952554362255452 7.142326016824672 CCCCCCCAGGGAGC chr11 17187166 17187167 chr11:17187167:T:C rs586785 T C T EBF1_EBF_1 7 1 + 5.314285560304199 3.4967808140215757 ATTGCCCTGGGAGA chr11 17207484 17207485 chr11:17207485:C:T rs184866172 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 17208117 17208118 chr11:17208118:T:G rs12294565 T G T EBF1_EBF_1 23 0 - 0 0 . chr11 17219297 17219298 chr11:17219298:G:T rs61879758 G T G EBF1_EBF_1 12 1 - 9.654850249142108 9.685031647563434 AGTCCCAAAGGACT chr11 17386152 17386153 chr11:17386153:C:T rs193101892 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 17414388 17414389 chr11:17414389:G:A rs4148631 G A A EBF1_EBF_1 16 0 - 0 0 . chr11 17414418 17414419 chr11:17414419:G:A rs4148630 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 17415388 17415389 chr11:17415389:A:G rs4148626 A G G EBF1_EBF_1 -8 0 - 0 0 . chr11 17418253 17418254 chr11:17418254:C:T rs370692232 C T C EBF1_EBF_1 6 1 + 4.990236096930657 5.291522225792414 ACTCCCCTGTGATT chr11 17481910 17481911 chr11:17481911:A:G rs76856619 A G G EBF1_EBF_1 30 0 + 0 0 . chr11 17486592 17486593 chr11:17486593:G:A rs12278908 G A A EBF1_EBF_1 -9 0 + 0 0 . chr11 17487030 17487031 chr11:17487031:G:A rs11823071 G A A EBF1_EBF_1 -10 0 + 0 0 . chr11 17511849 17511850 chr11:17511850:G:A rs2190453 G A G EBF1_EBF_1 -12 0 - 0 0 . chr11 17516991 17516992 chr11:17516992:T:C rs7128086 T C T EBF1_EBF_1 21 0 + 0 0 . chr11 17519175 17519176 chr11:17519176:A:C rs67020696 A C C EBF1_EBF_1 2 1 + 7.708299261175196 9.503943437331923 AGACCCCGGGGAAC chr11 17523543 17523544 chr11:17523544:A:G rs4757539 A G G EBF1_EBF_1 -3 0 + 0 0 . chr11 17528346 17528347 chr11:17528347:C:T rs189346043 C T c EBF1_EBF_1 13 1 - 4.618028434947633 5.732354007017072 GATCCCCAGGGCCG chr11 17542938 17542939 chr11:17542939:A:G rs2237955 A G G EBF1_EBF_1 22 0 + 0 0 . chr11 17561228 17561229 chr11:17561229:G:A rs79574062 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 17561915 17561916 chr11:17561916:T:C rs546080987 T C T EBF1_EBF_1 23 0 - 0 0 . chr11 17578505 17578506 chr11:17578506:G:A rs55881341 G A G EBF1_EBF_1 23 0 - 0 0 . chr11 17580097 17580098 chr11:17580098:C:T rs7107242 C T T EBF1_EBF_1 -17 0 - 0 0 . chr11 17587746 17587747 chr11:17587747:G:A rs11024329 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 17599935 17599936 chr11:17599936:G:A rs80080197 G A G EBF1_EBF_1 7 1 + 3.912857411942798 5.225315817231623 AGCTCCCGGGGACT chr11 17634291 17634292 chr11:17634292:A:T rs12277962 A T A EBF1_EBF_1 -18 0 - 0 0 . chr11 17653532 17653533 chr11:17653533:T:G rs7101815 T G G EBF1_EBF_1 33 0 - 0 0 . chr11 17674033 17674034 chr11:17674034:G:A rs12273486 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 17709457 17709458 chr11:17709458:C:T rs3890009 C T C EBF1_EBF_1 -9 0 + 0 0 . chr11 17723059 17723060 chr11:17723060:G:A rs7930233 G A G EBF1_EBF_1 9 1 + 5.65582522118947 3.000885955741867 CCTCCCCTGGGTCC chr11 17737333 17737334 chr11:17737334:A:G rs577924563 A G A EBF1_EBF_1 11 1 + 4.953640252860296 2.0609334092562848 CTTCCTCTGGGACA chr11 17747111 17747112 chr11:17747112:C:T rs2237954 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 17747113 17747114 chr11:17747114:G:C rs2237953 G C C EBF1_EBF_1 26 0 - 0 0 . chr11 17749440 17749441 chr11:17749441:A:G rs6486397 A G A EBF1_EBF_1 -5 0 + 0 0 . chr11 17767658 17767659 chr11:17767659:G:A rs78513383 G A G EBF1_EBF_1 12 1 - 11.287876370166131 10.261609799615282 AATCCCAAGGGACG chr11 17913134 17913135 chr11:17913135:T:C rs59573120 T C T EBF1_EBF_1 13 1 - 5.687426834638967 4.573101262569528 CATCCCCAGGGTCA chr11 17923472 17923473 chr11:17923473:C:A rs10832866 C A C EBF1_EBF_1 -7 0 + 0 0 . chr11 18061439 18061440 chr11:18061440:A:G rs61412856 A G a EBF1_EBF_1 -3 0 - 0 0 . chr11 18115632 18115633 chr11:18115633:T:A rs75676592 T A t EBF1_EBF_1 -7 0 + 0 0 . chr11 18171921 18171922 chr11:18171922:T:C rs1968732 T C t EBF1_EBF_1 19 0 + 0 0 . chr11 18173245 18173246 chr11:18173246:G:A rs11024530 G A g EBF1_EBF_1 22 0 + 0 0 . chr11 18204226 18204227 chr11:18204227:T:C rs2516309 T C N EBF1_EBF_1 14 0 - 0 0 . chr11 18209384 18209385 chr11:18209385:T:C rs575355526 T C t EBF1_EBF_1 13 1 + 5.3019180977516465 4.457423983166128 CCTCCCGGGAGACT chr11 18254282 18254283 chr11:18254283:G:A rs12792713 G A g EBF1_EBF_1 -3 0 + 0 0 . chr11 18262841 18262842 chr11:18262842:T:G rs2896522 T G g EBF1_EBF_1 29 0 - 0 0 . chr11 18266202 18266203 chr11:18266203:G:C rs1829575 G C c EBF1_EBF_1 31 0 + 0 0 . chr11 18395117 18395118 chr11:18395118:C:T rs189345660 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 18634025 18634026 chr11:18634026:A:G rs79151962 A G A EBF1_EBF_1 -16 0 + 0 0 . chr11 18698586 18698587 chr11:18698587:G:A chr11:18698587:G:A G A G EBF1_EBF_1 8 1 + 6.310273297894869 2.083983209008608 CCTCCCAAGGGGGC chr11 18698601 18698602 chr11:18698602:G:A rs1352805951 G A G EBF1_EBF_1 23 0 + 0 0 . chr11 18698623 18698624 chr11:18698624:T:G rs11822551 T G T EBF1_EBF_1 6 1 - 5.089700035234084 4.728097667432807 TCTCCCAGGGGTCA chr11 18698626 18698627 chr11:18698627:G:A rs1160999174 G A G EBF1_EBF_1 3 1 - 5.089700035234084 -1.3156987063192338 TCTCCCAGGGGTCA chr11 18742565 18742566 chr11:18742566:G:A rs2278732 G A G EBF1_EBF_1 13 1 - 7.913892852002926 8.758386966588445 AATCCCATGGGCAC chr11 18751107 18751108 chr11:18751108:C:T rs35909922 C T C EBF1_EBF_1 -1 0 + 0 0 . chr11 18751113 18751114 chr11:18751114:C:T rs35533234 C T C EBF1_EBF_1 5 1 + 4.761577629108361 -0.5602095864323096 ACTCCCGTGGGCTC chr11 18763787 18763788 chr11:18763788:C:G rs56357050 C G C EBF1_EBF_1 12 1 + 6.486891116928682 5.09825517325053 ATTCCCCCAGGACA chr11 18763788 18763789 chr11:18763789:A:C rs56282775 A C C EBF1_EBF_1 13 1 + 6.486891116928682 6.860636566214658 ATTCCCCCAGGACA chr11 18765496 18765497 chr11:18765497:T:C rs4272766 T C t EBF1_EBF_1 -12 0 + 0 0 . chr11 18792394 18792395 chr11:18792395:T:C rs80279941 T C T EBF1_EBF_1 31 0 - 0 0 . chr11 18792752 18792753 chr11:18792753:G:T rs73428502 G T C EBF1_EBF_1 1 1 + 6.055410518167308 6.761072303996837 CGCCCCCCGGGATT chr11 18900414 18900415 chr11:18900415:C:T rs11024871 C T - EBF1_EBF_1 -19 0 - 0 0 . chr11 18900943 18900944 chr11:18900944:T:C rs1813080 T C - EBF1_EBF_1 -15 0 + 0 0 . chr11 18933151 18933152 chr11:18933152:T:G rs4756975 T G - EBF1_EBF_1 19 0 - 0 0 . chr11 18938066 18938067 chr11:18938067:A:G rs2220068 A G - EBF1_EBF_1 21 0 + 0 0 . chr11 19021137 19021138 chr11:19021138:G:T rs11024950 G T G EBF1_EBF_1 -3 0 - 0 0 . chr11 19038915 19038916 chr11:19038916:T:C rs72901021 T C T EBF1_EBF_1 11 1 - 7.44509379157378 4.552386947969769 GGCCCCAAGAGACT chr11 19040734 19040735 chr11:19040735:C:T rs57756306 C T T EBF1_EBF_1 5 1 + 7.854145230691836 2.5323580151511664 GCCCCCCTGGGACA chr11 19116393 19116394 chr11:19116394:C:T rs58208423 C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 19116399 19116400 chr11:19116400:C:A rs1546329 C A C EBF1_EBF_1 0 1 + 7.4346747053700115 9.689933189066611 CGCCCCAAGGGAAG chr11 19182007 19182008 chr11:19182008:A:C rs45584634 A C A EBF1_EBF_1 23 0 + 0 0 . chr11 19184878 19184879 chr11:19184879:A:T rs2288251 A T G EBF1_EBF_1 -15 0 + 0 0 . chr11 19187373 19187374 chr11:19187374:C:T rs76977274 C T C EBF1_EBF_1 -14 0 - 0 0 . chr11 19187502 19187503 chr11:19187503:T:C rs10833071 T C T EBF1_EBF_1 31 0 - 0 0 . chr11 19196599 19196600 chr11:19196600:C:T rs12290229 C T T EBF1_EBF_1 26 0 - 0 0 . chr11 19286122 19286123 chr11:19286123:G:A rs76688276 G A C EBF1_EBF_1 -4 0 - 0 0 . chr11 19379738 19379739 chr11:19379739:C:G rs12225542 C G C EBF1_EBF_1 -11 0 + 0 0 . chr11 19397373 19397374 chr11:19397374:T:G rs58385912 T G G EBF1_EBF_1 24 0 - 0 0 . chr11 19399351 19399352 chr11:19399352:C:A rs77206409 C A C EBF1_EBF_1 12 1 - 4.895126359993012 5.257495733120313 ACTGCCCAGGGAGA chr11 19399372 19399373 chr11:19399373:C:T rs7119326 C T c EBF1_EBF_1 -9 0 - 0 0 . chr11 19426325 19426326 chr11:19426326:T:C rs2702639 T C C EBF1_EBF_1 -5 0 + 0 0 . chr11 19442163 19442164 chr11:19442164:C:T rs1286747148 C T C EBF1_EBF_1 8 1 - 5.814052019523365 1.5877619306371034 CCTCCCCAGGGCCC chr11 19480209 19480210 chr11:19480210:G:C rs35376445 G C G EBF1_EBF_1 31 0 - 0 0 . chr11 19549415 19549416 chr11:19549416:T:G rs1386451 T G T EBF1_EBF_1 18 0 - 0 0 . chr11 19564268 19564269 chr11:19564269:G:T rs145977254 G T G EBF1_EBF_1 -2 0 - 0 0 . chr11 19631871 19631872 chr11:19631872:C:A rs550660653 C A C EBF1_EBF_1 -3 0 + 0 0 . chr11 19667262 19667263 chr11:19667263:T:C rs7350468 T C A EBF1_EBF_1 30 0 - 0 0 . chr11 19667273 19667274 chr11:19667274:C:T rs559615811 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 19683772 19683773 chr11:19683773:C:T rs541333898 C T C EBF1_EBF_1 -10 0 - 0 0 . chr11 19684297 19684298 chr11:19684298:C:A rs7943926 C A C EBF1_EBF_1 -18 0 + 0 0 . chr11 19728999 19729000 chr11:19729000:G:C rs1559666 G C C EBF1_EBF_1 14 0 - 0 0 . chr11 19748513 19748514 chr11:19748514:T:C rs61480800 T C T EBF1_EBF_1 -17 0 + 0 0 . chr11 19777682 19777683 chr11:19777683:G:T rs146669002 G T G EBF1_EBF_1 0 1 - 6.072533641289743 8.327792124986344 CCTCCCCTGAGATC chr11 19817035 19817036 chr11:19817036:T:A rs12420609 T A T EBF1_EBF_1 0 1 + 9.217795689754135 11.190852112720156 TCTCCCAGGGGAAA chr11 19824242 19824243 chr11:19824243:C:A rs7110688 C A C EBF1_EBF_1 5 1 + 5.126566408748926 -1.7783147438862426 CTCCCCAAGGGTCA chr11 19869376 19869377 chr11:19869377:G:A rs2625314 G A A EBF1_EBF_1 6 1 - 5.621656468534805 5.922942597396563 TTTCCCCGGGAACT chr11 19931742 19931743 chr11:19931743:G:A rs117389591 G A G EBF1_EBF_1 19 0 - 0 0 . chr11 19944373 19944374 chr11:19944374:T:G rs12795698 T G T EBF1_EBF_1 26 0 + 0 0 . chr11 19951194 19951195 chr11:19951195:G:T rs56402612 G T G EBF1_EBF_1 5 1 - 5.383728869365906 -1.5211522832692632 TTTCCCCTGAGGCC chr11 19976457 19976458 chr11:19976458:C:T rs1989730 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 19984310 19984311 chr11:19984311:G:T rs7940346 G T G EBF1_EBF_1 -11 0 - 0 0 . chr11 20000077 20000078 chr11:20000078:C:T rs2584841 C T T EBF1_EBF_1 16 0 - 0 0 . chr11 20022766 20022767 chr11:20022767:T:C rs1175634678 T C T EBF1_EBF_1 17 0 + 0 0 . chr11 20025785 20025786 chr11:20025786:C:T rs78991531 C T C EBF1_EBF_1 6 1 + 5.435905999846538 5.737192128708295 TCTCCCCTAGGACA chr11 20070740 20070741 chr11:20070741:G:A rs375384559 G A G EBF1_EBF_1 -7 0 - 0 0 . chr11 20080222 20080223 chr11:20080223:C:T rs3758695 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 20099687 20099688 chr11:20099688:A:G rs1470254 A G A EBF1_EBF_1 16 0 - 0 0 . chr11 20102144 20102145 chr11:20102145:T:C rs10833243 T C T EBF1_EBF_1 7 1 + 6.279785972742019 4.462281226459395 CTTCTCCTGGGACC chr11 20157338 20157339 chr11:20157339:A:G rs831465 A G G EBF1_EBF_1 -13 0 + 0 0 . chr11 20162288 20162289 chr11:20162289:T:G rs11025417 T G T EBF1_EBF_1 -7 0 + 0 0 . chr11 20474334 20474335 chr11:20474335:A:C rs11025576 A C A EBF1_EBF_1 11 1 + 9.635025019251637 5.219063321399247 CTCCCCCTGGGAAT chr11 20597830 20597831 chr11:20597831:A:G rs72944425 A G A EBF1_EBF_1 29 0 - 0 0 . chr11 20627901 20627902 chr11:20627902:G:A rs2276429 G A G EBF1_EBF_1 22 0 + 0 0 . chr11 20668302 20668303 chr11:20668303:A:G rs11025688 A G A EBF1_EBF_1 0 1 + 5.660944174893278 3.7686603053284427 ACTCCTCTGGGATC chr11 20739731 20739732 chr11:20739732:C:G rs7941575 C G G EBF1_EBF_1 -5 0 - 0 0 . chr11 20933637 20933638 chr11:20933638:C:T rs7113943 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 21032205 21032206 chr11:21032206:C:G rs4923282 C G C EBF1_EBF_1 -2 0 + 0 0 . chr11 21144608 21144609 chr11:21144609:T:C rs1670634 T C G EBF1_EBF_1 13 1 + 6.097673246281281 5.253179131695763 ATCCCCAGGGAAAT chr11 21144627 21144628 chr11:21144628:A:G rs11025945 A G A EBF1_EBF_1 33 0 + 0 0 . chr11 21321194 21321195 chr11:21321195:C:T chr11:21321195:C:T C T C EBF1_EBF_1 3 1 + 6.724648019205849 0.3192492776525324 TCTCCCAGGAGATT chr11 21321311 21321312 chr11:21321312:A:G rs4482029 A G G EBF1_EBF_1 17 0 - 0 0 . chr11 21370248 21370249 chr11:21370249:C:T rs7934374 C T T EBF1_EBF_1 26 0 + 0 0 . chr11 21769283 21769284 chr11:21769284:G:A rs11026223 G A G EBF1_EBF_1 -7 0 - 0 0 . chr11 21941983 21941984 chr11:21941984:C:A rs7933579 C A C EBF1_EBF_1 -13 0 + 0 0 . chr11 22192594 22192595 chr11:22192595:A:G chr11:22192595:A:G A G A EBF1_EBF_1 16 0 + 0 0 . chr11 22192763 22192764 chr11:22192764:T:C rs75115903 T C T EBF1_EBF_1 -16 0 - 0 0 . chr11 22193595 22193596 chr11:22193596:G:T rs112145196 G T G EBF1_EBF_1 21 0 - 0 0 . chr11 22285108 22285109 chr11:22285109:A:G rs7940831 A G g EBF1_EBF_1 27 0 + 0 0 . chr11 22432607 22432608 chr11:22432608:G:A rs144024688 G A G EBF1_EBF_1 29 0 - 0 0 . chr11 22432641 22432642 chr11:22432642:G:A rs79559485 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 22625508 22625509 chr11:22625509:C:G rs1423734778 C G c EBF1_EBF_1 13 1 + 5.270728468703363 3.7826574473479484 ATCCCCGAGGGCCC chr11 22711954 22711955 chr11:22711955:G:C rs77553851 G C g EBF1_EBF_1 4 1 - 8.117878977895863 2.49211387674632 GCTCCCAAGAGATT chr11 22974189 22974190 chr11:22974190:C:T rs2162408 C T C EBF1_EBF_1 -16 0 - 0 0 . chr11 23020564 23020565 chr11:23020565:G:A rs76786674 G A G EBF1_EBF_1 16 0 - 0 0 . chr11 23134907 23134908 chr11:23134908:C:T rs11027003 C T C EBF1_EBF_1 10 1 - 5.6392557162469386 0.3212272569799726 AGCCCCAGAGGAAC chr11 23134909 23134910 chr11:23134910:T:C rs10767010 T C c EBF1_EBF_1 8 1 - 5.6392557162469386 9.865545805133198 AGCCCCAGAGGAAC chr11 23189258 23189259 chr11:23189259:G:A rs2449433 G A G EBF1_EBF_1 4 1 - 8.292644213185827 3.9232572682409037 CCTCCCTTGGGAGA chr11 23314258 23314259 chr11:23314259:A:G rs72881033 A G a EBF1_EBF_1 15 0 - 0 0 . chr11 23332181 23332182 chr11:23332182:G:A rs146016095 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 23332194 23332195 chr11:23332195:C:A rs35834173 C A A EBF1_EBF_1 9 1 - 5.970171453442362 -0.8668179546464481 CTCCCCCAGGGGCA chr11 23484053 23484054 chr11:23484054:G:C rs10834104 G C C EBF1_EBF_1 27 0 + 0 0 . chr11 23484174 23484175 chr11:23484175:A:G rs10834105 A G a EBF1_EBF_1 -4 0 + 0 0 . chr11 23520365 23520366 chr11:23520366:T:C rs68092520 T C T EBF1_EBF_1 -2 0 - 0 0 . chr11 23553368 23553369 chr11:23553369:A:T rs11027311 A T A EBF1_EBF_1 -10 0 + 0 0 . chr11 23569808 23569809 chr11:23569809:T:C rs112474975 T C T EBF1_EBF_1 27 0 + 0 0 . chr11 23756727 23756728 chr11:23756728:C:T rs150465722 C T C EBF1_EBF_1 -8 0 + 0 0 . chr11 23756737 23756738 chr11:23756738:T:C rs12269859 T C A EBF1_EBF_1 2 1 + 5.434729886752023 3.545906475309873 GCTGCCTTGGGACT chr11 23767821 23767822 chr11:23767822:C:T rs4133404 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 23847437 23847438 chr11:23847438:T:C rs2207 T C C EBF1_EBF_1 1 1 + 7.866018052643643 6.970584612244899 ATTCCCTAGGGCTA chr11 24235142 24235143 chr11:24235143:C:T rs1443045 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 24235151 24235152 chr11:24235152:T:A rs78539127 T A T EBF1_EBF_1 -15 0 - 0 0 . chr11 24435461 24435462 chr11:24435462:T:A rs11027906 T A T EBF1_EBF_1 28 0 - 0 0 . chr11 24435470 24435471 chr11:24435471:G:A rs190109248 G A G EBF1_EBF_1 19 0 - 0 0 . chr11 24543457 24543458 chr11:24543458:A:G rs10834378 A G G EBF1_EBF_1 -4 0 + 0 0 . chr11 24747766 24747767 chr11:24747767:G:C rs10834473 G C C EBF1_EBF_1 3 1 - 6.404391390521873 0.5766269424066578 AGTCACCTGGGAAC chr11 24858075 24858076 chr11:24858076:G:C rs2403985 G C C EBF1_EBF_1 26 0 - 0 0 . chr11 24858104 24858105 chr11:24858105:G:A rs7122346 G A A EBF1_EBF_1 -3 0 - 0 0 . chr11 24867271 24867272 chr11:24867272:A:G rs7130523 A G A EBF1_EBF_1 13 1 + 7.304152900228546 6.189827328159107 GCCCCCCAGGGATA chr11 25008224 25008225 chr11:25008225:C:T rs12804132 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 25039604 25039605 chr11:25039605:C:T rs12575872 C T C EBF1_EBF_1 21 0 - 0 0 . chr11 25039629 25039630 chr11:25039630:C:T rs12575879 C T C EBF1_EBF_1 -4 0 - 0 0 . chr11 25057819 25057820 chr11:25057820:T:G rs7104714 T G G EBF1_EBF_1 -7 0 + 0 0 . chr11 25198899 25198900 chr11:25198900:T:G rs7929334 T G G EBF1_EBF_1 -16 0 + 0 0 . chr11 25245256 25245257 chr11:25245257:C:A rs34484312 C A c EBF1_EBF_1 19 0 + 0 0 . chr11 25294070 25294071 chr11:25294071:A:G rs10834641 A G G EBF1_EBF_1 30 0 + 0 0 . chr11 25612819 25612820 chr11:25612820:C:T rs11028794 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 25946068 25946069 chr11:25946069:C:T rs12365180 C T c EBF1_EBF_1 22 0 + 0 0 . chr11 26150439 26150440 chr11:26150440:A:T rs4073431 A T a EBF1_EBF_1 11 1 + 5.7219219238076 1.624007667708875 TGTCACCAGGGACT chr11 26187346 26187347 chr11:26187347:A:G rs74661106 A G G EBF1_EBF_1 31 0 + 0 0 . chr11 26534011 26534012 chr11:26534012:T:C rs293944 T C T EBF1_EBF_1 -7 0 + 0 0 . chr11 26534018 26534019 chr11:26534019:C:T rs2703418 C T C EBF1_EBF_1 0 1 + 8.148249840305535 8.43045190103611 CTTCCCATGGGGAC chr11 26543046 26543047 chr11:26543047:G:A rs429892 G A A EBF1_EBF_1 -9 0 + 0 0 . chr11 26819834 26819835 chr11:26819835:G:A rs146895281 G A A EBF1_EBF_1 8 1 + 5.298613450677892 1.0723233617916306 AGCCCCGCGGGAGC chr11 26867912 26867913 chr11:26867913:C:T rs12799405 C T C EBF1_EBF_1 10 1 - 5.814660704921146 0.4966322456541807 TGCCCCCAGAGAAA chr11 26994717 26994718 chr11:26994718:C:T rs35462253 C T C EBF1_EBF_1 12 1 - 7.371713562566642 8.790530904666118 CTCCCCCTGGGAGC chr11 27219529 27219530 chr11:27219530:T:C rs10767620 T C T EBF1_EBF_1 21 0 - 0 0 . chr11 27242205 27242206 chr11:27242206:T:C rs61195528 T C T EBF1_EBF_1 -12 0 + 0 0 . chr11 27332838 27332839 chr11:27332839:T:G rs11029953 T G T EBF1_EBF_1 -18 0 + 0 0 . chr11 27423620 27423621 chr11:27423621:A:G rs7119755 A G G EBF1_EBF_1 16 0 - 0 0 . chr11 27427804 27427805 chr11:27427805:C:T rs11030000 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 27427813 27427814 chr11:27427814:G:A rs11030001 G A G EBF1_EBF_1 11 1 - 6.327023317903116 6.64507075965678 TTTCCCAAGGGCTC chr11 27427831 27427832 chr11:27427832:C:A rs942735269 C A C EBF1_EBF_1 -7 0 - 0 0 . chr11 27471167 27471168 chr11:27471168:T:G rs567502953 T G T EBF1_EBF_1 23 0 - 0 0 . chr11 27472119 27472120 chr11:27472120:C:T rs560835892 C T C EBF1_EBF_1 -19 0 + 0 0 . chr11 27472153 27472154 chr11:27472154:G:A rs1314637648 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 27650704 27650705 chr11:27650705:C:G rs10767658 C G G EBF1_EBF_1 -14 0 - 0 0 . chr11 27699389 27699390 chr11:27699390:C:T rs66866077 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 27754358 27754359 chr11:27754359:G:A rs80331098 G A G EBF1_EBF_1 -10 0 + 0 0 . chr11 27896452 27896453 chr11:27896453:C:G rs4923504 C G C EBF1_EBF_1 23 0 - 0 0 . chr11 27921695 27921696 chr11:27921696:A:G rs116374680 A G A EBF1_EBF_1 30 0 - 0 0 . chr11 28464579 28464580 chr11:28464580:C:G rs963313658 C G C EBF1_EBF_1 -1 0 - 0 0 . chr11 28553899 28553900 chr11:28553900:A:G rs77054900 A G A EBF1_EBF_1 24 0 - 0 0 . chr11 28615788 28615789 chr11:28615789:A:G rs4923549 A G A EBF1_EBF_1 19 0 + 0 0 . chr11 28673898 28673899 chr11:28673899:G:A rs79181150 G A G EBF1_EBF_1 9 1 + 5.790432838876038 3.1354935734284344 TTTCCCTTGGGCTC chr11 28770597 28770598 chr11:28770598:A:T rs1607229 A T A EBF1_EBF_1 7 1 - 8.272686072687348 8.748960312774905 ACTCCCATGGGTCC chr11 28794410 28794411 chr11:28794411:A:C rs12360685 A C A EBF1_EBF_1 -13 0 - 0 0 . chr11 28888016 28888017 chr11:28888017:A:G rs11030499 A G A EBF1_EBF_1 6 1 - 5.801201229220363 5.4999151003586055 CACCCCTGGGGAAG chr11 28984291 28984292 chr11:28984292:A:G rs10835453 A G A EBF1_EBF_1 6 1 - 6.1862528637996475 5.88496673493789 ACTCCCTGGGAAAC chr11 29185974 29185975 chr11:29185975:A:G rs77526045 A G a EBF1_EBF_1 18 0 - 0 0 . chr11 29443467 29443468 chr11:29443468:G:T rs634740 G T T EBF1_EBF_1 -19 0 + 0 0 . chr11 29712980 29712981 chr11:29712981:G:A rs73445319 G A g EBF1_EBF_1 14 0 + 0 0 . chr11 29712991 29712992 chr11:29712992:C:T rs72888210 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 29781442 29781443 chr11:29781443:A:T rs1932852 A T A EBF1_EBF_1 -16 0 + 0 0 . chr11 29928792 29928793 chr11:29928793:G:C rs35591498 G C G EBF1_EBF_1 15 0 + 0 0 . chr11 30073566 30073567 chr11:30073567:G:C rs586048 G C G EBF1_EBF_1 5 1 - 8.071961820524153 1.1670806678889851 ACCCCCCTGGGGCT chr11 30150046 30150047 chr11:30150047:G:T rs3847634 G T G EBF1_EBF_1 -15 0 + 0 0 . chr11 30150067 30150068 chr11:30150068:A:T rs3847635 A T A EBF1_EBF_1 6 1 + 6.303964006156699 6.243647767217179 TCTCCCAAAGGAAA chr11 30186849 30186850 chr11:30186850:A:C rs78090776 A C A EBF1_EBF_1 -16 0 + 0 0 . chr11 30353060 30353061 chr11:30353061:A:G rs7949790 A G A EBF1_EBF_1 0 1 + 6.343646694579478 4.451362825014643 ACTCCTCTGGGAAA chr11 30523263 30523264 chr11:30523264:G:A rs12287996 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 30636894 30636895 chr11:30636895:G:A rs383897 G A A EBF1_EBF_1 7 1 + 9.229938771238833 10.542397176527658 TCTCCCCGGGGAAC chr11 30870310 30870311 chr11:30870311:A:G rs149451530 A G A EBF1_EBF_1 6 1 + 9.622139341810069 7.455344910535594 CTTCCCATGGGAGC chr11 30960627 30960628 chr11:30960628:C:T rs142369803 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 31398634 31398635 chr11:31398635:C:G rs80119262 C G C EBF1_EBF_1 -15 0 + 0 0 . chr11 31824098 31824099 chr11:31824099:A:G rs1806172 A G A EBF1_EBF_1 16 0 + 0 0 . chr11 31882870 31882871 chr11:31882871:C:T rs10835824 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 31919956 31919957 chr11:31919957:C:G rs76137276 C G C EBF1_EBF_1 -18 0 + 0 0 . chr11 31957920 31957921 chr11:31957921:A:T rs224596 A T A EBF1_EBF_1 -5 0 - 0 0 . chr11 32030003 32030004 chr11:32030004:A:G rs1002688 A G G EBF1_EBF_1 17 0 - 0 0 . chr11 32072394 32072395 chr11:32072395:C:A rs917183019 C A C EBF1_EBF_1 13 1 + 5.791789197586119 5.418043748300143 AGCCCCAGGAGAGC chr11 32088471 32088472 chr11:32088472:T:C rs542378633 T C T EBF1_EBF_1 26 0 + 0 0 . chr11 32088845 32088846 chr11:32088846:T:C rs184732076 T C T EBF1_EBF_1 27 0 + 0 0 . chr11 32143288 32143289 chr11:32143289:C:T rs2236000 C T T EBF1_EBF_1 20 0 - 0 0 . chr11 32154526 32154527 chr11:32154527:C:A rs12224020 C A C EBF1_EBF_1 12 1 + 8.631705599641153 8.66188699806248 GCCCCCTAGGGACA chr11 32154547 32154548 chr11:32154548:A:G rs141169159 A G A EBF1_EBF_1 33 0 + 0 0 . chr11 32198304 32198305 chr11:32198305:C:A rs10835861 C A C EBF1_EBF_1 12 1 + 8.424279550005409 8.454460948426735 ATTCCCAAGGAACC chr11 32221794 32221795 chr11:32221795:G:A rs79585241 G A G EBF1_EBF_1 -3 0 - 0 0 . chr11 32262626 32262627 chr11:32262627:G:A rs6484559 G A A EBF1_EBF_1 -1 0 - 0 0 . chr11 32377653 32377654 chr11:32377654:C:T rs10835893 C T T EBF1_EBF_1 18 0 + 0 0 . chr11 32379489 32379490 chr11:32379490:C:A rs7946388 C A A EBF1_EBF_1 29 0 + 0 0 . chr11 32381901 32381902 chr11:32381902:C:A rs7926620 C A C EBF1_EBF_1 20 0 + 0 0 . chr11 32384489 32384490 chr11:32384490:G:C rs6484575 G C C EBF1_EBF_1 -16 0 - 0 0 . chr11 32412428 32412429 chr11:32412429:A:C rs894743217 A C A EBF1_EBF_1 -5 0 + 0 0 . chr11 32429456 32429457 chr11:32429457:A:G rs3858447 A G G EBF1_EBF_1 18 0 + 0 0 . chr11 32430791 32430792 chr11:32430792:C:T rs75870920 C T C EBF1_EBF_1 7 1 + 4.4291124090603695 6.246617155342994 GTCCCCCCGGGAGG chr11 32436068 32436069 chr11:32436069:G:A rs78712811 G A G EBF1_EBF_1 21 0 - 0 0 . chr11 32439077 32439078 chr11:32439078:G:C rs3087923 G C G EBF1_EBF_1 -14 0 + 0 0 . chr11 32439326 32439327 chr11:32439327:C:T rs7943101 C T C EBF1_EBF_1 29 0 + 0 0 . chr11 32510318 32510319 chr11:32510319:C:T rs36015484 C T C EBF1_EBF_1 1 1 + 5.828112704819492 6.7235461452182355 ACTCCCAAGTGATT chr11 32714564 32714565 chr11:32714565:G:C rs10835957 G C G EBF1_EBF_1 -3 0 + 0 0 . chr11 32829901 32829902 chr11:32829902:C:T rs11032014 C T C EBF1_EBF_1 3 1 + 5.130599302770134 -1.274799438783184 TGTCCCCAGGTAGC chr11 33049928 33049929 chr11:33049929:T:C rs182222509 T C T EBF1_EBF_1 32 0 + 0 0 . chr11 33248602 33248603 chr11:33248603:G:A rs72901507 G A G EBF1_EBF_1 -17 0 - 0 0 . chr11 33257225 33257226 chr11:33257226:C:G rs549997977 C G C EBF1_EBF_1 14 0 - 0 0 . chr11 33257231 33257232 chr11:33257232:C:T rs879266689 C T C EBF1_EBF_1 8 1 - 4.819641055988205 0.5933509671019426 CCTCCCCGGGGTCC chr11 33257258 33257259 chr11:33257259:C:G rs569895070 C G c EBF1_EBF_1 -19 0 - 0 0 . chr11 33372995 33372996 chr11:33372996:C:T rs2615923 C T C EBF1_EBF_1 24 0 - 0 0 . chr11 33373032 33373033 chr11:33373033:C:T rs12417129 C T C EBF1_EBF_1 -13 0 - 0 0 . chr11 33465381 33465382 chr11:33465382:G:A rs2615917 G A A EBF1_EBF_1 32 0 + 0 0 . chr11 33515951 33515952 chr11:33515952:A:G rs2756289 A G A EBF1_EBF_1 -16 0 + 0 0 . chr11 33545134 33545135 chr11:33545135:A:G rs186231392 A G A EBF1_EBF_1 22 0 + 0 0 . chr11 33606570 33606571 chr11:33606571:A:C rs2076625 A C C EBF1_EBF_1 20 0 - 0 0 . chr11 33668165 33668166 chr11:33668166:C:T rs79522431 C T C EBF1_EBF_1 5 1 + 9.349248255224184 4.027461039683515 AGCCCCGTGGGACT chr11 33674372 33674373 chr11:33674373:A:G rs12285261 A G G EBF1_EBF_1 14 0 - 0 0 . chr11 33699714 33699715 chr11:33699715:T:G rs4755894 T G T EBF1_EBF_1 -14 0 - 0 0 . chr11 33723354 33723355 chr11:33723355:G:A rs115924845 G A G EBF1_EBF_1 -2 0 - 0 0 . chr11 33723682 33723683 chr11:33723683:C:T rs143860147 C T C EBF1_EBF_1 -1 0 + 0 0 . chr11 33732930 33732931 chr11:33732931:T:C rs10768024 T C T EBF1_EBF_1 14 0 + 0 0 . chr11 33774223 33774224 chr11:33774224:C:A rs79586861 C A C EBF1_EBF_1 27 0 - 0 0 . chr11 33774235 33774236 chr11:33774236:C:A rs1402957 C A C EBF1_EBF_1 15 0 - 0 0 . chr11 33774253 33774254 chr11:33774254:G:A rs567676331 G A G EBF1_EBF_1 -3 0 - 0 0 . chr11 33774637 33774638 chr11:33774638:G:A rs538555888 G A G EBF1_EBF_1 -5 0 + 0 0 . chr11 33774666 33774667 chr11:33774667:G:C rs141534260 G C G EBF1_EBF_1 24 0 + 0 0 . chr11 33863156 33863157 chr11:33863157:C:T rs12364163 C T T EBF1_EBF_1 -17 0 - 0 0 . chr11 33870385 33870386 chr11:33870386:G:A rs144459005 G A g EBF1_EBF_1 -16 0 - 0 0 . chr11 34017413 34017414 chr11:34017414:T:C rs187363959 T C T EBF1_EBF_1 29 0 - 0 0 . chr11 34036559 34036560 chr11:34036560:A:C rs3852514 A C A EBF1_EBF_1 33 0 - 0 0 . chr11 34036572 34036573 chr11:34036573:C:A rs142217700 C A C EBF1_EBF_1 20 0 - 0 0 . chr11 34050777 34050778 chr11:34050778:G:T rs76973274 G T G EBF1_EBF_1 24 0 + 0 0 . chr11 34052490 34052491 chr11:34052491:C:T chr11:34052491:C:T C T c EBF1_EBF_1 7 1 - 5.171513041845397 6.4839714471342225 ACTCCCGGAGGAAC chr11 34112004 34112005 chr11:34112005:T:C rs73501073 T C t EBF1_EBF_1 28 0 - 0 0 . chr11 34148789 34148790 chr11:34148790:C:G rs570582314 C G c EBF1_EBF_1 5 1 + 6.1755974125788065 -0.7292837400563614 AGTCCCGGAGGACT chr11 34161927 34161928 chr11:34161928:C:T rs149388041 C T c EBF1_EBF_1 13 1 - 4.621488893070317 5.735814465139756 AACCCCCAGGGCCG chr11 34181405 34181406 chr11:34181406:A:G rs2467377 A G G EBF1_EBF_1 -7 0 - 0 0 . chr11 34182669 34182670 chr11:34182670:A:G rs2467375 A G A EBF1_EBF_1 29 0 + 0 0 . chr11 34222953 34222954 chr11:34222954:C:A rs12420504 C A C EBF1_EBF_1 -16 0 - 0 0 . chr11 34260227 34260228 chr11:34260228:C:T rs889007644 C T C EBF1_EBF_1 -14 0 + 0 0 . chr11 34275354 34275355 chr11:34275355:C:G chr11:34275355:C:G C G C EBF1_EBF_1 0 1 + 7.097415519310973 7.46039013344274 CTACCCCAGGGAAA chr11 34275663 34275664 chr11:34275664:A:G rs2420525 A G A EBF1_EBF_1 11 1 + 5.451748464267623 2.5590416206636113 CTTCCCTCGAGATC chr11 34346666 34346667 chr11:34346667:C:G rs12361888 C G C EBF1_EBF_1 25 0 - 0 0 . chr11 34359006 34359007 chr11:34359007:A:G chr11:34359007:A:G A G A EBF1_EBF_1 31 0 + 0 0 . chr11 34397293 34397294 chr11:34397294:C:T rs7116518 C T C EBF1_EBF_1 3 1 + 5.36629248926669 -1.0391062522866277 ACTCACAGGGGAAA chr11 34438888 34438889 chr11:34438889:G:A chr11:34438889:G:A G A g EBF1_EBF_1 24 0 + 0 0 . chr11 34438888 34438889 chr11:34438889:G:C rs1045955972 G C g EBF1_EBF_1 24 0 + 0 0 . chr11 34439145 34439146 chr11:34439146:G:A rs145028042 G A G EBF1_EBF_1 -7 0 + 0 0 . chr11 34439156 34439157 chr11:34439157:C:T rs10836235 C T C EBF1_EBF_1 4 1 + 4.450890306444894 0.08150336149996873 CTTCCCCCGGGGCG chr11 34439163 34439164 chr11:34439164:G:A rs12270780 G A G EBF1_EBF_1 11 1 + 4.450890306444894 7.343597150048905 CTTCCCCCGGGGCG chr11 34487192 34487193 chr11:34487193:G:A rs55898107 G A A EBF1_EBF_1 -19 0 - 0 0 . chr11 34599456 34599457 chr11:34599457:G:A rs1024276 G A G EBF1_EBF_1 -15 0 - 0 0 . chr11 34617499 34617500 chr11:34617500:C:T chr11:34617500:C:T C T C EBF1_EBF_1 15 0 + 0 0 . chr11 34619280 34619281 chr11:34619281:C:T rs138271074 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 34670190 34670191 chr11:34670191:A:T rs7944030 A T A EBF1_EBF_1 -8 0 + 0 0 . chr11 34683017 34683018 chr11:34683018:G:A rs385181 G A A EBF1_EBF_1 -18 0 + 0 0 . chr11 34773341 34773342 chr11:34773342:G:A rs11032858 G A G EBF1_EBF_1 26 0 - 0 0 . chr11 34794498 34794499 chr11:34794499:A:C rs12363271 A C A EBF1_EBF_1 33 0 + 0 0 . chr11 34825645 34825646 chr11:34825646:T:A rs11605381 T A T EBF1_EBF_1 7 1 - 9.835070382628214 9.358796142540657 AGACCCCAGGGACT chr11 34830472 34830473 chr11:34830473:G:C rs836950 G C C EBF1_EBF_1 -17 0 + 0 0 . chr11 34846681 34846682 chr11:34846682:A:G rs74461260 A G A EBF1_EBF_1 27 0 + 0 0 . chr11 34850565 34850566 chr11:34850566:A:G rs74750016 A G A EBF1_EBF_1 33 0 + 0 0 . chr11 34903228 34903229 chr11:34903229:G:A rs78513914 G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 34911056 34911057 chr11:34911057:A:G rs12293733 A G A EBF1_EBF_1 25 0 + 0 0 . chr11 34916215 34916216 chr11:34916216:C:G chr11:34916216:C:G C G C EBF1_EBF_1 -16 0 - 0 0 . chr11 34930397 34930398 chr11:34930398:A:G rs7924507 A G A EBF1_EBF_1 25 0 + 0 0 . chr11 35008945 35008946 chr11:35008946:G:A rs2469911 G A A EBF1_EBF_1 26 0 - 0 0 . chr11 35040371 35040372 chr11:35040372:A:T rs144805004 A T A EBF1_EBF_1 23 0 - 0 0 . chr11 35058374 35058375 chr11:35058375:T:G rs2553774 T G G EBF1_EBF_1 27 0 + 0 0 . chr11 35078496 35078497 chr11:35078497:A:G rs55670818 A G A EBF1_EBF_1 9 1 + 6.673391555817731 9.328330821265332 AGCCCCCAGAGAAG chr11 35126483 35126484 chr11:35126484:A:C rs75847716 A C A EBF1_EBF_1 6 1 + 9.670669218451412 9.309066850650135 CATCCCATGGGACA chr11 35140487 35140488 chr11:35140488:C:T rs73453629 C T C EBF1_EBF_1 -20 0 - 0 0 . chr11 35145899 35145900 chr11:35145900:G:A rs77357456 G A G EBF1_EBF_1 -11 0 - 0 0 . chr11 35160065 35160066 chr11:35160066:T:C rs353644 T C C EBF1_EBF_1 32 0 + 0 0 . chr11 35174393 35174394 chr11:35174394:G:C rs11033013 G C t EBF1_EBF_1 3 1 - 6.57376172457225 0.7459972764570337 ATCCTCTTGGGACA chr11 35180434 35180435 chr11:35180435:C:T rs76393888 C T C EBF1_EBF_1 -10 0 - 0 0 . chr11 35229770 35229771 chr11:35229771:C:T rs8193 C T C EBF1_EBF_1 -2 0 - 0 0 . chr11 35248743 35248744 chr11:35248744:C:T rs12576668 C T c EBF1_EBF_1 -11 0 - 0 0 . chr11 35260548 35260549 chr11:35260549:T:C rs4508184 T C T EBF1_EBF_1 20 0 - 0 0 . chr11 35260577 35260578 chr11:35260578:C:T rs111390417 C T C EBF1_EBF_1 -9 0 - 0 0 . chr11 35319469 35319470 chr11:35319470:A:T rs4110478 A T A EBF1_EBF_1 11 1 + 5.743404413239864 1.6454901571411382 GTCCCCTTGAGATC chr11 35326756 35326757 chr11:35326757:A:G rs7102122 A G G EBF1_EBF_1 -1 0 + 0 0 . chr11 35387503 35387504 chr11:35387504:C:T rs115638649 C T C EBF1_EBF_1 32 0 + 0 0 . chr11 35391682 35391683 chr11:35391683:G:C rs764750 G C G EBF1_EBF_1 -20 0 - 0 0 . chr11 35420091 35420092 chr11:35420092:G:A chr11:35420092:G:A G A G EBF1_EBF_1 16 0 - 0 0 . chr11 35449608 35449609 chr11:35449609:C:T rs75882309 C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 35486151 35486152 chr11:35486152:G:A rs621363 G A A EBF1_EBF_1 24 0 - 0 0 . chr11 35553885 35553886 chr11:35553886:T:C rs11033176 T C T EBF1_EBF_1 18 0 + 0 0 . chr11 35617667 35617668 chr11:35617668:A:G rs145482202 A G A EBF1_EBF_1 -16 0 - 0 0 . chr11 35662679 35662680 chr11:35662680:C:G rs116319729 C G C EBF1_EBF_1 16 0 + 0 0 . chr11 35876987 35876988 chr11:35876988:C:T rs2956328 C T C EBF1_EBF_1 6 1 - 5.825159494044768 7.991953925319243 GTTCCCGTAGGACT chr11 35894053 35894054 chr11:35894054:G:A rs2942383 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 35894061 35894062 chr11:35894062:A:G rs1026248343 A G A EBF1_EBF_1 28 0 + 0 0 . chr11 35927927 35927928 chr11:35927928:C:T rs2957955 C T T EBF1_EBF_1 29 0 + 0 0 . chr11 35976657 35976658 chr11:35976658:T:G rs10836477 T G G EBF1_EBF_1 11 1 - 4.9655558639281905 0.549594166075801 ACCCCCAAGTGACT chr11 35980974 35980975 chr11:35980975:C:T rs116974370 C T C EBF1_EBF_1 25 0 - 0 0 . chr11 36003567 36003568 chr11:36003568:G:A rs262418 G A G EBF1_EBF_1 13 1 + 6.627814234772017 7.742139806841456 ACTCTCCAGGGACG chr11 36030587 36030588 chr11:36030588:C:T rs4547071 C T T EBF1_EBF_1 -3 0 - 0 0 . chr11 36035312 36035313 chr11:36035313:T:C rs262454 T C C EBF1_EBF_1 13 1 + 5.369972225150977 4.525478110565459 TCCCCCCAGGGTCT chr11 36094107 36094108 chr11:36094108:G:C rs11033416 G C G EBF1_EBF_1 -10 0 + 0 0 . chr11 36143202 36143203 chr11:36143203:G:C rs904555 G C C EBF1_EBF_1 -20 0 + 0 0 . chr11 36150084 36150085 chr11:36150085:G:A rs78160913 G A G EBF1_EBF_1 19 0 - 0 0 . chr11 36150132 36150133 chr11:36150133:A:C rs4755438 A C C EBF1_EBF_1 -4 0 - 0 0 . chr11 36155760 36155761 chr11:36155761:G:A rs1105373 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 36157320 36157321 chr11:36157321:A:C rs531297533 A C A EBF1_EBF_1 24 0 - 0 0 . chr11 36180129 36180130 chr11:36180130:T:G rs1997118 T G G EBF1_EBF_1 1 1 - 4.731218912923597 4.802146090275682 AACCCCCTGGAACC chr11 36213473 36213474 chr11:36213474:C:T rs12420852 C T C EBF1_EBF_1 -1 0 + 0 0 . chr11 36215766 36215767 chr11:36215767:G:C rs11033499 G C G EBF1_EBF_1 32 0 + 0 0 . chr11 36229644 36229645 chr11:36229645:C:G rs76103857 C G C EBF1_EBF_1 24 0 + 0 0 . chr11 36239547 36239548 chr11:36239548:T:G rs61879264 T G T EBF1_EBF_1 -11 0 - 0 0 . chr11 36272483 36272484 chr11:36272484:C:G rs7933884 C G G EBF1_EBF_1 -20 0 - 0 0 . chr11 36314267 36314268 chr11:36314268:A:T rs1374377 A T T EBF1_EBF_1 19 0 - 0 0 . chr11 36356387 36356388 chr11:36356388:C:A rs115048355 C A C EBF1_EBF_1 27 0 - 0 0 . chr11 36453766 36453767 chr11:36453767:T:C rs890259 T C C EBF1_EBF_1 -5 0 - 0 0 . chr11 36453777 36453778 chr11:36453778:C:G rs890258 C G C EBF1_EBF_1 -16 0 - 0 0 . chr11 36459435 36459436 chr11:36459436:T:C rs6484858 T C T EBF1_EBF_1 -19 0 - 0 0 . chr11 36469918 36469919 chr11:36469919:C:T rs1159233 C T T EBF1_EBF_1 -10 0 + 0 0 . chr11 36488475 36488476 chr11:36488476:T:C rs5030482 T C T EBF1_EBF_1 -5 0 - 0 0 . chr11 36738774 36738775 chr11:36738775:G:A rs1022367 G A A EBF1_EBF_1 32 0 + 0 0 . chr11 36977002 36977003 chr11:36977003:C:A rs1398282 C A C EBF1_EBF_1 28 0 - 0 0 . chr11 37006546 37006547 chr11:37006547:A:G rs10836655 A G A EBF1_EBF_1 6 1 + 6.700431038966605 4.533636607692131 ATTCCCAGGAGGTT chr11 37077048 37077049 chr11:37077049:G:A rs75414910 G A G EBF1_EBF_1 13 1 - 5.439112246829304 6.283606361414822 TCACCCCAGGGAGC chr11 37145963 37145964 chr11:37145964:C:T rs4434980 C T T EBF1_EBF_1 6 1 + 4.20583387006473 4.507119998926488 ACTCCCCAGGCAGC chr11 37184078 37184079 chr11:37184079:C:T rs2662208 C T C EBF1_EBF_1 -3 0 + 0 0 . chr11 37279283 37279284 chr11:37279284:A:G rs11034075 A G G EBF1_EBF_1 32 0 - 0 0 . chr11 37333312 37333313 chr11:37333313:T:C rs2177212 T C T EBF1_EBF_1 19 0 - 0 0 . chr11 37432092 37432093 chr11:37432093:G:A rs57989499 G A G EBF1_EBF_1 7 1 + 3.736344275289764 5.04880268057859 ACCCCCCGGAGGCC chr11 37656102 37656103 chr11:37656103:G:A rs139168708 G A G EBF1_EBF_1 29 0 - 0 0 . chr11 37794464 37794465 chr11:37794465:A:G rs12786260 A G A EBF1_EBF_1 -3 0 + 0 0 . chr11 37859303 37859304 chr11:37859304:G:A rs911103619 G A G EBF1_EBF_1 13 1 - 5.463129176436605 6.307623291022123 CTTCCCATGAGGCC chr11 37908008 37908009 chr11:37908009:A:G rs11034497 A G G EBF1_EBF_1 -11 0 + 0 0 . chr11 37908036 37908037 chr11:37908037:C:T rs11034498 C T C EBF1_EBF_1 17 0 + 0 0 . chr11 38089857 38089858 chr11:38089858:G:A rs1842326 G A A EBF1_EBF_1 18 0 - 0 0 . chr11 38290926 38290927 chr11:38290927:A:T rs7123547 A T A EBF1_EBF_1 19 0 - 0 0 . chr11 38461131 38461132 chr11:38461132:G:A rs1203353374 G A G EBF1_EBF_1 23 0 - 0 0 . chr11 38550806 38550807 chr11:38550807:G:T rs12786269 G T G EBF1_EBF_1 -18 0 + 0 0 . chr11 38569727 38569728 chr11:38569728:C:T rs75669536 C T C EBF1_EBF_1 8 1 - 6.047971530492376 1.8216814416061133 TCCCCCTAGGGAGG chr11 38632566 38632567 chr11:38632567:C:T rs4143984 C T c EBF1_EBF_1 6 1 - 9.699227318073518 11.866021749347993 ATTCCCGTGGGATA chr11 38824964 38824965 chr11:38824965:A:C rs10837056 A C A EBF1_EBF_1 7 1 + 6.245100161621909 3.951321175251727 ACCCTCAAGGGAAA chr11 38972376 38972377 chr11:38972377:T:A rs11035028 T A T EBF1_EBF_1 27 0 - 0 0 . chr11 39312069 39312070 chr11:39312070:G:C rs941673168 G C G EBF1_EBF_1 8 1 + 5.92681824631561 0.6081593854009228 CTTCCCACGGGGCA chr11 39442124 39442125 chr11:39442125:A:G rs115728922 A G A EBF1_EBF_1 30 0 - 0 0 . chr11 39442126 39442127 chr11:39442127:G:A rs76837292 G A G EBF1_EBF_1 28 0 - 0 0 . chr11 39542511 39542512 chr11:39542512:T:C chr11:39542512:T:C T C T EBF1_EBF_1 12 1 - 6.110054857940364 4.6912375158408866 ACTTCCCAGGGAAC chr11 39589849 39589850 chr11:39589850:C:T rs10837180 C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 39818486 39818487 chr11:39818487:T:A rs16933975 T A T EBF1_EBF_1 -18 0 + 0 0 . chr11 39823513 39823514 chr11:39823514:T:C rs1813744 T C T EBF1_EBF_1 11 1 - 6.788177245790439 3.8954704021864277 ATTCCCTCAGGACA chr11 39863678 39863679 chr11:39863679:G:A rs61911054 G A G EBF1_EBF_1 31 0 - 0 0 . chr11 39863696 39863697 chr11:39863697:G:T rs61911055 G T G EBF1_EBF_1 13 1 - 10.427725304241378 10.053979854955402 TCTCCCATGGGAAC chr11 40137703 40137704 chr11:40137704:C:G rs771928751 C G C EBF1_EBF_1 -13 0 - 0 0 . chr11 40152067 40152068 chr11:40152068:G:A rs61911824 G A G EBF1_EBF_1 19 0 + 0 0 . chr11 40162208 40162209 chr11:40162209:C:T rs117213868 C T C EBF1_EBF_1 -19 0 + 0 0 . chr11 40328713 40328714 chr11:40328714:T:A rs4547083 T A T EBF1_EBF_1 13 1 + 7.738562054622169 6.520322490750675 TTCCCCCAGAGAAT chr11 40488403 40488404 chr11:40488404:C:T rs11035863 C T T EBF1_EBF_1 24 0 - 0 0 . chr11 40975406 40975407 chr11:40975407:T:A rs11036166 T A A EBF1_EBF_1 -16 0 - 0 0 . chr11 40987552 40987553 chr11:40987553:C:T rs34673145 C T C EBF1_EBF_1 33 0 + 0 0 . chr11 41082076 41082077 chr11:41082077:C:T rs11036221 C T C EBF1_EBF_1 5 1 + 5.56998341155442 0.24819619601374976 CATCCCCTGAGAAG chr11 41092593 41092594 chr11:41092594:T:C rs75421752 T C T EBF1_EBF_1 -4 0 - 0 0 . chr11 41246054 41246055 chr11:41246055:C:T rs11036316 C T C EBF1_EBF_1 -17 0 + 0 0 . chr11 41307389 41307390 chr11:41307390:C:G rs141156718 C G C EBF1_EBF_1 -1 0 + 0 0 . chr11 41320843 41320844 chr11:41320844:C:T rs77498200 C T C EBF1_EBF_1 -8 0 - 0 0 . chr11 41568742 41568743 chr11:41568743:T:C rs1598756 T C T EBF1_EBF_1 28 0 - 0 0 . chr11 41713100 41713101 chr11:41713101:G:T rs77531872 G T G EBF1_EBF_1 9 1 + 5.39980864255641 -1.4371807655323985 ACCCCCTAGGGTTA chr11 41713250 41713251 chr11:41713251:G:A rs4756664 G A G EBF1_EBF_1 16 0 - 0 0 . chr11 41792687 41792688 chr11:41792688:T:C rs10837726 T C T EBF1_EBF_1 23 0 - 0 0 . chr11 41857904 41857905 chr11:41857905:A:G rs7103550 A G G EBF1_EBF_1 -19 0 + 0 0 . chr11 41986508 41986509 chr11:41986509:T:C chr11:41986509:T:C T C T EBF1_EBF_1 26 0 + 0 0 . chr11 42018762 42018763 chr11:42018763:G:A rs77243431 G A G EBF1_EBF_1 4 1 - 6.38325209237173 2.013865147426807 ATACCCCTGAGATT chr11 42172624 42172625 chr11:42172625:C:G rs1400959022 C G C EBF1_EBF_1 33 0 + 0 0 . chr11 42179556 42179557 chr11:42179557:A:T rs977657 A T a EBF1_EBF_1 32 0 + 0 0 . chr11 42394844 42394845 chr11:42394845:C:T rs61883716 C T T EBF1_EBF_1 13 1 + 4.501788058855551 5.346282173441069 GCTCCCCTAGGAGC chr11 42394856 42394857 chr11:42394857:C:G rs61883717 C G G EBF1_EBF_1 25 0 + 0 0 . chr11 42596712 42596713 chr11:42596713:C:T rs113795980 C T C EBF1_EBF_1 1 1 - 6.276775085141954 6.016076253220651 CGTCCCCAGAGACG chr11 42757043 42757044 chr11:42757044:A:G rs59994772 A G G EBF1_EBF_1 22 0 - 0 0 . chr11 42841698 42841699 chr11:42841699:G:A rs559701223 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 43328393 43328394 chr11:43328394:T:C rs1252817742 T C T EBF1_EBF_1 23 0 - 0 0 . chr11 43359156 43359157 chr11:43359157:C:G rs370464781 C G C EBF1_EBF_1 9 1 - 4.817325378699343 -0.25836376764612146 ATCCCCCCGGGGCG chr11 43366610 43366611 chr11:43366611:C:T rs4132524 C T C EBF1_EBF_1 -12 0 + 0 0 . chr11 43514993 43514994 chr11:43514994:C:A rs10838114 C A C EBF1_EBF_1 5 1 + 5.814052019523365 -1.0908291331118036 CCTCCCCAGGGCCC chr11 43576028 43576029 chr11:43576029:C:A chr11:43576029:C:A C A C EBF1_EBF_1 -1 0 - 0 0 . chr11 43576037 43576038 chr11:43576038:G:A chr11:43576038:G:A G A G EBF1_EBF_1 -10 0 - 0 0 . chr11 43680553 43680554 chr11:43680554:G:T rs1025598911 G T G EBF1_EBF_1 -12 0 + 0 0 . chr11 43907307 43907308 chr11:43907308:G:A rs11037732 G A G EBF1_EBF_1 1 1 - 6.498820782782113 7.39425422318086 ACTCCTAAGGGATC chr11 43930468 43930469 chr11:43930469:G:C rs4755759 G C G EBF1_EBF_1 9 1 + 5.813875200825649 0.7381860544801854 CTCCCCATGGTAAT chr11 43934320 43934321 chr11:43934321:G:C rs72906175 G C G EBF1_EBF_1 4 1 - 6.752885908538646 1.1271208073891008 ACCCCCAGGGGGCC chr11 43941529 43941530 chr11:43941530:G:C rs149277783 G C G EBF1_EBF_1 28 0 - 0 0 . chr11 43943347 43943348 chr11:43943348:C:T rs7524 C T C EBF1_EBF_1 -8 0 + 0 0 . chr11 43947735 43947736 chr11:43947736:T:G rs7483828 T G G EBF1_EBF_1 33 0 + 0 0 . chr11 43951385 43951386 chr11:43951386:T:C rs72910245 T C T EBF1_EBF_1 -9 0 + 0 0 . chr11 43962980 43962981 chr11:43962981:G:C rs34768433 G C G EBF1_EBF_1 24 0 + 0 0 . chr11 43969713 43969714 chr11:43969714:C:G rs118116149 C G C EBF1_EBF_1 -15 0 + 0 0 . chr11 43993314 43993315 chr11:43993315:C:A rs72892097 C A A EBF1_EBF_1 31 0 + 0 0 . chr11 44016355 44016356 chr11:44016356:C:T rs116734181 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 44029941 44029942 chr11:44029942:A:G rs11037817 A G G EBF1_EBF_1 -11 0 + 0 0 . chr11 44031296 44031297 chr11:44031297:A:G rs11037820 A G G EBF1_EBF_1 -12 0 + 0 0 . chr11 44070594 44070595 chr11:44070595:C:G rs78326930 C G C EBF1_EBF_1 17 0 - 0 0 . chr11 44078307 44078308 chr11:44078308:G:A rs178525 G A A EBF1_EBF_1 10 1 + 6.858203087023246 1.5401746277562793 ACTCCCAAAGGAGA chr11 44225179 44225180 chr11:44225180:C:A rs7109486 C A C EBF1_EBF_1 -12 0 + 0 0 . chr11 44247015 44247016 chr11:44247016:C:T rs553617055 C T C EBF1_EBF_1 4 1 + 5.920457177629389 1.551070232684464 TGCCCCCAGGGGCC chr11 44273084 44273085 chr11:44273085:G:A rs12577451 G A A EBF1_EBF_1 -11 0 + 0 0 . chr11 44273088 44273089 chr11:44273089:A:T rs76117098 A T A EBF1_EBF_1 -7 0 + 0 0 . chr11 44299648 44299649 chr11:44299649:T:C rs10838256 T C C EBF1_EBF_1 19 0 - 0 0 . chr11 44304778 44304779 chr11:44304779:G:T rs79933376 G T G EBF1_EBF_1 28 0 - 0 0 . chr11 44304801 44304802 chr11:44304802:G:A rs1023535045 G A G EBF1_EBF_1 5 1 - 6.1755974125788065 0.8538101970381362 AGTCCCGGAGGACT chr11 44308950 44308951 chr11:44308951:G:T rs11037950 G T G EBF1_EBF_1 -12 0 + 0 0 . chr11 44310622 44310623 chr11:44310623:A:G rs2123823 A G G EBF1_EBF_1 -7 0 + 0 0 . chr11 44317741 44317742 chr11:44317742:T:G rs4755810 T G G EBF1_EBF_1 11 1 - 10.68984435119085 6.273882653338461 TGTCCCAAGGGACA chr11 44346481 44346482 chr11:44346482:A:C rs10838266 A C C EBF1_EBF_1 -11 0 + 0 0 . chr11 44376480 44376481 chr11:44376481:T:C rs922017 T C T EBF1_EBF_1 30 0 - 0 0 . chr11 44429617 44429618 chr11:44429618:T:C rs10838284 T C T EBF1_EBF_1 1 1 + 5.630534419313538 4.735100978914792 CTACCCCAGAGACT chr11 44449380 44449381 chr11:44449381:T:A rs10769051 T A T EBF1_EBF_1 0 1 - 8.718328560637755 6.745272137671734 ATCCCCTGGGGAGA chr11 44451922 44451923 chr11:44451923:A:G rs10838289 A G A EBF1_EBF_1 -7 0 + 0 0 . chr11 44475620 44475621 chr11:44475621:T:C rs357928 T C T EBF1_EBF_1 17 0 - 0 0 . chr11 44566448 44566449 chr11:44566449:G:A rs78367900 G A G EBF1_EBF_1 9 1 + 3.5271188392946495 0.8721795738470468 GGCCCCAGGGGCCC chr11 44568804 44568805 chr11:44568805:C:A rs78406009 C A C EBF1_EBF_1 18 0 + 0 0 . chr11 44575600 44575601 chr11:44575601:G:A rs75545097 G A G EBF1_EBF_1 -18 0 - 0 0 . chr11 44580890 44580891 chr11:44580891:G:C rs7947592 G C C EBF1_EBF_1 31 0 - 0 0 . chr11 44585028 44585029 chr11:44585029:C:T rs567666537 C T C EBF1_EBF_1 28 0 + 0 0 . chr11 44587054 44587055 chr11:44587055:C:G rs1981994 C G C EBF1_EBF_1 -14 0 - 0 0 . chr11 44596378 44596379 chr11:44596379:C:A rs776518421 C A C EBF1_EBF_1 -8 0 - 0 0 . chr11 44608846 44608847 chr11:44608847:C:A rs11038079 C A C EBF1_EBF_1 -15 0 + 0 0 . chr11 44609534 44609535 chr11:44609535:C:A rs147166030 C A C EBF1_EBF_1 5 1 + 7.315995343718487 0.41111419108331937 ACTCCCCAGAGATG chr11 44611617 44611618 chr11:44611618:C:T rs11820017 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 44620100 44620101 chr11:44620101:A:G rs111689312 A G A EBF1_EBF_1 20 0 - 0 0 . chr11 44620120 44620121 chr11:44620121:A:G rs115940873 A G A EBF1_EBF_1 0 1 - 5.431368046932657 5.149165986202079 TGCCCCCAAGGACT chr11 44623192 44623193 chr11:44623193:T:C rs11038082 T C T EBF1_EBF_1 9 1 - 6.365818401016417 9.020757666464021 AGACCCCAGAGAAC chr11 44623974 44623975 chr11:44623975:G:T rs11038084 G T G EBF1_EBF_1 -10 0 - 0 0 . chr11 44623976 44623977 chr11:44623977:A:G rs116246175 A G A EBF1_EBF_1 -12 0 - 0 0 . chr11 44625896 44625897 chr11:44625897:A:T rs112065679 A T A EBF1_EBF_1 -16 0 + 0 0 . chr11 44625897 44625898 chr11:44625898:T:A rs11038086 T A T EBF1_EBF_1 -15 0 + 0 0 . chr11 44627850 44627851 chr11:44627851:T:G rs529959165 T G T EBF1_EBF_1 7 1 + 5.206944649283704 4.370760484082435 CTTCCCCTGGGCTC chr11 44628957 44628958 chr11:44628958:C:T rs10734523 C T C EBF1_EBF_1 8 1 - 5.071602853887835 0.845312765001573 AGACCCCAGGGGTC chr11 44634621 44634622 chr11:44634622:T:G rs11038090 T G G EBF1_EBF_1 -7 0 + 0 0 . chr11 44634630 44634631 chr11:44634631:T:G rs10838319 T G G EBF1_EBF_1 2 1 + 4.626945011235337 -2.121380678965132 CTTCCCAGGAGGCC chr11 44634877 44634878 chr11:44634878:G:T rs192511445 G T G EBF1_EBF_1 -11 0 + 0 0 . chr11 44683910 44683911 chr11:44683911:A:G rs4589285 A G G EBF1_EBF_1 22 0 - 0 0 . chr11 44708244 44708245 chr11:44708245:C:T rs11038119 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 44726120 44726121 chr11:44726121:C:A rs12293680 C A C EBF1_EBF_1 -20 0 - 0 0 . chr11 44727331 44727332 chr11:44727332:G:T rs4755277 G T G EBF1_EBF_1 1 1 + 7.218503555213997 7.924165341043524 CGCCCCCGGGGACC chr11 44740220 44740221 chr11:44740221:A:G rs3892898 A G G EBF1_EBF_1 26 0 - 0 0 . chr11 44766991 44766992 chr11:44766992:C:A rs4755890 C A C EBF1_EBF_1 -1 0 + 0 0 . chr11 44778000 44778001 chr11:44778001:G:C rs7945444 G C G EBF1_EBF_1 4 1 - 7.67449617540008 2.0487310742505374 ACCCCCTAGGGTAT chr11 44779309 44779310 chr11:44779310:A:G rs10838354 A G A EBF1_EBF_1 -19 0 + 0 0 . chr11 44779355 44779356 chr11:44779356:G:A rs1869275 G A G EBF1_EBF_1 27 0 + 0 0 . chr11 44791107 44791108 chr11:44791108:T:C rs1284214365 T C T EBF1_EBF_1 -3 0 + 0 0 . chr11 44798621 44798622 chr11:44798622:A:G rs117086431 A G a EBF1_EBF_1 19 0 + 0 0 . chr11 44819991 44819992 chr11:44819992:T:C rs7938808 T C T EBF1_EBF_1 31 0 + 0 0 . chr11 44834577 44834578 chr11:44834578:A:G rs835779 A G G EBF1_EBF_1 14 0 - 0 0 . chr11 44878173 44878174 chr11:44878174:C:T rs190559069 C T C EBF1_EBF_1 14 0 + 0 0 . chr11 44903055 44903056 chr11:44903056:C:T rs4755294 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 44906583 44906584 chr11:44906584:G:A rs2306665 G A G EBF1_EBF_1 25 0 + 0 0 . chr11 44911630 44911631 chr11:44911631:C:T rs146798856 C T C EBF1_EBF_1 -12 0 + 0 0 . chr11 44913919 44913920 chr11:44913920:T:C rs3824894 T C T EBF1_EBF_1 29 0 + 0 0 . chr11 44930065 44930066 chr11:44930066:G:C rs860694 G C G EBF1_EBF_1 -1 0 + 0 0 . chr11 44938068 44938069 chr11:44938069:T:C rs12419970 T C T EBF1_EBF_1 1 1 - 6.510400051124351 6.771098883045653 AATGCCAGGGGAAT chr11 44943342 44943343 chr11:44943343:G:A rs940703868 G A g EBF1_EBF_1 6 1 - 6.320356363385285 6.621642492247041 AACCCCCGGGGGCC chr11 44954160 44954161 chr11:44954161:C:T rs145729028 C T C EBF1_EBF_1 10 1 - 7.227467705938635 1.9094392466716694 ACCCCCCTGGGGCC chr11 44957343 44957344 chr11:44957344:G:A rs142942807 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 44960429 44960430 chr11:44960430:G:C rs61879747 G C G EBF1_EBF_1 -1 0 + 0 0 . chr11 44990161 44990162 chr11:44990162:G:A rs149250689 G A G EBF1_EBF_1 29 0 - 0 0 . chr11 44990206 44990207 chr11:44990207:C:T rs10501310 C T C EBF1_EBF_1 -16 0 - 0 0 . chr11 45008428 45008429 chr11:45008429:T:C rs2046656 T C T EBF1_EBF_1 -17 0 - 0 0 . chr11 45022893 45022894 chr11:45022894:C:T rs1484396 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 45022894 45022895 chr11:45022895:A:G rs1484397 A G G EBF1_EBF_1 22 0 - 0 0 . chr11 45024980 45024981 chr11:45024981:G:A rs10838404 G A G EBF1_EBF_1 23 0 + 0 0 . chr11 45036883 45036884 chr11:45036884:T:A rs12363356 T A A EBF1_EBF_1 13 1 - 5.8498559372766215 7.0680955011481155 GCTCTCCAGGGACA chr11 45042938 45042939 chr11:45042939:G:A rs1484404 G A G EBF1_EBF_1 -11 0 + 0 0 . chr11 45044300 45044301 chr11:45044301:A:T rs1385148 A T A EBF1_EBF_1 11 1 + 10.888908782322906 6.790994526224181 CATCCCATGGGACT chr11 45054274 45054275 chr11:45054275:A:G rs11038286 A G G EBF1_EBF_1 17 0 + 0 0 . chr11 45056569 45056570 chr11:45056570:G:A rs11607413 G A G EBF1_EBF_1 24 0 - 0 0 . chr11 45066123 45066124 chr11:45066124:C:T rs1208236199 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 45081347 45081348 chr11:45081348:G:A rs6485589 G A G EBF1_EBF_1 -7 0 + 0 0 . chr11 45110117 45110118 chr11:45110118:G:T rs1318730 G T G EBF1_EBF_1 -4 0 + 0 0 . chr11 45111705 45111706 chr11:45111706:C:T rs115200295 C T C EBF1_EBF_1 29 0 - 0 0 . chr11 45147598 45147599 chr11:45147599:A:G chr11:45147599:A:G A G A EBF1_EBF_1 26 0 + 0 0 . chr11 45159071 45159072 chr11:45159072:G:A rs564325523 G A G EBF1_EBF_1 5 1 - 7.125666084276988 1.8038788687363156 AGACCCCTGGGAGC chr11 45160232 45160233 chr11:45160233:A:G rs188316185 A G A EBF1_EBF_1 2 1 - 5.742480020876914 3.853656609434764 AGTCCCTGGAGGCA chr11 45163123 45163124 chr11:45163124:A:C rs141314901 A C A EBF1_EBF_1 11 1 + 7.5308870143659 3.114925316513511 TGCCCCCGGGGAAC chr11 45163143 45163144 chr11:45163144:C:T rs150934389 C T C EBF1_EBF_1 31 0 + 0 0 . chr11 45206015 45206016 chr11:45206016:C:T rs17723470 C T C EBF1_EBF_1 30 0 - 0 0 . chr11 45210357 45210358 chr11:45210358:G:A rs114079041 G A G EBF1_EBF_1 21 0 - 0 0 . chr11 45210378 45210379 chr11:45210379:T:A rs1052422616 T A T EBF1_EBF_1 0 1 - 10.774077362714722 8.801020939748701 AGCCCCAAGGGACA chr11 45210405 45210406 chr11:45210406:G:A rs1230926108 G A G EBF1_EBF_1 -16 0 + 0 0 . chr11 45210426 45210427 chr11:45210427:G:A rs1018786037 G A G EBF1_EBF_1 5 1 + 4.169626679326105 4.169626679326105 CCTCCGCAGGGACT chr11 45210552 45210553 chr11:45210553:A:G rs4755948 A G G EBF1_EBF_1 6 1 - 5.698313183495043 5.397027054633285 CTTCCCTGGGGCCC chr11 45260939 45260940 chr11:45260940:A:G rs10769132 A G G EBF1_EBF_1 33 0 + 0 0 . chr11 45298859 45298860 chr11:45298860:G:C rs12791607 G C C EBF1_EBF_1 4 1 + 4.489576743939931 10.115341845089473 CCTCGCATGGGACC chr11 45300849 45300850 chr11:45300850:T:G rs7131438 T G T EBF1_EBF_1 -4 0 - 0 0 . chr11 45302221 45302222 chr11:45302222:G:A rs553846157 G A G EBF1_EBF_1 24 0 + 0 0 . chr11 45302225 45302226 chr11:45302226:G:C rs7123438 G C C EBF1_EBF_1 28 0 + 0 0 . chr11 45321294 45321295 chr11:45321295:C:T rs10838446 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 45323002 45323003 chr11:45323003:G:A rs10838447 G A G EBF1_EBF_1 10 1 + 4.6611220677508856 -0.6569063915160801 CTTCCCCAGCGAGC chr11 45346812 45346813 chr11:45346813:C:G rs79720269 C G C EBF1_EBF_1 32 0 + 0 0 . chr11 45374258 45374259 chr11:45374259:C:A rs11038417 C A C EBF1_EBF_1 3 1 + 8.356131986835418 1.449957957369611 AAACCCCAGGGACA chr11 45455176 45455177 chr11:45455177:C:T rs75634855 C T C EBF1_EBF_1 4 1 + 9.222381478811316 4.852994533866392 TTCCCCATGGGATT chr11 45470346 45470347 chr11:45470347:G:A rs535621767 G A G EBF1_EBF_1 4 1 - 10.929701119156798 6.560314174211875 CCTCCCTTGGGAAT chr11 45470366 45470367 chr11:45470367:A:G rs1157785 A G G EBF1_EBF_1 -16 0 - 0 0 . chr11 45473152 45473153 chr11:45473153:C:A rs7115683 C A C EBF1_EBF_1 -13 0 + 0 0 . chr11 45483608 45483609 chr11:45483609:G:A rs117422307 G A - EBF1_EBF_1 -15 0 + 0 0 . chr11 45483862 45483863 chr11:45483863:A:T rs1488668 A T A EBF1_EBF_1 -9 0 + 0 0 . chr11 45502203 45502204 chr11:45502204:C:T rs186688037 C T C EBF1_EBF_1 30 0 - 0 0 . chr11 45527809 45527810 chr11:45527810:G:A rs11038516 G A G EBF1_EBF_1 5 1 - 5.717608233060293 0.39582101751962456 CATCCCCAGGGTAA chr11 45539197 45539198 chr11:45539198:A:G rs28705307 A G A EBF1_EBF_1 19 0 + 0 0 . chr11 45539201 45539202 chr11:45539202:C:G rs112632909 C G C EBF1_EBF_1 23 0 + 0 0 . chr11 45539211 45539212 chr11:45539212:G:A rs113235664 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 45643621 45643622 chr11:45643622:C:T rs76706987 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 45654813 45654814 chr11:45654814:T:C rs11603055 T C t EBF1_EBF_1 33 0 + 0 0 . chr11 45659018 45659019 chr11:45659019:G:A rs45460198 G A G EBF1_EBF_1 7 1 - 4.774714898291202 6.5922196445738255 GTCCCCACGGGGCC chr11 45669356 45669357 chr11:45669357:G:A rs10838503 G A G EBF1_EBF_1 9 1 + 6.9055506523579675 4.250611386910365 ATCCCCATGGGTCA chr11 45682011 45682012 chr11:45682012:T:C rs1466068 T C C EBF1_EBF_1 2 1 - 4.415024314042415 1.3511662114408234 ACACCCCAGGGCAC chr11 45688787 45688788 chr11:45688788:A:G rs2666894 A G A EBF1_EBF_1 7 1 - 8.377887364225797 6.560382617943175 TTCCCCATGGGATC chr11 45735849 45735850 chr11:45735850:C:T rs2666900 C T T EBF1_EBF_1 -15 0 - 0 0 . chr11 45796559 45796560 chr11:45796560:C:T rs7120278 C T C EBF1_EBF_1 6 1 + 8.09705754982571 8.398343678687468 GTCCCCCTGGGATC chr11 45812492 45812493 chr11:45812493:C:T rs4756027 C T T EBF1_EBF_1 -7 0 - 0 0 . chr11 45815819 45815820 chr11:45815820:G:T rs11038666 G T T EBF1_EBF_1 -12 0 - 0 0 . chr11 45899879 45899880 chr11:45899880:C:A rs909374641 C A C EBF1_EBF_1 13 1 + 4.739982684724829 4.366237235438853 GTTGCCAGGGGAAC chr11 45901015 45901016 chr11:45901016:G:T rs998547815 G T G EBF1_EBF_1 12 1 - 4.863195982035817 4.893377380457143 CCTCCCAGGGGCCC chr11 45917414 45917415 chr11:45917415:A:G rs28473321 A G A EBF1_EBF_1 -13 0 - 0 0 . chr11 45918752 45918753 chr11:45918753:C:A rs73450020 C A C EBF1_EBF_1 10 1 - 8.566298786917493 4.383546600690231 ACTCCCCAGGGGTC chr11 45918782 45918783 chr11:45918783:C:A rs897774628 C A C EBF1_EBF_1 -20 0 - 0 0 . chr11 45922553 45922554 chr11:45922554:C:G rs7124434 C G G EBF1_EBF_1 30 0 - 0 0 . chr11 45922556 45922557 chr11:45922557:A:G rs7124323 A G G EBF1_EBF_1 27 0 - 0 0 . chr11 45983211 45983212 chr11:45983212:G:A rs2959095 G A A EBF1_EBF_1 10 1 + 5.665156163065478 0.3471277037985118 CTCCCCCAGGGCCT chr11 46100277 46100278 chr11:46100278:A:G rs10838562 A G A EBF1_EBF_1 -3 0 + 0 0 . chr11 46121885 46121886 chr11:46121886:C:A chr11:46121886:C:A C A - EBF1_EBF_1 -5 0 + 0 0 . chr11 46121895 46121896 chr11:46121896:C:T rs1026266861 C T - EBF1_EBF_1 5 1 + 7.510663271352993 2.188876055812323 ATTGCCTAGGGAAA chr11 46145268 46145269 chr11:46145269:A:G rs74645698 A G A EBF1_EBF_1 -12 0 - 0 0 . chr11 46243307 46243308 chr11:46243308:G:A rs58678374 G A G EBF1_EBF_1 10 1 + 4.5664431476745095 -0.7515853115924565 GCTCCCAGGCGACC chr11 46249453 46249454 chr11:46249454:C:T rs77928607 C T C EBF1_EBF_1 10 1 - 6.073814418095421 0.755785958828455 AGCCCCATGGGCTT chr11 46251799 46251800 chr11:46251800:C:A rs531382950 C A C EBF1_EBF_1 1 1 + 4.544148899495243 4.473221722143158 GCTCCCTTGGGCAG chr11 46277757 46277758 chr11:46277758:C:G rs11038846 C G C EBF1_EBF_1 -16 0 - 0 0 . chr11 46282816 46282817 chr11:46282817:G:A rs11038851 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 46308540 46308541 chr11:46308541:C:T rs146507366 C T C EBF1_EBF_1 29 0 + 0 0 . chr11 46334335 46334336 chr11:46334336:T:C rs114499657 T C T EBF1_EBF_1 1 1 + 5.026370375365045 4.130936934966299 CTCCCCTGGAGAGT chr11 46347008 46347009 chr11:46347009:G:T rs61882679 G T G EBF1_EBF_1 32 0 - 0 0 . chr11 46352259 46352260 chr11:46352260:G:A rs935557341 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 46352278 46352279 chr11:46352279:C:T rs566723000 C T C EBF1_EBF_1 17 0 + 0 0 . chr11 46352288 46352289 chr11:46352289:T:G rs4367921 T G G EBF1_EBF_1 27 0 + 0 0 . chr11 46352500 46352501 chr11:46352501:A:C rs113665713 A C A EBF1_EBF_1 9 1 + 4.3958650228058 1.9751151419079387 CGTCCCCTGAGGCC chr11 46352523 46352524 chr11:46352524:C:T rs191041342 C T C EBF1_EBF_1 32 0 + 0 0 . chr11 46363798 46363799 chr11:46363799:G:A rs559755483 G A G EBF1_EBF_1 5 1 - 5.587957632184396 0.2661704166437263 CCTCCCCAGGGTGT chr11 46381614 46381615 chr11:46381615:G:A rs1485413322 G A G EBF1_EBF_1 18 0 - 0 0 . chr11 46428872 46428873 chr11:46428873:A:G rs879111609 A G a EBF1_EBF_1 24 0 - 0 0 . chr11 46463134 46463135 chr11:46463135:G:A rs1472001 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 46618031 46618032 chr11:46618032:G:A rs61884264 G A A EBF1_EBF_1 25 0 + 0 0 . chr11 46649484 46649485 chr11:46649485:G:A rs542593975 G A G EBF1_EBF_1 10 1 + 5.775031822470855 0.45700336320388907 AATCCCTTGCGAGC chr11 46671860 46671861 chr11:46671861:C:T rs772240468 C T C EBF1_EBF_1 23 0 + 0 0 . chr11 46672627 46672628 chr11:46672628:C:T rs12276664 C T C EBF1_EBF_1 13 1 - 7.1679268793135895 8.282252451383028 ACACCCTTGGGAAG chr11 46677364 46677365 chr11:46677365:C:G rs151329376 C G C EBF1_EBF_1 17 0 - 0 0 . chr11 46677573 46677574 chr11:46677574:G:A rs8914 G A G EBF1_EBF_1 23 0 + 0 0 . chr11 46701241 46701242 chr11:46701242:C:T rs73454041 C T C EBF1_EBF_1 -10 0 - 0 0 . chr11 46728513 46728514 chr11:46728514:C:G rs3136472 C G G EBF1_EBF_1 3 1 + 5.801735846967437 -0.02602860114777994 ACACCCAGGGGGCT chr11 46755514 46755515 chr11:46755515:A:C rs141348433 A C A EBF1_EBF_1 25 0 - 0 0 . chr11 46826894 46826895 chr11:46826895:G:A rs1424860433 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 46890152 46890153 chr11:46890153:C:T rs2306036 C T . EBF1_EBF_1 -12 0 + 0 0 . chr11 47107845 47107846 chr11:47107846:C:T rs12417519 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 47130345 47130346 chr11:47130346:G:A rs17790390 G A G EBF1_EBF_1 -15 0 - 0 0 . chr11 47130721 47130722 chr11:47130722:G:A rs10838660 G A G EBF1_EBF_1 27 0 - 0 0 . chr11 47153034 47153035 chr11:47153035:G:A rs937417801 G A G EBF1_EBF_1 7 1 - 6.910573401459189 8.728078147741812 GCTCCCCCGGGAGC chr11 47161360 47161361 chr11:47161361:G:A rs962362459 G A G EBF1_EBF_1 1 1 - 5.683971313565905 6.579404753964651 GCCCCCAAAGGACT chr11 47161374 47161375 chr11:47161375:G:A rs3740694 G A G EBF1_EBF_1 -13 0 - 0 0 . chr11 47182352 47182353 chr11:47182353:G:A rs10838664 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 47182623 47182624 chr11:47182624:A:T rs2279438 A T T EBF1_EBF_1 -12 0 - 0 0 . chr11 47184860 47184861 chr11:47184861:A:G rs149111144 A G A EBF1_EBF_1 9 1 + 6.549413122381766 9.20435238782937 GCTCCCCAGAGAGC chr11 47186434 47186435 chr11:47186435:C:T rs866929248 C T C EBF1_EBF_1 -17 0 + 0 0 . chr11 47233765 47233766 chr11:47233766:G:A rs3781619 G A G EBF1_EBF_1 25 0 - 0 0 . chr11 47242977 47242978 chr11:47242978:C:T rs11039145 C T C EBF1_EBF_1 5 1 + 4.008859956050168 -1.312927259490503 CCTCCCGAGGGCCC chr11 47242985 47242986 chr11:47242986:C:T rs140531425 C T C EBF1_EBF_1 13 1 + 4.008859956050168 4.853354070635686 CCTCCCGAGGGCCC chr11 47245210 47245211 chr11:47245211:G:A rs11039146 G A G EBF1_EBF_1 13 1 + 5.849235852416329 6.963561424485768 CCTCCCCAGGGGCG chr11 47249487 47249488 chr11:47249488:G:A rs16938581 G A G EBF1_EBF_1 9 1 + 6.716617607596883 4.06167834214928 CTTCCCAAAGGAGT chr11 47328725 47328726 chr11:47328726:G:C rs370058419 G C G EBF1_EBF_1 33 0 - 0 0 . chr11 47328735 47328736 chr11:47328736:G:A rs2305280 G A A EBF1_EBF_1 23 0 - 0 0 . chr11 47332347 47332348 chr11:47332348:C:T rs11039186 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 47339532 47339533 chr11:47339533:T:C rs2856653 T C C EBF1_EBF_1 6 1 - 6.675411924061691 4.508617492787215 TACCCCATGGGAGA chr11 47390029 47390030 chr11:47390030:G:A rs10769262 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 47391923 47391924 chr11:47391924:G:A rs12803525 G A G EBF1_EBF_1 9 1 + 6.487287184398209 3.832347918950606 CCTCCCCTGGGGCA chr11 47395084 47395085 chr11:47395085:G:A rs55876153 G A G EBF1_EBF_1 -11 0 - 0 0 . chr11 47399608 47399609 chr11:47399609:C:A rs561044052 C A C EBF1_EBF_1 -6 0 + 0 0 . chr11 47400076 47400077 chr11:47400077:A:C rs530803857 A C A EBF1_EBF_1 16 0 - 0 0 . chr11 47409738 47409739 chr11:47409739:T:G rs79793569 T G T EBF1_EBF_1 -17 0 - 0 0 . chr11 47418692 47418693 chr11:47418693:T:G rs138795369 T G t EBF1_EBF_1 15 0 - 0 0 . chr11 47449305 47449306 chr11:47449306:C:T rs112712745 C T C EBF1_EBF_1 15 0 + 0 0 . chr11 47464681 47464682 chr11:47464682:T:A rs144028440 T A T EBF1_EBF_1 29 0 + 0 0 . chr11 47540562 47540563 chr11:47540563:C:T rs188473159 C T C EBF1_EBF_1 7 1 + 4.961543150634381 6.779047896917005 GCCCCCACGAGACT chr11 47552364 47552365 chr11:47552365:A:G rs150017688 A G A EBF1_EBF_1 -1 0 - 0 0 . chr11 47552377 47552378 chr11:47552378:G:C rs145240718 G C G EBF1_EBF_1 -14 0 - 0 0 . chr11 47552379 47552380 chr11:47552380:G:C rs113135426 G C G EBF1_EBF_1 -16 0 - 0 0 . chr11 47553095 47553096 chr11:47553096:T:C chr11:47553096:T:C T C T EBF1_EBF_1 -8 0 - 0 0 . chr11 47595173 47595174 chr11:47595174:T:C rs1471610827 T C T EBF1_EBF_1 29 0 + 0 0 . chr11 47606949 47606950 chr11:47606950:G:A rs541007413 G A G EBF1_EBF_1 -20 0 + 0 0 . chr11 47606983 47606984 chr11:47606984:C:T rs4752786 C T C EBF1_EBF_1 14 0 + 0 0 . chr11 47617510 47617511 chr11:47617511:G:A rs9089 G A G EBF1_EBF_1 12 1 + 6.697958609757008 8.116775951856486 AACCCCAGGGGAGG chr11 47714935 47714936 chr11:47714936:A:G rs78850248 A G A EBF1_EBF_1 7 1 + 5.256084610330021 3.9436262050411948 CTTCCCAAGGTGCT chr11 47867076 47867077 chr11:47867077:A:G rs75814357 A G A EBF1_EBF_1 -2 0 + 0 0 . chr11 47890597 47890598 chr11:47890598:G:A rs1471712 G A G EBF1_EBF_1 -20 0 + 0 0 . chr11 47950728 47950729 chr11:47950729:T:G rs116198845 T G T EBF1_EBF_1 7 1 + 10.055025606149952 9.218841440948687 CCTCCCTTGGGACC chr11 47950735 47950736 chr11:47950736:A:C chr11:47950736:A:C A C A EBF1_EBF_1 14 0 + 0 0 . chr11 47997354 47997355 chr11:47997355:T:A rs1630025 T A T EBF1_EBF_1 1 1 - 10.519409398993231 11.485770016744059 AATCCCATGAGAAT chr11 48013921 48013922 chr11:48013922:G:A rs1228031 G A A EBF1_EBF_1 3 1 - 5.735814465139756 -0.6695842764135629 AACCCCCAGGGCCA chr11 48036087 48036088 chr11:48036088:G:A rs61649224 G A G EBF1_EBF_1 3 1 - 6.867696161635196 0.4622974200818792 ATTCCCCTAAGACT chr11 48091976 48091977 chr11:48091977:G:A rs71477908 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 48097921 48097922 chr11:48097922:C:T rs11039515 C T C EBF1_EBF_1 5 1 + 6.677496291680974 1.3557090761403046 CGTCCCTAGAGAGC chr11 48142698 48142699 chr11:48142699:G:A rs111638709 G A G EBF1_EBF_1 -18 0 - 0 0 . chr11 48396208 48396209 chr11:48396209:G:A rs183884525 G A - EBF1_EBF_1 3 1 - 5.263276869414901 -1.1421218721384154 CTTCCCCAGGAAGT chr11 48396215 48396216 chr11:48396216:T:G rs117896590 T G - EBF1_EBF_1 20 0 - 0 0 . chr11 48603656 48603657 chr11:48603657:G:C rs61932113 G C g EBF1_EBF_1 -19 0 - 0 0 . chr11 48707923 48707924 chr11:48707924:G:T rs7484103 G T . EBF1_EBF_1 -16 0 - 0 0 . chr11 49721062 49721063 chr11:49721063:G:A rs10839341 G A G EBF1_EBF_1 -1 0 - 0 0 . chr11 49857765 49857766 chr11:49857766:A:G rs28609285 A G . EBF1_EBF_1 24 0 + 0 0 . chr11 49959835 49959836 chr11:49959836:T:C rs10839464 T C C EBF1_EBF_1 23 0 + 0 0 . chr11 50103670 50103671 chr11:50103671:C:T rs11245835 C T c EBF1_EBF_1 15 0 + 0 0 . chr11 50695296 50695297 chr11:50695297:G:A rs55780243 G A . EBF1_EBF_1 19 0 - 0 0 . chr11 50703436 50703437 chr11:50703437:C:T rs111947943 C T . EBF1_EBF_1 -14 0 + 0 0 . chr11 54559585 54559586 chr11:54559586:G:C rs11559724 G C . EBF1_EBF_1 9 1 + 5.1232601414872825 0.047570995141817854 TATCCCCAGGAACC chr11 54632308 54632309 chr11:54632309:A:G rs4085787 A G G EBF1_EBF_1 15 0 - 0 0 . chr11 55202158 55202159 chr11:55202159:G:C rs12808600 G C . EBF1_EBF_1 -4 0 - 0 0 . chr11 55279938 55279939 chr11:55279939:T:A rs10792080 T A - EBF1_EBF_1 -4 0 - 0 0 . chr11 55309005 55309006 chr11:55309006:C:T rs185121129 C T c EBF1_EBF_1 1 1 - 8.961895749010882 8.701196917089579 CGTCCCCAGGGAAG chr11 55399115 55399116 chr11:55399116:A:C rs11229470 A C a EBF1_EBF_1 16 0 - 0 0 . chr11 55431365 55431366 chr11:55431366:G:A rs2113915 G A g EBF1_EBF_1 12 1 - 5.463129176436605 4.436862605885755 CTTCCCATGAGGCC chr11 55440073 55440074 chr11:55440074:A:G rs3016217 A G a EBF1_EBF_1 -12 0 + 0 0 . chr11 55735414 55735415 chr11:55735415:G:A rs12794633 G A G EBF1_EBF_1 18 0 - 0 0 . chr11 55870635 55870636 chr11:55870636:G:T rs10897379 G T G EBF1_EBF_1 16 0 + 0 0 . chr11 55956968 55956969 chr11:55956969:A:G rs17514539 A G G EBF1_EBF_1 1 1 + 6.782750054432198 7.0434488863535 AATCCCAAGATACT chr11 55956995 55956996 chr11:55956996:A:G rs17514560 A G G EBF1_EBF_1 28 0 + 0 0 . chr11 55970951 55970952 chr11:55970952:A:G rs77746360 A G A EBF1_EBF_1 6 1 + 5.379942754793798 3.213148323519323 AGCCCTATGGGAAC chr11 56103614 56103615 chr11:56103615:A:G rs61887137 A G a EBF1_EBF_1 7 1 + 7.2112924028098675 5.898833997521042 GGTCCCCAGGGCCT chr11 56332234 56332235 chr11:56332235:C:A rs74904582 C A C EBF1_EBF_1 -19 0 - 0 0 . chr11 56473402 56473403 chr11:56473403:G:A rs624412 G A G EBF1_EBF_1 -8 0 + 0 0 . chr11 56546992 56546993 chr11:56546993:A:C rs614942 A C C EBF1_EBF_1 -15 0 - 0 0 . chr11 56547622 56547623 chr11:56547623:C:A rs646286 C A t EBF1_EBF_1 -13 0 + 0 0 . chr11 56802168 56802169 chr11:56802169:C:T rs4939088 C T C EBF1_EBF_1 -9 0 + 0 0 . chr11 56841774 56841775 chr11:56841775:G:T rs533664 G T G EBF1_EBF_1 14 0 + 0 0 . chr11 56858674 56858675 chr11:56858675:C:T rs7942208 C T C EBF1_EBF_1 -16 0 + 0 0 . chr11 56881802 56881803 chr11:56881803:T:C rs72911825 T C T EBF1_EBF_1 30 0 + 0 0 . chr11 56933015 56933016 chr11:56933016:G:A rs7113214 G A G EBF1_EBF_1 22 0 + 0 0 . chr11 57231497 57231498 chr11:57231498:G:A rs74719605 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 57283407 57283408 chr11:57283408:G:A rs4939129 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 57283803 57283804 chr11:57283804:C:G rs145869421 C G C EBF1_EBF_1 -14 0 + 0 0 . chr11 57289418 57289419 chr11:57289419:G:A rs10896599 G A A EBF1_EBF_1 33 0 - 0 0 . chr11 57304545 57304546 chr11:57304546:G:A rs150065976 G A G EBF1_EBF_1 0 1 - 4.78316473681275 5.065366797543328 CCACCCCAGGGAGA chr11 57322774 57322775 chr11:57322775:A:C rs1172971994 A C A EBF1_EBF_1 -3 0 + 0 0 . chr11 57324684 57324685 chr11:57324685:C:T chr11:57324685:C:T C T C EBF1_EBF_1 27 0 + 0 0 . chr11 57335683 57335684 chr11:57335684:G:C rs112764788 G C G EBF1_EBF_1 1 1 - 6.691215748095279 6.880987402664496 TCCCCCACGGGACC chr11 57416685 57416686 chr11:57416686:C:T rs76902697 C T C EBF1_EBF_1 31 0 + 0 0 . chr11 57425095 57425096 chr11:57425096:G:A rs185827290 G A G EBF1_EBF_1 -17 0 - 0 0 . chr11 57457494 57457495 chr11:57457495:C:T chr11:57457495:C:T C T C EBF1_EBF_1 -11 0 - 0 0 . chr11 57459711 57459712 chr11:57459712:G:T rs190473498 G T G EBF1_EBF_1 18 0 + 0 0 . chr11 57477064 57477065 chr11:57477065:C:T rs776648174 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 57497926 57497927 chr11:57497927:C:T rs2581922 C T C EBF1_EBF_1 3 1 + 5.692354119908855 -0.7130446216444615 AGCCCCAGGAGACG chr11 57530278 57530279 chr11:57530279:C:T rs114665323 C T C EBF1_EBF_1 -3 0 + 0 0 . chr11 57598421 57598422 chr11:57598422:G:A rs28362945 G A G EBF1_EBF_1 3 1 - 5.8418403874678 -0.5635583540855171 ATTCCCCGGAGCCT chr11 57601539 57601540 chr11:57601540:A:C rs28362948 A C A EBF1_EBF_1 -18 0 + 0 0 . chr11 57644268 57644269 chr11:57644269:C:T rs2081361 C T C EBF1_EBF_1 8 1 - 7.005376763591975 2.7790866747057135 TGACCCAAGGGACA chr11 57666932 57666933 chr11:57666933:G:A rs148933804 G A G EBF1_EBF_1 0 1 + 4.593477781072001 6.485761650636835 GCTCGCCAGGGACA chr11 57668218 57668219 chr11:57668219:C:T rs1184539763 C T C EBF1_EBF_1 0 1 - 4.583540619271099 6.475824488835932 GTTCCGCAGGGACC chr11 58007195 58007196 chr11:58007196:C:T rs80181255 C T c EBF1_EBF_1 27 0 + 0 0 . chr11 58066113 58066114 chr11:58066114:C:T rs2443449 C T C EBF1_EBF_1 30 0 - 0 0 . chr11 58158128 58158129 chr11:58158129:G:A rs1349482 G A g EBF1_EBF_1 6 1 - 6.667553339138644 6.968839468000402 ATACCCCAGAGACA chr11 58518507 58518508 chr11:58518508:G:T rs76435840 G T G EBF1_EBF_1 19 0 + 0 0 . chr11 58530595 58530596 chr11:58530596:C:T rs114875260 C T C EBF1_EBF_1 10 1 - 5.162622717910066 -0.1554057413568996 CTTCCCCTGGGTGC chr11 58530623 58530624 chr11:58530624:G:A rs189848084 G A G EBF1_EBF_1 -18 0 - 0 0 . chr11 58578094 58578095 chr11:58578095:G:A rs1025481498 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 58647752 58647753 chr11:58647753:C:T rs11229563 C T C EBF1_EBF_1 0 1 + 6.193495283058711 6.475697343789289 CGTCCCCAAGGACC chr11 58923355 58923356 chr11:58923356:G:A rs558316087 G A . EBF1_EBF_1 -20 0 - 0 0 . chr11 59000280 59000281 chr11:59000281:G:T rs12222588 G T . EBF1_EBF_1 -15 0 + 0 0 . chr11 59060421 59060422 chr11:59060422:C:A rs12292779 C A - EBF1_EBF_1 -19 0 - 0 0 . chr11 59109245 59109246 chr11:59109246:T:C rs11229808 T C t EBF1_EBF_1 -16 0 - 0 0 . chr11 59109246 59109247 chr11:59109247:C:G rs2096543 C G N EBF1_EBF_1 -17 0 - 0 0 . chr11 59143206 59143207 chr11:59143207:C:T rs114365993 C T C EBF1_EBF_1 27 0 - 0 0 . chr11 59145341 59145342 chr11:59145342:C:T rs73491310 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 59175412 59175413 chr11:59175413:C:T rs74919914 C T C EBF1_EBF_1 24 0 - 0 0 . chr11 59180641 59180642 chr11:59180642:C:T rs610123 C T C EBF1_EBF_1 30 0 + 0 0 . chr11 59198158 59198159 chr11:59198159:G:A rs61410587 G A G EBF1_EBF_1 4 1 - 10.472901627140471 6.103514682195548 CTCCCCAAGGGAAT chr11 59211210 59211211 chr11:59211211:G:A rs7926933 G A . EBF1_EBF_1 26 0 + 0 0 . chr11 59255600 59255601 chr11:59255601:A:C rs61903328 A C A EBF1_EBF_1 -7 0 - 0 0 . chr11 59262227 59262228 chr11:59262228:G:C rs12575770 G C C EBF1_EBF_1 32 0 + 0 0 . chr11 59265154 59265155 chr11:59265155:A:G rs11229903 A G G EBF1_EBF_1 6 1 + 7.046528826504601 4.879734395230126 AATCCCAAGAGGTT chr11 59284368 59284369 chr11:59284369:C:A rs564077321 C A C EBF1_EBF_1 -18 0 - 0 0 . chr11 59523571 59523572 chr11:59523572:G:A rs1453531 G A A EBF1_EBF_1 7 1 + 4.6731647730289385 5.9856231783177645 ACTCCCAGTGGAAC chr11 59566237 59566238 chr11:59566238:A:C rs115316024 A C A EBF1_EBF_1 6 1 + 8.935593629023558 8.57399126122228 CCTCCCAGGGGAAA chr11 59756049 59756050 chr11:59756050:G:A rs1033626329 G A G EBF1_EBF_1 8 1 + 8.130189863384778 3.9038997744985156 TTCCCCCAGGGAGC chr11 59810700 59810701 chr11:59810701:G:A rs779062755 G A A EBF1_EBF_1 16 0 + 0 0 . chr11 59891650 59891651 chr11:59891651:G:A rs137940746 G A G EBF1_EBF_1 3 1 - 9.37999402800222 2.974595286448903 CCTCCCCTGGGACA chr11 59899884 59899885 chr11:59899885:G:C rs192516246 G C G EBF1_EBF_1 1 1 - 6.940748194839461 7.130519849408678 TCTCCCCAGGGCCT chr11 60188767 60188768 chr11:60188768:G:A rs145490027 G A . EBF1_EBF_1 22 0 - 0 0 . chr11 60221432 60221433 chr11:60221433:G:A rs657928 G A . EBF1_EBF_1 5 1 - 6.467012608598465 1.1452253930577958 CTCCCCATGAGACC chr11 60233100 60233101 chr11:60233101:T:A rs7936120 T A . EBF1_EBF_1 13 1 + 9.04842352837571 7.830183964504217 ACTCCCCAGGGGGT chr11 60251762 60251763 chr11:60251763:C:A rs112829924 C A . EBF1_EBF_1 12 1 + 5.057969683977193 5.088151082398519 ACTCACATGGGACG chr11 60286019 60286020 chr11:60286020:G:A rs189503040 G A g EBF1_EBF_1 -13 0 + 0 0 . chr11 60286052 60286053 chr11:60286053:T:C rs10792261 T C c EBF1_EBF_1 20 0 + 0 0 . chr11 60332536 60332537 chr11:60332537:G:C chr11:60332537:G:C G C G EBF1_EBF_1 -17 0 + 0 0 . chr11 60333562 60333563 chr11:60333563:T:A rs10750933 T A T EBF1_EBF_1 2 1 + 5.150211631889407 1.4657440442905298 ATTCCCCTGGTCCT chr11 60336974 60336975 chr11:60336975:G:A rs367954609 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 60393364 60393365 chr11:60393365:G:A rs4938939 G A A EBF1_EBF_1 -17 0 + 0 0 . chr11 60618780 60618781 chr11:60618781:C:T rs1419289258 C T C EBF1_EBF_1 20 0 + 0 0 . chr11 60633938 60633939 chr11:60633939:C:T rs79941486 C T C EBF1_EBF_1 -8 0 + 0 0 . chr11 60741424 60741425 chr11:60741425:C:T rs725277 C T T EBF1_EBF_1 1 1 - 7.116648444202068 6.855949612280765 GGTCCCTGGAGACC chr11 60764216 60764217 chr11:60764217:G:A rs116873954 G A G EBF1_EBF_1 30 0 - 0 0 . chr11 60764234 60764235 chr11:60764235:G:C rs10792291 G C C EBF1_EBF_1 12 1 - 7.483531063372753 6.094895119694602 TCTCCCCAGAGACA chr11 60775875 60775876 chr11:60775876:A:C rs954394741 A C A EBF1_EBF_1 13 1 + 10.328241983389573 10.701987432675548 TGTCCCCAGGGACA chr11 60775893 60775894 chr11:60775894:C:G rs17628721 C G C EBF1_EBF_1 31 0 + 0 0 . chr11 60825309 60825310 chr11:60825310:T:C rs7940551 T C T EBF1_EBF_1 9 1 - 5.627077749115752 8.282017014563355 ATCCCCAAGAGCCT chr11 60834768 60834769 chr11:60834769:C:T rs4938985 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 60843064 60843065 chr11:60843065:G:A rs12278325 G A G EBF1_EBF_1 26 0 + 0 0 . chr11 60844045 60844046 chr11:60844046:C:G rs549366 C G C EBF1_EBF_1 -13 0 - 0 0 . chr11 60890990 60890991 chr11:60890991:G:A rs73491090 G A G EBF1_EBF_1 -18 0 - 0 0 . chr11 60905271 60905272 chr11:60905272:A:G chr11:60905272:A:G A G A EBF1_EBF_1 28 0 + 0 0 . chr11 60911348 60911349 chr11:60911349:T:C chr11:60911349:T:C T C T EBF1_EBF_1 -9 0 - 0 0 . chr11 60911357 60911358 chr11:60911358:C:G rs495790 C G C EBF1_EBF_1 -18 0 - 0 0 . chr11 60923930 60923931 chr11:60923931:G:C rs73493045 G C G EBF1_EBF_1 29 0 - 0 0 . chr11 60925115 60925116 chr11:60925116:G:C rs183884475 G C G EBF1_EBF_1 -15 0 + 0 0 . chr11 60954574 60954575 chr11:60954575:G:T rs117767631 G T g EBF1_EBF_1 -19 0 - 0 0 . chr11 60954575 60954576 chr11:60954576:C:G rs78922127 C G c EBF1_EBF_1 -20 0 - 0 0 . chr11 60980999 60981000 chr11:60981000:G:A rs576796145 G A G EBF1_EBF_1 9 1 + 8.127381976707966 5.472442711260361 ATTCCCAAAGGAGC chr11 60993139 60993140 chr11:60993140:C:G rs17824933 C G C EBF1_EBF_1 12 1 + 5.8719365543636535 4.483300610685502 GACCCCAGGAGACT chr11 60998647 60998648 chr11:60998648:C:T rs4939482 C T c EBF1_EBF_1 -19 0 - 0 0 . chr11 61008383 61008384 chr11:61008384:C:T rs112093706 C T C EBF1_EBF_1 10 1 - 5.816307269358026 0.4982788100910602 ATCCCCCCAGGACT chr11 61012534 61012535 chr11:61012535:G:A rs11230566 G A G EBF1_EBF_1 18 0 - 0 0 . chr11 61021934 61021935 chr11:61021935:G:A rs1240433211 G A G EBF1_EBF_1 21 0 - 0 0 . chr11 61021953 61021954 chr11:61021954:A:G chr11:61021954:A:G A G A EBF1_EBF_1 2 1 - 6.402124901408779 4.51330148996663 CCTCCCCTAGGAAT chr11 61026317 61026318 chr11:61026318:G:A rs117624126 G A G EBF1_EBF_1 11 1 - 4.672046612944193 4.990094054697857 CTTCCCTGGGGCTC chr11 61027062 61027063 chr11:61027063:G:A rs556289934 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 61096711 61096712 chr11:61096712:G:A rs11518670 G A G EBF1_EBF_1 5 1 - 8.007796689012476 2.686009473471806 CTTCCCGGGGGACC chr11 61102593 61102594 chr11:61102594:T:G rs73484395 T G T EBF1_EBF_1 -20 0 - 0 0 . chr11 61119440 61119441 chr11:61119441:C:T rs2241002 C T C EBF1_EBF_1 8 1 - 6.863293949906756 2.6370038610204953 GCTCCCCTGGGTCT chr11 61131703 61131704 chr11:61131704:G:A rs2232148 G A G EBF1_EBF_1 -3 0 + 0 0 . chr11 61132342 61132343 chr11:61132343:A:T rs2232142 A T A EBF1_EBF_1 1 1 - 9.629779758885443 8.663419141134613 GTCCCCCAGGGAAC chr11 61133013 61133014 chr11:61133014:C:G rs140170747 C G C EBF1_EBF_1 33 0 + 0 0 . chr11 61138999 61139000 chr11:61139000:C:T rs78916497 C T C EBF1_EBF_1 -15 0 + 0 0 . chr11 61139000 61139001 chr11:61139001:G:A rs75302799 G A G EBF1_EBF_1 -14 0 + 0 0 . chr11 61144418 61144419 chr11:61144419:C:A rs614872 C A c EBF1_EBF_1 8 1 - 5.834766063133335 -1.0566667258438596 CCTCCCCTGGGGTC chr11 61144419 61144420 chr11:61144420:A:G rs614875 A G g EBF1_EBF_1 7 1 - 5.834766063133335 4.017261316850713 CCTCCCCTGGGGTC chr11 61160606 61160607 chr11:61160607:G:C rs75980524 G C G EBF1_EBF_1 28 0 + 0 0 . chr11 61204278 61204279 chr11:61204279:C:T rs373599129 C T c EBF1_EBF_1 32 0 + 0 0 . chr11 61219250 61219251 chr11:61219251:G:A rs572229046 G A - EBF1_EBF_1 16 0 - 0 0 . chr11 61295339 61295340 chr11:61295340:T:C rs562050406 T C T EBF1_EBF_1 18 0 - 0 0 . chr11 61361953 61361954 chr11:61361954:A:C rs12288445 A C A EBF1_EBF_1 1 1 - 4.626945011235337 3.9212832254058076 CTTCCCAGGAGGCC chr11 61448146 61448147 chr11:61448147:G:T rs720891 G T G EBF1_EBF_1 26 0 - 0 0 . chr11 61509842 61509843 chr11:61509843:G:A rs574679094 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 61513563 61513564 chr11:61513564:C:T rs3019196 C T C EBF1_EBF_1 -11 0 + 0 0 . chr11 61513814 61513815 chr11:61513815:G:C rs1057547 G C G EBF1_EBF_1 -15 0 - 0 0 . chr11 61532356 61532357 chr11:61532357:A:G rs112281463 A G A EBF1_EBF_1 22 0 + 0 0 . chr11 61536882 61536883 chr11:61536883:G:A rs527924246 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 61588356 61588357 chr11:61588357:G:T rs369697622 G T G EBF1_EBF_1 -6 0 + 0 0 . chr11 61588391 61588392 chr11:61588392:C:T chr11:61588392:C:T C T C EBF1_EBF_1 29 0 + 0 0 . chr11 61600624 61600625 chr11:61600625:C:T rs72914269 C T C EBF1_EBF_1 21 0 - 0 0 . chr11 61603810 61603811 chr11:61603811:C:T rs174868 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 61609611 61609612 chr11:61609612:G:A rs374377 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 61610070 61610071 chr11:61610071:G:A chr11:61610071:G:A G A G EBF1_EBF_1 -15 0 + 0 0 . chr11 61629681 61629682 chr11:61629682:G:A rs73481346 G A G EBF1_EBF_1 23 0 - 0 0 . chr11 61632261 61632262 chr11:61632262:A:G rs376677113 A G G EBF1_EBF_1 13 1 - 8.716632660302858 7.87213854571734 ATTCCCCGAGGAAT chr11 61655479 61655480 chr11:61655480:G:A rs28843755 G A g EBF1_EBF_1 21 0 + 0 0 . chr11 61680351 61680352 chr11:61680352:A:T rs191720006 A T A EBF1_EBF_1 29 0 - 0 0 . chr11 61696392 61696393 chr11:61696393:C:T rs73485408 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 61705136 61705137 chr11:61705137:C:T rs118025662 C T C EBF1_EBF_1 25 0 - 0 0 . chr11 61717103 61717104 chr11:61717104:A:G rs198433 A G G EBF1_EBF_1 13 1 - 4.684165285059738 3.839671170474219 CTCCCCTGGGGCAT chr11 61730724 61730725 chr11:61730725:G:A rs198449 G A g EBF1_EBF_1 17 0 + 0 0 . chr11 61756893 61756894 chr11:61756894:A:C rs198461 A C C EBF1_EBF_1 20 0 - 0 0 . chr11 61757501 61757502 chr11:61757502:G:A rs198460 G A G EBF1_EBF_1 13 1 - 6.4298072937485555 7.274301408334074 CTACCCTGGGGACC chr11 61826343 61826344 chr11:61826344:C:T rs174568 C T C EBF1_EBF_1 33 0 + 0 0 . chr11 61827524 61827525 chr11:61827525:C:A rs1022825722 C A C EBF1_EBF_1 -12 0 - 0 0 . chr11 61835042 61835043 chr11:61835043:A:C rs11230804 A C C EBF1_EBF_1 11 1 + 11.074507831961272 6.658546134108883 CCTCCCCAGGGACT chr11 61835045 61835046 chr11:61835046:T:C rs11230805 T C C EBF1_EBF_1 14 0 + 0 0 . chr11 61851708 61851709 chr11:61851709:T:C rs916924 T C C EBF1_EBF_1 29 0 - 0 0 . chr11 61862103 61862104 chr11:61862104:C:T rs11230813 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 61871101 61871102 chr11:61871102:A:G rs915991027 A G A EBF1_EBF_1 17 0 - 0 0 . chr11 61879914 61879915 chr11:61879915:G:C rs174634 G C G EBF1_EBF_1 -15 0 - 0 0 . chr11 61879954 61879955 chr11:61879955:T:G rs174635 T G T EBF1_EBF_1 27 0 + 0 0 . chr11 61881557 61881558 chr11:61881558:G:C rs76656467 G C G EBF1_EBF_1 22 0 + 0 0 . chr11 61888176 61888177 chr11:61888177:T:A rs969619688 T A T EBF1_EBF_1 23 0 + 0 0 . chr11 61899883 61899884 chr11:61899884:C:T rs78433106 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 61909424 61909425 chr11:61909425:C:T rs61898564 C T C EBF1_EBF_1 -11 0 + 0 0 . chr11 61910954 61910955 chr11:61910955:C:T rs145751597 C T C EBF1_EBF_1 -1 0 + 0 0 . chr11 61914113 61914114 chr11:61914114:G:A rs576692747 G A G EBF1_EBF_1 -7 0 + 0 0 . chr11 61916564 61916565 chr11:61916565:T:C chr11:61916565:T:C T C t EBF1_EBF_1 19 0 + 0 0 . chr11 61968424 61968425 chr11:61968425:G:T rs183128980 G T G EBF1_EBF_1 20 0 + 0 0 . chr11 61977236 61977237 chr11:61977237:C:T rs1042666575 C T C EBF1_EBF_1 1 1 + 6.861032633684185 7.756466074082932 CCTCCCCTGGGGCC chr11 62080803 62080804 chr11:62080804:A:C rs12420131 A C A EBF1_EBF_1 22 0 + 0 0 . chr11 62082156 62082157 chr11:62082157:A:G rs183679060 A G A EBF1_EBF_1 -18 0 + 0 0 . chr11 62112579 62112580 chr11:62112580:C:A rs761299475 C A C EBF1_EBF_1 -12 0 + 0 0 . chr11 62112617 62112618 chr11:62112618:G:A rs7930687 G A G EBF1_EBF_1 26 0 + 0 0 . chr11 62126066 62126067 chr11:62126067:G:A rs72928167 G A G EBF1_EBF_1 -16 0 + 0 0 . chr11 62130333 62130334 chr11:62130334:G:T rs35656141 G T G EBF1_EBF_1 -2 0 - 0 0 . chr11 62138659 62138660 chr11:62138660:G:A rs769027116 G A G EBF1_EBF_1 2 1 - 8.38053666643331 10.269360077875458 TCCCCCTAGGGAGT chr11 62139065 62139066 chr11:62139066:G:A rs11230922 G A G EBF1_EBF_1 23 0 - 0 0 . chr11 62148488 62148489 chr11:62148489:C:T rs1309786339 C T C EBF1_EBF_1 -9 0 - 0 0 . chr11 62149529 62149530 chr11:62149530:A:G rs1792888 A G a EBF1_EBF_1 7 1 - 6.676402443417794 4.858897697135172 ATCCTCCTGGGAAC chr11 62150372 62150373 chr11:62150373:G:C chr11:62150373:G:C G C G EBF1_EBF_1 -3 0 - 0 0 . chr11 62151273 62151274 chr11:62151274:G:A rs11230933 G A G EBF1_EBF_1 -15 0 - 0 0 . chr11 62152752 62152753 chr11:62152753:G:A rs148899711 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 62169471 62169472 chr11:62169472:C:T rs11230945 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 62270110 62270111 chr11:62270111:G:A rs11603383 G A G EBF1_EBF_1 17 0 - 0 0 . chr11 62310312 62310313 chr11:62310313:C:A rs2513744 C A A EBF1_EBF_1 9 1 - 5.484045733961615 -1.3529436741271939 AATCCCCAGGGCTG chr11 62325103 62325104 chr11:62325104:G:T rs12281053 G T G EBF1_EBF_1 -3 0 - 0 0 . chr11 62368605 62368606 chr11:62368606:C:A chr11:62368606:C:A C A C EBF1_EBF_1 1 1 - 5.890524048136792 6.596185833966319 GGTCCCCTGGGCCC chr11 62382216 62382217 chr11:62382217:G:A rs117897499 G A G EBF1_EBF_1 26 0 - 0 0 . chr11 62382558 62382559 chr11:62382559:A:G rs190213274 A G A EBF1_EBF_1 0 1 + 7.179195744069909 5.2869118745050745 ATTGCCCAGGGACA chr11 62398521 62398522 chr11:62398522:C:T rs12274789 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 62398535 62398536 chr11:62398536:G:A rs76865126 G A G EBF1_EBF_1 6 1 - 8.447495468359747 8.748781597221504 AGCCCCCGGGGATC chr11 62398658 62398659 chr11:62398659:C:A rs188492063 C A C EBF1_EBF_1 6 1 + 5.337777779435807 5.699380147237085 CCTCCCCTGGGCCC chr11 62398680 62398681 chr11:62398681:C:T rs34881912 C T C EBF1_EBF_1 28 0 + 0 0 . chr11 62401893 62401894 chr11:62401894:G:A rs61893634 G A G EBF1_EBF_1 7 1 - 5.282576242363647 7.100080988646271 AGCCCCACGGGCCT chr11 62402299 62402300 chr11:62402300:C:T rs17157227 C T C EBF1_EBF_1 -15 0 + 0 0 . chr11 62402312 62402313 chr11:62402313:C:T rs1028842230 C T G EBF1_EBF_1 -2 0 + 0 0 . chr11 62402334 62402335 chr11:62402335:T:C rs2958530 T C C EBF1_EBF_1 20 0 + 0 0 . chr11 62431385 62431386 chr11:62431386:G:C rs61893655 G C G EBF1_EBF_1 8 1 + 6.111979017107112 0.7933201561924257 ACTCACTTGGGACA chr11 62487786 62487787 chr11:62487787:T:C rs75908182 T C T EBF1_EBF_1 -19 0 + 0 0 . chr11 62487798 62487799 chr11:62487799:C:T rs4124 C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 62487821 62487822 chr11:62487822:G:A rs41427449 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 62500560 62500561 chr11:62500561:G:T rs139876153 G T G EBF1_EBF_1 -16 0 + 0 0 . chr11 62500604 62500605 chr11:62500605:G:T rs78129412 G T G EBF1_EBF_1 28 0 + 0 0 . chr11 62505125 62505126 chr11:62505126:G:A rs57293370 G A G EBF1_EBF_1 -15 0 + 0 0 . chr11 62541145 62541146 chr11:62541146:A:T rs17157384 A T T EBF1_EBF_1 -8 0 + 0 0 . chr11 62543092 62543093 chr11:62543093:G:A rs117000813 G A G EBF1_EBF_1 7 1 + 6.611236593727912 7.923694999016737 AGCCCCCGGGGGAC chr11 62543653 62543654 chr11:62543654:C:T rs140924568 C T C EBF1_EBF_1 15 0 + 0 0 . chr11 62543661 62543662 chr11:62543662:C:T rs1038352694 C T C EBF1_EBF_1 23 0 + 0 0 . chr11 62544683 62544684 chr11:62544684:A:G rs2512562 A G G EBF1_EBF_1 -7 0 + 0 0 . chr11 62544688 62544689 chr11:62544689:G:A rs746633971 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 62578658 62578659 chr11:62578659:C:T rs3888173 C T C EBF1_EBF_1 4 1 + 8.427059164187503 4.057672219242578 ACACCCCAGGGACA chr11 62589592 62589593 chr11:62589593:G:A rs1402686647 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 62601147 62601148 chr11:62601148:C:T rs533761766 C T C EBF1_EBF_1 32 0 - 0 0 . chr11 62612646 62612647 chr11:62612647:C:G rs12798970 C G c EBF1_EBF_1 -6 0 + 0 0 . chr11 62612952 62612953 chr11:62612953:G:T rs3923805 G T g EBF1_EBF_1 21 0 + 0 0 . chr11 62613259 62613260 chr11:62613260:T:C rs4387351 T C c EBF1_EBF_1 1 1 + 7.331866209458821 6.436432769060076 ATTCCCTTGGGCAG chr11 62666898 62666899 chr11:62666899:C:T rs769551931 C T C EBF1_EBF_1 5 1 + 5.276205807197734 -0.0455814083429381 ACCCTCAGGGGACC chr11 62666900 62666901 chr11:62666901:G:T chr11:62666901:G:T G T G EBF1_EBF_1 7 1 + 5.276205807197734 6.112389972399001 ACCCTCAGGGGACC chr11 62678537 62678538 chr11:62678538:G:A rs145840266 G A G EBF1_EBF_1 17 0 - 0 0 . chr11 62690802 62690803 chr11:62690803:T:C rs6856 T C T EBF1_EBF_1 -2 0 - 0 0 . chr11 62695073 62695074 chr11:62695074:C:G rs72929424 C G C EBF1_EBF_1 24 0 + 0 0 . chr11 62706559 62706560 chr11:62706560:C:A rs143831637 C A C EBF1_EBF_1 -15 0 + 0 0 . chr11 62706594 62706595 chr11:62706595:A:G chr11:62706595:A:G A G A EBF1_EBF_1 20 0 + 0 0 . chr11 62707780 62707781 chr11:62707781:G:C rs560618 G C C EBF1_EBF_1 21 0 + 0 0 . chr11 62725795 62725796 chr11:62725796:G:C rs535766325 G C G EBF1_EBF_1 7 1 + 5.29575683382877 4.314436252747415 ACTCCCTGGGGCGA chr11 62728049 62728050 chr11:62728050:T:C rs72929455 T C t EBF1_EBF_1 -17 0 - 0 0 . chr11 62770056 62770057 chr11:62770057:T:G rs181785383 T G T EBF1_EBF_1 11 1 - 11.93807078363271 7.522109085780323 AATCCCCTGGGACC chr11 62805254 62805255 chr11:62805255:G:A rs377312684 G A G EBF1_EBF_1 4 1 - 4.513658511965574 0.14427156702065014 AGCCCCCGGGGCGT chr11 62831311 62831312 chr11:62831312:C:G rs144533108 C G C EBF1_EBF_1 27 0 + 0 0 . chr11 62851925 62851926 chr11:62851926:T:C chr11:62851926:T:C T C T EBF1_EBF_1 11 1 - 6.5170709767668535 3.6243641331628424 ATTTCCATGGGAAA chr11 62862423 62862424 chr11:62862424:A:G rs181239868 A G A EBF1_EBF_1 -2 0 + 0 0 . chr11 62909329 62909330 chr11:62909330:C:T rs11823728 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 62909704 62909705 chr11:62909705:G:T rs113514030 G T G EBF1_EBF_1 30 0 + 0 0 . chr11 62921250 62921251 chr11:62921251:G:A rs183895560 G A G EBF1_EBF_1 -9 0 + 0 0 . chr11 62962665 62962666 chr11:62962666:A:G rs1177191434 A G A EBF1_EBF_1 -3 0 + 0 0 . chr11 62975224 62975225 chr11:62975225:C:T rs141958928 C T C EBF1_EBF_1 -15 0 - 0 0 . chr11 63014349 63014350 chr11:63014350:A:G rs67754977 A G . EBF1_EBF_1 18 0 + 0 0 . chr11 63277792 63277793 chr11:63277793:T:C rs113236928 T C T EBF1_EBF_1 29 0 - 0 0 . chr11 63445857 63445858 chr11:63445858:C:T rs886170875 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 63507053 63507054 chr11:63507054:T:A rs12803628 T A T EBF1_EBF_1 -14 0 + 0 0 . chr11 63511694 63511695 chr11:63511695:C:T rs372893908 C T T EBF1_EBF_1 -3 0 - 0 0 . chr11 63625770 63625771 chr11:63625771:A:G rs79429913 A G G EBF1_EBF_1 7 1 - 7.561886258450972 5.744381512168348 ACTCCTCTGGGAAT chr11 63671653 63671654 chr11:63671654:G:C rs1047122084 G C G EBF1_EBF_1 20 0 - 0 0 . chr11 63681278 63681279 chr11:63681279:G:C rs545464234 G C G EBF1_EBF_1 -19 0 + 0 0 . chr11 63681327 63681328 chr11:63681328:G:A rs1004353398 G A G EBF1_EBF_1 30 0 + 0 0 . chr11 63681879 63681880 chr11:63681880:G:A rs192453296 G A G EBF1_EBF_1 -17 0 + 0 0 . chr11 63765294 63765295 chr11:63765295:C:A rs78446082 C A C EBF1_EBF_1 7 1 + 5.573124012387533 7.866902998757714 GGCCCCACGGGAGC chr11 63766256 63766257 chr11:63766257:C:A chr11:63766257:C:A C A C EBF1_EBF_1 -1 0 + 0 0 . chr11 63869979 63869980 chr11:63869980:G:A rs547863720 G A G EBF1_EBF_1 2 1 - 6.595281719183241 8.484105130625393 ACCCTCCTGGGACT chr11 63910100 63910101 chr11:63910101:C:T rs148805165 C T C EBF1_EBF_1 27 0 - 0 0 . chr11 63913851 63913852 chr11:63913852:G:A rs74907710 G A G EBF1_EBF_1 -7 0 - 0 0 . chr11 63917386 63917387 chr11:63917387:C:T rs1036136920 C T C EBF1_EBF_1 8 1 - 5.970171453442362 1.7438813645561009 CTCCCCCAGGGGCA chr11 63920663 63920664 chr11:63920664:C:T rs79819919 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 63921412 63921413 chr11:63921413:G:A rs7948611 G A G EBF1_EBF_1 17 0 + 0 0 . chr11 63939417 63939418 chr11:63939418:C:T rs76921369 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 63951190 63951191 chr11:63951191:G:A rs10897464 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 63952418 63952419 chr11:63952419:C:T rs34689425 C T T EBF1_EBF_1 21 0 + 0 0 . chr11 63999247 63999248 chr11:63999248:C:T rs150398943 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 64002255 64002256 chr11:64002256:C:T rs11231673 C T C EBF1_EBF_1 -4 0 - 0 0 . chr11 64019348 64019349 chr11:64019349:C:T rs4980518 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 64021252 64021253 chr11:64021253:G:A rs527239 G A G EBF1_EBF_1 14 0 - 0 0 . chr11 64038126 64038127 chr11:64038127:A:G rs564647 A G G EBF1_EBF_1 14 0 - 0 0 . chr11 64042504 64042505 chr11:64042505:C:T rs79575617 C T C EBF1_EBF_1 -9 0 + 0 0 . chr11 64045194 64045195 chr11:64045195:C:T rs487895 C T C EBF1_EBF_1 25 0 - 0 0 . chr11 64045228 64045229 chr11:64045229:G:A rs528527614 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 64056891 64056892 chr11:64056892:C:T rs1188017 C T C EBF1_EBF_1 19 0 + 0 0 . chr11 64078360 64078361 chr11:64078361:G:A rs79473372 G A G EBF1_EBF_1 24 0 - 0 0 . chr11 64085243 64085244 chr11:64085244:C:T rs10897473 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 64086172 64086173 chr11:64086173:C:T rs72918432 C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 64086677 64086678 chr11:64086678:T:C rs116252820 T C T EBF1_EBF_1 -10 0 - 0 0 . chr11 64095932 64095933 chr11:64095933:A:G rs660070 A G A EBF1_EBF_1 25 0 - 0 0 . chr11 64129531 64129532 chr11:64129532:G:A rs137975814 G A G EBF1_EBF_1 -17 0 + 0 0 . chr11 64143824 64143825 chr11:64143825:C:T rs11231706 C T C EBF1_EBF_1 -9 0 - 0 0 . chr11 64186597 64186598 chr11:64186598:G:C rs571668377 G C G EBF1_EBF_1 -14 0 - 0 0 . chr11 64207467 64207468 chr11:64207468:G:T rs140913422 G T G EBF1_EBF_1 14 0 - 0 0 . chr11 64207468 64207469 chr11:64207469:G:A rs144961137 G A G EBF1_EBF_1 13 1 - 5.648282792983546 6.4927769075690644 ACTCCCCAGTGACC chr11 64207493 64207494 chr11:64207494:G:A rs149000560 G A G EBF1_EBF_1 -12 0 - 0 0 . chr11 64208710 64208711 chr11:64208711:C:T rs11600667 C T C EBF1_EBF_1 28 0 + 0 0 . chr11 64223032 64223033 chr11:64223033:C:G rs78324705 C G C EBF1_EBF_1 12 1 - 7.131555181670461 8.520191125348612 GGCCCCCAGGGAGA chr11 64252698 64252699 chr11:64252699:G:C rs369215386 G C G EBF1_EBF_1 5 1 - 3.4668026003551304 -3.4380785522800386 GGCCCCTGGGGCCC chr11 64269872 64269873 chr11:64269873:G:C rs745316042 G C G EBF1_EBF_1 0 1 + 5.3526503897890425 4.989675775657277 GGCCCCCAGGGCAT chr11 64269885 64269886 chr11:64269886:T:C rs3741400 T C T EBF1_EBF_1 13 1 + 5.3526503897890425 4.5081562752035245 GGCCCCCAGGGCAT chr11 64269893 64269894 chr11:64269894:G:T rs769570355 G T G EBF1_EBF_1 21 0 + 0 0 . chr11 64270474 64270475 chr11:64270475:C:T rs79963447 C T C EBF1_EBF_1 20 0 + 0 0 . chr11 64287420 64287421 chr11:64287421:C:G rs920142330 C G C EBF1_EBF_1 0 1 + 5.637704947738223 6.0006795618699895 CCTCCCTTGAGAGA chr11 64291349 64291350 chr11:64291350:A:G rs2232410 A G G EBF1_EBF_1 -12 0 - 0 0 . chr11 64295977 64295978 chr11:64295978:G:A rs192142105 G A G EBF1_EBF_1 19 0 + 0 0 . chr11 64323040 64323041 chr11:64323041:C:T rs372177534 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 64342948 64342949 chr11:64342949:A:C rs547858488 A C A EBF1_EBF_1 -19 0 - 0 0 . chr11 64342949 64342950 chr11:64342950:A:T rs11601860 A T A EBF1_EBF_1 -20 0 - 0 0 . chr11 64343909 64343910 chr11:64343910:G:A rs200602788 G A G EBF1_EBF_1 -14 0 + 0 0 . chr11 64344321 64344322 chr11:64344322:G:A rs74511597 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 64357350 64357351 chr11:64357351:C:T rs519763 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 64357914 64357915 chr11:64357915:C:T rs474901 C T c EBF1_EBF_1 25 0 + 0 0 . chr11 64359678 64359679 chr11:64359679:G:T rs527465 G T G EBF1_EBF_1 22 0 - 0 0 . chr11 64373031 64373032 chr11:64373032:C:T rs6591841 C T T EBF1_EBF_1 -19 0 - 0 0 . chr11 64384276 64384277 chr11:64384277:A:G rs649463 A G G EBF1_EBF_1 -1 0 - 0 0 . chr11 64420270 64420271 chr11:64420271:A:G chr11:64420271:A:G A G A EBF1_EBF_1 26 0 - 0 0 . chr11 64452274 64452275 chr11:64452275:G:A rs10792436 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 64489386 64489387 chr11:64489387:C:A rs17299124 C A C EBF1_EBF_1 -20 0 - 0 0 . chr11 64526357 64526358 chr11:64526358:T:C rs4930191 T C T EBF1_EBF_1 -9 0 + 0 0 . chr11 64544930 64544931 chr11:64544931:G:A rs11231816 G A G EBF1_EBF_1 -9 0 + 0 0 . chr11 64564095 64564096 chr11:64564096:G:A rs17372915 G A G EBF1_EBF_1 9 1 - 5.0227244355521625 3.2614241738088166 CTTCCCAAGCGAGC chr11 64567089 64567090 chr11:64567090:T:C rs17300895 T C T EBF1_EBF_1 -3 0 + 0 0 . chr11 64568888 64568889 chr11:64568889:G:T rs115000584 G T G EBF1_EBF_1 -20 0 + 0 0 . chr11 64591322 64591323 chr11:64591323:C:T rs72922827 C T . EBF1_EBF_1 -13 0 - 0 0 . chr11 64593872 64593873 chr11:64593873:C:T rs921730099 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 64593911 64593912 chr11:64593912:G:T rs2021860 G T G EBF1_EBF_1 -20 0 - 0 0 . chr11 64619957 64619958 chr11:64619958:C:T rs138598796 C T C EBF1_EBF_1 -15 0 + 0 0 . chr11 64621456 64621457 chr11:64621457:A:G rs61884374 A G A EBF1_EBF_1 -9 0 - 0 0 . chr11 64638201 64638202 chr11:64638202:A:C rs973360865 A C A EBF1_EBF_1 18 0 + 0 0 . chr11 64646495 64646496 chr11:64646496:C:A rs56105921 C A C EBF1_EBF_1 12 1 + 4.542369366742781 4.572550765164108 CTCCCCCGAGGACT chr11 64649537 64649538 chr11:64649538:A:C rs939768613 A C A EBF1_EBF_1 -12 0 + 0 0 . chr11 64649541 64649542 chr11:64649542:G:A rs138247758 G A G EBF1_EBF_1 -8 0 + 0 0 . chr11 64659460 64659461 chr11:64659461:A:G rs530775 A G G EBF1_EBF_1 14 0 + 0 0 . chr11 64665584 64665585 chr11:64665585:G:A chr11:64665585:G:A G A G EBF1_EBF_1 31 0 + 0 0 . chr11 64670017 64670018 chr11:64670018:T:C rs80841 T C T EBF1_EBF_1 -3 0 - 0 0 . chr11 64675008 64675009 chr11:64675009:T:C rs117225766 T C T EBF1_EBF_1 -15 0 + 0 0 . chr11 64675656 64675657 chr11:64675657:G:A rs146996863 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 64681952 64681953 chr11:64681953:G:A rs10128590 G A G EBF1_EBF_1 24 0 + 0 0 . chr11 64688209 64688210 chr11:64688210:A:G rs76460113 A G A EBF1_EBF_1 25 0 - 0 0 . chr11 64696612 64696613 chr11:64696613:T:A rs504915 T A T EBF1_EBF_1 21 0 + 0 0 . chr11 64716864 64716865 chr11:64716865:G:A rs117048439 G A G EBF1_EBF_1 -10 0 - 0 0 . chr11 64723628 64723629 chr11:64723629:C:A rs1037032499 C A C EBF1_EBF_1 -19 0 + 0 0 . chr11 64724596 64724597 chr11:64724597:C:T rs516041 C T G EBF1_EBF_1 -2 0 + 0 0 . chr11 64743457 64743458 chr11:64743458:C:T rs374853524 C T C EBF1_EBF_1 -17 0 + 0 0 . chr11 64745294 64745295 chr11:64745295:G:A rs924018910 G A G EBF1_EBF_1 -6 0 - 0 0 . chr11 64766024 64766025 chr11:64766025:G:C rs775938430 G C G EBF1_EBF_1 6 1 - 3.29379225867782 1.4886001952046222 GCCCCCCGGGGTCC chr11 64778413 64778414 chr11:64778414:C:T rs370744429 C T C EBF1_EBF_1 19 0 + 0 0 . chr11 64778440 64778441 chr11:64778441:T:G rs375302419 T G T EBF1_EBF_1 27 0 - 0 0 . chr11 64778462 64778463 chr11:64778463:G:T rs1295123120 G T G EBF1_EBF_1 5 1 - 4.066137794928602 -2.8387433577065657 CTCCCCCCGGGAGG chr11 64778486 64778487 chr11:64778487:C:T rs376602169 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 64779130 64779131 chr11:64779131:C:T rs776138971 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 64779140 64779141 chr11:64779141:A:C rs71526478 A C A EBF1_EBF_1 6 1 + 7.221179111814048 6.859576744012771 GTTCCCATGGTAAC chr11 64779611 64779612 chr11:64779612:G:A chr11:64779612:G:A G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 64787811 64787812 chr11:64787812:C:T rs140297619 C T C EBF1_EBF_1 11 1 + 4.425416075845214 4.743463517598878 CCTCCCCAGGGCGC chr11 64795838 64795839 chr11:64795839:T:G rs757871074 T G T EBF1_EBF_1 25 0 - 0 0 . chr11 64800099 64800100 chr11:64800100:C:A rs71581725 C A C EBF1_EBF_1 33 0 - 0 0 . chr11 64804930 64804931 chr11:64804931:C:G rs654440 C G C EBF1_EBF_1 8 1 - 5.830343919026271 0.5116850581115869 ATCCCCTTGGGTGC chr11 64806116 64806117 chr11:64806117:T:C rs669976 T C T EBF1_EBF_1 13 1 + 5.911004126839581 5.066510012254063 GCTGCCTAGGGACT chr11 64832529 64832530 chr11:64832530:G:T rs494252 G T G EBF1_EBF_1 -12 0 - 0 0 . chr11 64842028 64842029 chr11:64842029:C:T rs71541903 C T C EBF1_EBF_1 15 0 + 0 0 . chr11 64842468 64842469 chr11:64842469:C:T rs184295578 C T C EBF1_EBF_1 31 0 + 0 0 . chr11 64843211 64843212 chr11:64843212:A:G rs35654492 A G G EBF1_EBF_1 23 0 - 0 0 . chr11 64851211 64851212 chr11:64851212:T:C rs554849376 T C T EBF1_EBF_1 14 0 + 0 0 . chr11 64855369 64855370 chr11:64855370:G:A rs148399647 G A G EBF1_EBF_1 -12 0 - 0 0 . chr11 64856712 64856713 chr11:64856713:A:G rs113597826 A G A EBF1_EBF_1 -4 0 + 0 0 . chr11 64864915 64864916 chr11:64864916:G:T rs889939414 G T G EBF1_EBF_1 6 1 - 6.991687520652689 7.353289888453966 TTTCCCCAGGGCCC chr11 64877564 64877565 chr11:64877565:C:T rs57851864 C T C EBF1_EBF_1 24 0 - 0 0 . chr11 64893928 64893929 chr11:64893929:G:A rs7939874 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 64920016 64920017 chr11:64920017:T:C rs67301043 T C T EBF1_EBF_1 28 0 - 0 0 . chr11 64923402 64923403 chr11:64923403:G:C rs616322 G C G EBF1_EBF_1 -12 0 + 0 0 . chr11 64934727 64934728 chr11:64934728:C:T rs673995 C T T EBF1_EBF_1 25 0 - 0 0 . chr11 64977671 64977672 chr11:64977672:A:C rs519796 A C C EBF1_EBF_1 -11 0 + 0 0 . chr11 65014605 65014606 chr11:65014606:T:C rs960970709 T C T EBF1_EBF_1 -6 0 + 0 0 . chr11 65021525 65021526 chr11:65021526:A:T rs660165 A T T EBF1_EBF_1 33 0 - 0 0 . chr11 65028299 65028300 chr11:65028300:C:A rs2507815 C A C EBF1_EBF_1 8 1 - 9.501043501679264 2.609610712702069 TCTCCCTGGGGACC chr11 65032350 65032351 chr11:65032351:G:A rs689361 G A A EBF1_EBF_1 13 1 - 4.531821233951238 5.376315348536756 TGCCCCCAGGGGGC chr11 65032368 65032369 chr11:65032369:A:G rs533164 A G G EBF1_EBF_1 -5 0 - 0 0 . chr11 65032525 65032526 chr11:65032526:T:C rs534236 T C T EBF1_EBF_1 -3 0 + 0 0 . chr11 65044478 65044479 chr11:65044479:T:A rs61736625 T A T EBF1_EBF_1 30 0 + 0 0 . chr11 65084280 65084281 chr11:65084281:G:T rs536589552 G T G EBF1_EBF_1 11 1 - 5.069987509703093 9.485949207555482 TCTCCCCAGGGCTC chr11 65084309 65084310 chr11:65084310:G:A rs1421356934 G A G EBF1_EBF_1 -18 0 - 0 0 . chr11 65110637 65110638 chr11:65110638:A:G rs374231576 A G A EBF1_EBF_1 -4 0 - 0 0 . chr11 65172785 65172786 chr11:65172786:C:T rs149911369 C T C EBF1_EBF_1 6 1 + 5.397027054633285 5.698313183495043 CTTCCCCGGGGCCC chr11 65181239 65181240 chr11:65181240:G:A rs141999503 G A G EBF1_EBF_1 30 0 - 0 0 . chr11 65181680 65181681 chr11:65181681:C:T rs17881181 C T C EBF1_EBF_1 -15 0 + 0 0 . chr11 65181684 65181685 chr11:65181685:C:G rs546147894 C G C EBF1_EBF_1 -11 0 + 0 0 . chr11 65181688 65181689 chr11:65181689:G:C rs1372297348 G C G EBF1_EBF_1 -7 0 + 0 0 . chr11 65183498 65183499 chr11:65183499:C:T rs17583 C T C EBF1_EBF_1 -20 0 - 0 0 . chr11 65183619 65183620 chr11:65183620:A:T rs17883910 A T A EBF1_EBF_1 -8 0 - 0 0 . chr11 65210159 65210160 chr11:65210160:C:T rs117450635 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 65210172 65210173 chr11:65210173:C:T rs625750 C T C EBF1_EBF_1 10 1 - 6.534775294622668 1.216746835355702 GCACCCCAGGGACA chr11 65212376 65212377 chr11:65212377:A:G rs12416930 A G G EBF1_EBF_1 9 1 + 4.6605897109396155 7.31552897638722 GCCCCCCAGAGAGC chr11 65218098 65218099 chr11:65218099:C:G rs916124140 C G C EBF1_EBF_1 4 1 + 4.9089086652902605 -0.7168564358592834 GGTCCCCAGGGCAG chr11 65279884 65279885 chr11:65279885:G:A rs544256 G A G EBF1_EBF_1 28 0 - 0 0 . chr11 65279929 65279930 chr11:65279930:A:G rs10488726 A G A EBF1_EBF_1 -17 0 - 0 0 . chr11 65290903 65290904 chr11:65290904:T:G rs11820125 T G C EBF1_EBF_1 23 0 + 0 0 . chr11 65291600 65291601 chr11:65291601:G:A rs150811477 G A G EBF1_EBF_1 29 0 - 0 0 . chr11 65291604 65291605 chr11:65291605:G:C chr11:65291605:G:C G C G EBF1_EBF_1 25 0 - 0 0 . chr11 65291605 65291606 chr11:65291606:T:C chr11:65291606:T:C T C T EBF1_EBF_1 24 0 - 0 0 . chr11 65302062 65302063 chr11:65302063:A:C rs506280 A C C EBF1_EBF_1 17 0 - 0 0 . chr11 65302069 65302070 chr11:65302070:C:A rs192864099 C A C EBF1_EBF_1 10 1 - 4.863195982035817 0.6804437958085519 CCTCCCAGGGGCCC chr11 65302090 65302091 chr11:65302091:A:G rs138327023 A G A EBF1_EBF_1 -11 0 - 0 0 . chr11 65309043 65309044 chr11:65309044:G:A rs2277306 G A G EBF1_EBF_1 29 0 + 0 0 . chr11 65310686 65310687 chr11:65310687:A:G rs648338 A G A EBF1_EBF_1 -4 0 + 0 0 . chr11 65311536 65311537 chr11:65311537:A:T rs74810810 A T A EBF1_EBF_1 -10 0 - 0 0 . chr11 65330098 65330099 chr11:65330099:C:T rs77266171 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 65330140 65330141 chr11:65330141:T:C rs182425971 T C T EBF1_EBF_1 -14 0 - 0 0 . chr11 65377181 65377182 chr11:65377182:C:T rs116905465 C T C EBF1_EBF_1 3 1 + 6.486442307668213 0.08104356611489656 AGTCCCTCAGGAAC chr11 65377197 65377198 chr11:65377198:C:T rs183756016 C T C EBF1_EBF_1 19 0 + 0 0 . chr11 65378073 65378074 chr11:65378074:T:C rs75723458 T C T EBF1_EBF_1 6 1 - 8.359480554001344 6.1926861227268715 TCTCCCAAGGGATG chr11 65390003 65390004 chr11:65390004:G:T rs372425726 G T G EBF1_EBF_1 33 0 - 0 0 . chr11 65392673 65392674 chr11:65392674:C:T rs652501 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 65418433 65418434 chr11:65418434:A:G rs1241039763 A G A EBF1_EBF_1 29 0 - 0 0 . chr11 65418454 65418455 chr11:65418455:C:G rs554665086 C G C EBF1_EBF_1 8 1 - 4.438082127778129 -0.8805767331365577 AGCCCCACGGGCCC chr11 65418462 65418463 chr11:65418463:T:C rs139488902 T C T EBF1_EBF_1 0 1 - 4.438082127778129 2.545798258213294 AGCCCCACGGGCCC chr11 65418469 65418470 chr11:65418470:G:A rs574421397 G A G EBF1_EBF_1 -7 0 - 0 0 . chr11 65422040 65422041 chr11:65422041:G:A rs61059875 G A G EBF1_EBF_1 5 1 - 6.60312353702008 1.2813363214794107 CTTCCCAGGGGCCT chr11 65444599 65444600 chr11:65444600:C:T rs116688570 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 65446592 65446593 chr11:65446593:C:T rs138083707 C T C EBF1_EBF_1 -18 0 - 0 0 . chr11 65449067 65449068 chr11:65449068:T:G rs753208104 T G T EBF1_EBF_1 7 1 - 6.001229731030577 3.7074507446603953 GGCCCCCAGGGGCC chr11 65454444 65454445 chr11:65454445:A:G rs373478145 A G A EBF1_EBF_1 21 0 - 0 0 . chr11 65454482 65454483 chr11:65454483:C:T rs1621277 C T T EBF1_EBF_1 -17 0 - 0 0 . chr11 65460806 65460807 chr11:65460807:C:T rs12364730 C T C EBF1_EBF_1 8 1 - 9.131908549071525 4.905618460185263 TTTCCCACGGGAAA chr11 65471999 65472000 chr11:65472000:G:A rs144183162 G A G EBF1_EBF_1 19 0 - 0 0 . chr11 65477478 65477479 chr11:65477479:G:C rs140608085 G C G EBF1_EBF_1 -15 0 - 0 0 . chr11 65479755 65479756 chr11:65479756:G:A rs144050340 G A G EBF1_EBF_1 -7 0 + 0 0 . chr11 65481617 65481618 chr11:65481618:A:C rs1787666 A C A EBF1_EBF_1 -2 0 - 0 0 . chr11 65487080 65487081 chr11:65487081:T:C chr11:65487081:T:C T C T EBF1_EBF_1 11 1 - 5.189745093432244 2.2970382498282325 AAGCCCCTGGGACC chr11 65546319 65546320 chr11:65546320:G:A chr11:65546320:G:A G A G EBF1_EBF_1 17 0 - 0 0 . chr11 65547358 65547359 chr11:65547359:A:T rs58621819 A T A EBF1_EBF_1 -8 0 - 0 0 . chr11 65554479 65554480 chr11:65554480:A:G chr11:65554480:A:G A G A EBF1_EBF_1 -3 0 - 0 0 . chr11 65557988 65557989 chr11:65557989:G:A rs192543645 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 65559626 65559627 chr11:65559627:C:T rs78650681 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 65587454 65587455 chr11:65587455:G:A rs7130129 G A g EBF1_EBF_1 33 0 + 0 0 . chr11 65589951 65589952 chr11:65589952:G:A rs184894054 G A G EBF1_EBF_1 28 0 - 0 0 . chr11 65613920 65613921 chr11:65613921:C:T rs545350564 C T C EBF1_EBF_1 -20 0 - 0 0 . chr11 65618734 65618735 chr11:65618735:C:G rs1193851 C G C EBF1_EBF_1 15 0 + 0 0 . chr11 65640391 65640392 chr11:65640392:G:A rs140765903 G A G EBF1_EBF_1 13 1 - 8.047048167227945 8.891542281813464 TGTCCCCAGAGACC chr11 65640414 65640415 chr11:65640415:C:T rs61893816 C T C EBF1_EBF_1 -10 0 - 0 0 . chr11 65640900 65640901 chr11:65640901:G:A rs115642826 G A G EBF1_EBF_1 7 1 + 6.27468094437036 7.587139349659186 AGCCCCCGGAGAGT chr11 65640915 65640916 chr11:65640916:C:T rs149267827 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 65647298 65647299 chr11:65647299:T:C rs544044660 T C T EBF1_EBF_1 -16 0 - 0 0 . chr11 65653205 65653206 chr11:65653206:A:G chr11:65653206:A:G A G A EBF1_EBF_1 9 1 + 5.767599286482513 8.422538551930117 TTCCCCAAGAGAAG chr11 65654380 65654381 chr11:65654381:G:A rs78599884 G A G EBF1_EBF_1 9 1 + 4.806534645839991 2.1515953803923877 ACCCCCTTAGGAGC chr11 65655119 65655120 chr11:65655120:C:T rs7119750 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 65657695 65657696 chr11:65657696:C:G rs11568300 C G C EBF1_EBF_1 15 0 + 0 0 . chr11 65662310 65662311 chr11:65662311:C:T rs11568292 C T C EBF1_EBF_1 13 1 + 9.309339078309533 10.153833192895052 CCTCCCAGGGGAAC chr11 65715898 65715899 chr11:65715899:C:G rs75601653 C G C EBF1_EBF_1 5 1 + 7.187263540636374 0.28238238800120574 AACCCCCAGGTACT chr11 65715902 65715903 chr11:65715903:G:C rs7120713 G C G EBF1_EBF_1 9 1 + 7.187263540636374 2.11157439429091 AACCCCCAGGTACT chr11 65777834 65777835 chr11:65777835:C:A rs7107453 C A C EBF1_EBF_1 21 0 - 0 0 . chr11 65779983 65779984 chr11:65779984:C:A rs12362011 C A C EBF1_EBF_1 4 1 + 5.085983008746641 -0.7385766147068252 CAACCCCAGGGAGC chr11 65782098 65782099 chr11:65782099:C:G rs11227299 C G C EBF1_EBF_1 17 0 - 0 0 . chr11 65789249 65789250 chr11:65789250:C:G rs603326 C G C EBF1_EBF_1 16 0 - 0 0 . chr11 65793148 65793149 chr11:65793149:C:T rs642803 C T T EBF1_EBF_1 21 0 - 0 0 . chr11 65821466 65821467 chr11:65821467:C:T rs11227313 C T C EBF1_EBF_1 -20 0 - 0 0 . chr11 65833695 65833696 chr11:65833696:C:T rs113038744 C T C EBF1_EBF_1 6 1 + 9.131634069760809 9.432920198622567 GTCCCCCGGGGACT chr11 65850581 65850582 chr11:65850582:C:T rs117613663 C T C EBF1_EBF_1 14 0 + 0 0 . chr11 65853585 65853586 chr11:65853586:T:C rs656040 T C C EBF1_EBF_1 22 0 + 0 0 . chr11 65861169 65861170 chr11:65861170:G:A rs188481619 G A G EBF1_EBF_1 3 1 - 6.443051199479736 0.03765245792641936 GCTCCCAGGAGAGT chr11 65862460 65862461 chr11:65862461:T:C rs659857 T C T EBF1_EBF_1 -3 0 - 0 0 . chr11 65862462 65862463 chr11:65862463:G:C rs545500 G C G EBF1_EBF_1 -5 0 - 0 0 . chr11 65868247 65868248 chr11:65868248:C:T rs118107504 C T C EBF1_EBF_1 5 1 + 8.547564811147739 3.2257775956070667 AGCCCCCTGGGAGA chr11 65884964 65884965 chr11:65884965:G:A rs757768112 G A G EBF1_EBF_1 -7 0 + 0 0 . chr11 65893468 65893469 chr11:65893469:G:A rs112017120 G A G EBF1_EBF_1 -7 0 - 0 0 . chr11 65900234 65900235 chr11:65900235:A:G rs561959251 A G a EBF1_EBF_1 30 0 + 0 0 . chr11 65900605 65900606 chr11:65900606:A:C rs7950010 A C C EBF1_EBF_1 9 1 + 5.472811372151345 3.052061491253485 GTTCCCCGGAGGCT chr11 65903421 65903422 chr11:65903422:G:A rs1892901 G A G EBF1_EBF_1 11 1 + 6.681958731186562 9.574665574790572 AACCCCAGGGGGCC chr11 65903426 65903427 chr11:65903427:A:G rs559940583 A G A EBF1_EBF_1 16 0 + 0 0 . chr11 65917273 65917274 chr11:65917274:G:C rs12289280 G C G EBF1_EBF_1 30 0 + 0 0 . chr11 65919703 65919704 chr11:65919704:G:A rs565714575 G A G EBF1_EBF_1 4 1 - 5.8481248184225905 1.4787378734776677 CATCCCCGGAGAAA chr11 65933732 65933733 chr11:65933733:G:A rs12361552 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 65944045 65944046 chr11:65944046:A:C rs606978 A C A EBF1_EBF_1 13 1 - 6.807522148264909 4.474957012323976 ACTCCCAGGGTAGT chr11 66002651 66002652 chr11:66002652:C:G rs1029152753 C G C EBF1_EBF_1 20 0 - 0 0 . chr11 66042403 66042404 chr11:66042404:C:A rs1125078 C A C EBF1_EBF_1 27 0 - 0 0 . chr11 66051972 66051973 chr11:66051973:T:G rs146315015 T G T EBF1_EBF_1 -12 0 - 0 0 . chr11 66052079 66052080 chr11:66052080:C:T rs12803276 C T C EBF1_EBF_1 28 0 + 0 0 . chr11 66052189 66052190 chr11:66052190:C:A rs2241303 C A C EBF1_EBF_1 25 0 + 0 0 . chr11 66069129 66069130 chr11:66069130:C:T rs1395860364 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 66069135 66069136 chr11:66069136:A:C chr11:66069136:A:C A C A EBF1_EBF_1 17 0 - 0 0 . chr11 66071058 66071059 chr11:66071059:G:T rs11823618 G T G EBF1_EBF_1 -3 0 + 0 0 . chr11 66076811 66076812 chr11:66076812:A:G rs7950327 A G A EBF1_EBF_1 1 1 - 5.948728107669897 5.053294667271154 ATTCCCACGGAATT chr11 66192685 66192686 chr11:66192686:G:A rs7941431 G A G EBF1_EBF_1 17 0 + 0 0 . chr11 66257552 66257553 chr11:66257553:A:C rs766529121 A C a EBF1_EBF_1 -4 0 + 0 0 . chr11 66257576 66257577 chr11:66257577:C:T rs545896525 C T c EBF1_EBF_1 20 0 + 0 0 . chr11 66267507 66267508 chr11:66267508:C:T rs146873903 C T c EBF1_EBF_1 -1 0 - 0 0 . chr11 66268857 66268858 chr11:66268858:G:A chr11:66268858:G:A G A g EBF1_EBF_1 8 1 + 5.730685523060172 1.5043954341739096 TCCCCCCAGGGGCC chr11 66268865 66268866 chr11:66268866:A:G rs1035742900 A G a EBF1_EBF_1 16 0 + 0 0 . chr11 66277358 66277359 chr11:66277359:C:T rs145468882 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 66280980 66280981 chr11:66280981:C:T rs72938506 C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 66281019 66281020 chr11:66281020:G:A rs11227448 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 66288884 66288885 chr11:66288885:G:C rs569531483 G C G EBF1_EBF_1 5 1 - 4.702689672144195 -2.202191480490973 CACCCCGAGGGAGA chr11 66293066 66293067 chr11:66293067:G:T rs3814738 G T G EBF1_EBF_1 4 1 - 6.316207133244486 0.49164750979101873 TGTCCCCAGGGCAC chr11 66293074 66293075 chr11:66293075:G:A rs479018 G A G EBF1_EBF_1 -4 0 - 0 0 . chr11 66312314 66312315 chr11:66312315:G:A rs490972 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 66312315 66312316 chr11:66312316:G:A rs565972 G A G EBF1_EBF_1 21 0 - 0 0 . chr11 66312346 66312347 chr11:66312347:T:G rs61891388 T G T EBF1_EBF_1 -10 0 - 0 0 . chr11 66313492 66313493 chr11:66313493:C:T rs956332933 C T C EBF1_EBF_1 3 1 + 6.061287797626808 -0.3441109439265083 GTTCCCTGGGGCCC chr11 66316310 66316311 chr11:66316311:G:A rs3741368 G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 66316344 66316345 chr11:66316345:G:A rs149949198 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 66317266 66317267 chr11:66317267:C:G rs556844228 C G C EBF1_EBF_1 24 0 - 0 0 . chr11 66317303 66317304 chr11:66317304:G:T rs60414168 G T G EBF1_EBF_1 -13 0 - 0 0 . chr11 66318124 66318125 chr11:66318125:C:G rs7941602 C G C EBF1_EBF_1 -6 0 + 0 0 . chr11 66318248 66318249 chr11:66318249:C:A rs1044255761 C A C EBF1_EBF_1 -8 0 - 0 0 . chr11 66332515 66332516 chr11:66332516:C:T rs1784029 C T C EBF1_EBF_1 24 0 + 0 0 . chr11 66335085 66335086 chr11:66335086:C:T rs117873455 C T C EBF1_EBF_1 -16 0 + 0 0 . chr11 66335734 66335735 chr11:66335735:C:T rs146672437 C T C EBF1_EBF_1 -15 0 - 0 0 . chr11 66340055 66340056 chr11:66340056:C:A rs1785633 C A C EBF1_EBF_1 3 1 + 6.500319335774988 -0.40585469369081695 CCTCCCCTGGGTCT chr11 66346558 66346559 chr11:66346559:G:A rs1190625 G A G EBF1_EBF_1 -8 0 + 0 0 . chr11 66402174 66402175 chr11:66402175:C:T rs10791876 C T C EBF1_EBF_1 22 0 - 0 0 . chr11 66439005 66439006 chr11:66439006:C:T rs113816752 C T C EBF1_EBF_1 3 1 + 7.252420332437261 0.8470215908839452 ATTCCCACAGGAAC chr11 66480074 66480075 chr11:66480075:C:G rs80044464 C G C EBF1_EBF_1 4 1 + 6.317269840328486 0.6915047391789431 AACCCCAAAGGAAA chr11 66480492 66480493 chr11:66480493:G:A rs1161875045 G A G EBF1_EBF_1 -12 0 + 0 0 . chr11 66480514 66480515 chr11:66480515:G:T rs116082153 G T G EBF1_EBF_1 10 1 + 7.041436071261646 2.858683885034381 CATCCCGGGGGACC chr11 66490534 66490535 chr11:66490535:A:G rs7950407 A G G EBF1_EBF_1 6 1 + 9.966445988631587 7.799651557357112 CTCCCCATGGGACT chr11 66510954 66510955 chr11:66510955:A:G rs113981353 A G A EBF1_EBF_1 -13 0 + 0 0 . chr11 66532991 66532992 chr11:66532992:G:A rs1791686 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 66546315 66546316 chr11:66546316:A:G rs563065763 A G A EBF1_EBF_1 2 1 - 5.734785737919026 3.845962326476876 AATCCCCCGGGCAC chr11 66568076 66568077 chr11:66568077:A:G rs1127894 A G G EBF1_EBF_1 -14 0 - 0 0 . chr11 66592549 66592550 chr11:66592550:C:T rs1110707 C T C EBF1_EBF_1 -5 0 - 0 0 . chr11 66592558 66592559 chr11:66592559:G:A rs764584208 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 66595525 66595526 chr11:66595526:A:G rs498045 A G A EBF1_EBF_1 -16 0 - 0 0 . chr11 66602408 66602409 chr11:66602409:G:A rs143110361 G A G EBF1_EBF_1 13 1 + 4.939650586181612 6.053976158251051 TCTCCCTAGGGCAG chr11 66604681 66604682 chr11:66604682:T:C rs73505425 T C T EBF1_EBF_1 -19 0 - 0 0 . chr11 66625353 66625354 chr11:66625354:C:T rs139642034 C T C EBF1_EBF_1 -14 0 - 0 0 . chr11 66728413 66728414 chr11:66728414:C:T rs1034707963 C T C EBF1_EBF_1 33 0 - 0 0 . chr11 66843394 66843395 chr11:66843395:C:T rs952973158 C T C EBF1_EBF_1 -14 0 + 0 0 . chr11 66843401 66843402 chr11:66843402:C:T rs1485630954 C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 66843422 66843423 chr11:66843423:G:C rs201967188 G C G EBF1_EBF_1 14 0 + 0 0 . chr11 66855939 66855940 chr11:66855940:G:C rs77387425 G C G EBF1_EBF_1 12 1 - 6.587503210604304 5.198867266926152 ATCCCCATGGGCCA chr11 66902920 66902921 chr11:66902921:T:C rs59932755 T C t EBF1_EBF_1 12 1 + 6.286467021567215 7.312733592118064 ACACCCCAGGGATG chr11 67057823 67057824 chr11:67057824:C:T rs72926941 C T C EBF1_EBF_1 -20 0 - 0 0 . chr11 67081623 67081624 chr11:67081624:G:A rs545855261 G A g EBF1_EBF_1 33 0 + 0 0 . chr11 67081698 67081699 chr11:67081699:G:A rs76581963 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 67241220 67241221 chr11:67241221:A:G rs73503911 A G A EBF1_EBF_1 7 1 + 5.366652926405086 4.05419452111626 TCACCCTAGGGAGA chr11 67249994 67249995 chr11:67249995:T:A rs145118240 T A T EBF1_EBF_1 2 1 + 6.262910536863426 2.578442949264548 GGTCCCCTGAGAGC chr11 67279776 67279777 chr11:67279777:T:C rs3730309 T C C EBF1_EBF_1 31 0 - 0 0 . chr11 67300838 67300839 chr11:67300839:C:T rs1026918251 C T C EBF1_EBF_1 8 1 - 6.547598787327423 2.3213086984411606 CCTCCCCCGGGAGC chr11 67317820 67317821 chr11:67317821:G:A rs753567628 G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 67353932 67353933 chr11:67353933:G:C rs549942256 G C G EBF1_EBF_1 31 0 + 0 0 . chr11 67371485 67371486 chr11:67371486:G:T rs192059618 G T G EBF1_EBF_1 6 1 - 7.077705334420072 7.439307702221349 CTTCCCCTGGGCCT chr11 67372307 67372308 chr11:67372308:A:T rs79890820 A T A EBF1_EBF_1 18 0 - 0 0 . chr11 67372398 67372399 chr11:67372399:G:C rs61891586 G C G EBF1_EBF_1 32 0 - 0 0 . chr11 67379950 67379951 chr11:67379951:T:G rs1638571 T G g EBF1_EBF_1 28 0 + 0 0 . chr11 67401116 67401117 chr11:67401117:A:G chr11:67401117:A:G A G G EBF1_EBF_1 16 0 - 0 0 . chr11 67401330 67401331 chr11:67401331:G:A rs116691535 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 67401375 67401376 chr11:67401376:C:T rs537446900 C T C EBF1_EBF_1 16 0 + 0 0 . chr11 67410310 67410311 chr11:67410311:T:C rs115939097 T C T EBF1_EBF_1 -18 0 - 0 0 . chr11 67420114 67420115 chr11:67420115:C:T rs35876769 C T C EBF1_EBF_1 -20 0 - 0 0 . chr11 67421450 67421451 chr11:67421451:T:C rs143587522 T C T EBF1_EBF_1 7 1 - 6.658546134108883 5.346087728820058 CCTCCCCAGGGCCT chr11 67428339 67428340 chr11:67428340:C:T rs1448331479 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 67435970 67435971 chr11:67435971:C:T rs60969594 C T C EBF1_EBF_1 5 1 + 6.653787452389799 1.3320002368491288 CTTCCCCAGGGTCA chr11 67436443 67436444 chr11:67436444:T:C chr11:67436444:T:C T C T EBF1_EBF_1 -10 0 + 0 0 . chr11 67454753 67454754 chr11:67454754:C:T rs61889862 C T C EBF1_EBF_1 1 1 + 6.658546134108883 7.553979574507629 CCTCCCCAGGGCCT chr11 67455614 67455615 chr11:67455615:C:T rs1790765 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 67456529 67456530 chr11:67456530:C:G rs56769021 C G C EBF1_EBF_1 -5 0 - 0 0 . chr11 67456678 67456679 chr11:67456679:C:G chr11:67456679:C:G C G C EBF1_EBF_1 -20 0 - 0 0 . chr11 67464542 67464543 chr11:67464543:T:C rs187107929 T C T EBF1_EBF_1 7 1 + 5.781182189194832 3.963677442912208 CTTCCCTTGAGATG chr11 67504629 67504630 chr11:67504630:C:A rs191023242 C A C EBF1_EBF_1 6 1 - 4.901699453386908 7.0081776457218625 GTCCCCGAGGGGCC chr11 67508757 67508758 chr11:67508758:C:T rs1053673934 C T C EBF1_EBF_1 27 0 - 0 0 . chr11 67508763 67508764 chr11:67508764:C:A rs3758941 C A C EBF1_EBF_1 21 0 - 0 0 . chr11 67558403 67558404 chr11:67558404:G:A rs115464212 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 67577306 67577307 chr11:67577307:G:C rs112695863 G C G EBF1_EBF_1 6 1 - 5.268470325795359 3.463278262322163 AGTCCCCTGGGCTG chr11 67579945 67579946 chr11:67579946:A:G rs7941395 A G A EBF1_EBF_1 21 0 - 0 0 . chr11 67583871 67583872 chr11:67583872:G:A rs8191441 G A G EBF1_EBF_1 4 1 - 7.358557113379429 2.989170168434506 CATCCCCGGGGACG chr11 67592131 67592132 chr11:67592132:T:G rs596603 T G t EBF1_EBF_1 13 1 - 6.472358786945685 6.846104236231661 TCTCCCTGGAGACA chr11 67601075 67601076 chr11:67601076:C:T rs73490556 C T T EBF1_EBF_1 14 0 - 0 0 . chr11 67614001 67614002 chr11:67614002:A:G rs12285568 A G A EBF1_EBF_1 14 0 - 0 0 . chr11 67614023 67614024 chr11:67614024:G:T rs886302390 G T G EBF1_EBF_1 -8 0 - 0 0 . chr11 67628747 67628748 chr11:67628748:T:C rs61894499 T C T EBF1_EBF_1 12 1 - 7.146066309187693 5.727248967088215 ACCCCCTCGGGAAG chr11 67628825 67628826 chr11:67628826:T:A rs767921358 T A T EBF1_EBF_1 0 1 - 4.278491871630237 2.3054354486642152 ACCCCCACGGGCAC chr11 67630642 67630643 chr11:67630643:C:A rs548769901 C A C EBF1_EBF_1 -1 0 + 0 0 . chr11 67635465 67635466 chr11:67635466:T:C rs1317935 T C C EBF1_EBF_1 -16 0 + 0 0 . chr11 67635482 67635483 chr11:67635483:C:T rs146556701 C T C EBF1_EBF_1 1 1 + 8.812724579066762 9.708158019465507 GCTCCCCAGAGAAT chr11 67639002 67639003 chr11:67639003:C:T rs184942119 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 67645010 67645011 chr11:67645011:G:T rs76762450 G T G EBF1_EBF_1 29 0 - 0 0 . chr11 67645534 67645535 chr11:67645535:T:C rs2290958 T C T EBF1_EBF_1 -19 0 + 0 0 . chr11 67645592 67645593 chr11:67645593:G:A rs77248329 G A G EBF1_EBF_1 -11 0 - 0 0 . chr11 67646373 67646374 chr11:67646374:C:T rs115753027 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 67648483 67648484 chr11:67648484:G:A rs117523325 G A G EBF1_EBF_1 32 0 - 0 0 . chr11 67648484 67648485 chr11:67648485:A:T rs116896732 A T A EBF1_EBF_1 31 0 - 0 0 . chr11 67659538 67659539 chr11:67659539:G:A rs72934576 G A G EBF1_EBF_1 22 0 + 0 0 . chr11 67663614 67663615 chr11:67663615:G:T rs113365834 G T G EBF1_EBF_1 27 0 - 0 0 . chr11 67663638 67663639 chr11:67663639:G:A rs1979376 G A G EBF1_EBF_1 3 1 - 7.113659634509189 0.7082608929558711 CACCCCTAGGGAAG chr11 67674571 67674572 chr11:67674572:A:C rs7947978 A C C EBF1_EBF_1 11 1 + 7.468712529026121 3.0527508311737312 CCTCCCAGGAGACT chr11 67674731 67674732 chr11:67674732:G:T chr11:67674732:G:T G T G EBF1_EBF_1 -20 0 + 0 0 . chr11 67674867 67674868 chr11:67674868:A:G rs150096059 A G A EBF1_EBF_1 -7 0 + 0 0 . chr11 67674878 67674879 chr11:67674879:C:T rs3808972 C T C EBF1_EBF_1 4 1 + 8.116775951856486 3.7473890069115594 AACCCCAGGGGAAG chr11 67699047 67699048 chr11:67699048:C:T rs11227885 C T C EBF1_EBF_1 -13 0 - 0 0 . chr11 67709899 67709900 chr11:67709900:C:T rs10896194 C T C EBF1_EBF_1 -18 0 + 0 0 . chr11 67711293 67711294 chr11:67711294:A:G rs185721792 A G - EBF1_EBF_1 30 0 - 0 0 . chr11 67711340 67711341 chr11:67711341:G:C rs10791913 G C C EBF1_EBF_1 -17 0 - 0 0 . chr11 67744803 67744804 chr11:67744804:G:A rs61893531 G A - EBF1_EBF_1 -16 0 - 0 0 . chr11 67791945 67791946 chr11:67791946:G:A rs59839579 G A - EBF1_EBF_1 17 0 - 0 0 . chr11 67791980 67791981 chr11:67791981:C:T rs142496509 C T - EBF1_EBF_1 -18 0 - 0 0 . chr11 67801378 67801379 chr11:67801379:C:T rs11824596 C T - EBF1_EBF_1 -11 0 + 0 0 . chr11 67803167 67803168 chr11:67803168:C:T rs7396104 C T - EBF1_EBF_1 12 1 + 7.711686351462093 6.685419780911244 TCTCCCCGGGGACG chr11 67805079 67805080 chr11:67805080:G:T rs553678809 G T - EBF1_EBF_1 -7 0 - 0 0 . chr11 67811120 67811121 chr11:67811121:T:A rs7106972 T A - EBF1_EBF_1 25 0 - 0 0 . chr11 67811136 67811137 chr11:67811137:C:T rs11606987 C T - EBF1_EBF_1 9 1 - 4.797596452651538 2.142657187203935 ACTTCCCGGGGAAC chr11 67826002 67826003 chr11:67826003:G:A rs7932383 G A - EBF1_EBF_1 -1 0 - 0 0 . chr11 67826277 67826278 chr11:67826278:G:A rs10896246 G A - EBF1_EBF_1 -20 0 + 0 0 . chr11 67848420 67848421 chr11:67848421:G:A rs35794504 G A - EBF1_EBF_1 -5 0 + 0 0 . chr11 67848436 67848437 chr11:67848437:A:G rs35180063 A G - EBF1_EBF_1 11 1 + 6.223311744844957 3.3306049012409455 GATCCCTAAGGACA chr11 67878852 67878853 chr11:67878853:C:T rs12271117 C T - EBF1_EBF_1 1 1 + 7.20085710410094 8.096290544499686 GCCCCCATGGGAGC chr11 67878875 67878876 chr11:67878876:G:T chr11:67878876:G:T G T - EBF1_EBF_1 24 0 + 0 0 . chr11 67946282 67946283 chr11:67946283:C:T rs191926027 C T - EBF1_EBF_1 -3 0 + 0 0 . chr11 68010202 68010203 chr11:68010203:C:T rs764686295 C T N EBF1_EBF_1 5 1 + 4.022265891558607 -1.2995213239820629 GCCCCCGAGGGAGG chr11 68010277 68010278 chr11:68010278:C:A rs553905596 C A c EBF1_EBF_1 -16 0 + 0 0 . chr11 68010287 68010288 chr11:68010288:C:A rs7117605 C A - EBF1_EBF_1 -6 0 + 0 0 . chr11 68013213 68013214 chr11:68013214:G:C rs374740800 G C g EBF1_EBF_1 22 0 + 0 0 . chr11 68017591 68017592 chr11:68017592:C:T rs475325 C T N EBF1_EBF_1 1 1 - 6.015857363270534 5.755158531349233 CGCCCCAAGGGAGG chr11 68017610 68017611 chr11:68017611:T:C rs574406632 T C t EBF1_EBF_1 -18 0 - 0 0 . chr11 68040474 68040475 chr11:68040475:T:G rs781694846 T G T EBF1_EBF_1 -8 0 - 0 0 . chr11 68043006 68043007 chr11:68043007:G:A rs186758849 G A G EBF1_EBF_1 2 1 - 4.498999671172535 6.387823082614686 GCCCCCCGGGGGCC chr11 68048624 68048625 chr11:68048625:G:C rs72926470 G C G EBF1_EBF_1 6 1 + 4.6725877254504935 6.477779788923691 AACCCCGTGGGCCT chr11 68128672 68128673 chr11:68128673:C:T rs186783650 C T C EBF1_EBF_1 3 1 + 8.166202194707802 1.7608034531544858 CCCCCCTTGGGACC chr11 68211266 68211267 chr11:68211267:C:G rs7118045 C G G EBF1_EBF_1 17 0 - 0 0 . chr11 68214063 68214064 chr11:68214064:C:T rs985954430 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 68214065 68214066 chr11:68214066:C:T rs944397410 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 68256493 68256494 chr11:68256494:T:C rs2458267 T C C EBF1_EBF_1 26 0 + 0 0 . chr11 68256936 68256937 chr11:68256937:G:A rs74977005 G A g EBF1_EBF_1 7 1 - 4.92097387456237 6.738478620844994 AGCCCCCCGGGCCT chr11 68313506 68313507 chr11:68313507:G:A chr11:68313507:G:A G A G EBF1_EBF_1 7 1 - 6.760305298670812 8.577810044953438 CTCCCCACGGGAGT chr11 68313530 68313531 chr11:68313531:C:T rs4988330 C T C EBF1_EBF_1 -17 0 - 0 0 . chr11 68337325 68337326 chr11:68337326:G:A rs140869488 G A G EBF1_EBF_1 -12 0 - 0 0 . chr11 68370623 68370624 chr11:68370624:C:G rs61179761 C G C EBF1_EBF_1 1 1 + 9.083829309507193 9.27360096407641 GCTCCCTAGAGACT chr11 68373313 68373314 chr11:68373314:G:A rs624947 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 68399954 68399955 chr11:68399955:C:G rs587397 C G G EBF1_EBF_1 -4 0 - 0 0 . chr11 68406720 68406721 chr11:68406721:G:A rs4988321 G A G EBF1_EBF_1 26 0 + 0 0 . chr11 68414906 68414907 chr11:68414907:T:C rs923346 T C T EBF1_EBF_1 -14 0 + 0 0 . chr11 68431168 68431169 chr11:68431169:C:T rs78859855 C T C EBF1_EBF_1 8 1 - 8.790714189539324 4.564424100653063 ACTCCCACGGGAGA chr11 68432865 68432866 chr11:68432866:G:A rs3781585 G A G EBF1_EBF_1 -15 0 + 0 0 . chr11 68432885 68432886 chr11:68432886:C:T rs7116912 C T C EBF1_EBF_1 5 1 + 5.714576087836238 0.39278887229556686 AGCCCCGAGGGGCA chr11 68432975 68432976 chr11:68432976:G:A rs3781584 G A G EBF1_EBF_1 6 1 - 4.081097758259128 4.382383887120886 CACCCCCGGGGAGG chr11 68437251 68437252 chr11:68437252:C:T rs3736231 C T C EBF1_EBF_1 -10 0 + 0 0 . chr11 68437677 68437678 chr11:68437678:G:T rs61670595 G T - EBF1_EBF_1 27 0 - 0 0 . chr11 68437703 68437704 chr11:68437704:C:T rs1893209 C T C EBF1_EBF_1 1 1 - 6.608845584499506 6.348146752578205 AGTCCCTTGAGGAA chr11 68439575 68439576 chr11:68439576:T:C rs115974399 T C T EBF1_EBF_1 21 0 - 0 0 . chr11 68446054 68446055 chr11:68446055:G:A rs554087609 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 68467353 68467354 chr11:68467354:T:C rs73516848 T C T EBF1_EBF_1 17 0 + 0 0 . chr11 68582651 68582652 chr11:68582652:T:C rs116989514 T C T EBF1_EBF_1 -5 0 - 0 0 . chr11 68645329 68645330 chr11:68645330:G:A rs7116363 G A G EBF1_EBF_1 31 0 - 0 0 . chr11 68650376 68650377 chr11:68650377:G:A rs77287655 G A G EBF1_EBF_1 6 1 - 7.130519849408678 7.431805978270436 TGTCCCCAGGGCCT chr11 68652458 68652459 chr11:68652459:C:T rs139571357 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 68670260 68670261 chr11:68670261:G:C rs11606043 G C G EBF1_EBF_1 2 1 - 4.582974853033509 -0.27652742572480854 GGCCCCCGGAGAAA chr11 68670784 68670785 chr11:68670785:A:G rs7117794 A G A EBF1_EBF_1 20 0 + 0 0 . chr11 68699392 68699393 chr11:68699393:T:A rs592973 T A T EBF1_EBF_1 -14 0 + 0 0 . chr11 68731557 68731558 chr11:68731558:T:A rs4433574 T A T EBF1_EBF_1 29 0 + 0 0 . chr11 68750361 68750362 chr11:68750362:A:G rs566463080 A G A EBF1_EBF_1 -10 0 + 0 0 . chr11 68763914 68763915 chr11:68763915:G:T rs72943224 G T G EBF1_EBF_1 22 0 + 0 0 . chr11 68832838 68832839 chr11:68832839:C:T rs916649423 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 68841161 68841162 chr11:68841162:G:A rs542826478 G A G EBF1_EBF_1 8 1 + 4.7051202410392685 0.4788301521530065 AATCCCGAGGGCCG chr11 68841185 68841186 chr11:68841186:G:A rs12272547 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 68855759 68855760 chr11:68855760:T:G rs11228383 T G G EBF1_EBF_1 32 0 + 0 0 . chr11 68891090 68891091 chr11:68891091:G:A rs191706894 G A G EBF1_EBF_1 18 0 + 0 0 . chr11 68900968 68900969 chr11:68900969:C:A rs482172 C A C EBF1_EBF_1 2 1 + 9.765804375019233 7.970160198862506 AGCCCCCTGGGAGT chr11 68904568 68904569 chr11:68904569:A:G rs111912683 A G A EBF1_EBF_1 -15 0 - 0 0 . chr11 68908461 68908462 chr11:68908462:G:T rs10896378 G T G EBF1_EBF_1 -2 0 - 0 0 . chr11 68911315 68911316 chr11:68911316:C:T rs188395003 C T C EBF1_EBF_1 9 1 - 6.963561424485768 4.308622159038164 CCTCCCCAGGGGCA chr11 68931948 68931949 chr11:68931949:G:T rs61887154 G T G EBF1_EBF_1 13 1 - 6.173010231582735 5.799264782296759 TCTCTCCAGGGAAC chr11 68935163 68935164 chr11:68935164:C:T rs737386 C T C EBF1_EBF_1 8 1 - 7.435277677444481 3.20898758855822 AGCCCCATGGGGAA chr11 68936490 68936491 chr11:68936491:A:G rs622082 A G A EBF1_EBF_1 18 0 + 0 0 . chr11 68937389 68937390 chr11:68937390:C:T rs77729566 C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 68993080 68993081 chr11:68993081:C:T rs12283870 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 69005068 69005069 chr11:69005069:C:A rs575166421 C A C EBF1_EBF_1 -18 0 - 0 0 . chr11 69005292 69005293 chr11:69005293:C:G rs11819876 C G C EBF1_EBF_1 7 1 - 8.317321353596617 7.336000772515262 GTCCCCCGGGGAAC chr11 69007854 69007855 chr11:69007855:G:A rs113895927 G A G EBF1_EBF_1 -7 0 - 0 0 . chr11 69018073 69018074 chr11:69018074:G:A rs67957737 G A G EBF1_EBF_1 1 1 + 5.153803984719049 4.893105152797746 CGTCCCCTGGGCCA chr11 69033239 69033240 chr11:69033240:G:T rs7112257 G T G EBF1_EBF_1 2 1 - 5.409962959656013 3.614318783499285 ACCCCCCCGGGGCC chr11 69035897 69035898 chr11:69035898:C:T rs36033734 C T C EBF1_EBF_1 12 1 + 4.792268804683733 3.7660022341328827 CATCCCAGGGGCCC chr11 69049646 69049647 chr11:69049647:C:G rs7128255 C G C EBF1_EBF_1 28 0 - 0 0 . chr11 69057441 69057442 chr11:69057442:G:A rs7931790 G A G EBF1_EBF_1 12 1 - 6.179350720539798 5.153084149988948 GTCCCCACGGGACG chr11 69061480 69061481 chr11:69061481:C:T rs72919428 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 69062757 69062758 chr11:69062758:G:A rs10792015 G A A EBF1_EBF_1 -19 0 + 0 0 . chr11 69063238 69063239 chr11:69063239:G:A rs3750971 G A A EBF1_EBF_1 -11 0 + 0 0 . chr11 69066234 69066235 chr11:69066235:G:C rs10896408 G C C EBF1_EBF_1 23 0 - 0 0 . chr11 69071002 69071003 chr11:69071003:C:G rs9666135 C G - EBF1_EBF_1 23 0 + 0 0 . chr11 69071865 69071866 chr11:69071866:G:A rs10896415 G A G EBF1_EBF_1 -10 0 - 0 0 . chr11 69076444 69076445 chr11:69076445:C:G rs6591371 C G G EBF1_EBF_1 10 1 - 9.812518410458885 2.921716023129413 AGTCCCCAGGGGAC chr11 69076463 69076464 chr11:69076464:C:T rs7937006 C T C EBF1_EBF_1 -9 0 - 0 0 . chr11 69080218 69080219 chr11:69080219:C:G rs2290418 C G C EBF1_EBF_1 10 1 - 7.651546480541578 0.7607440932121026 GGTCCCCTGAGACC chr11 69080838 69080839 chr11:69080839:G:T rs369176305 G T G EBF1_EBF_1 4 1 - 5.394716000514462 -0.42984362293900635 CCCCCCAAGGTAAT chr11 69087894 69087895 chr11:69087895:G:A rs3829241 G A G EBF1_EBF_1 29 0 + 0 0 . chr11 69095855 69095856 chr11:69095856:G:A rs10896422 G A G EBF1_EBF_1 26 0 - 0 0 . chr11 69100823 69100824 chr11:69100824:A:G rs2123760 A G G EBF1_EBF_1 6 1 - 5.079586102492452 4.778299973630695 AGCCCCTTGAGAGG chr11 69100846 69100847 chr11:69100847:A:C rs2123759 A C A EBF1_EBF_1 -17 0 - 0 0 . chr11 69104815 69104816 chr11:69104816:A:G rs1869446 A G G EBF1_EBF_1 28 0 + 0 0 . chr11 69105374 69105375 chr11:69105375:C:T rs72930659 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 69117074 69117075 chr11:69117075:C:T rs3018691 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 69121898 69121899 chr11:69121899:C:T rs2924552 C T C EBF1_EBF_1 12 1 + 11.366555268740955 10.340288698190106 GATCCCCAGGGACT chr11 69137943 69137944 chr11:69137944:G:A rs11228518 G A G EBF1_EBF_1 27 0 + 0 0 . chr11 69152020 69152021 chr11:69152021:C:T rs7104354 C T C EBF1_EBF_1 13 1 - 5.17034120219438 6.284666774263819 TGTCCCCAGAGAGG chr11 69153742 69153743 chr11:69153743:C:T rs10792025 C T C EBF1_EBF_1 27 0 - 0 0 . chr11 69155772 69155773 chr11:69155773:G:A rs10792026 G A A EBF1_EBF_1 -7 0 + 0 0 . chr11 69179222 69179223 chr11:69179223:G:A rs12420758 G A G EBF1_EBF_1 27 0 - 0 0 . chr11 69179255 69179256 chr11:69179256:C:G rs12420735 C G C EBF1_EBF_1 -6 0 - 0 0 . chr11 69200681 69200682 chr11:69200682:C:A rs78977588 C A C EBF1_EBF_1 -18 0 + 0 0 . chr11 69201958 69201959 chr11:69201959:C:T rs140656975 C T C EBF1_EBF_1 5 1 + 6.227532936571718 0.9057457210310483 CTTCCCGGGAGAAT chr11 69206148 69206149 chr11:69206149:G:A rs1236811732 G A G EBF1_EBF_1 -1 0 - 0 0 . chr11 69232104 69232105 chr11:69232105:C:T rs77923269 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 69242770 69242771 chr11:69242771:T:G rs12293259 T G G EBF1_EBF_1 30 0 + 0 0 . chr11 69262359 69262360 chr11:69262360:G:A rs11228602 G A G EBF1_EBF_1 -1 0 - 0 0 . chr11 69278117 69278118 chr11:69278118:G:A rs554741389 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 69288440 69288441 chr11:69288441:C:T rs181737484 C T C EBF1_EBF_1 -16 0 + 0 0 . chr11 69296260 69296261 chr11:69296261:G:C rs11228610 G C G EBF1_EBF_1 2 1 - 4.7697227226667325 -0.08977955609158528 CCCCCCCAGGGCCT chr11 69297379 69297380 chr11:69297380:C:T rs188005776 C T C EBF1_EBF_1 8 1 - 3.6266781912592068 -0.599611897627055 TGCCCCCCGGGGCC chr11 69297390 69297391 chr11:69297391:C:T rs192643785 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 69300522 69300523 chr11:69300523:T:G rs116825467 T G T EBF1_EBF_1 15 0 + 0 0 . chr11 69301645 69301646 chr11:69301646:C:A rs116445621 C A C EBF1_EBF_1 23 0 - 0 0 . chr11 69304156 69304157 chr11:69304157:G:A rs11228619 G A A EBF1_EBF_1 -16 0 + 0 0 . chr11 69306179 69306180 chr11:69306180:A:G rs10896461 A G G EBF1_EBF_1 31 0 + 0 0 . chr11 69307717 69307718 chr11:69307718:A:G rs7115610 A G - EBF1_EBF_1 -1 0 - 0 0 . chr11 69307824 69307825 chr11:69307825:G:C rs7116072 G C C EBF1_EBF_1 -12 0 - 0 0 . chr11 69337495 69337496 chr11:69337496:C:T rs111256110 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 69343790 69343791 chr11:69343791:C:T rs6606646 C T C EBF1_EBF_1 -14 0 - 0 0 . chr11 69358549 69358550 chr11:69358550:C:A rs11600497 C A C EBF1_EBF_1 23 0 - 0 0 . chr11 69371118 69371119 chr11:69371119:G:A rs35023704 G A G EBF1_EBF_1 4 1 - 4.384842915621089 0.015455970676164013 ACACCCCAGGGCCC chr11 69371123 69371124 chr11:69371124:G:C rs116390933 G C G EBF1_EBF_1 -1 0 - 0 0 . chr11 69381220 69381221 chr11:69381221:T:C rs7481188 T C T EBF1_EBF_1 17 0 - 0 0 . chr11 69384067 69384068 chr11:69384068:A:G rs9888194 A G A EBF1_EBF_1 -5 0 + 0 0 . chr11 69393923 69393924 chr11:69393924:A:G rs56170580 A G A EBF1_EBF_1 26 0 + 0 0 . chr11 69394595 69394596 chr11:69394596:G:A rs56094656 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 69395714 69395715 chr11:69395715:T:C rs6606669 T C C EBF1_EBF_1 18 0 - 0 0 . chr11 69395732 69395733 chr11:69395733:T:C rs6606670 T C C EBF1_EBF_1 0 1 - 6.14097717125454 4.248693301689706 ACTCCCCAGGAATC chr11 69396350 69396351 chr11:69396351:A:G rs117919006 A G A EBF1_EBF_1 -13 0 + 0 0 . chr11 69432509 69432510 chr11:69432510:C:T rs12421283 C T C EBF1_EBF_1 9 1 - 7.462203132980302 4.807263867532699 ATTCCCCTGGGCTC chr11 69437392 69437393 chr11:69437393:C:T rs76219794 C T C EBF1_EBF_1 21 0 + 0 0 . chr11 69439023 69439024 chr11:69439024:C:A rs12799166 C A C EBF1_EBF_1 -10 0 + 0 0 . chr11 69454314 69454315 chr11:69454315:A:C rs7396950 A C C EBF1_EBF_1 30 0 + 0 0 . chr11 69463319 69463320 chr11:69463320:C:T rs115279846 C T C EBF1_EBF_1 30 0 + 0 0 . chr11 69463529 69463530 chr11:69463530:G:A rs73526893 G A G EBF1_EBF_1 33 0 - 0 0 . chr11 69463550 69463551 chr11:69463551:T:C rs10908184 T C C EBF1_EBF_1 12 1 - 8.085940727172321 6.667123385072846 AGTCCCCTGAGAAG chr11 69464132 69464133 chr11:69464133:G:C rs1938682 G C G EBF1_EBF_1 -11 0 + 0 0 . chr11 69465547 69465548 chr11:69465548:T:G rs67897213 T G - EBF1_EBF_1 -16 0 - 0 0 . chr11 69465681 69465682 chr11:69465682:G:A rs67989611 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 69474956 69474957 chr11:69474957:C:G rs110044 C G G EBF1_EBF_1 9 1 - 4.523807434088848 -0.5518817122566155 CACCCCTTGGGCCT chr11 69474968 69474969 chr11:69474969:C:T rs72927565 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 69478416 69478417 chr11:69478417:G:A rs536934381 G A G EBF1_EBF_1 8 1 + 11.663871579214502 7.437581490328244 AGTCCCTGGGGACC chr11 69486727 69486728 chr11:69486728:C:T rs113165381 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 69493223 69493224 chr11:69493224:C:T rs2298765 C T C EBF1_EBF_1 6 1 - 5.975058511260769 8.141852942535243 ATTCCCGTGGGTTC chr11 69505752 69505753 chr11:69505753:A:G rs12282329 A G A EBF1_EBF_1 -7 0 - 0 0 . chr11 69514276 69514277 chr11:69514277:G:A rs72927602 G A G EBF1_EBF_1 17 0 + 0 0 . chr11 69529273 69529274 chr11:69529274:G:A rs548438790 G A G EBF1_EBF_1 -14 0 - 0 0 . chr11 69540308 69540309 chr11:69540309:C:T rs872439 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 69540313 69540314 chr11:69540314:G:A rs872440 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 69540322 69540323 chr11:69540323:G:A rs114624531 G A G EBF1_EBF_1 6 1 - 9.687839534240245 9.989125663102003 TGCCCCCAGGGAAT chr11 69558256 69558257 chr11:69558257:T:C rs872515 T C T EBF1_EBF_1 -10 0 + 0 0 . chr11 69576078 69576079 chr11:69576079:G:A rs564650 G A C EBF1_EBF_1 -17 0 + 0 0 . chr11 69579561 69579562 chr11:69579562:A:T rs638751 A T A EBF1_EBF_1 -14 0 - 0 0 . chr11 69597875 69597876 chr11:69597876:C:T rs79174396 C T C EBF1_EBF_1 -8 0 - 0 0 . chr11 69602881 69602882 chr11:69602882:C:T rs12273581 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 69627824 69627825 chr11:69627825:C:T rs115322752 C T C EBF1_EBF_1 -20 0 + 0 0 . chr11 69640100 69640101 chr11:69640101:T:C rs187210029 T C T EBF1_EBF_1 -20 0 - 0 0 . chr11 69646413 69646414 chr11:69646414:C:T rs3212870 C T C EBF1_EBF_1 24 0 - 0 0 . chr11 69648361 69648362 chr11:69648362:A:G rs183501442 A G A EBF1_EBF_1 14 0 - 0 0 . chr11 69655217 69655218 chr11:69655218:A:G rs3212920 A G . EBF1_EBF_1 -10 0 + 0 0 . chr11 69670450 69670451 chr11:69670451:A:T rs114826791 A T A EBF1_EBF_1 11 1 + 11.878164830832691 7.780250574733967 ATTCCCCTGGGATC chr11 69674781 69674782 chr11:69674782:G:C rs12271488 G C G EBF1_EBF_1 29 0 + 0 0 . chr11 69697905 69697906 chr11:69697906:A:G rs1192929 A G A EBF1_EBF_1 29 0 + 0 0 . chr11 69701213 69701214 chr11:69701214:A:T rs150792468 A T A EBF1_EBF_1 25 0 - 0 0 . chr11 69701256 69701257 chr11:69701257:C:T rs72475636 C T C EBF1_EBF_1 -18 0 - 0 0 . chr11 69721237 69721238 chr11:69721238:C:A chr11:69721238:C:A C A C EBF1_EBF_1 17 0 + 0 0 . chr11 69745634 69745635 chr11:69745635:G:C rs553597724 G C G EBF1_EBF_1 2 1 - 7.083491423772502 2.223989145014185 GGCCCCCAGAGACT chr11 69746887 69746888 chr11:69746888:C:T rs12786544 C T C EBF1_EBF_1 0 1 + 5.240359137391318 5.522561198121895 CGTCCCCTGAGGCT chr11 69748568 69748569 chr11:69748569:G:A rs61882844 G A G EBF1_EBF_1 -6 0 - 0 0 . chr11 69775160 69775161 chr11:69775161:T:G rs117286640 T G G EBF1_EBF_1 11 1 - 5.005946260308727 0.5899845624563373 GCTCCCGCGGGACG chr11 69776930 69776931 chr11:69776931:A:C rs10908216 A C C EBF1_EBF_1 22 0 - 0 0 . chr11 69792656 69792657 chr11:69792657:T:C rs10752568 T C T EBF1_EBF_1 11 1 - 10.598233591873713 7.705526748269703 CCTCCCCTGGGACT chr11 69797519 69797520 chr11:69797520:C:T rs3897662 C T C EBF1_EBF_1 5 1 + 8.258982112186093 2.9371948966454235 ACTCCCCAAGGACC chr11 69809097 69809098 chr11:69809098:G:A rs11263585 G A g EBF1_EBF_1 25 0 - 0 0 . chr11 69810742 69810743 chr11:69810743:T:C rs7932320 T C C EBF1_EBF_1 -4 0 - 0 0 . chr11 69813330 69813331 chr11:69813331:A:G rs12224505 A G G EBF1_EBF_1 -1 0 + 0 0 . chr11 69815908 69815909 chr11:69815909:T:C rs10796856 T C C EBF1_EBF_1 -13 0 + 0 0 . chr11 69821298 69821299 chr11:69821299:T:C rs3926884 T C C EBF1_EBF_1 -19 0 + 0 0 . chr11 69821721 69821722 chr11:69821722:C:T rs12287852 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 69831467 69831468 chr11:69831468:A:G rs11263605 A G A EBF1_EBF_1 0 1 + 4.241648782534893 2.3493649129700582 AGCACCAAGGGACC chr11 69831494 69831495 chr11:69831495:G:C rs114538473 G C G EBF1_EBF_1 27 0 + 0 0 . chr11 69833556 69833557 chr11:69833557:C:T rs142309745 C T C EBF1_EBF_1 3 1 + 5.193048537206594 -1.2123502043467227 CTCCCCCAGAGAGC chr11 69833561 69833562 chr11:69833562:G:C rs10732957 G C C EBF1_EBF_1 8 1 + 5.193048537206594 -0.1256103237080914 CTCCCCCAGAGAGC chr11 69862954 69862955 chr11:69862955:G:T rs7479460 G T G EBF1_EBF_1 23 0 - 0 0 . chr11 69863035 69863036 chr11:69863036:A:G rs11263618 A G A EBF1_EBF_1 2 1 + 5.043005319138469 1.9791472165368782 CCACCCCTGGGATC chr11 69868147 69868148 chr11:69868148:C:T rs6421989 C T T EBF1_EBF_1 9 1 - 4.3986408948140125 1.7437016293664074 ACTCCCTGGGTGCC chr11 69874898 69874899 chr11:69874899:C:A rs141495624 C A C EBF1_EBF_1 29 0 - 0 0 . chr11 69876501 69876502 chr11:69876502:C:T rs11602376 C T C EBF1_EBF_1 -3 0 + 0 0 . chr11 69879162 69879163 chr11:69879163:G:A rs148079369 G A G EBF1_EBF_1 7 1 - 5.0444169572936435 6.861921703576267 CCTCCCACGGGTCT chr11 69893707 69893708 chr11:69893708:A:G rs28624194 A G G EBF1_EBF_1 -1 0 - 0 0 . chr11 69894346 69894347 chr11:69894347:C:T rs149897361 C T C EBF1_EBF_1 6 1 - 6.466690788819961 8.633485220094435 AGCCCCGAGGGATG chr11 69894363 69894364 chr11:69894364:G:A rs28535338 G A G EBF1_EBF_1 -11 0 - 0 0 . chr11 69900827 69900828 chr11:69900828:A:C chr11:69900828:A:C A C A EBF1_EBF_1 -12 0 + 0 0 . chr11 69910269 69910270 chr11:69910270:G:A rs985797341 G A G EBF1_EBF_1 6 1 - 5.9930528389383735 6.29433896780013 GGTCCCCAGGGCCA chr11 69911134 69911135 chr11:69911135:C:T rs28481352 C T C EBF1_EBF_1 -12 0 + 0 0 . chr11 69913331 69913332 chr11:69913332:C:T rs968486 C T C EBF1_EBF_1 -9 0 - 0 0 . chr11 69913775 69913776 chr11:69913776:T:C rs2375271 T C C EBF1_EBF_1 20 0 + 0 0 . chr11 69916130 69916131 chr11:69916131:C:T rs1025546235 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 69916140 69916141 chr11:69916141:G:A rs1006675634 G A G EBF1_EBF_1 8 1 + 8.886791524722817 4.660501435836554 CTTCCCCTGGGAGA chr11 69919054 69919055 chr11:69919055:G:C rs386829467 G C G EBF1_EBF_1 7 1 - 5.44968798480751 6.431008565888866 GCCCCCGCGGGACT chr11 69919065 69919066 chr11:69919066:T:C rs960709139 T C T EBF1_EBF_1 -4 0 - 0 0 . chr11 69926408 69926409 chr11:69926409:T:C rs902873 T C T EBF1_EBF_1 28 0 + 0 0 . chr11 69939161 69939162 chr11:69939162:A:G rs28562242 A G G EBF1_EBF_1 7 1 + 11.789707900768022 10.477249495479196 GTTCCCTAGGGACC chr11 69965105 69965106 chr11:69965106:G:C rs56312015 G C G EBF1_EBF_1 32 0 - 0 0 . chr11 69967138 69967139 chr11:69967139:C:T rs10897963 C T C EBF1_EBF_1 13 1 + 6.957058188054175 7.801552302639693 GCTCCCTGGAGAAC chr11 69970457 69970458 chr11:69970458:G:A rs7951108 G A G EBF1_EBF_1 -15 0 - 0 0 . chr11 69970461 69970462 chr11:69970462:C:T rs59390627 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 69973521 69973522 chr11:69973522:C:T rs7937735 C T T EBF1_EBF_1 -9 0 - 0 0 . chr11 69973522 69973523 chr11:69973523:T:C rs7946694 T C C EBF1_EBF_1 -10 0 - 0 0 . chr11 69978049 69978050 chr11:69978050:G:A rs12360667 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 69978084 69978085 chr11:69978085:A:C rs12365443 A C A EBF1_EBF_1 -13 0 - 0 0 . chr11 69985595 69985596 chr11:69985596:G:A rs7940681 G A G EBF1_EBF_1 -13 0 + 0 0 . chr11 69985821 69985822 chr11:69985822:G:T rs1016233775 G T G EBF1_EBF_1 26 0 - 0 0 . chr11 69985847 69985848 chr11:69985848:T:C rs6592514 T C C EBF1_EBF_1 0 1 - 6.209511084772037 4.317227215207203 ACCCCCCGGGGGTT chr11 69986163 69986164 chr11:69986164:T:C rs6592515 T C C EBF1_EBF_1 23 0 + 0 0 . chr11 69999895 69999896 chr11:69999896:T:C rs7111542 T C C EBF1_EBF_1 -4 0 - 0 0 . chr11 70000097 70000098 chr11:70000098:T:C rs7111795 T C T EBF1_EBF_1 33 0 + 0 0 . chr11 70000187 70000188 chr11:70000188:C:T rs7102298 C T T EBF1_EBF_1 -10 0 - 0 0 . chr11 70004092 70004093 chr11:70004093:A:G rs10899055 A G G EBF1_EBF_1 18 0 + 0 0 . chr11 70004106 70004107 chr11:70004107:C:A rs10899056 C A C EBF1_EBF_1 32 0 + 0 0 . chr11 70004879 70004880 chr11:70004880:A:G rs7117539 A G A EBF1_EBF_1 7 1 - 5.140109040674697 3.3226042943920744 ACCCACCTGGGACT chr11 70024581 70024582 chr11:70024582:C:G rs148403908 C G C EBF1_EBF_1 -6 0 + 0 0 . chr11 70024606 70024607 chr11:70024607:G:A rs4980745 G A G EBF1_EBF_1 19 0 + 0 0 . chr11 70032370 70032371 chr11:70032371:C:G rs4980749 C G C EBF1_EBF_1 10 1 - 5.175579441187721 -1.7152229461417539 TCTCCCAGGGGCAC chr11 70033201 70033202 chr11:70033202:G:A rs78907260 G A G EBF1_EBF_1 9 1 + 4.763851637061816 2.108912371614211 CCTGCCAAGGGACC chr11 70035004 70035005 chr11:70035005:T:C rs4980584 T C C EBF1_EBF_1 -18 0 + 0 0 . chr11 70038684 70038685 chr11:70038685:C:T rs10899519 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 70062869 70062870 chr11:70062870:G:A rs3924197 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 70068192 70068193 chr11:70068193:C:T rs117728018 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 70068200 70068201 chr11:70068201:C:A rs117198715 C A C EBF1_EBF_1 11 1 - 7.547049614078103 6.341842201583389 TCTCCCTAGGGGCA chr11 70069180 70069181 chr11:70069181:C:G rs11232712 C G G EBF1_EBF_1 -16 0 - 0 0 . chr11 70072259 70072260 chr11:70072260:A:G rs76122970 A G A EBF1_EBF_1 -20 0 + 0 0 . chr11 70074027 70074028 chr11:70074028:T:A rs10897911 T A T EBF1_EBF_1 -18 0 + 0 0 . chr11 70076963 70076964 chr11:70076964:C:G rs370005163 C G C EBF1_EBF_1 29 0 + 0 0 . chr11 70077431 70077432 chr11:70077432:G:T rs1940238 G T T EBF1_EBF_1 21 0 - 0 0 . chr11 70077893 70077894 chr11:70077894:G:A rs576006522 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 70078810 70078811 chr11:70078811:G:A rs144393765 G A g EBF1_EBF_1 -4 0 - 0 0 . chr11 70104673 70104674 chr11:70104674:G:A rs529334228 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 70109192 70109193 chr11:70109193:G:T rs1893086 G T G EBF1_EBF_1 20 0 + 0 0 . chr11 70110901 70110902 chr11:70110902:G:A rs2509185 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 70111810 70111811 chr11:70111811:A:G rs9666157 A G A EBF1_EBF_1 -16 0 + 0 0 . chr11 70111833 70111834 chr11:70111834:C:A rs2515251 C A A EBF1_EBF_1 7 1 + 6.914033859581872 9.207812845952054 ACCCCCCCGGGAGC chr11 70124785 70124786 chr11:70124786:C:T rs138613420 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 70125026 70125027 chr11:70125027:C:T rs7105606 C T c EBF1_EBF_1 -7 0 + 0 0 . chr11 70142533 70142534 chr11:70142534:G:A rs977938898 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 70233859 70233860 chr11:70233860:C:A rs7931727 C A C EBF1_EBF_1 -5 0 + 0 0 . chr11 70233896 70233897 chr11:70233897:G:A chr11:70233897:G:A G A G EBF1_EBF_1 32 0 + 0 0 . chr11 70251808 70251809 chr11:70251809:A:G rs61887380 A G A EBF1_EBF_1 33 0 - 0 0 . chr11 70251834 70251835 chr11:70251835:C:T rs12280420 C T c EBF1_EBF_1 7 1 - 7.459665689152842 8.772124094441665 CCTCCCCGGGGAAG chr11 70281643 70281644 chr11:70281644:G:A rs55905028 G A G EBF1_EBF_1 -16 0 - 0 0 . chr11 70284943 70284944 chr11:70284944:C:T rs117251985 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 70328565 70328566 chr11:70328566:A:G rs561313524 A G A EBF1_EBF_1 22 0 - 0 0 . chr11 70359939 70359940 chr11:70359940:G:A rs2298411 G A A EBF1_EBF_1 -13 0 + 0 0 . chr11 70363894 70363895 chr11:70363895:T:C rs7950916 T C t EBF1_EBF_1 -2 0 - 0 0 . chr11 70398088 70398089 chr11:70398089:T:C rs137953338 T C T EBF1_EBF_1 -19 0 - 0 0 . chr11 70398438 70398439 chr11:70398439:C:T chr11:70398439:C:T C T C EBF1_EBF_1 5 1 + 5.6490075266931985 0.3272203111525284 GGACCCCCGGGACT chr11 70398448 70398449 chr11:70398449:T:C rs115719351 T C T EBF1_EBF_1 15 0 + 0 0 . chr11 70398454 70398455 chr11:70398455:G:A rs374739904 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 70398455 70398456 chr11:70398456:C:A rs1006760052 C A C EBF1_EBF_1 22 0 + 0 0 . chr11 70492320 70492321 chr11:70492321:G:T rs151029233 G T G EBF1_EBF_1 9 1 + 5.473539146295337 -1.363450261793473 GGTCCCAAGGTACG chr11 70510270 70510271 chr11:70510271:G:A rs56342940 G A G EBF1_EBF_1 25 0 - 0 0 . chr11 70524224 70524225 chr11:70524225:A:G rs114728489 A G a EBF1_EBF_1 0 1 + 5.303933725920974 3.411649856356141 ACCCCCTGGGGGGC chr11 70526844 70526845 chr11:70526845:T:C rs79056226 T C T EBF1_EBF_1 30 0 - 0 0 . chr11 70526853 70526854 chr11:70526854:C:T rs74756963 C T C EBF1_EBF_1 21 0 - 0 0 . chr11 70533099 70533100 chr11:70533100:G:A rs12794850 G A G EBF1_EBF_1 20 0 - 0 0 . chr11 70538668 70538669 chr11:70538669:G:T rs9804590 G T G EBF1_EBF_1 -12 0 + 0 0 . chr11 70543566 70543567 chr11:70543567:C:T rs7125142 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 70544178 70544179 chr11:70544179:A:G rs73522160 A G G EBF1_EBF_1 -9 0 - 0 0 . chr11 70544920 70544921 chr11:70544921:G:A rs35444854 G A G EBF1_EBF_1 31 0 - 0 0 . chr11 70546038 70546039 chr11:70546039:G:T rs7102402 G T G EBF1_EBF_1 9 1 + 6.353727820727005 -0.48326158736180486 ACCCCCTTGGGTAC chr11 70548982 70548983 chr11:70548983:C:T rs11236603 C T C EBF1_EBF_1 6 1 + 5.665156163065478 5.966442291927236 CTCCCCCAGGGCCT chr11 70552139 70552140 chr11:70552140:T:C rs7941537 T C C EBF1_EBF_1 31 0 + 0 0 . chr11 70558617 70558618 chr11:70558618:A:G rs75117037 A G A EBF1_EBF_1 -11 0 - 0 0 . chr11 70569249 70569250 chr11:70569250:C:G rs118071704 C G C EBF1_EBF_1 11 1 - 5.834766063133335 4.311511208884957 CCTCCCCTGGGGTC chr11 70570755 70570756 chr11:70570756:C:T rs12285102 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 70571121 70571122 chr11:70571122:C:T rs76196999 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 70574592 70574593 chr11:70574593:G:A rs12146599 G A G EBF1_EBF_1 -1 0 - 0 0 . chr11 70578453 70578454 chr11:70578454:C:T rs11236714 C T C EBF1_EBF_1 -14 0 - 0 0 . chr11 70581849 70581850 chr11:70581850:G:A rs7103613 G A G EBF1_EBF_1 17 0 - 0 0 . chr11 70581857 70581858 chr11:70581858:C:T rs7115765 C T C EBF1_EBF_1 9 1 - 5.384883973148586 2.7299447077009824 CTTCCCAGGGGCCA chr11 70586743 70586744 chr11:70586744:G:A rs117968651 G A G EBF1_EBF_1 -15 0 - 0 0 . chr11 70592248 70592249 chr11:70592249:A:G rs189011048 A G A EBF1_EBF_1 -16 0 + 0 0 . chr11 70593514 70593515 chr11:70593515:C:T rs12419192 C T C EBF1_EBF_1 -11 0 + 0 0 . chr11 70597405 70597406 chr11:70597406:T:C rs4980621 T C C EBF1_EBF_1 -16 0 + 0 0 . chr11 70597433 70597434 chr11:70597434:G:A rs4980622 G A G EBF1_EBF_1 12 1 + 4.778299973630695 6.197117315730172 AGCCCCCTGAGAGG chr11 70619668 70619669 chr11:70619669:A:G rs869612 A G G EBF1_EBF_1 -6 0 + 0 0 . chr11 70629519 70629520 chr11:70629520:C:T rs549885208 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 70631764 70631765 chr11:70631765:G:T rs473513 G T G EBF1_EBF_1 -19 0 + 0 0 . chr11 70642292 70642293 chr11:70642293:A:T rs7946437 A T t EBF1_EBF_1 -3 0 - 0 0 . chr11 70663671 70663672 chr11:70663672:C:T rs471931 C T C EBF1_EBF_1 -12 0 + 0 0 . chr11 70734818 70734819 chr11:70734819:C:T rs34727827 C T T EBF1_EBF_1 19 0 - 0 0 . chr11 70737095 70737096 chr11:70737096:C:T rs546623249 C T A EBF1_EBF_1 30 0 - 0 0 . chr11 70738591 70738592 chr11:70738592:T:C rs575863 T C T EBF1_EBF_1 -13 0 + 0 0 . chr11 70739668 70739669 chr11:70739669:G:C rs191422873 G C G EBF1_EBF_1 -17 0 - 0 0 . chr11 70745632 70745633 chr11:70745633:G:A rs550832 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 70769274 70769275 chr11:70769275:C:T rs10793292 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 70873791 70873792 chr11:70873792:A:G rs17346526 A G A EBF1_EBF_1 12 1 - 8.618467315435536 9.644733885986385 AATCCCATGAGATC chr11 70906736 70906737 chr11:70906737:G:A rs924744 G A G EBF1_EBF_1 10 1 + 5.419108684775824 0.10108022550885787 AGACCCCAGGGCCT chr11 70906909 70906910 chr11:70906910:G:A rs3020092 G A G EBF1_EBF_1 -11 0 + 0 0 . chr11 70949356 70949357 chr11:70949357:C:G rs760529403 C G C EBF1_EBF_1 9 1 - 5.829867611535845 0.7541784651903812 CGCCCCCGGGGAGC chr11 71088577 71088578 chr11:71088578:C:A rs951960095 C A A EBF1_EBF_1 -12 0 - 0 0 . chr11 71089486 71089487 chr11:71089487:A:C rs970408165 A C G EBF1_EBF_1 -11 0 + 0 0 . chr11 71089505 71089506 chr11:71089506:G:A rs951010477 G A G EBF1_EBF_1 8 1 + 6.439195085786027 2.212904996899765 CCCCCCACGGGAAC chr11 71108723 71108724 chr11:71108724:T:C rs11827984 T C C EBF1_EBF_1 11 1 - 6.100693596107749 3.2079867525037375 TGCCCCCGGGGATA chr11 71130843 71130844 chr11:71130844:G:A rs10897624 G A G EBF1_EBF_1 13 1 + 6.1900468413984555 7.3043724134678945 AGACCCCGGGGACG chr11 71140191 71140192 chr11:71140192:G:A rs191200155 G A G EBF1_EBF_1 3 1 - 5.071896173306258 -1.33350256824706 AGCCCCTGGAGGCT chr11 71143442 71143443 chr11:71143443:C:T rs72957690 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 71153221 71153222 chr11:71153222:A:G rs11232301 A G G EBF1_EBF_1 25 0 + 0 0 . chr11 71155799 71155800 chr11:71155800:C:A rs11600153 C A C EBF1_EBF_1 5 1 + 3.8954180568699472 -3.0094630957652218 AGCCCCCAGGCACC chr11 71155816 71155817 chr11:71155817:A:T rs116546727 A T A EBF1_EBF_1 22 0 + 0 0 . chr11 71170882 71170883 chr11:71170883:A:C rs4245462 A C C EBF1_EBF_1 -5 0 - 0 0 . chr11 71180114 71180115 chr11:71180115:C:T rs7124405 C T C EBF1_EBF_1 6 1 + 5.289103045342982 5.59038917420474 ATTTCCCGGGGACA chr11 71209856 71209857 chr11:71209857:A:G rs117254021 A G G EBF1_EBF_1 21 0 + 0 0 . chr11 71241045 71241046 chr11:71241046:G:T rs138798966 G T G EBF1_EBF_1 -20 0 + 0 0 . chr11 71248728 71248729 chr11:71248729:T:C rs10501406 T C T EBF1_EBF_1 31 0 - 0 0 . chr11 71251747 71251748 chr11:71251748:G:A rs113761288 G A G EBF1_EBF_1 8 1 + 4.441538797975914 0.2152487090896521 CGACCCCCGGGACC chr11 71251907 71251908 chr11:71251908:G:C rs969262544 G C G EBF1_EBF_1 -11 0 - 0 0 . chr11 71251911 71251912 chr11:71251912:C:T rs4415788 C T C EBF1_EBF_1 -15 0 - 0 0 . chr11 71252814 71252815 chr11:71252815:C:T rs4078937 C T C EBF1_EBF_1 29 0 + 0 0 . chr11 71254349 71254350 chr11:71254350:C:T rs117344430 C T C EBF1_EBF_1 5 1 + 9.93866226528084 4.616875049740173 CTTCCCTAGGGACG chr11 71255950 71255951 chr11:71255951:C:T rs10431158 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 71256133 71256134 chr11:71256134:A:G rs78842717 A G G EBF1_EBF_1 -4 0 + 0 0 . chr11 71256172 71256173 chr11:71256173:A:G rs10431159 A G G EBF1_EBF_1 30 0 - 0 0 . chr11 71256196 71256197 chr11:71256197:T:G rs78387150 T G T EBF1_EBF_1 6 1 - 8.081344192397918 7.71974182459664 ACCCCCAAGGGAGG chr11 71256219 71256220 chr11:71256220:G:A rs10751060 G A A EBF1_EBF_1 -17 0 - 0 0 . chr11 71284841 71284842 chr11:71284842:A:C rs571410274 A C A EBF1_EBF_1 -11 0 + 0 0 . chr11 71295176 71295177 chr11:71295177:G:A rs11232999 G A A EBF1_EBF_1 10 1 + 5.1159102536939525 -0.2021182055730133 CTTGCCCAGGGATT chr11 71299277 71299278 chr11:71299278:C:T rs73536035 C T C EBF1_EBF_1 16 0 + 0 0 . chr11 71301400 71301401 chr11:71301401:T:C rs1792212 T C C EBF1_EBF_1 22 0 - 0 0 . chr11 71309486 71309487 chr11:71309487:C:G rs147343872 C G C EBF1_EBF_1 27 0 - 0 0 . chr11 71309507 71309508 chr11:71309508:G:A rs7119697 G A G EBF1_EBF_1 6 1 - 4.873980102961174 5.175266231822932 CCTCCCCGGAGAGC chr11 71309515 71309516 chr11:71309516:G:A rs76244081 G A G EBF1_EBF_1 -2 0 - 0 0 . chr11 71311759 71311760 chr11:71311760:C:T rs116642510 C T C EBF1_EBF_1 -1 0 + 0 0 . chr11 71323766 71323767 chr11:71323767:G:A rs113057660 G A G EBF1_EBF_1 -12 0 + 0 0 . chr11 71332860 71332861 chr11:71332861:C:T rs59403326 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 71334793 71334794 chr11:71334794:T:G rs731054 T G T EBF1_EBF_1 31 0 + 0 0 . chr11 71339933 71339934 chr11:71339934:C:G rs140556813 C G C EBF1_EBF_1 8 1 - 11.003580654609186 5.684921793694501 CATCCCCAGGGACT chr11 71340384 71340385 chr11:71340385:G:T rs10897994 G T T EBF1_EBF_1 31 0 - 0 0 . chr11 71342251 71342252 chr11:71342252:C:T rs7110391 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 71384253 71384254 chr11:71384254:T:G rs1792252 T G G EBF1_EBF_1 -8 0 - 0 0 . chr11 71390240 71390241 chr11:71390241:C:T rs1792220 C T C EBF1_EBF_1 -5 0 - 0 0 . chr11 71400135 71400136 chr11:71400136:C:T rs1792329 C T G EBF1_EBF_1 20 0 - 0 0 . chr11 71420341 71420342 chr11:71420342:T:C rs1790337 T C C EBF1_EBF_1 -1 0 - 0 0 . chr11 71421821 71421822 chr11:71421822:A:G rs12803256 A G A EBF1_EBF_1 33 0 - 0 0 . chr11 71443685 71443686 chr11:71443686:C:T rs576363566 C T C EBF1_EBF_1 22 0 - 0 0 . chr11 71472799 71472800 chr11:71472800:G:A rs4616066 G A G EBF1_EBF_1 11 1 + 8.110873811005176 11.003580654609186 CATCCCCAGGGGCT chr11 71475697 71475698 chr11:71475698:G:A rs3794057 G A G EBF1_EBF_1 -4 0 - 0 0 . chr11 71480631 71480632 chr11:71480632:G:A rs569014456 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 71485070 71485071 chr11:71485071:C:T rs78171773 C T C EBF1_EBF_1 32 0 + 0 0 . chr11 71504435 71504436 chr11:71504436:G:C rs12287714 G C G EBF1_EBF_1 29 0 + 0 0 . chr11 71504436 71504437 chr11:71504437:T:G rs12283177 T G G EBF1_EBF_1 30 0 + 0 0 . chr11 71535565 71535566 chr11:71535566:A:G rs10898259 A G a EBF1_EBF_1 -10 0 + 0 0 . chr11 71543018 71543019 chr11:71543019:G:T rs7932982 G T - EBF1_EBF_1 -3 0 + 0 0 . chr11 71543042 71543043 chr11:71543043:T:C rs7951558 T C - EBF1_EBF_1 21 0 + 0 0 . chr11 71543044 71543045 chr11:71543045:G:T rs10898277 G T - EBF1_EBF_1 23 0 + 0 0 . chr11 71544078 71544079 chr11:71544079:C:A rs11234102 C A - EBF1_EBF_1 -1 0 + 0 0 . chr11 71544094 71544095 chr11:71544095:A:C rs10898280 A C - EBF1_EBF_1 15 0 + 0 0 . chr11 71548267 71548268 chr11:71548268:C:G rs73528479 C G - EBF1_EBF_1 28 0 + 0 0 . chr11 71549301 71549302 chr11:71549302:T:A rs2665 T A T EBF1_EBF_1 14 0 + 0 0 . chr11 71552331 71552332 chr11:71552332:C:T rs7949169 C T c EBF1_EBF_1 16 0 + 0 0 . chr11 71569201 71569202 chr11:71569202:C:G rs10898311 C G C EBF1_EBF_1 29 0 - 0 0 . chr11 71569216 71569217 chr11:71569217:T:C rs4466867 T C T EBF1_EBF_1 14 0 - 0 0 . chr11 71575492 71575493 chr11:71575493:G:C rs11234248 G C C EBF1_EBF_1 -8 0 - 0 0 . chr11 71580139 71580140 chr11:71580140:G:T rs112204227 G T g EBF1_EBF_1 21 0 - 0 0 . chr11 71580389 71580390 chr11:71580390:A:G rs79680532 A G A EBF1_EBF_1 25 0 - 0 0 . chr11 71588128 71588129 chr11:71588129:T:A rs10792801 T A A EBF1_EBF_1 16 0 + 0 0 . chr11 71588139 71588140 chr11:71588140:T:C rs10792802 T C C EBF1_EBF_1 27 0 + 0 0 . chr11 71604551 71604552 chr11:71604552:A:G rs11823761 A G a EBF1_EBF_1 32 0 - 0 0 . chr11 71613678 71613679 chr11:71613679:T:G rs7951132 T G t EBF1_EBF_1 18 0 + 0 0 . chr11 71620114 71620115 chr11:71620115:G:A rs58779573 G A g EBF1_EBF_1 9 1 + 5.235456675885386 2.580517410437783 CTCCTCCTGGGACT chr11 71625339 71625340 chr11:71625340:A:G rs117713787 A G g EBF1_EBF_1 -15 0 - 0 0 . chr11 71665275 71665276 chr11:71665276:A:G rs151185771 A G a EBF1_EBF_1 7 1 - 8.316149658738198 6.498644912455573 ATTCACATGGGAAT chr11 71788146 71788147 chr11:71788147:C:T rs1814921 C T c EBF1_EBF_1 -4 0 + 0 0 . chr11 71791484 71791485 chr11:71791485:G:C rs10792907 G C g EBF1_EBF_1 -19 0 - 0 0 . chr11 71813844 71813845 chr11:71813845:C:T rs11235102 C T - EBF1_EBF_1 5 1 + 6.563902175501084 1.2421149599604129 CCTCCCTGGAGACC chr11 71813871 71813872 chr11:71813872:A:G rs116542217 A G - EBF1_EBF_1 32 0 + 0 0 . chr11 71840317 71840318 chr11:71840318:G:A rs12420318 G A g EBF1_EBF_1 -10 0 - 0 0 . chr11 71917789 71917790 chr11:71917790:G:T rs17161699 G T G EBF1_EBF_1 -5 0 - 0 0 . chr11 72005051 72005052 chr11:72005052:A:C rs2155146 A C C EBF1_EBF_1 6 1 + 9.758561671698892 9.396959303897615 TACCCCAAGGGACT chr11 72036734 72036735 chr11:72036735:G:C rs7127865 G C G EBF1_EBF_1 28 0 + 0 0 . chr11 72040082 72040083 chr11:72040083:A:G rs73533798 A G A EBF1_EBF_1 13 1 - 6.985471285840058 6.14097717125454 ACTCCCCAGGAATT chr11 72040083 72040084 chr11:72040084:A:G rs114920147 A G A EBF1_EBF_1 12 1 - 6.985471285840058 8.011737856390909 ACTCCCCAGGAATT chr11 72081024 72081025 chr11:72081025:A:G rs3018301 A G A EBF1_EBF_1 -17 0 + 0 0 . chr11 72092121 72092122 chr11:72092122:T:C chr11:72092122:T:C T C T EBF1_EBF_1 29 0 - 0 0 . chr11 72097009 72097010 chr11:72097010:T:C rs17884883 T C T EBF1_EBF_1 27 0 + 0 0 . chr11 72100596 72100597 chr11:72100597:G:A rs2508856 G A G EBF1_EBF_1 13 1 - 4.70736242252417 5.551856537109688 GTCCCCCTGGGCCC chr11 72163133 72163134 chr11:72163134:A:T rs73545614 A T A EBF1_EBF_1 17 0 - 0 0 . chr11 72177964 72177965 chr11:72177965:C:T rs1040600993 C T C EBF1_EBF_1 19 0 + 0 0 . chr11 72203245 72203246 chr11:72203246:T:C rs11235466 T C T EBF1_EBF_1 14 0 + 0 0 . chr11 72223322 72223323 chr11:72223323:T:G rs938335322 T G T EBF1_EBF_1 29 0 + 0 0 . chr11 72227679 72227680 chr11:72227680:G:A rs918175120 G A G EBF1_EBF_1 -16 0 - 0 0 . chr11 72235288 72235289 chr11:72235289:T:C rs2276046 T C C EBF1_EBF_1 12 1 - 5.003462228999094 3.584644886899617 ACTCCCCTGAGGAG chr11 72238655 72238656 chr11:72238656:C:T rs76160915 C T C EBF1_EBF_1 0 1 - 4.384033774802593 6.276317644367428 GCACCCCTGGGGCT chr11 72303221 72303222 chr11:72303222:A:G rs117524306 A G A EBF1_EBF_1 21 0 + 0 0 . chr11 72309024 72309025 chr11:72309025:A:G rs72968898 A G A EBF1_EBF_1 18 0 - 0 0 . chr11 72406668 72406669 chr11:72406669:G:A rs117654328 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 72460230 72460231 chr11:72460231:A:G rs2365381 A G G EBF1_EBF_1 -8 0 + 0 0 . chr11 72493632 72493633 chr11:72493633:T:C rs77371655 T C T EBF1_EBF_1 6 1 + 6.012950063779995 5.711663934918238 AATCCCTTGAGCCT chr11 72571780 72571781 chr11:72571781:A:G rs426117 A G G EBF1_EBF_1 -9 0 - 0 0 . chr11 72583395 72583396 chr11:72583396:G:A rs426907 G A G EBF1_EBF_1 6 1 - 12.068247236182273 12.36953336504403 ATTCCCCGGGGACC chr11 72607027 72607028 chr11:72607028:C:T rs57806150 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 72607048 72607049 chr11:72607049:G:T rs118094216 G T G EBF1_EBF_1 8 1 + 5.952389148058701 -0.9390436409184955 CCTCCCTGGGGGCA chr11 72615165 72615166 chr11:72615166:A:G rs572421 A G G EBF1_EBF_1 18 0 + 0 0 . chr11 72615886 72615887 chr11:72615887:T:C rs3781933 T C T EBF1_EBF_1 15 0 - 0 0 . chr11 72617610 72617611 chr11:72617611:G:A rs139665700 G A G EBF1_EBF_1 5 1 - 5.68587020667545 0.36408299113477893 CTCCCCCTGGGGTT chr11 72617618 72617619 chr11:72617619:G:A rs1190549113 G A G EBF1_EBF_1 -3 0 - 0 0 . chr11 72628194 72628195 chr11:72628195:G:C rs79147547 G C G EBF1_EBF_1 -7 0 + 0 0 . chr11 72628228 72628229 chr11:72628229:G:A rs4944589 G A G EBF1_EBF_1 27 0 + 0 0 . chr11 72648533 72648534 chr11:72648534:A:G rs405851 A G A EBF1_EBF_1 -19 0 - 0 0 . chr11 72649826 72649827 chr11:72649827:G:A rs575512658 G A G EBF1_EBF_1 9 1 + 7.978186708339179 5.323247442891576 ATTCCCATGGAAAC chr11 72649941 72649942 chr11:72649942:A:G rs61895588 A G A EBF1_EBF_1 2 1 + 7.067234120889647 4.003376018288056 CTACCCCAGGGACA chr11 72671556 72671557 chr11:72671557:G:A rs61317161 G A G EBF1_EBF_1 -2 0 - 0 0 . chr11 72684489 72684490 chr11:72684490:A:G rs1872126 A G A EBF1_EBF_1 7 1 + 9.685871888283122 8.373413482994298 CCTCCCCAGGGAGT chr11 72690308 72690309 chr11:72690309:T:C rs12272399 T C T EBF1_EBF_1 8 1 - 5.638961692163279 9.86525178104954 AGACCCCAAGGAAT chr11 72703539 72703540 chr11:72703540:C:T rs78057584 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 72703572 72703573 chr11:72703573:C:T chr11:72703573:C:T C T C EBF1_EBF_1 -14 0 - 0 0 . chr11 72703577 72703578 chr11:72703578:G:A rs114161019 G A G EBF1_EBF_1 -19 0 - 0 0 . chr11 72722372 72722373 chr11:72722373:C:T rs150632296 C T C EBF1_EBF_1 7 1 + 6.854113744460102 8.671618490742723 AATCCCCCGGGGCA chr11 72722382 72722383 chr11:72722383:A:G rs182721405 A G A EBF1_EBF_1 17 0 + 0 0 . chr11 72722388 72722389 chr11:72722389:T:C rs576581124 T C T EBF1_EBF_1 23 0 + 0 0 . chr11 72739991 72739992 chr11:72739992:C:T rs117470790 C T C EBF1_EBF_1 0 1 + 6.199110189923865 6.481312250654443 CTCCCCTTGGGGAC chr11 72749109 72749110 chr11:72749110:G:A rs4943997 G A A EBF1_EBF_1 -18 0 - 0 0 . chr11 72753655 72753656 chr11:72753656:G:C rs528736383 G C G EBF1_EBF_1 -14 0 - 0 0 . chr11 72772834 72772835 chr11:72772835:G:A rs534668 G A g EBF1_EBF_1 -3 0 + 0 0 . chr11 72781417 72781418 chr11:72781418:C:G rs564251687 C G C EBF1_EBF_1 -13 0 - 0 0 . chr11 72789878 72789879 chr11:72789879:C:T rs72964900 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 72814171 72814172 chr11:72814172:G:T rs771456551 G T G EBF1_EBF_1 22 0 + 0 0 . chr11 72814896 72814897 chr11:72814897:G:C chr11:72814897:G:C G C G EBF1_EBF_1 3 1 - 6.973015279472316 1.1452508313570995 CTACCCCGGGGACT chr11 72814896 72814897 chr11:72814897:G:T rs11235601 G T G EBF1_EBF_1 3 1 - 6.973015279472316 0.06684125000651009 CTACCCCGGGGACT chr11 72836264 72836265 chr11:72836265:C:T rs118051917 C T C EBF1_EBF_1 13 1 + 6.069271889689319 6.913766004274837 CCACCCCTGGGACC chr11 72836506 72836507 chr11:72836507:T:G rs56060052 T G T EBF1_EBF_1 2 1 + 10.949413295354146 4.201087605153679 TTTCCCATGGGAAA chr11 72836521 72836522 chr11:72836522:A:G rs140264980 A G A EBF1_EBF_1 17 0 + 0 0 . chr11 73141344 73141345 chr11:73141345:T:C rs1008856855 T C T EBF1_EBF_1 -16 0 - 0 0 . chr11 73143542 73143543 chr11:73143543:A:G rs75746175 A G G EBF1_EBF_1 -1 0 + 0 0 . chr11 73185722 73185723 chr11:73185723:C:A rs487542 C A C EBF1_EBF_1 -13 0 - 0 0 . chr11 73188418 73188419 chr11:73188419:C:T rs1783573 C T C EBF1_EBF_1 9 1 - 10.100033057021383 7.44509379157378 GGCCCCAAGGGACT chr11 73188427 73188428 chr11:73188428:C:T rs114781446 C T C EBF1_EBF_1 0 1 - 10.100033057021383 11.992316926586216 GGCCCCAAGGGACT chr11 73201556 73201557 chr11:73201557:C:T rs557440216 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 73205875 73205876 chr11:73205876:C:T chr11:73205876:C:T C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 73229405 73229406 chr11:73229406:A:G rs116919851 A G A EBF1_EBF_1 -20 0 + 0 0 . chr11 73229441 73229442 chr11:73229442:G:A rs527721006 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 73285794 73285795 chr11:73285795:A:G rs112567391 A G A EBF1_EBF_1 17 0 - 0 0 . chr11 73289098 73289099 chr11:73289099:T:G rs12794405 T G G EBF1_EBF_1 0 1 - 6.390424674581098 4.135166190884497 AATCCCCTGAGGCC chr11 73289712 73289713 chr11:73289713:A:C rs556507419 A C A EBF1_EBF_1 25 0 + 0 0 . chr11 73293837 73293838 chr11:73293838:G:A rs623627 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 73300029 73300030 chr11:73300030:C:T rs116941933 C T c EBF1_EBF_1 17 0 - 0 0 . chr11 73300060 73300061 chr11:73300061:C:A rs11824050 C A a EBF1_EBF_1 -14 0 - 0 0 . chr11 73308073 73308074 chr11:73308074:G:C rs148139154 G C G EBF1_EBF_1 0 1 + 8.787796268034322 8.424821653902557 GCTCCCGAGGGACC chr11 73308086 73308087 chr11:73308087:C:G rs11820037 C G G EBF1_EBF_1 13 1 + 8.787796268034322 7.299725246678908 GCTCCCGAGGGACC chr11 73321938 73321939 chr11:73321939:G:T rs573301727 G T G EBF1_EBF_1 0 1 - 7.824170286915288 10.079428770611887 CACCCCCTGGGAAC chr11 73323695 73323696 chr11:73323696:T:C rs114563570 T C T EBF1_EBF_1 33 0 - 0 0 . chr11 73326043 73326044 chr11:73326044:G:A rs79407935 G A G EBF1_EBF_1 3 1 - 5.887966705169133 -0.5174320363841838 GTTCCCCTGGGTTC chr11 73327774 73327775 chr11:73327775:C:T rs7123298 C T T EBF1_EBF_1 12 1 - 5.209127351765131 6.627944693864609 TGTCCCCCGAGAGT chr11 73327776 73327777 chr11:73327777:C:T rs7123300 C T C EBF1_EBF_1 10 1 - 5.209127351765131 -0.10890110750183435 TGTCCCCCGAGAGT chr11 73327788 73327789 chr11:73327789:G:A rs56184513 G A G EBF1_EBF_1 -2 0 - 0 0 . chr11 73335180 73335181 chr11:73335181:C:T rs140975647 C T C EBF1_EBF_1 27 0 - 0 0 . chr11 73347963 73347964 chr11:73347964:G:A rs473469 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 73376206 73376207 chr11:73376207:C:T chr11:73376207:C:T C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 73376218 73376219 chr11:73376219:C:T rs576287714 C T C EBF1_EBF_1 5 1 + 4.732968092888178 -0.5888191226524918 GTTCCCAGGGGCGC chr11 73383459 73383460 chr11:73383460:C:T rs150288743 C T C EBF1_EBF_1 -2 0 - 0 0 . chr11 73393000 73393001 chr11:73393001:C:T rs75886732 C T C EBF1_EBF_1 29 0 + 0 0 . chr11 73402597 73402598 chr11:73402598:T:C rs117884220 T C T EBF1_EBF_1 30 0 + 0 0 . chr11 73508632 73508633 chr11:73508633:T:C rs12277924 T C C EBF1_EBF_1 -10 0 + 0 0 . chr11 73598630 73598631 chr11:73598631:C:A chr11:73598631:C:A C A C EBF1_EBF_1 24 0 - 0 0 . chr11 73654358 73654359 chr11:73654359:G:A rs115620185 G A G EBF1_EBF_1 8 1 - 7.01288477924661 5.440110851184102 CTTCCCAACGGACT chr11 73655500 73655501 chr11:73655501:A:T rs2008722 A T T EBF1_EBF_1 -15 0 + 0 0 . chr11 73730027 73730028 chr11:73730028:C:T rs192471612 C T C EBF1_EBF_1 21 0 - 0 0 . chr11 73779297 73779298 chr11:73779298:G:C rs151036616 G C G EBF1_EBF_1 -5 0 - 0 0 . chr11 73952982 73952983 chr11:73952983:G:A rs627627 G A G EBF1_EBF_1 -17 0 + 0 0 . chr11 73957999 73958000 chr11:73958000:G:C rs612914 G C G EBF1_EBF_1 -20 0 - 0 0 . chr11 73964588 73964589 chr11:73964589:G:T rs141255063 G T G EBF1_EBF_1 25 0 - 0 0 . chr11 74259075 74259076 chr11:74259076:A:G rs145443116 A G A EBF1_EBF_1 28 0 + 0 0 . chr11 74278482 74278483 chr11:74278483:G:A rs116238805 G A G EBF1_EBF_1 -19 0 - 0 0 . chr11 74283092 74283093 chr11:74283093:A:G rs10898975 A G A EBF1_EBF_1 7 1 - 9.434335333197973 7.61683058691535 TTCCCCATGGGAAC chr11 74398758 74398759 chr11:74398759:G:A rs959311758 G A G EBF1_EBF_1 5 1 - 6.067263971554395 0.745476756013725 GCCCCCAAGAGAAA chr11 74398773 74398774 chr11:74398774:T:A rs530441993 T A T EBF1_EBF_1 -10 0 - 0 0 . chr11 74407003 74407004 chr11:74407004:G:A rs677761 G A G EBF1_EBF_1 -15 0 + 0 0 . chr11 74443148 74443149 chr11:74443149:C:T rs7129575 C T C EBF1_EBF_1 3 1 + 5.604736980536041 -0.8006617610172742 CTTCCCAGAGGAAA chr11 74452277 74452278 chr11:74452278:T:G rs3867277 T G G EBF1_EBF_1 15 0 + 0 0 . chr11 74474271 74474272 chr11:74474272:G:C rs3867281 G C G EBF1_EBF_1 -4 0 - 0 0 . chr11 74494425 74494426 chr11:74494426:G:C rs73500334 G C G EBF1_EBF_1 -19 0 + 0 0 . chr11 74494451 74494452 chr11:74494452:A:G rs1783201 A G A EBF1_EBF_1 7 1 + 4.781107686252895 3.46864928096407 GGCCCCCAGAGAAG chr11 74494470 74494471 chr11:74494471:T:A rs1783202 T A A EBF1_EBF_1 26 0 + 0 0 . chr11 74541291 74541292 chr11:74541292:G:C rs1787100 G C G EBF1_EBF_1 -2 0 + 0 0 . chr11 74544080 74544081 chr11:74544081:C:T rs117770060 C T C EBF1_EBF_1 3 1 - 5.036834256664271 3.9584246753136805 AGTGCCCAGAGACT chr11 74545388 74545389 chr11:74545389:G:C rs675086 G C G EBF1_EBF_1 25 0 - 0 0 . chr11 74559594 74559595 chr11:74559595:C:T rs1376451887 C T C EBF1_EBF_1 -10 0 + 0 0 . chr11 74583920 74583921 chr11:74583921:C:A rs11236164 C A C EBF1_EBF_1 15 0 + 0 0 . chr11 74608685 74608686 chr11:74608686:G:A rs756674602 G A G EBF1_EBF_1 28 0 + 0 0 . chr11 74639737 74639738 chr11:74639738:C:T rs7925747 C T T EBF1_EBF_1 28 0 - 0 0 . chr11 74676695 74676696 chr11:74676696:T:G rs73488651 T G T EBF1_EBF_1 23 0 - 0 0 . chr11 74679747 74679748 chr11:74679748:T:C rs10899031 T C C EBF1_EBF_1 -1 0 + 0 0 . chr11 74710503 74710504 chr11:74710504:G:A rs142037317 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 74778593 74778594 chr11:74778594:C:T rs58841489 C T C EBF1_EBF_1 -4 0 - 0 0 . chr11 74860306 74860307 chr11:74860307:A:G rs79644140 A G A EBF1_EBF_1 -8 0 - 0 0 . chr11 74949009 74949010 chr11:74949010:C:A rs12284331 C A C EBF1_EBF_1 6 1 + 6.365439944225696 6.727042312026973 GCCCCCCGGGGATC chr11 75042045 75042046 chr11:75042046:C:T rs115185129 C T T EBF1_EBF_1 25 0 + 0 0 . chr11 75144649 75144650 chr11:75144650:A:G rs956069217 A G A EBF1_EBF_1 31 0 + 0 0 . chr11 75149015 75149016 chr11:75149016:T:G rs2851064 T G G EBF1_EBF_1 1 1 - 6.9842354274325755 7.05516260478466 AACCCCCAGGGCAT chr11 75152909 75152910 chr11:75152910:G:A rs67904312 G A G EBF1_EBF_1 -6 0 + 0 0 . chr11 75194232 75194233 chr11:75194233:A:G rs1676885 A G A EBF1_EBF_1 30 0 - 0 0 . chr11 75231290 75231291 chr11:75231291:C:T rs189597947 C T C EBF1_EBF_1 -5 0 - 0 0 . chr11 75236118 75236119 chr11:75236119:G:C rs538511980 G C G EBF1_EBF_1 27 0 + 0 0 . chr11 75264181 75264182 chr11:75264182:G:A rs758872328 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 75269316 75269317 chr11:75269317:C:A rs946350306 C A C EBF1_EBF_1 30 0 + 0 0 . chr11 75271837 75271838 chr11:75271838:G:A rs36123903 G A G EBF1_EBF_1 8 1 + 6.684654200187816 2.458364111301554 AGACCCACGGGACA chr11 75271894 75271895 chr11:75271895:C:T rs2276310 C T T EBF1_EBF_1 -17 0 + 0 0 . chr11 75271942 75271943 chr11:75271943:C:T rs34439199 C T C EBF1_EBF_1 31 0 + 0 0 . chr11 75282137 75282138 chr11:75282138:A:G rs526013 A G A EBF1_EBF_1 18 0 + 0 0 . chr11 75283649 75283650 chr11:75283650:C:A rs634287 C A C EBF1_EBF_1 31 0 - 0 0 . chr11 75308251 75308252 chr11:75308252:A:G rs1676887 A G G EBF1_EBF_1 -3 0 - 0 0 . chr11 75337515 75337516 chr11:75337516:C:G rs77079044 C G C EBF1_EBF_1 -17 0 - 0 0 . chr11 75338489 75338490 chr11:75338490:G:C rs536750 G C C EBF1_EBF_1 33 0 + 0 0 . chr11 75345188 75345189 chr11:75345189:C:T chr11:75345189:C:T C T C EBF1_EBF_1 25 0 - 0 0 . chr11 75376475 75376476 chr11:75376476:C:T rs12289289 C T C EBF1_EBF_1 5 1 + 7.1679268793135895 1.8461396637729197 ACACCCTTGGGAAG chr11 75399582 75399583 chr11:75399583:C:T rs17879266 C T C EBF1_EBF_1 11 1 - 7.971891861175475 10.864598704779485 AGTCCCCAGAGGCT chr11 75438301 75438302 chr11:75438302:A:G rs78804037 A G A EBF1_EBF_1 -19 0 + 0 0 . chr11 75438332 75438333 chr11:75438333:A:C rs1793406 A C C EBF1_EBF_1 12 1 + 5.531865502786718 5.501684104365392 GTCCCCCAGGGTAC chr11 75448362 75448363 chr11:75448363:C:T rs1894213 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 75460763 75460764 chr11:75460764:T:C rs1790147 T C C EBF1_EBF_1 22 0 + 0 0 . chr11 75463124 75463125 chr11:75463125:C:G rs73494872 C G C EBF1_EBF_1 5 1 + 5.816703336827553 -1.0881778158076147 CCCCCCCTGGGGCT chr11 75463151 75463152 chr11:75463152:T:C rs1790145 T C C EBF1_EBF_1 32 0 + 0 0 . chr11 75471641 75471642 chr11:75471642:T:C rs1793414 T C C EBF1_EBF_1 -4 0 + 0 0 . chr11 75482910 75482911 chr11:75482911:G:A rs36089315 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 75522832 75522833 chr11:75522833:C:T rs1619612 C T C EBF1_EBF_1 10 1 - 6.972251086110286 1.6542226268433198 ATTCCCTCGGGCCC chr11 75547963 75547964 chr11:75547964:A:G rs72991836 A G G EBF1_EBF_1 22 0 - 0 0 . chr11 75554149 75554150 chr11:75554150:C:T rs564413133 C T C EBF1_EBF_1 -8 0 - 0 0 . chr11 75560745 75560746 chr11:75560746:C:T rs640264 C T C EBF1_EBF_1 9 1 - 6.015788526220528 3.360849260772925 AGCCCCCAAGGAGT chr11 75564102 75564103 chr11:75564103:A:G rs555936397 A G A EBF1_EBF_1 26 0 - 0 0 . chr11 75564145 75564146 chr11:75564146:G:C rs115526654 G C G EBF1_EBF_1 9 1 + 7.793988888493962 2.7182997421484973 CACCCCCTGGGACC chr11 75573844 75573845 chr11:75573845:G:C rs654759 G C G EBF1_EBF_1 -16 0 - 0 0 . chr11 75583192 75583193 chr11:75583193:C:A rs1228367 C A C EBF1_EBF_1 -8 0 + 0 0 . chr11 75593047 75593048 chr11:75593048:A:G rs60597680 A G A EBF1_EBF_1 30 0 + 0 0 . chr11 75666180 75666181 chr11:75666181:T:A rs656007 T A T EBF1_EBF_1 -17 0 + 0 0 . chr11 75668919 75668920 chr11:75668920:A:C chr11:75668920:A:C A C A EBF1_EBF_1 33 0 - 0 0 . chr11 75703208 75703209 chr11:75703209:C:T rs73494492 C T C EBF1_EBF_1 -2 0 - 0 0 . chr11 75734030 75734031 chr11:75734031:C:G rs1422091663 C G C EBF1_EBF_1 1 1 - 5.79948965254704 5.609717997977823 GGTGCCCAGGGACT chr11 75796188 75796189 chr11:75796189:A:C rs12222542 A C C EBF1_EBF_1 -13 0 - 0 0 . chr11 75800526 75800527 chr11:75800527:T:C rs3060 T C C EBF1_EBF_1 1 1 + 6.478323205127766 5.582889764729023 ATTCCCTGGAGGAA chr11 75803300 75803301 chr11:75803301:C:A rs186167363 C A C EBF1_EBF_1 -6 0 - 0 0 . chr11 75815770 75815771 chr11:75815771:C:T rs146811070 C T C EBF1_EBF_1 -11 0 + 0 0 . chr11 75815800 75815801 chr11:75815801:C:A rs11825050 C A C EBF1_EBF_1 19 0 + 0 0 . chr11 75826682 75826683 chr11:75826683:C:G rs528768697 C G C EBF1_EBF_1 16 0 + 0 0 . chr11 75873057 75873058 chr11:75873058:C:T rs758741750 C T C EBF1_EBF_1 16 0 - 0 0 . chr11 75966639 75966640 chr11:75966640:T:C rs115780063 T C T EBF1_EBF_1 24 0 + 0 0 . chr11 76072889 76072890 chr11:76072890:C:T rs56205856 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 76143266 76143267 chr11:76143267:G:A rs541301872 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 76152985 76152986 chr11:76152986:A:G chr11:76152986:A:G A G A EBF1_EBF_1 20 0 - 0 0 . chr11 76156024 76156025 chr11:76156025:A:C rs148694907 A C A EBF1_EBF_1 -20 0 - 0 0 . chr11 76157054 76157055 chr11:76157055:G:C rs10899162 G C G EBF1_EBF_1 -15 0 + 0 0 . chr11 76157076 76157077 chr11:76157077:G:C rs12294072 G C G EBF1_EBF_1 7 1 + 5.641167565302361 4.659846984221004 ACTCCCCGGAGAGG chr11 76167794 76167795 chr11:76167795:C:T rs116853991 C T C EBF1_EBF_1 -18 0 + 0 0 . chr11 76175038 76175039 chr11:76175039:C:T rs589149 C T T EBF1_EBF_1 -14 0 - 0 0 . chr11 76176247 76176248 chr11:76176248:A:G rs111995056 A G a EBF1_EBF_1 -14 0 + 0 0 . chr11 76202733 76202734 chr11:76202734:C:T rs655814 C T C EBF1_EBF_1 2 1 + 5.359086469919965 7.247909881362115 AGCCCCTGGGGCCC chr11 76207926 76207927 chr11:76207927:C:A rs71469510 C A C EBF1_EBF_1 -5 0 + 0 0 . chr11 76215922 76215923 chr11:76215923:T:G rs689419 T G G EBF1_EBF_1 11 1 - 4.1356274509880855 -0.28033424686430497 ATCTCCAGGGGACC chr11 76228555 76228556 chr11:76228556:G:A rs1402704 G A G EBF1_EBF_1 8 1 - 4.930765419728186 3.3579914916656777 GCTCCCCACGGAAA chr11 76285502 76285503 chr11:76285503:A:G rs11236683 A G a EBF1_EBF_1 -2 0 + 0 0 . chr11 76291642 76291643 chr11:76291643:A:G chr11:76291643:A:G A G A EBF1_EBF_1 7 1 + 4.850843446901286 3.538385041612461 CTCCCCCAGGGCAC chr11 76338254 76338255 chr11:76338255:G:A rs7924921 G A A EBF1_EBF_1 10 1 + 6.484010576766259 1.165982117499293 GGTCCCTAAGGACA chr11 76380772 76380773 chr11:76380773:A:G chr11:76380773:A:G A G A EBF1_EBF_1 -5 0 - 0 0 . chr11 76412996 76412997 chr11:76412997:G:C rs7129437 G C G EBF1_EBF_1 8 1 + 7.390040244362395 2.0713813834477097 CCACCCCAGGGACT chr11 76445280 76445281 chr11:76445281:A:T rs147521754 A T A EBF1_EBF_1 -5 0 - 0 0 . chr11 76597461 76597462 chr11:76597462:T:C rs7945414 T C C EBF1_EBF_1 -2 0 + 0 0 . chr11 76597479 76597480 chr11:76597480:A:C rs7942382 A C C EBF1_EBF_1 16 0 + 0 0 . chr11 76597849 76597850 chr11:76597850:T:A rs117451063 T A T EBF1_EBF_1 -9 0 + 0 0 . chr11 76614981 76614982 chr11:76614982:C:T rs11827686 C T C EBF1_EBF_1 32 0 - 0 0 . chr11 76614993 76614994 chr11:76614994:T:C rs80139938 T C T EBF1_EBF_1 20 0 - 0 0 . chr11 76617105 76617106 chr11:76617106:G:A rs149261899 G A G EBF1_EBF_1 5 1 - 5.837669462004931 0.5158822464642623 ACTGCCCTGGGAAA chr11 76647867 76647868 chr11:76647868:G:A rs7936603 G A G EBF1_EBF_1 -6 0 + 0 0 . chr11 76653127 76653128 chr11:76653128:G:A rs76196299 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 76656555 76656556 chr11:76656556:C:G rs7952025 C G C EBF1_EBF_1 23 0 + 0 0 . chr11 76670541 76670542 chr11:76670542:T:C rs563630748 T C T EBF1_EBF_1 25 0 + 0 0 . chr11 76709760 76709761 chr11:76709761:A:C rs4421766 A C C EBF1_EBF_1 23 0 + 0 0 . chr11 76715441 76715442 chr11:76715442:C:G rs10899262 C G G EBF1_EBF_1 11 1 - 5.3690673923103205 3.845812538061942 AATCCCCAGGTGAC chr11 76717010 76717011 chr11:76717011:A:G rs4944119 A G A EBF1_EBF_1 11 1 + 7.228194875078569 4.335488031474557 AGACCCCAGGGAGA chr11 76731547 76731548 chr11:76731548:T:A rs7938883 T A A EBF1_EBF_1 30 0 + 0 0 . chr11 76735736 76735737 chr11:76735737:A:C rs4945112 A C A EBF1_EBF_1 -16 0 + 0 0 . chr11 76735750 76735751 chr11:76735751:A:G rs11826943 A G A EBF1_EBF_1 -2 0 + 0 0 . chr11 76752895 76752896 chr11:76752896:A:G rs1149593 A G G EBF1_EBF_1 -17 0 + 0 0 . chr11 76769269 76769270 chr11:76769270:G:C rs73503652 G C G EBF1_EBF_1 18 0 + 0 0 . chr11 76784084 76784085 chr11:76784085:G:A rs1440979 G A G EBF1_EBF_1 -9 0 + 0 0 . chr11 76784089 76784090 chr11:76784090:C:T rs573032297 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 76793653 76793654 chr11:76793654:G:A rs10899282 G A g EBF1_EBF_1 11 1 - 4.833804441002192 5.151851882755856 GTTCCCCTGGGCGA chr11 76798820 76798821 chr11:76798821:C:T rs571721604 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 76807396 76807397 chr11:76807397:G:A rs145084332 G A G EBF1_EBF_1 -16 0 + 0 0 . chr11 76807399 76807400 chr11:76807400:C:T rs115591251 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 76807429 76807430 chr11:76807430:G:A rs1687688 G A A EBF1_EBF_1 17 0 + 0 0 . chr11 76807430 76807431 chr11:76807431:A:G rs1687689 A G G EBF1_EBF_1 18 0 + 0 0 . chr11 76845110 76845111 chr11:76845111:T:C rs1225148 T C T EBF1_EBF_1 -3 0 + 0 0 . chr11 76887151 76887152 chr11:76887152:T:C rs11236999 T C C EBF1_EBF_1 32 0 - 0 0 . chr11 77027084 77027085 chr11:77027085:G:T rs1945084 G T G EBF1_EBF_1 -6 0 - 0 0 . chr11 77052755 77052756 chr11:77052756:C:T rs2155178 C T C EBF1_EBF_1 4 1 + 4.513558466362485 0.14417152141756195 ACCCCCCGAGGAGT chr11 77052758 77052759 chr11:77052759:G:A rs138296893 G A G EBF1_EBF_1 7 1 + 4.513558466362485 5.82601687165131 ACCCCCCGAGGAGT chr11 77053371 77053372 chr11:77053372:G:A rs77669632 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 77055950 77055951 chr11:77055951:G:C rs75007589 G C G EBF1_EBF_1 18 0 - 0 0 . chr11 77066839 77066840 chr11:77066840:C:A rs534410070 C A C EBF1_EBF_1 -3 0 + 0 0 . chr11 77081872 77081873 chr11:77081873:G:A rs117088051 G A g EBF1_EBF_1 -12 0 + 0 0 . chr11 77091068 77091069 chr11:77091069:C:T rs11237085 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 77101909 77101910 chr11:77101910:G:A rs12417314 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 77103469 77103470 chr11:77103470:A:G rs34197927 A G A EBF1_EBF_1 -19 0 + 0 0 . chr11 77107689 77107690 chr11:77107690:C:T rs59351628 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 77107690 77107691 chr11:77107691:G:A rs78972769 G A G EBF1_EBF_1 25 0 - 0 0 . chr11 77115202 77115203 chr11:77115203:A:G rs10899352 A G A EBF1_EBF_1 21 0 + 0 0 . chr11 77121325 77121326 chr11:77121326:C:T rs142959592 C T C EBF1_EBF_1 10 1 - 10.108031468057947 4.790003008790983 ACCCCCATGGGACA chr11 77121765 77121766 chr11:77121766:C:T rs3819077 C T T EBF1_EBF_1 32 0 - 0 0 . chr11 77145685 77145686 chr11:77145686:A:C rs12808416 A C A EBF1_EBF_1 29 0 - 0 0 . chr11 77151307 77151308 chr11:77151308:G:C rs35163574 G C G EBF1_EBF_1 15 0 - 0 0 . chr11 77170720 77170721 chr11:77170721:T:G rs6592710 T G G EBF1_EBF_1 -1 0 - 0 0 . chr11 77193735 77193736 chr11:77193736:G:A rs10899357 G A G EBF1_EBF_1 14 0 - 0 0 . chr11 77193750 77193751 chr11:77193751:T:C rs10899358 T C C EBF1_EBF_1 -1 0 - 0 0 . chr11 77203267 77203268 chr11:77203268:C:T rs73497625 C T C EBF1_EBF_1 -18 0 - 0 0 . chr11 77208957 77208958 chr11:77208958:T:C rs11237122 T C C EBF1_EBF_1 12 1 + 8.633485220094435 9.659751790645284 AGCCCCAAGGGATG chr11 77208992 77208993 chr11:77208993:T:G rs885442 T G T EBF1_EBF_1 15 0 - 0 0 . chr11 77353511 77353512 chr11:77353512:G:A rs145185062 G A G EBF1_EBF_1 5 1 - 7.397973625765757 2.0761864102250875 TTTCCCTGGAGAAA chr11 77379453 77379454 chr11:77379454:A:G rs528397187 A G A EBF1_EBF_1 -16 0 - 0 0 . chr11 77379893 77379894 chr11:77379894:C:T rs1130059 C T C EBF1_EBF_1 -5 0 + 0 0 . chr11 77476427 77476428 chr11:77476428:A:C rs73496889 A C A EBF1_EBF_1 -18 0 + 0 0 . chr11 77529578 77529579 chr11:77529579:A:T rs12221551 A T A EBF1_EBF_1 27 0 - 0 0 . chr11 77548568 77548569 chr11:77548569:T:C rs542591197 T C T EBF1_EBF_1 -3 0 + 0 0 . chr11 77548592 77548593 chr11:77548593:G:A rs117977730 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 77637972 77637973 chr11:77637973:G:C rs151226247 G C G EBF1_EBF_1 24 0 - 0 0 . chr11 77724785 77724786 chr11:77724786:G:A rs567814 G A G EBF1_EBF_1 22 0 + 0 0 . chr11 77819989 77819990 chr11:77819990:G:T rs988047831 G T G EBF1_EBF_1 -12 0 - 0 0 . chr11 77819996 77819997 chr11:77819997:G:C rs72935512 G C G EBF1_EBF_1 -19 0 - 0 0 . chr11 77820234 77820235 chr11:77820235:G:C rs113680047 G C G EBF1_EBF_1 8 1 + 4.432286160594092 -0.8863727003205932 AGTCCCCGGGGCTG chr11 77820255 77820256 chr11:77820256:A:C rs978037074 A C A EBF1_EBF_1 29 0 + 0 0 . chr11 77820323 77820324 chr11:77820324:G:A rs1242693160 G A G EBF1_EBF_1 12 1 - 4.2157439017887635 3.1894773312379137 GCCCCCTAGGGCCA chr11 77820428 77820429 chr11:77820429:C:A chr11:77820429:C:A C A C EBF1_EBF_1 -11 0 - 0 0 . chr11 77823397 77823398 chr11:77823398:G:A rs7951033 G A A EBF1_EBF_1 23 0 - 0 0 . chr11 77994167 77994168 chr11:77994168:T:C rs73501890 T C T EBF1_EBF_1 7 1 - 4.191050048076887 2.878591642788061 ACCTCCCAGGGACC chr11 78037244 78037245 chr11:78037245:G:A rs140205160 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 78039025 78039026 chr11:78039026:A:G rs952943872 A G A EBF1_EBF_1 -19 0 - 0 0 . chr11 78122856 78122857 chr11:78122857:C:A rs113858884 C A C EBF1_EBF_1 -16 0 + 0 0 . chr11 78159517 78159518 chr11:78159518:A:G rs755256945 A G A EBF1_EBF_1 -5 0 + 0 0 . chr11 78169247 78169248 chr11:78169248:C:T rs57440798 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 78172079 78172080 chr11:78172080:C:T rs230663 C T C EBF1_EBF_1 31 0 + 0 0 . chr11 78173291 78173292 chr11:78173292:T:C rs530588 T C T EBF1_EBF_1 18 0 - 0 0 . chr11 78213144 78213145 chr11:78213145:G:T rs113341882 G T G EBF1_EBF_1 27 0 + 0 0 . chr11 78213560 78213561 chr11:78213561:A:C rs901105 A C A EBF1_EBF_1 -11 0 - 0 0 . chr11 78215722 78215723 chr11:78215723:A:G rs1046780 A G A EBF1_EBF_1 -19 0 - 0 0 . chr11 78219091 78219092 chr11:78219092:T:C rs1485483 T C T EBF1_EBF_1 -14 0 + 0 0 . chr11 78370877 78370878 chr11:78370878:A:C rs200689104 A C A EBF1_EBF_1 30 0 - 0 0 . chr11 78465116 78465117 chr11:78465117:C:T rs187352476 C T C EBF1_EBF_1 6 1 - 5.577528561323758 7.744322992598233 CTTCCCGTGGGGCA chr11 78633793 78633794 chr11:78633794:T:C rs7128118 T C C EBF1_EBF_1 18 0 - 0 0 . chr11 78651916 78651917 chr11:78651917:T:G rs60245057 T G G EBF1_EBF_1 20 0 + 0 0 . chr11 78652486 78652487 chr11:78652487:C:G rs12419433 C G C EBF1_EBF_1 24 0 + 0 0 . chr11 78660518 78660519 chr11:78660519:G:A rs80068138 G A G EBF1_EBF_1 -1 0 - 0 0 . chr11 78784419 78784420 chr11:78784420:G:T rs1790544 G T T EBF1_EBF_1 18 0 - 0 0 . chr11 78809058 78809059 chr11:78809059:C:T rs144108770 C T C EBF1_EBF_1 13 1 + 4.572686598903248 5.417180713488766 AATCCCAGGGCACC chr11 78890267 78890268 chr11:78890268:C:A rs547125 C A A EBF1_EBF_1 23 0 + 0 0 . chr11 78892995 78892996 chr11:78892996:G:A rs642844 G A G EBF1_EBF_1 -5 0 + 0 0 . chr11 78967636 78967637 chr11:78967637:G:A rs681267 G A G EBF1_EBF_1 33 0 - 0 0 . chr11 79028325 79028326 chr11:79028326:C:G rs7927281 C G G EBF1_EBF_1 13 1 - 5.517417276983695 7.00548829833911 ATTTCCCAGGGAAG chr11 79029573 79029574 chr11:79029574:C:T rs7931496 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 79052709 79052710 chr11:79052710:T:C rs972139 T C C EBF1_EBF_1 1 1 - 7.1843949596524785 7.44509379157378 GACCCCAAGAGACT chr11 79063086 79063087 chr11:79063087:G:C rs115332807 G C G EBF1_EBF_1 25 0 - 0 0 . chr11 79073177 79073178 chr11:79073178:G:A rs10899586 G A G EBF1_EBF_1 -19 0 - 0 0 . chr11 79081675 79081676 chr11:79081676:G:A rs549205757 G A G EBF1_EBF_1 -6 0 - 0 0 . chr11 79087970 79087971 chr11:79087971:G:A rs59995399 G A G EBF1_EBF_1 8 1 - 5.463224245995165 3.8904503179326566 CTTCCCCACGGAAA chr11 79096190 79096191 chr11:79096191:C:A rs72933155 C A C EBF1_EBF_1 12 1 + 4.6593235283046095 4.689504926725935 TTGCCCCAGGGACC chr11 79099894 79099895 chr11:79099895:G:A rs2510133 G A A EBF1_EBF_1 -8 0 - 0 0 . chr11 79099901 79099902 chr11:79099902:A:G rs2510134 A G A EBF1_EBF_1 -15 0 - 0 0 . chr11 79112728 79112729 chr11:79112729:T:C rs12292238 T C T EBF1_EBF_1 -2 0 - 0 0 . chr11 79122489 79122490 chr11:79122490:G:A rs10899592 G A G EBF1_EBF_1 8 1 - 6.031783507953362 4.459009579890854 AGTCCCAGCGGACA chr11 79201660 79201661 chr11:79201661:A:G rs4350384 A G G EBF1_EBF_1 -11 0 - 0 0 . chr11 79244198 79244199 chr11:79244199:C:T rs7945133 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 79262460 79262461 chr11:79262461:C:T rs73510662 C T T EBF1_EBF_1 33 0 + 0 0 . chr11 79269073 79269074 chr11:79269074:C:T rs73510674 C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 79288470 79288471 chr11:79288471:G:A rs96537 G A A EBF1_EBF_1 -12 0 + 0 0 . chr11 79309303 79309304 chr11:79309304:T:C rs7934520 T C T EBF1_EBF_1 6 1 + 5.920063459195996 5.618777330334238 ATTGCCTTGGGAAG chr11 79330054 79330055 chr11:79330055:G:A rs7936239 G A A EBF1_EBF_1 27 0 - 0 0 . chr11 79330094 79330095 chr11:79330095:C:T rs875733 C T C EBF1_EBF_1 -13 0 - 0 0 . chr11 79342355 79342356 chr11:79342356:G:A rs13377588 G A G EBF1_EBF_1 20 0 - 0 0 . chr11 79382249 79382250 chr11:79382250:T:G rs553692 T G T EBF1_EBF_1 28 0 - 0 0 . chr11 79391444 79391445 chr11:79391445:T:C rs541132 T C T EBF1_EBF_1 32 0 + 0 0 . chr11 79413299 79413300 chr11:79413300:G:T rs872490 G T T EBF1_EBF_1 18 0 - 0 0 . chr11 79440670 79440671 chr11:79440671:C:T rs12418463 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 79461728 79461729 chr11:79461729:C:A rs6592837 C A C EBF1_EBF_1 26 0 - 0 0 . chr11 79465147 79465148 chr11:79465148:C:T rs35348944 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 79503309 79503310 chr11:79503310:A:G rs74512421 A G A EBF1_EBF_1 25 0 - 0 0 . chr11 79566626 79566627 chr11:79566627:G:A rs532129827 G A G EBF1_EBF_1 5 1 - 7.3939679739302235 2.0721807583895546 ATCCCCCAGGGTCC chr11 79621478 79621479 chr11:79621479:G:A rs73504869 G A G EBF1_EBF_1 10 1 + 5.742496042493799 0.42446758322683315 ATTGCCCAGAGACT chr11 79641479 79641480 chr11:79641480:A:G rs544223 A G A EBF1_EBF_1 21 0 + 0 0 . chr11 79668718 79668719 chr11:79668719:C:G rs533190758 C G C EBF1_EBF_1 -13 0 - 0 0 . chr11 79671143 79671144 chr11:79671144:C:T rs1379970266 C T C EBF1_EBF_1 12 1 + 6.8483177886781 5.822051218127251 CGTCCCCAGGGCCT chr11 79895743 79895744 chr11:79895744:C:T rs11238023 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 80010929 80010930 chr11:80010930:G:C rs642389 G C G EBF1_EBF_1 19 0 - 0 0 . chr11 80107579 80107580 chr11:80107580:C:T rs1944482 C T T EBF1_EBF_1 18 0 - 0 0 . chr11 80350304 80350305 chr11:80350305:T:A rs11232093 T A A EBF1_EBF_1 -9 0 - 0 0 . chr11 80351095 80351096 chr11:80351096:T:C rs75122621 T C T EBF1_EBF_1 19 0 + 0 0 . chr11 80562664 80562665 chr11:80562665:G:T rs2218928 G T G EBF1_EBF_1 32 0 + 0 0 . chr11 80586942 80586943 chr11:80586943:C:A rs12361601 C A G EBF1_EBF_1 0 1 + 5.757270461875221 8.012528945571821 CTTCCCAGGAGAGA chr11 80698890 80698891 chr11:80698891:T:C rs116212526 T C T EBF1_EBF_1 -15 0 - 0 0 . chr11 80782808 80782809 chr11:80782809:C:T rs952203742 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 80804452 80804453 chr11:80804453:C:T rs112972797 C T C EBF1_EBF_1 2 1 + 4.159809557691806 6.048632969133954 ACCCCCCGGGGTTC chr11 80899499 80899500 chr11:80899500:G:C rs4945449 G C G EBF1_EBF_1 25 0 - 0 0 . chr11 80899515 80899516 chr11:80899516:C:T rs4944268 C T T EBF1_EBF_1 9 1 - 10.088021820094827 7.433082554647224 ATACCCAAGGGAAC chr11 80970225 80970226 chr11:80970226:A:T rs188022162 A T A EBF1_EBF_1 21 0 + 0 0 . chr11 81071842 81071843 chr11:81071843:A:T rs781426881 A T A EBF1_EBF_1 -10 0 - 0 0 . chr11 81207404 81207405 chr11:81207405:T:A rs7127115 T A T EBF1_EBF_1 13 1 + 5.827560449648365 4.609320885776871 ACCCCCACGAGATT chr11 81284683 81284684 chr11:81284684:G:A rs11602605 G A A EBF1_EBF_1 20 0 - 0 0 . chr11 81574523 81574524 chr11:81574524:G:C rs2156837 G C C EBF1_EBF_1 -10 0 + 0 0 . chr11 81681540 81681541 chr11:81681541:T:C rs61888580 T C T EBF1_EBF_1 -9 0 - 0 0 . chr11 82315513 82315514 chr11:82315514:C:T rs4641504 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 82683161 82683162 chr11:82683162:C:G rs114960643 C G C EBF1_EBF_1 -8 0 - 0 0 . chr11 82683162 82683163 chr11:82683163:C:T rs12418906 C T C EBF1_EBF_1 -9 0 - 0 0 . chr11 82701898 82701899 chr11:82701899:C:T rs1497105 C T T EBF1_EBF_1 4 1 + 5.552859319174159 1.1834723742292352 AGTGCCAGGGGAAA chr11 82733212 82733213 chr11:82733213:G:C rs571965708 G C G EBF1_EBF_1 18 0 + 0 0 . chr11 82733868 82733869 chr11:82733869:C:T rs376929892 C T C EBF1_EBF_1 3 1 + 5.72372730493558 -0.6816714366177364 CCTCCCGGGGGAGC chr11 82791037 82791038 chr11:82791038:G:A rs143824794 G A G EBF1_EBF_1 10 1 + 6.506920181323455 1.1888917220564892 AGTCACCAGGGAAA chr11 82863528 82863529 chr11:82863529:A:T rs7952504 A T A EBF1_EBF_1 19 0 + 0 0 . chr11 82900496 82900497 chr11:82900497:G:A rs913898810 G A G EBF1_EBF_1 -14 0 + 0 0 . chr11 82932717 82932718 chr11:82932718:G:T rs7947780 G T G EBF1_EBF_1 -10 0 + 0 0 . chr11 82977004 82977005 chr11:82977005:G:C rs11233394 G C G EBF1_EBF_1 33 0 - 0 0 . chr11 82992506 82992507 chr11:82992507:T:C rs79879808 T C T EBF1_EBF_1 -15 0 + 0 0 . chr11 83069679 83069680 chr11:83069680:C:T rs181422233 C T C EBF1_EBF_1 9 1 - 7.024267530168585 4.369328264720981 ATTCCCAAGGAATA chr11 83156463 83156464 chr11:83156464:G:T rs17144667 G T G EBF1_EBF_1 4 1 - 6.7527525135886535 0.928192890135187 AATCCCCAGGAAAA chr11 83241582 83241583 chr11:83241583:G:C chr11:83241583:G:C G C G EBF1_EBF_1 -15 0 + 0 0 . chr11 83241614 83241615 chr11:83241615:C:T rs75807226 C T C EBF1_EBF_1 17 0 + 0 0 . chr11 83255126 83255127 chr11:83255127:T:G rs590847 T G G EBF1_EBF_1 22 0 + 0 0 . chr11 83285837 83285838 chr11:83285838:C:T rs117343732 C T C EBF1_EBF_1 13 1 + 6.769926697842335 7.614420812427853 TCTCCCTAGAGAGC chr11 83304427 83304428 chr11:83304428:A:C rs1041239396 A C A EBF1_EBF_1 6 1 - 7.192104093497741 5.085625901162786 ATTCCCTCAGGAAC chr11 83411629 83411630 chr11:83411630:A:C rs2510476 A C A EBF1_EBF_1 26 0 - 0 0 . chr11 83550410 83550411 chr11:83550411:G:C rs7940037 G C C EBF1_EBF_1 21 0 - 0 0 . chr11 83569898 83569899 chr11:83569899:C:T rs114796528 C T c EBF1_EBF_1 -14 0 + 0 0 . chr11 83703007 83703008 chr11:83703008:A:G rs111979883 A G A EBF1_EBF_1 -3 0 - 0 0 . chr11 83720912 83720913 chr11:83720913:C:T rs76102889 C T C EBF1_EBF_1 13 1 - 5.037184452474707 6.151510024544146 GCTCCCCAGGGTAG chr11 83727254 83727255 chr11:83727255:G:A rs7342211 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 83869532 83869533 chr11:83869533:C:T rs938536 C T C EBF1_EBF_1 9 1 - 5.507931728012969 2.8529924625653647 ACTCCATAGGGACA chr11 83909794 83909795 chr11:83909795:C:T rs74780824 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 83918609 83918610 chr11:83918610:T:A rs74490537 T A T EBF1_EBF_1 2 1 + 6.893634846481811 3.209167258882935 AATCCCAGAGGAAA chr11 84015880 84015881 chr11:84015881:A:G rs10898189 A G A EBF1_EBF_1 13 1 - 6.706225845794084 5.861731731208566 ATACCCAAAGGAAT chr11 84248005 84248006 chr11:84248006:T:C rs1515084 T C T EBF1_EBF_1 -15 0 - 0 0 . chr11 84270513 84270514 chr11:84270514:A:G rs17147112 A G A EBF1_EBF_1 33 0 - 0 0 . chr11 84345538 84345539 chr11:84345539:G:A rs17147233 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 84476673 84476674 chr11:84476674:G:A rs77876216 G A G EBF1_EBF_1 11 1 + 4.858207746152687 7.7509145897566984 TCTCCCAGGAGGCT chr11 84563437 84563438 chr11:84563438:A:G rs948141 A G G EBF1_EBF_1 -17 0 + 0 0 . chr11 84853349 84853350 chr11:84853350:A:G rs12362653 A G A EBF1_EBF_1 -8 0 + 0 0 . chr11 84882246 84882247 chr11:84882247:C:G rs17147698 C G C EBF1_EBF_1 12 1 + 5.582326732258182 4.193690788580031 ACTCCCAAGGCACA chr11 84886058 84886059 chr11:84886059:T:C rs1943727 T C T EBF1_EBF_1 -20 0 - 0 0 . chr11 84899458 84899459 chr11:84899459:T:C rs12284441 T C T EBF1_EBF_1 15 0 - 0 0 . chr11 85041232 85041233 chr11:85041233:T:C rs573963 T C T EBF1_EBF_1 33 0 - 0 0 . chr11 85091022 85091023 chr11:85091023:G:A rs117317802 G A G EBF1_EBF_1 5 1 - 7.330174690494232 2.0083874749535613 GTTCCCATGAGAGC chr11 85424055 85424056 chr11:85424056:T:C rs393308 T C T EBF1_EBF_1 17 0 + 0 0 . chr11 85522448 85522449 chr11:85522449:C:A rs59082411 C A A EBF1_EBF_1 4 1 + 4.256696135364298 -1.5678634880891689 CCCCCCTAGGGCAC chr11 85611824 85611825 chr11:85611825:G:A rs4944527 G A G EBF1_EBF_1 5 1 - 6.141773966340347 0.8199867507996774 ACCCCCTTGGGTTT chr11 85682775 85682776 chr11:85682776:C:T rs9943496 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 85685977 85685978 chr11:85685978:G:C rs780847460 G C G EBF1_EBF_1 2 1 - 5.6881703766298894 0.8286680978715726 AACCTCCGGGGACT chr11 85749865 85749866 chr11:85749866:G:C rs613973 G C G EBF1_EBF_1 -5 0 - 0 0 . chr11 85750373 85750374 chr11:85750374:C:T rs117273640 C T C EBF1_EBF_1 -17 0 - 0 0 . chr11 85795950 85795951 chr11:85795951:G:A rs11234413 G A G EBF1_EBF_1 5 1 - 6.703647884559111 1.3818606690184412 ATTCCCCTGGTGAT chr11 85813058 85813059 chr11:85813059:G:C rs11606656 G C C EBF1_EBF_1 1 1 + 4.655664071011775 4.465892416442557 CGTCCCCTGGAAAC chr11 85822702 85822703 chr11:85822703:G:A rs4943926 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 85826144 85826145 chr11:85826145:G:C rs1445499 G C C EBF1_EBF_1 31 0 + 0 0 . chr11 85912563 85912564 chr11:85912564:T:G rs11608136 T G T EBF1_EBF_1 23 0 - 0 0 . chr11 85912575 85912576 chr11:85912576:C:T rs148116399 C T C EBF1_EBF_1 11 1 - 5.961044474569844 8.853751318173854 TACCCCTAGGGGCC chr11 85919345 85919346 chr11:85919346:T:C rs11234475 T C T EBF1_EBF_1 31 0 - 0 0 . chr11 85919367 85919368 chr11:85919368:C:T rs12805520 C T C EBF1_EBF_1 9 1 - 8.641709319980793 5.986770054533192 ACTCCCAGGGGGCC chr11 85954128 85954129 chr11:85954129:T:C rs1892943 T C T EBF1_EBF_1 -2 0 - 0 0 . chr11 85954591 85954592 chr11:85954592:G:A rs655726 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 86069831 86069832 chr11:86069832:A:G rs754451777 A G A EBF1_EBF_1 28 0 - 0 0 . chr11 86087518 86087519 chr11:86087519:A:G rs116751670 A G A EBF1_EBF_1 12 1 - 7.393301995962819 8.41956856651367 CCTCCCCAGAGATT chr11 86155528 86155529 chr11:86155529:A:G rs10160337 A G A EBF1_EBF_1 -15 0 - 0 0 . chr11 86158694 86158695 chr11:86158695:C:T rs7113976 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 86160141 86160142 chr11:86160142:G:C rs7129687 G C C EBF1_EBF_1 25 0 - 0 0 . chr11 86160171 86160172 chr11:86160172:G:A rs61904266 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 86193670 86193671 chr11:86193671:C:G rs113222414 C G C EBF1_EBF_1 10 1 - 6.87462062658307 -0.01618176074640476 ATACCCTGGAGACT chr11 86205941 86205942 chr11:86205942:C:A rs116428650 C A C EBF1_EBF_1 26 0 + 0 0 . chr11 86303004 86303005 chr11:86303005:T:G rs80021571 T G T EBF1_EBF_1 -20 0 - 0 0 . chr11 86336362 86336363 chr11:86336363:C:A rs7122551 C A A EBF1_EBF_1 -11 0 + 0 0 . chr11 86445039 86445040 chr11:86445040:T:C rs7122406 T C C EBF1_EBF_1 15 0 + 0 0 . chr11 86450104 86450105 chr11:86450105:A:C rs4944581 A C C EBF1_EBF_1 -4 0 + 0 0 . chr11 86450441 86450442 chr11:86450442:C:T rs1285743761 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 86460362 86460363 chr11:86460363:G:T rs3758877 G T G EBF1_EBF_1 -12 0 - 0 0 . chr11 86463292 86463293 chr11:86463293:G:A rs75064711 G A G EBF1_EBF_1 16 0 - 0 0 . chr11 86484377 86484378 chr11:86484378:A:T rs11234665 A T A EBF1_EBF_1 25 0 + 0 0 . chr11 86484518 86484519 chr11:86484519:C:T rs932655160 C T C EBF1_EBF_1 15 0 + 0 0 . chr11 86484519 86484520 chr11:86484520:G:A rs112005722 G A C EBF1_EBF_1 16 0 + 0 0 . chr11 86484532 86484533 chr11:86484533:G:A rs74341113 G A G EBF1_EBF_1 29 0 + 0 0 . chr11 86487064 86487065 chr11:86487065:G:A rs2279047 G A G EBF1_EBF_1 5 1 - 6.752628078875747 1.4308408633350789 TGTCCCAGGAGAAA chr11 86559835 86559836 chr11:86559836:G:A rs74971828 G A G EBF1_EBF_1 18 0 + 0 0 . chr11 86582764 86582765 chr11:86582765:T:G rs11234701 T G T EBF1_EBF_1 -8 0 + 0 0 . chr11 86597205 86597206 chr11:86597206:C:T rs76391711 C T c EBF1_EBF_1 18 0 - 0 0 . chr11 86645063 86645064 chr11:86645064:C:T rs1938919 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 86660920 86660921 chr11:86660921:T:A rs538058 T A T EBF1_EBF_1 0 1 - 5.413712886595637 3.4406564636296153 ACTCCATGGGGACT chr11 86672484 86672485 chr11:86672485:G:C rs147332784 G C G EBF1_EBF_1 -15 0 + 0 0 . chr11 86746614 86746615 chr11:86746615:C:T rs4075249 C T C EBF1_EBF_1 -16 0 - 0 0 . chr11 86868176 86868177 chr11:86868177:A:G rs72951618 A G G EBF1_EBF_1 19 0 + 0 0 . chr11 86872161 86872162 chr11:86872162:T:C rs1939099 T C C EBF1_EBF_1 -5 0 - 0 0 . chr11 86872165 86872166 chr11:86872166:A:C rs2508430 A C A EBF1_EBF_1 -9 0 - 0 0 . chr11 86924276 86924277 chr11:86924277:G:A rs7116123 G A A EBF1_EBF_1 27 0 + 0 0 . chr11 86958520 86958521 chr11:86958521:C:A rs4944644 C A C EBF1_EBF_1 -18 0 - 0 0 . chr11 87014624 87014625 chr11:87014625:G:A rs12272397 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 87259234 87259235 chr11:87259235:T:C rs10444383 T C C EBF1_EBF_1 -5 0 + 0 0 . chr11 87430944 87430945 chr11:87430945:G:A rs11235141 G A G EBF1_EBF_1 7 1 - 5.313586866647442 7.131091612930065 TCTCCCACGGGGCA chr11 87444987 87444988 chr11:87444988:C:T rs7121872 C T C EBF1_EBF_1 -17 0 + 0 0 . chr11 87455301 87455302 chr11:87455302:G:A rs12293133 G A G EBF1_EBF_1 25 0 - 0 0 . chr11 87472925 87472926 chr11:87472926:G:C rs17821905 G C G EBF1_EBF_1 -1 0 + 0 0 . chr11 87497853 87497854 chr11:87497854:G:T rs11600106 G T G EBF1_EBF_1 16 0 + 0 0 . chr11 87497870 87497871 chr11:87497871:C:T rs6592370 C T T EBF1_EBF_1 33 0 + 0 0 . chr11 87722983 87722984 chr11:87722984:A:G rs112833833 A G A EBF1_EBF_1 7 1 + 6.9417835271012445 5.6293251218124185 GCCCCCCAGGGAGA chr11 87801156 87801157 chr11:87801157:G:A rs4944780 G A g EBF1_EBF_1 32 0 - 0 0 . chr11 87966783 87966784 chr11:87966784:G:C rs146084015 G C G EBF1_EBF_1 20 0 - 0 0 . chr11 87966809 87966810 chr11:87966810:T:C rs116165628 T C T EBF1_EBF_1 -6 0 - 0 0 . chr11 88040069 88040070 chr11:88040070:C:A rs539536232 C A C EBF1_EBF_1 13 1 + 7.305668777333977 6.931923328048001 ATTCCCAGGGGTTC chr11 88042542 88042543 chr11:88042543:C:A rs608754 C A C EBF1_EBF_1 22 0 - 0 0 . chr11 88051252 88051253 chr11:88051253:T:A rs7935712 T A A EBF1_EBF_1 10 1 + 7.202768120899656 6.067491847859955 ATCCCCAGGGTACT chr11 88174897 88174898 chr11:88174898:T:C rs142643941 T C T EBF1_EBF_1 33 0 - 0 0 . chr11 88306976 88306977 chr11:88306977:C:A rs12292545 C A C EBF1_EBF_1 8 1 - 6.171719504571739 -0.7197132844054557 AACCCCTAGGGGGA chr11 88331517 88331518 chr11:88331518:G:A rs567388 G A G EBF1_EBF_1 4 1 - 5.206645599151211 0.8372586542062872 ACTCCGCAGGGACA chr11 88406036 88406037 chr11:88406037:C:T rs494582 C T T EBF1_EBF_1 8 1 - 4.449418503874612 0.22312841498835106 AGTCCCCAGTGAGC chr11 88419855 88419856 chr11:88419856:C:A rs79717388 C A C EBF1_EBF_1 -2 0 + 0 0 . chr11 88419866 88419867 chr11:88419867:G:A rs7949132 G A G EBF1_EBF_1 9 1 + 6.236727191339036 3.581787925891433 ACTCCCGAGGAAAT chr11 88454981 88454982 chr11:88454982:C:G rs4753071 C G c EBF1_EBF_1 13 1 - 8.923817335061155 10.41188835641657 AGTCCCAAGAGAAG chr11 88516499 88516500 chr11:88516500:A:C rs74352256 A C A EBF1_EBF_1 24 0 + 0 0 . chr11 88590463 88590464 chr11:88590464:C:T chr11:88590464:C:T C T C EBF1_EBF_1 -5 0 + 0 0 . chr11 88617577 88617578 chr11:88617578:C:T rs7128183 C T T EBF1_EBF_1 -16 0 + 0 0 . chr11 88697366 88697367 chr11:88697367:C:T rs1109101 C T C EBF1_EBF_1 4 1 + 6.311275645532458 1.941888700587534 GTTCCCAGAGGACC chr11 88697390 88697391 chr11:88697391:A:T rs17178478 A T A EBF1_EBF_1 28 0 + 0 0 . chr11 88716226 88716227 chr11:88716227:G:T rs1499188 G T T EBF1_EBF_1 24 0 + 0 0 . chr11 88757236 88757237 chr11:88757237:C:T rs10831366 C T C EBF1_EBF_1 3 1 + 9.977448414851594 3.5720496732982783 CTTCCCTCGGGACT chr11 88878249 88878250 chr11:88878250:C:T rs72643341 C T C EBF1_EBF_1 17 0 + 0 0 . chr11 88878514 88878515 chr11:88878515:T:C rs72643342 T C T EBF1_EBF_1 18 0 + 0 0 . chr11 90118130 90118131 chr11:90118131:A:G rs7946840 A G . EBF1_EBF_1 24 0 - 0 0 . chr11 90134594 90134595 chr11:90134595:C:G chr11:90134595:C:G C G . EBF1_EBF_1 8 1 - 7.890053142472728 2.5713942815580415 GGTCCCCAGGGGCC chr11 90221047 90221048 chr11:90221048:T:C rs11018923 T C T EBF1_EBF_1 -12 0 - 0 0 . chr11 90221054 90221055 chr11:90221055:A:G rs11018924 A G a EBF1_EBF_1 -19 0 - 0 0 . chr11 90222527 90222528 chr11:90222528:C:T rs114258174 C T C EBF1_EBF_1 -15 0 + 0 0 . chr11 90222531 90222532 chr11:90222532:G:A rs111440319 G A G EBF1_EBF_1 -11 0 + 0 0 . chr11 90222574 90222575 chr11:90222575:C:T rs77674208 C T G EBF1_EBF_1 32 0 + 0 0 . chr11 90728714 90728715 chr11:90728715:G:A rs7124635 G A G EBF1_EBF_1 5 1 - 5.919800122160682 0.5980129066200122 AACCCCGGGGGACG chr11 90734349 90734350 chr11:90734350:C:T rs7942155 C T T EBF1_EBF_1 3 1 + 5.317490384965947 -1.0879083565873688 ATTCACCTGGGAGA chr11 90842795 90842796 chr11:90842796:T:C rs13377233 T C T EBF1_EBF_1 -9 0 + 0 0 . chr11 90929010 90929011 chr11:90929011:G:T rs73537742 G T G EBF1_EBF_1 -14 0 - 0 0 . chr11 91116327 91116328 chr11:91116328:T:C rs2514112 T C t EBF1_EBF_1 -12 0 - 0 0 . chr11 91200076 91200077 chr11:91200077:T:C rs149300352 T C T EBF1_EBF_1 1 1 + 10.543993920058163 9.64856047965942 ATTCCCCAGAGATT chr11 91446005 91446006 chr11:91446006:G:A rs77535244 G A G EBF1_EBF_1 -15 0 + 0 0 . chr11 91446471 91446472 chr11:91446472:G:A rs2156846 G A G EBF1_EBF_1 -11 0 + 0 0 . chr11 91470743 91470744 chr11:91470744:C:T rs141155343 C T C EBF1_EBF_1 29 0 - 0 0 . chr11 91479097 91479098 chr11:91479098:C:A rs7946660 C A A EBF1_EBF_1 -12 0 + 0 0 . chr11 91571974 91571975 chr11:91571975:T:C rs11019538 T C C EBF1_EBF_1 22 0 - 0 0 . chr11 91730521 91730522 chr11:91730522:C:T rs117187439 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 91773480 91773481 chr11:91773481:C:T rs11019631 C T C EBF1_EBF_1 -10 0 + 0 0 . chr11 92180689 92180690 chr11:92180690:C:T rs12286345 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 92180723 92180724 chr11:92180724:C:T rs12286391 C T C EBF1_EBF_1 -20 0 - 0 0 . chr11 92276625 92276626 chr11:92276626:T:C rs1187159 T C C EBF1_EBF_1 28 0 + 0 0 . chr11 92286086 92286087 chr11:92286087:C:G rs2845878 C G C EBF1_EBF_1 25 0 + 0 0 . chr11 92325087 92325088 chr11:92325088:G:A rs12279385 G A G EBF1_EBF_1 5 1 - 10.63408127127951 5.312294055738841 AATCCCCAGAGAAT chr11 92626365 92626366 chr11:92626366:G:T rs567287 G T T EBF1_EBF_1 22 0 + 0 0 . chr11 92658643 92658644 chr11:92658644:A:G rs574894 A G G EBF1_EBF_1 25 0 + 0 0 . chr11 92668514 92668515 chr11:92668515:G:C rs507032 G C C EBF1_EBF_1 -11 0 - 0 0 . chr11 92681328 92681329 chr11:92681329:G:A rs504490 G A G EBF1_EBF_1 1 1 - 8.811352332736273 9.70678577313502 CCTCCCAAGAGAAT chr11 92695332 92695333 chr11:92695333:T:G rs2446185 T G T EBF1_EBF_1 22 0 - 0 0 . chr11 92760037 92760038 chr11:92760038:A:C rs147138293 A C A EBF1_EBF_1 -6 0 - 0 0 . chr11 92764230 92764231 chr11:92764231:T:C rs17614825 T C T EBF1_EBF_1 0 1 - 5.742480020876914 3.8501961513120806 AGTCCCTGGAGGCA chr11 92851543 92851544 chr11:92851544:C:G rs4753420 C G C EBF1_EBF_1 -5 0 + 0 0 . chr11 92897725 92897726 chr11:92897726:A:T rs9971418 A T T EBF1_EBF_1 18 0 - 0 0 . chr11 92972677 92972678 chr11:92972678:T:G rs6483208 T G G EBF1_EBF_1 -4 0 + 0 0 . chr11 92980509 92980510 chr11:92980510:A:G rs7127128 A G G EBF1_EBF_1 -15 0 + 0 0 . chr11 92980526 92980527 chr11:92980527:T:C rs7130424 T C C EBF1_EBF_1 2 1 + 5.0526712044890765 3.1638477930469255 TCTGCCCTGGGACT chr11 93079621 93079622 chr11:93079622:T:C rs10830976 T C T EBF1_EBF_1 25 0 + 0 0 . chr11 93194479 93194480 chr11:93194480:G:C rs10501803 G C G EBF1_EBF_1 -3 0 - 0 0 . chr11 93330673 93330674 chr11:93330674:T:G chr11:93330674:T:G T G t EBF1_EBF_1 19 0 - 0 0 . chr11 93352370 93352371 chr11:93352371:T:G rs10831029 T G T EBF1_EBF_1 6 1 + 7.801552302639693 5.695074110304739 GCTCCCTGGAGAAT chr11 93359504 93359505 chr11:93359505:C:G rs1456239 C G C EBF1_EBF_1 24 0 - 0 0 . chr11 93393561 93393562 chr11:93393562:T:A rs11020301 T A A EBF1_EBF_1 -10 0 - 0 0 . chr11 93396316 93396317 chr11:93396317:G:C rs12288277 G C C EBF1_EBF_1 10 1 + 5.89785411031311 -0.992948277016364 AGACCCCGGAGAAT chr11 93396317 93396318 chr11:93396318:A:G rs12282288 A G G EBF1_EBF_1 11 1 + 5.89785411031311 3.0051472667090993 AGACCCCGGAGAAT chr11 93483517 93483518 chr11:93483518:C:G rs2605597 C G C EBF1_EBF_1 30 0 + 0 0 . chr11 93495155 93495156 chr11:93495156:G:T rs56240121 G T G EBF1_EBF_1 -16 0 + 0 0 . chr11 93495375 93495376 chr11:93495376:T:C rs11603560 T C T EBF1_EBF_1 -11 0 - 0 0 . chr11 93537264 93537265 chr11:93537265:T:C rs10831058 T C T EBF1_EBF_1 1 1 - 5.2370821375182475 5.497780969439549 AATCCCAGGAGCCT chr11 93543043 93543044 chr11:93543044:C:T chr11:93543044:C:T C T - EBF1_EBF_1 -12 0 + 0 0 . chr11 93543048 93543049 chr11:93543049:G:A rs533284898 G A - EBF1_EBF_1 -7 0 + 0 0 . chr11 93543060 93543061 chr11:93543061:C:G rs569763028 C G - EBF1_EBF_1 5 1 + 6.266589300280759 -0.6382918523544094 AGTCCCTCGGGCCC chr11 93550337 93550338 chr11:93550338:A:G rs4753472 A G A EBF1_EBF_1 -7 0 + 0 0 . chr11 93605694 93605695 chr11:93605695:C:T rs34140012 C T C EBF1_EBF_1 -10 0 - 0 0 . chr11 93774768 93774769 chr11:93774769:A:G rs586293 A G A EBF1_EBF_1 -13 0 + 0 0 . chr11 93839452 93839453 chr11:93839453:C:G rs584084 C G C EBF1_EBF_1 -9 0 - 0 0 . chr11 93948369 93948370 chr11:93948370:G:A rs12789693 G A G EBF1_EBF_1 8 1 + 7.126373528161723 2.9000834392754626 TGTCCCAGGAGAAC chr11 94102554 94102555 chr11:94102555:A:G rs76238863 A G G EBF1_EBF_1 -16 0 - 0 0 . chr11 94172259 94172260 chr11:94172260:G:A rs4753546 G A A EBF1_EBF_1 16 0 + 0 0 . chr11 94186734 94186735 chr11:94186735:C:A rs16920011 C A A EBF1_EBF_1 22 0 + 0 0 . chr11 94194934 94194935 chr11:94194935:G:T rs184955971 G T G EBF1_EBF_1 13 1 - 4.905861365573783 4.532115916287807 GTTCCCTGGGTAGC chr11 94194961 94194962 chr11:94194962:G:C rs1792633 G C C EBF1_EBF_1 10 1 + 5.996513297061057 -0.8942890902684175 AGCCCCCAGGGCCA chr11 94221149 94221150 chr11:94221150:G:A rs1792641 G A A EBF1_EBF_1 7 1 + 8.073201903697866 9.385660308986688 AATCCCCGGAGACA chr11 94401267 94401268 chr11:94401268:C:T rs79774577 C T C EBF1_EBF_1 5 1 + 8.365103533610045 3.0433163180693747 CCTCCCCTGGGAGC chr11 94450232 94450233 chr11:94450233:T:C rs77891285 T C T EBF1_EBF_1 -18 0 + 0 0 . chr11 94648201 94648202 chr11:94648202:C:T rs4600170 C T C EBF1_EBF_1 10 1 - 5.809425776153633 0.4913973168866663 ACACCCATGGGAGG chr11 94651358 94651359 chr11:94651359:G:T rs11020871 G T G EBF1_EBF_1 -6 0 + 0 0 . chr11 94651414 94651415 chr11:94651415:C:T rs74875945 C T C EBF1_EBF_1 -5 0 - 0 0 . chr11 94674465 94674466 chr11:94674466:A:C rs78953109 A C A EBF1_EBF_1 -5 0 - 0 0 . chr11 94735095 94735096 chr11:94735096:C:A rs11020931 C A C EBF1_EBF_1 4 1 + 6.621642492247041 0.7970828687935734 AACCCCTGGGGGCC chr11 94806180 94806181 chr11:94806181:G:T rs12421118 G T g EBF1_EBF_1 16 0 - 0 0 . chr11 94826702 94826703 chr11:94826703:A:T rs2399964 A T A EBF1_EBF_1 24 0 + 0 0 . chr11 94877227 94877228 chr11:94877228:A:C rs690443 A C A EBF1_EBF_1 -17 0 + 0 0 . chr11 94896917 94896918 chr11:94896918:A:G rs690647 A G A EBF1_EBF_1 -16 0 - 0 0 . chr11 94907450 94907451 chr11:94907451:C:T rs481112 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 94938557 94938558 chr11:94938558:C:T rs12224114 C T C EBF1_EBF_1 25 0 - 0 0 . chr11 94972864 94972865 chr11:94972865:C:A rs10831295 C A C EBF1_EBF_1 -19 0 + 0 0 . chr11 95012181 95012182 chr11:95012182:C:T rs12802304 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 95012191 95012192 chr11:95012192:T:C rs12807946 T C C EBF1_EBF_1 13 1 - 6.297799425922813 5.183473853853374 AGCCCCTAGGGCCA chr11 95067363 95067364 chr11:95067364:G:A rs375946781 G A G EBF1_EBF_1 6 1 + 4.671479546502139 6.838273977776614 CATCCCGCGGGAGC chr11 95109143 95109144 chr11:95109144:T:G rs568791489 T G T EBF1_EBF_1 2 1 + 4.839186742668231 -1.9091389475322365 TGTCCCCACGGAGT chr11 95192698 95192699 chr11:95192699:G:A rs523347 G A G EBF1_EBF_1 24 0 + 0 0 . chr11 95230661 95230662 chr11:95230662:G:A rs138308840 G A G EBF1_EBF_1 4 1 - 4.169626679326105 -0.19976026561881866 CCTCCGCAGGGACT chr11 95230684 95230685 chr11:95230685:C:T rs376383473 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 95257243 95257244 chr11:95257244:A:G rs2400055 A G A EBF1_EBF_1 4 1 - 6.48760219461628 10.856989139561202 AACCTCTAGGGAAC chr11 95592708 95592709 chr11:95592709:G:A rs56270801 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 95608067 95608068 chr11:95608068:C:T rs61902941 C T C EBF1_EBF_1 -16 0 + 0 0 . chr11 95676461 95676462 chr11:95676462:T:A rs6483452 T A T EBF1_EBF_1 25 0 + 0 0 . chr11 95705263 95705264 chr11:95705264:T:A rs12226263 T A T EBF1_EBF_1 14 0 + 0 0 . chr11 95757731 95757732 chr11:95757732:G:T rs35793328 G T G EBF1_EBF_1 33 0 - 0 0 . chr11 95791031 95791032 chr11:95791032:A:G rs939743106 A G A EBF1_EBF_1 20 0 + 0 0 . chr11 95923709 95923710 chr11:95923710:C:T rs112880403 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 95991930 95991931 chr11:95991931:T:C rs16922803 T C T EBF1_EBF_1 -15 0 + 0 0 . chr11 96016025 96016026 chr11:96016026:T:G rs10831465 T G T EBF1_EBF_1 -18 0 - 0 0 . chr11 96075685 96075686 chr11:96075686:G:C rs1939487 G C C EBF1_EBF_1 -4 0 + 0 0 . chr11 96076596 96076597 chr11:96076597:G:A rs76879447 G A G EBF1_EBF_1 -9 0 + 0 0 . chr11 96077155 96077156 chr11:96077156:A:G rs184831449 A G A EBF1_EBF_1 -15 0 + 0 0 . chr11 96099120 96099121 chr11:96099121:T:C chr11:96099121:T:C T C T EBF1_EBF_1 28 0 - 0 0 . chr11 96113736 96113737 chr11:96113737:A:G rs147750400 A G A EBF1_EBF_1 14 0 + 0 0 . chr11 96156560 96156561 chr11:96156561:C:T rs939453257 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 96161167 96161168 chr11:96161168:C:T rs71475379 C T C EBF1_EBF_1 13 1 + 5.464525067362221 6.309019181947739 TGTCCCTGAGGACC chr11 96177342 96177343 chr11:96177343:G:A rs7110244 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 96219078 96219079 chr11:96219079:C:T rs11021474 C T C EBF1_EBF_1 -4 0 - 0 0 . chr11 96242338 96242339 chr11:96242339:A:T rs12288124 A T T EBF1_EBF_1 16 0 + 0 0 . chr11 96325252 96325253 chr11:96325253:C:G rs141470823 C G C EBF1_EBF_1 17 0 - 0 0 . chr11 96325277 96325278 chr11:96325278:C:T rs515069 C T C EBF1_EBF_1 -8 0 - 0 0 . chr11 96426101 96426102 chr11:96426102:G:A rs10501853 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 96469620 96469621 chr11:96469621:T:G rs7114658 T G T EBF1_EBF_1 -1 0 - 0 0 . chr11 96499637 96499638 chr11:96499638:C:G rs55639374 C G C EBF1_EBF_1 1 1 - 5.830682243475722 5.640910588906504 CGTCCCCTGAGAAG chr11 96576968 96576969 chr11:96576969:T:C rs35355883 T C T EBF1_EBF_1 -20 0 - 0 0 . chr11 96878366 96878367 chr11:96878367:C:T rs72959072 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 97060504 97060505 chr11:97060505:C:T rs72958508 C T C EBF1_EBF_1 30 0 + 0 0 . chr11 97202250 97202251 chr11:97202251:C:T rs79510080 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 97247866 97247867 chr11:97247867:T:C rs964646 T C T EBF1_EBF_1 18 0 + 0 0 . chr11 97362153 97362154 chr11:97362154:T:C rs7482826 T C T EBF1_EBF_1 19 0 + 0 0 . chr11 97367808 97367809 chr11:97367809:A:G rs10732876 A G A EBF1_EBF_1 23 0 - 0 0 . chr11 97367813 97367814 chr11:97367814:C:T rs11828635 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 97675965 97675966 chr11:97675966:C:G rs612616 C G C EBF1_EBF_1 -19 0 - 0 0 . chr11 97684772 97684773 chr11:97684773:C:A rs607401 C A C EBF1_EBF_1 2 1 + 4.604822465505293 2.8091782893485675 GGCCCCAGGGTACT chr11 97740465 97740466 chr11:97740466:G:A rs1849141 G A G EBF1_EBF_1 -20 0 + 0 0 . chr11 97740473 97740474 chr11:97740474:C:T rs12417330 C T C EBF1_EBF_1 -12 0 + 0 0 . chr11 97784564 97784565 chr11:97784565:A:G rs10789668 A G G EBF1_EBF_1 -19 0 - 0 0 . chr11 97993065 97993066 chr11:97993066:A:G rs7925002 A G G EBF1_EBF_1 -4 0 + 0 0 . chr11 98184718 98184719 chr11:98184719:A:G rs10891391 A G G EBF1_EBF_1 19 0 + 0 0 . chr11 98227517 98227518 chr11:98227518:C:T rs35054418 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 98397108 98397109 chr11:98397109:T:C rs11215160 T C C EBF1_EBF_1 -4 0 + 0 0 . chr11 98497763 98497764 chr11:98497764:A:T rs1994087 A T A EBF1_EBF_1 -4 0 + 0 0 . chr11 98597895 98597896 chr11:98597896:G:C rs10736486 G C C EBF1_EBF_1 -7 0 + 0 0 . chr11 99142581 99142582 chr11:99142582:T:C rs1940503 T C C EBF1_EBF_1 -17 0 - 0 0 . chr11 99630687 99630688 chr11:99630688:C:G rs683623 C G C EBF1_EBF_1 -13 0 + 0 0 . chr11 99630691 99630692 chr11:99630692:G:A rs683621 G A A EBF1_EBF_1 -9 0 + 0 0 . chr11 99630704 99630705 chr11:99630705:C:A rs35982972 C A C EBF1_EBF_1 4 1 + 5.949782359379553 0.12522273592608446 ATACCCTTGGGCCT chr11 99635704 99635705 chr11:99635705:T:C rs676553 T C T EBF1_EBF_1 -6 0 + 0 0 . chr11 99731519 99731520 chr11:99731520:C:T rs17134282 C T C EBF1_EBF_1 -18 0 + 0 0 . chr11 99731520 99731521 chr11:99731521:T:C rs17134284 T C T EBF1_EBF_1 -17 0 + 0 0 . chr11 99778522 99778523 chr11:99778523:C:T rs1145377 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 100010534 100010535 chr11:100010535:G:A rs770568 G A A EBF1_EBF_1 0 1 - 5.57685696646923 5.859059027199808 CTTCCCAGGGGCTT chr11 100359372 100359373 chr11:100359373:C:T rs552159 C T T EBF1_EBF_1 18 0 + 0 0 . chr11 100459225 100459226 chr11:100459226:C:T rs11224242 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 100647987 100647988 chr11:100647988:T:A rs55657437 T A T EBF1_EBF_1 -20 0 + 0 0 . chr11 100689310 100689311 chr11:100689311:G:A rs61908740 G A G EBF1_EBF_1 7 1 - 6.765627654936107 8.583132401218732 AGTCCCGCGAGACT chr11 100752488 100752489 chr11:100752489:G:A rs2088953 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 100866680 100866681 chr11:100866681:C:G rs151174831 C G C EBF1_EBF_1 -6 0 + 0 0 . chr11 100866693 100866694 chr11:100866694:T:C rs117561762 T C T EBF1_EBF_1 7 1 + 6.363814072743401 4.5463093264607775 GATCCCATGAGAGC chr11 100878664 100878665 chr11:100878665:T:G rs7106381 T G T EBF1_EBF_1 23 0 + 0 0 . chr11 100930043 100930044 chr11:100930044:G:C rs11224518 G C G EBF1_EBF_1 -7 0 - 0 0 . chr11 101369254 101369255 chr11:101369255:C:A rs117012408 C A c EBF1_EBF_1 1 1 - 7.688665582016664 8.394327367846193 CGTCCCAGGAGAAT chr11 101429401 101429402 chr11:101429402:A:G rs57177256 A G A EBF1_EBF_1 16 0 - 0 0 . chr11 101431104 101431105 chr11:101431105:G:T rs12798689 G T T EBF1_EBF_1 33 0 - 0 0 . chr11 101444268 101444269 chr11:101444269:A:G rs10082588 A G a EBF1_EBF_1 -12 0 + 0 0 . chr11 101530712 101530713 chr11:101530713:C:T rs7106968 C T T EBF1_EBF_1 26 0 + 0 0 . chr11 101566874 101566875 chr11:101566875:C:G rs67536177 C G C EBF1_EBF_1 -13 0 + 0 0 . chr11 101567246 101567247 chr11:101567247:C:G rs7110783 C G G EBF1_EBF_1 8 1 - 6.527713003956779 1.209054143042094 CTTCCCCAGGGCTT chr11 101567254 101567255 chr11:101567255:G:T rs12801488 G T G EBF1_EBF_1 0 1 - 6.527713003956779 8.782971487653379 CTTCCCCAGGGCTT chr11 101583512 101583513 chr11:101583513:G:T rs191383391 G T G EBF1_EBF_1 33 0 - 0 0 . chr11 101639060 101639061 chr11:101639061:C:T rs11559550 C T C EBF1_EBF_1 -1 0 + 0 0 . chr11 101639061 101639062 chr11:101639062:A:G rs6590894 A G A EBF1_EBF_1 0 1 + 4.955220951891742 3.0629370823269086 ATCCCACTGGGACT chr11 101914864 101914865 chr11:101914865:G:C rs371163090 G C G EBF1_EBF_1 26 0 - 0 0 . chr11 101914871 101914872 chr11:101914872:A:C rs534465202 A C A EBF1_EBF_1 19 0 - 0 0 . chr11 101914902 101914903 chr11:101914903:A:C rs1048946496 A C A EBF1_EBF_1 -12 0 - 0 0 . chr11 102047396 102047397 chr11:102047397:G:A rs369244170 G A G EBF1_EBF_1 29 0 + 0 0 . chr11 102110868 102110869 chr11:102110869:G:A rs775598673 G A - EBF1_EBF_1 -13 0 + 0 0 . chr11 102111415 102111416 chr11:102111416:C:T rs553369625 C T C EBF1_EBF_1 11 1 - 4.188970245114147 7.081677088718158 CGCCCCCCGGGGCT chr11 102111706 102111707 chr11:102111707:C:G rs1161270102 C G C EBF1_EBF_1 24 0 - 0 0 . chr11 102121717 102121718 chr11:102121718:T:A rs11225135 T A A EBF1_EBF_1 -3 0 + 0 0 . chr11 102191903 102191904 chr11:102191904:G:T rs112112715 G T G EBF1_EBF_1 11 1 + 6.205390467015342 5.000183054520628 AAACCCCTGGGGCT chr11 102268171 102268172 chr11:102268172:G:A rs2124216 G A G EBF1_EBF_1 19 0 + 0 0 . chr11 102269197 102269198 chr11:102269198:C:A rs77760245 C A C EBF1_EBF_1 -15 0 - 0 0 . chr11 102361052 102361053 chr11:102361053:A:C rs75029885 A C a EBF1_EBF_1 15 0 + 0 0 . chr11 102386683 102386684 chr11:102386684:A:C rs12277594 A C a EBF1_EBF_1 29 0 + 0 0 . chr11 102428986 102428987 chr11:102428987:C:G rs141977354 C G C EBF1_EBF_1 4 1 + 6.33185637168993 0.7060912705403855 ACCCCCTGGGGTCT chr11 102488303 102488304 chr11:102488304:A:G rs6590964 A G G EBF1_EBF_1 12 1 - 8.480182397390214 9.506448967941063 ATCCCCTTGAGATT chr11 102547308 102547309 chr11:102547309:C:T rs74689775 C T C EBF1_EBF_1 33 0 - 0 0 . chr11 102592898 102592899 chr11:102592899:G:A rs17174309 G A G EBF1_EBF_1 1 1 + 5.1750126296001175 4.914313797678815 AGCCCCTGAGGACA chr11 102637989 102637990 chr11:102637990:G:A rs11225352 G A A EBF1_EBF_1 -18 0 + 0 0 . chr11 102663890 102663891 chr11:102663891:C:T rs1276253 C T C EBF1_EBF_1 4 1 - 4.604248616226211 4.4054540939222875 CCTCGCCAGGGACC chr11 102681104 102681105 chr11:102681105:G:C rs115449412 G C G EBF1_EBF_1 32 0 + 0 0 . chr11 102840250 102840251 chr11:102840251:T:C rs3025065 T C T EBF1_EBF_1 -11 0 - 0 0 . chr11 102882773 102882774 chr11:102882774:T:C rs118028968 T C T EBF1_EBF_1 33 0 - 0 0 . chr11 102914531 102914532 chr11:102914532:C:A rs11225478 C A C EBF1_EBF_1 10 1 - 9.886449666511105 5.703697480283839 CCTCCCCAGGGAAA chr11 103002556 103002557 chr11:103002557:T:C rs11225512 T C T EBF1_EBF_1 20 0 + 0 0 . chr11 103051419 103051420 chr11:103051420:C:T rs117918253 C T C EBF1_EBF_1 30 0 - 0 0 . chr11 103267171 103267172 chr11:103267172:G:A rs55836742 G A G EBF1_EBF_1 8 1 + 5.230397443055603 1.0041073541693428 AGTCCCCAGAGCCA chr11 103304767 103304768 chr11:103304768:C:T rs3758862 C T T EBF1_EBF_1 -2 0 + 0 0 . chr11 103326852 103326853 chr11:103326853:A:G rs12293718 A G A EBF1_EBF_1 0 1 - 5.599852392908068 5.317650332177489 TTCCCCCAGGGGTC chr11 103541046 103541047 chr11:103541047:A:C rs112677216 A C A EBF1_EBF_1 31 0 - 0 0 . chr11 103542185 103542186 chr11:103542186:C:G rs7102587 C G C EBF1_EBF_1 4 1 + 8.267963870694818 2.6421987695452755 ACTCCCAGGGGGCA chr11 103556529 103556530 chr11:103556530:C:T rs7942431 C T T EBF1_EBF_1 -20 0 + 0 0 . chr11 103556563 103556564 chr11:103556564:C:T rs35206718 C T C EBF1_EBF_1 14 0 + 0 0 . chr11 103627421 103627422 chr11:103627422:G:A rs1917448 G A G EBF1_EBF_1 30 0 + 0 0 . chr11 103627705 103627706 chr11:103627706:A:G rs2034555 A G A EBF1_EBF_1 13 1 - 7.516430802517488 6.67193668793197 AATCCCGGGAGAAT chr11 103627933 103627934 chr11:103627934:A:G rs11225928 A G A EBF1_EBF_1 -16 0 - 0 0 . chr11 103676417 103676418 chr11:103676418:A:G rs10895507 A G A EBF1_EBF_1 -17 0 + 0 0 . chr11 103683093 103683094 chr11:103683094:C:G chr11:103683094:C:G C G C EBF1_EBF_1 12 1 - 5.532896779436287 6.921532723114439 AGCCCCAAAGGAGC chr11 103683109 103683110 chr11:103683110:T:C rs182819747 T C T EBF1_EBF_1 -4 0 - 0 0 . chr11 103949788 103949789 chr11:103949789:A:G rs10895548 A G G EBF1_EBF_1 22 0 - 0 0 . chr11 104007076 104007077 chr11:104007077:G:T rs35965296 G T G EBF1_EBF_1 -8 0 - 0 0 . chr11 104128019 104128020 chr11:104128020:A:T rs74783945 A T A EBF1_EBF_1 21 0 + 0 0 . chr11 104339486 104339487 chr11:104339487:G:T rs10736635 G T T EBF1_EBF_1 0 1 - 6.040200545012773 8.295459028709375 CTACCCAAGGGAGA chr11 104373902 104373903 chr11:104373903:T:C rs7117722 T C T EBF1_EBF_1 30 0 + 0 0 . chr11 104422736 104422737 chr11:104422737:C:T rs144497562 C T C EBF1_EBF_1 -9 0 + 0 0 . chr11 104422770 104422771 chr11:104422771:A:G rs34076835 A G G EBF1_EBF_1 25 0 + 0 0 . chr11 104545212 104545213 chr11:104545213:T:C rs76693795 T C T EBF1_EBF_1 15 0 - 0 0 . chr11 104565115 104565116 chr11:104565116:C:T rs376985915 C T C EBF1_EBF_1 -16 0 - 0 0 . chr11 104729731 104729732 chr11:104729732:G:C rs183877253 G C G EBF1_EBF_1 -4 0 - 0 0 . chr11 104744112 104744113 chr11:104744113:T:C rs4755050 T C T EBF1_EBF_1 19 0 + 0 0 . chr11 104927229 104927230 chr11:104927230:A:C rs10791738 A C g EBF1_EBF_1 28 0 - 0 0 . chr11 105101373 105101374 chr11:105101374:C:T rs77208579 C T c EBF1_EBF_1 5 1 + 5.707690096621335 0.385902881080665 CCTCCCAGGGGCCT chr11 105175425 105175426 chr11:105175426:T:G rs7480327 T G - EBF1_EBF_1 -20 0 - 0 0 . chr11 105177583 105177584 chr11:105177584:C:T rs12421898 C T c EBF1_EBF_1 -5 0 + 0 0 . chr11 105189783 105189784 chr11:105189784:A:G rs34212668 A G A EBF1_EBF_1 -8 0 + 0 0 . chr11 105296657 105296658 chr11:105296658:G:A rs7123938 G A G EBF1_EBF_1 1 1 - 5.233079878783742 6.128513319182487 ACTCCCCTGGAAAG chr11 105489618 105489619 chr11:105489619:G:A rs75533080 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 105498904 105498905 chr11:105498905:A:G rs4267040 A G G EBF1_EBF_1 6 1 + 5.965745260142856 3.7989508288683806 AGTCCCAAGAGCCC chr11 105509543 105509544 chr11:105509544:G:C rs61900604 G C G EBF1_EBF_1 9 1 + 5.540623398956998 0.46493425261153404 CATCACAAGGGACT chr11 105555604 105555605 chr11:105555605:T:A rs2510174 T A T EBF1_EBF_1 26 0 + 0 0 . chr11 105726047 105726048 chr11:105726048:G:A rs1954762 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 105781263 105781264 chr11:105781264:T:C rs76691083 T C T EBF1_EBF_1 27 0 + 0 0 . chr11 105829599 105829600 chr11:105829600:C:A rs661148 C A A EBF1_EBF_1 8 1 - 4.404486829137252 -2.4869459598399435 GGTCCCTCGGGCAC chr11 106021782 106021783 chr11:106021783:A:C rs72981237 A C A EBF1_EBF_1 -2 0 - 0 0 . chr11 106021833 106021834 chr11:106021834:A:C chr11:106021834:A:C A C A EBF1_EBF_1 24 0 + 0 0 . chr11 106022232 106022233 chr11:106022233:G:A rs192460292 G A G EBF1_EBF_1 4 1 - 3.785424329748378 -0.5839626151965462 CCCCCCTTGGGGCG chr11 106022233 106022234 chr11:106022234:G:A rs150695004 G A G EBF1_EBF_1 3 1 - 3.785424329748378 -2.6199744118049404 CCCCCCTTGGGGCG chr11 106022512 106022513 chr11:106022513:T:C rs61080184 T C T EBF1_EBF_1 -19 0 + 0 0 . chr11 106022521 106022522 chr11:106022522:G:A chr11:106022522:G:A G A G EBF1_EBF_1 -10 0 + 0 0 . chr11 106249365 106249366 chr11:106249366:A:G rs75235468 A G A EBF1_EBF_1 22 0 - 0 0 . chr11 106368623 106368624 chr11:106368624:A:G rs77378653 A G A EBF1_EBF_1 -5 0 + 0 0 . chr11 106593202 106593203 chr11:106593203:T:C chr11:106593203:T:C T C T EBF1_EBF_1 25 0 - 0 0 . chr11 106595366 106595367 chr11:106595367:G:A rs11211821 G A G EBF1_EBF_1 5 1 - 8.797654985393708 3.4758677698530374 ATTCCCTTAGGATT chr11 106602138 106602139 chr11:106602139:G:T rs117735972 G T G EBF1_EBF_1 33 0 - 0 0 . chr11 106652376 106652377 chr11:106652377:C:T rs754128147 C T C EBF1_EBF_1 -11 0 - 0 0 . chr11 106821501 106821502 chr11:106821502:C:A rs1487891 C A C EBF1_EBF_1 3 1 + 8.282033274773262 1.3758592453074554 CATCCCATGGGAGA chr11 106854235 106854236 chr11:106854236:A:G rs11524439 A G A EBF1_EBF_1 21 0 - 0 0 . chr11 106854896 106854897 chr11:106854897:C:A rs901777 C A a EBF1_EBF_1 22 0 - 0 0 . chr11 106959984 106959985 chr11:106959985:T:C rs140357989 T C T EBF1_EBF_1 21 0 - 0 0 . chr11 106959999 106960000 chr11:106960000:G:A rs77932971 G A G EBF1_EBF_1 6 1 - 6.200574711060626 6.501860839922384 GTTCCCCAGGAAAC chr11 107140330 107140331 chr11:107140331:C:T rs2009553 C T T EBF1_EBF_1 29 0 + 0 0 . chr11 107141230 107141231 chr11:107141231:C:T rs12288420 C T T EBF1_EBF_1 -18 0 + 0 0 . chr11 107177103 107177104 chr11:107177104:T:C rs541256552 T C T EBF1_EBF_1 -12 0 + 0 0 . chr11 107308216 107308217 chr11:107308217:C:A rs1602396 C A C EBF1_EBF_1 -11 0 - 0 0 . chr11 107397122 107397123 chr11:107397123:A:C rs2161965 A C A EBF1_EBF_1 25 0 + 0 0 . chr11 107561775 107561776 chr11:107561776:T:C rs10749905 T C T EBF1_EBF_1 32 0 + 0 0 . chr11 107565728 107565729 chr11:107565729:G:C rs77614316 G C G EBF1_EBF_1 26 0 + 0 0 . chr11 107565734 107565735 chr11:107565735:T:A rs537542292 T A T EBF1_EBF_1 32 0 + 0 0 . chr11 107591795 107591796 chr11:107591796:G:C rs117050555 G C G EBF1_EBF_1 23 0 - 0 0 . chr11 107771149 107771150 chr11:107771150:C:A rs117224444 C A C EBF1_EBF_1 24 0 + 0 0 . chr11 107787980 107787981 chr11:107787981:A:T rs34793942 A T A EBF1_EBF_1 -18 0 - 0 0 . chr11 107928822 107928823 chr11:107928823:G:A rs184082694 G A G EBF1_EBF_1 23 0 + 0 0 . chr11 107928823 107928824 chr11:107928824:C:A rs186025298 C A C EBF1_EBF_1 24 0 + 0 0 . chr11 108122772 108122773 chr11:108122773:A:G rs7114794 A G A EBF1_EBF_1 20 0 - 0 0 . chr11 108355560 108355561 chr11:108355561:C:A rs61338316 C A C EBF1_EBF_1 5 1 + 8.772124094441665 1.8672429418064982 CCTCCCCAGGGAAG chr11 108647529 108647530 chr11:108647530:C:T rs182254461 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 108655161 108655162 chr11:108655162:T:G chr11:108655162:T:G T G T EBF1_EBF_1 2 1 + 12.503310518008982 5.7549848278085145 ACTCCCAAGGGAAA chr11 108655191 108655192 chr11:108655192:C:A rs182976470 C A C EBF1_EBF_1 32 0 + 0 0 . chr11 108873331 108873332 chr11:108873332:C:T rs79630998 C T C EBF1_EBF_1 -5 0 + 0 0 . chr11 108873353 108873354 chr11:108873354:A:G rs10890902 A G A EBF1_EBF_1 17 0 + 0 0 . chr11 108943384 108943385 chr11:108943385:T:G rs4754364 T G G EBF1_EBF_1 21 0 - 0 0 . chr11 108983790 108983791 chr11:108983791:A:G rs992708393 A G A EBF1_EBF_1 7 1 - 8.286865979226436 6.469361232943812 AACCCCCTGGGAGA chr11 109106147 109106148 chr11:109106148:C:T rs148654749 C T C EBF1_EBF_1 -4 0 + 0 0 . chr11 109276162 109276163 chr11:109276163:A:C rs11213025 A C A EBF1_EBF_1 -2 0 - 0 0 . chr11 109389482 109389483 chr11:109389483:T:C rs902226277 T C T EBF1_EBF_1 -5 0 + 0 0 . chr11 109423338 109423339 chr11:109423339:C:A rs113983255 C A C EBF1_EBF_1 14 0 + 0 0 . chr11 109693172 109693173 chr11:109693173:G:A rs1487811 G A g EBF1_EBF_1 20 0 + 0 0 . chr11 109794572 109794573 chr11:109794573:G:A rs6589089 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 109845922 109845923 chr11:109845923:A:C rs517225 A C A EBF1_EBF_1 -17 0 - 0 0 . chr11 109993823 109993824 chr11:109993824:C:T rs10891043 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 109993845 109993846 chr11:109993846:A:G chr11:109993846:A:G A G A EBF1_EBF_1 20 0 + 0 0 . chr11 110069237 110069238 chr11:110069238:G:T rs1648132 G T G EBF1_EBF_1 28 0 + 0 0 . chr11 110128544 110128545 chr11:110128545:A:G rs12363258 A G A EBF1_EBF_1 -16 0 + 0 0 . chr11 110175493 110175494 chr11:110175494:C:T rs113993941 C T C EBF1_EBF_1 13 1 + 5.943211902549102 6.78770601713462 TTCCCCAGGAGAAC chr11 110318117 110318118 chr11:110318118:A:T rs76371051 A T C EBF1_EBF_1 -8 0 + 0 0 . chr11 110318120 110318121 chr11:110318121:C:T rs1676532 C T C EBF1_EBF_1 -5 0 + 0 0 . chr11 110337986 110337987 chr11:110337987:A:G rs1784660 A G A EBF1_EBF_1 -11 0 - 0 0 . chr11 110363474 110363475 chr11:110363475:C:T rs1212494907 C T C EBF1_EBF_1 33 0 - 0 0 . chr11 110366459 110366460 chr11:110366460:G:A rs368726030 G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 110424563 110424564 chr11:110424564:G:A rs2846744 G A A EBF1_EBF_1 17 0 + 0 0 . chr11 110429885 110429886 chr11:110429886:C:A rs113538296 C A C EBF1_EBF_1 26 0 + 0 0 . chr11 110491838 110491839 chr11:110491839:T:C rs894561 T C C EBF1_EBF_1 7 1 + 6.858123128639586 5.0406183823569615 ATTCCCCTGGCACT chr11 110561195 110561196 chr11:110561196:G:T rs11213477 G T G EBF1_EBF_1 1 1 - 7.163484985531686 7.092557808179601 ACTCCTCAGGGACC chr11 110564911 110564912 chr11:110564912:A:G rs11213482 A G A EBF1_EBF_1 15 0 + 0 0 . chr11 110565181 110565182 chr11:110565182:A:G rs6589151 A G G EBF1_EBF_1 26 0 + 0 0 . chr11 110567407 110567408 chr11:110567408:C:T rs17111425 C T C EBF1_EBF_1 9 1 - 6.7784560197782175 4.1235167543306135 GCTCCCCTGGTACT chr11 110819616 110819617 chr11:110819617:T:C rs226151 T C T EBF1_EBF_1 32 0 - 0 0 . chr11 111057367 111057368 chr11:111057368:G:C rs1304856 G C G EBF1_EBF_1 -8 0 + 0 0 . chr11 111097463 111097464 chr11:111097464:G:T rs7126966 G T G EBF1_EBF_1 14 0 - 0 0 . chr11 111186432 111186433 chr11:111186433:C:T rs1379619618 C T C EBF1_EBF_1 33 0 + 0 0 . chr11 111230635 111230636 chr11:111230636:G:C rs1293806899 G C G EBF1_EBF_1 -14 0 - 0 0 . chr11 111232185 111232186 chr11:111232186:A:G rs12804187 A G A EBF1_EBF_1 -9 0 + 0 0 . chr11 111310250 111310251 chr11:111310251:G:A rs182357334 G A G EBF1_EBF_1 3 1 - 6.796865847102087 0.39146710554877073 AGTCCCCAGAGTAT chr11 111310394 111310395 chr11:111310395:C:T rs7947952 C T T EBF1_EBF_1 4 1 + 7.298480129110294 2.92909318416537 TGCCCCATGGGATA chr11 111310407 111310408 chr11:111310408:G:A rs7948150 G A G EBF1_EBF_1 17 0 + 0 0 . chr11 111320986 111320987 chr11:111320987:G:T rs73558213 G T G EBF1_EBF_1 -10 0 - 0 0 . chr11 111334913 111334914 chr11:111334914:C:T rs74334202 C T C EBF1_EBF_1 7 1 - 10.125024040804215 11.437482446093039 GCTCCCCGGGGACT chr11 111347174 111347175 chr11:111347175:T:G rs35106034 T G G EBF1_EBF_1 30 0 + 0 0 . chr11 111399502 111399503 chr11:111399503:A:G rs7952176 A G G EBF1_EBF_1 32 0 - 0 0 . chr11 111402787 111402788 chr11:111402788:C:T rs17112750 C T c EBF1_EBF_1 33 0 + 0 0 . chr11 111416431 111416432 chr11:111416432:T:C rs4415806 T C T EBF1_EBF_1 24 0 - 0 0 . chr11 111419512 111419513 chr11:111419513:G:A rs1944930 G A G EBF1_EBF_1 33 0 - 0 0 . chr11 111428612 111428613 chr11:111428613:G:A rs7130116 G A A EBF1_EBF_1 5 1 - 4.490512028299967 -0.8312751872407045 GGTCCCCTGGGCTA chr11 111440537 111440538 chr11:111440538:A:G rs139437625 A G A EBF1_EBF_1 20 0 + 0 0 . chr11 111455347 111455348 chr11:111455348:G:A rs114094466 G A G EBF1_EBF_1 -6 0 - 0 0 . chr11 111540653 111540654 chr11:111540654:C:T rs568147589 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 111542219 111542220 chr11:111542220:T:C rs75218996 T C T EBF1_EBF_1 11 1 - 5.9445694445679536 3.0518626009639425 ATACCCCCGGGAAG chr11 111601735 111601736 chr11:111601736:T:C rs1784775 T C T EBF1_EBF_1 22 0 + 0 0 . chr11 111602413 111602414 chr11:111602414:G:C rs568402031 G C G EBF1_EBF_1 27 0 - 0 0 . chr11 111729971 111729972 chr11:111729972:C:T rs188597656 C T T EBF1_EBF_1 18 0 + 0 0 . chr11 111734584 111734585 chr11:111734585:C:T rs116956840 C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 111738578 111738579 chr11:111738579:G:C rs45523436 G C G EBF1_EBF_1 31 0 - 0 0 . chr11 111835387 111835388 chr11:111835388:G:T rs17113290 G T G EBF1_EBF_1 10 1 + 6.614002097484426 2.431249911257161 ATTCGCATGGGATC chr11 111844846 111844847 chr11:111844847:G:C rs1346180 G C C EBF1_EBF_1 -7 0 - 0 0 . chr11 111918787 111918788 chr11:111918788:T:C rs139772777 T C T EBF1_EBF_1 -13 0 + 0 0 . chr11 111923626 111923627 chr11:111923627:T:C rs188225701 T C T EBF1_EBF_1 17 0 - 0 0 . chr11 112177327 112177328 chr11:112177328:C:T rs7121554 C T C EBF1_EBF_1 5 1 + 8.288735436361566 2.9669482208208953 CTTCCCCAGAGATT chr11 112266022 112266023 chr11:112266023:A:G rs117104262 A G A EBF1_EBF_1 0 1 + 8.686451261881533 6.794167392316699 ATTCCCCGAGGACT chr11 112274131 112274132 chr11:112274132:A:G rs2518404 A G G EBF1_EBF_1 -7 0 - 0 0 . chr11 112289740 112289741 chr11:112289741:G:A rs145546389 G A G EBF1_EBF_1 5 1 - 6.064219462846234 0.7424322473055649 AATCCCCTGGGCAG chr11 112293498 112293499 chr11:112293499:A:C rs115402648 A C A EBF1_EBF_1 18 0 - 0 0 . chr11 112311049 112311050 chr11:112311050:C:T rs115718139 C T C EBF1_EBF_1 -18 0 - 0 0 . chr11 112397694 112397695 chr11:112397695:C:T rs11214191 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 112469495 112469496 chr11:112469496:C:T rs116938105 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 112470474 112470475 chr11:112470475:G:A rs11214218 G A G EBF1_EBF_1 11 1 + 6.580865524829608 9.47357236843362 ACTCCCTTGAGGTT chr11 112477737 112477738 chr11:112477738:A:G rs4937428 A G A EBF1_EBF_1 28 0 - 0 0 . chr11 112478123 112478124 chr11:112478124:C:T rs1945111 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 112564364 112564365 chr11:112564365:C:G rs573822242 C G C EBF1_EBF_1 0 1 + 5.407119019587937 5.770093633719703 CCTCCCTAGGGTTC chr11 112651378 112651379 chr11:112651379:A:G rs1459663 A G G EBF1_EBF_1 -10 0 + 0 0 . chr11 112652843 112652844 chr11:112652844:T:C rs150523791 T C T EBF1_EBF_1 -17 0 + 0 0 . chr11 112688085 112688086 chr11:112688086:A:G rs2360065 A G G EBF1_EBF_1 -1 0 - 0 0 . chr11 112690046 112690047 chr11:112690047:C:G rs10750009 C G C EBF1_EBF_1 -19 0 + 0 0 . chr11 112724518 112724519 chr11:112724519:G:A rs7934811 G A g EBF1_EBF_1 27 0 + 0 0 . chr11 112731314 112731315 chr11:112731315:A:C rs2054264 A C C EBF1_EBF_1 2 1 - 6.633304935441406 -0.11502075475906082 CTTCCCAGGGGCAT chr11 112762707 112762708 chr11:112762708:A:G rs10891430 A G G EBF1_EBF_1 0 1 + 10.48291067579262 8.590626806227789 ATTCCCTAGAGAGT chr11 112826146 112826147 chr11:112826147:A:C rs1348939 A C A EBF1_EBF_1 -2 0 - 0 0 . chr11 112835245 112835246 chr11:112835246:C:T rs1868067 C T C EBF1_EBF_1 23 0 + 0 0 . chr11 112835719 112835720 chr11:112835720:C:A rs142612593 C A C EBF1_EBF_1 -14 0 - 0 0 . chr11 112836719 112836720 chr11:112836720:T:C rs1877349 T C C EBF1_EBF_1 28 0 + 0 0 . chr11 112874200 112874201 chr11:112874201:G:T rs192166864 G T G EBF1_EBF_1 17 0 + 0 0 . chr11 112908276 112908277 chr11:112908277:C:T rs12271947 C T C EBF1_EBF_1 -17 0 - 0 0 . chr11 112932332 112932333 chr11:112932333:C:T rs11214423 C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 112932347 112932348 chr11:112932348:G:A rs12270764 G A G EBF1_EBF_1 8 1 + 6.128759565559935 1.9024694766736747 TTCCCCACGGGAAG chr11 112961966 112961967 chr11:112961967:A:C rs150566749 A C A EBF1_EBF_1 -2 0 - 0 0 . chr11 113014447 113014448 chr11:113014448:C:T rs562641521 C T C EBF1_EBF_1 20 0 + 0 0 . chr11 113102774 113102775 chr11:113102775:A:G rs10891505 A G A EBF1_EBF_1 7 1 - 8.79249942450337 6.974994678220747 ATTCCCATGGAACT chr11 113138020 113138021 chr11:113138021:G:A rs7121187 G A A EBF1_EBF_1 10 1 + 7.68090370890331 2.362875249636344 TTACCCTAGGGAAA chr11 113189613 113189614 chr11:113189614:T:C rs4938000 T C C EBF1_EBF_1 -7 0 - 0 0 . chr11 113192462 113192463 chr11:113192463:T:A rs116912830 T A T EBF1_EBF_1 19 0 - 0 0 . chr11 113194066 113194067 chr11:113194067:C:G rs149975863 C G C EBF1_EBF_1 13 1 + 3.9249690536435544 2.43689803228814 AGCCCCCATGGAAC chr11 113199976 113199977 chr11:113199977:G:A rs531276109 G A G EBF1_EBF_1 -17 0 + 0 0 . chr11 113199977 113199978 chr11:113199978:C:T rs75114710 C T C EBF1_EBF_1 -16 0 + 0 0 . chr11 113202840 113202841 chr11:113202841:T:G rs1836795 T G T EBF1_EBF_1 -12 0 + 0 0 . chr11 113202858 113202859 chr11:113202859:C:G rs1836794 C G C EBF1_EBF_1 6 1 + 6.128032222205774 4.3228401587325775 ATTCCCCAGAGCTT chr11 113258404 113258405 chr11:113258405:G:A rs7951404 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 113261789 113261790 chr11:113261790:T:A rs78599561 T A T EBF1_EBF_1 21 0 - 0 0 . chr11 113286677 113286678 chr11:113286678:C:G rs17513970 C G C EBF1_EBF_1 -16 0 + 0 0 . chr11 113289724 113289725 chr11:113289725:A:G rs4938006 A G A EBF1_EBF_1 -9 0 - 0 0 . chr11 113314659 113314660 chr11:113314660:G:C rs151191578 G C G EBF1_EBF_1 16 0 + 0 0 . chr11 113370571 113370572 chr11:113370572:G:A rs2440389 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 113430543 113430544 chr11:113430544:G:A rs2471850 G A A EBF1_EBF_1 4 1 - 5.720445147096535 1.351058202151611 GGCCCCTTGAGAAA chr11 113435708 113435709 chr11:113435709:C:T rs60599314 C T C EBF1_EBF_1 8 1 - 5.015522419055315 0.7892323301690545 AGCCCCTGGGGCAA chr11 113515896 113515897 chr11:113515897:C:T rs12361261 C T C EBF1_EBF_1 15 0 + 0 0 . chr11 113530469 113530470 chr11:113530470:C:T rs2514220 C T c EBF1_EBF_1 22 0 - 0 0 . chr11 113541017 113541018 chr11:113541018:C:T rs34342004 C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 113541018 113541019 chr11:113541019:A:G rs11825869 A G G EBF1_EBF_1 -5 0 + 0 0 . chr11 113544422 113544423 chr11:113544423:T:C rs4435036 T C T EBF1_EBF_1 -19 0 + 0 0 . chr11 113555016 113555017 chr11:113555017:T:C rs75896421 T C T EBF1_EBF_1 -19 0 + 0 0 . chr11 113565328 113565329 chr11:113565329:C:T rs113490295 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 113568743 113568744 chr11:113568744:A:C rs193248393 A C A EBF1_EBF_1 24 0 + 0 0 . chr11 113591143 113591144 chr11:113591144:A:G rs187538192 A G A EBF1_EBF_1 -20 0 - 0 0 . chr11 113633231 113633232 chr11:113633232:C:T rs3914059 C T t EBF1_EBF_1 -9 0 - 0 0 . chr11 113675309 113675310 chr11:113675310:C:T rs73005989 C T c EBF1_EBF_1 -13 0 + 0 0 . chr11 113675333 113675334 chr11:113675334:A:T rs118074267 A T A EBF1_EBF_1 11 1 + 6.214918763200583 2.117004507101857 ACCCTCAAGGGACA chr11 113711781 113711782 chr11:113711782:T:C rs3863293 T C C EBF1_EBF_1 25 0 + 0 0 . chr11 113808396 113808397 chr11:113808397:C:T rs2465647 C T T EBF1_EBF_1 -12 0 + 0 0 . chr11 113878268 113878269 chr11:113878269:T:C rs2510564 T C C EBF1_EBF_1 20 0 + 0 0 . chr11 113974818 113974819 chr11:113974819:A:G rs1150226 A G G EBF1_EBF_1 -3 0 - 0 0 . chr11 113974889 113974890 chr11:113974890:C:T rs74760038 C T C EBF1_EBF_1 4 1 + 5.285539628174586 0.9161526832296611 CTTGCCAAGGGACA chr11 113983373 113983374 chr11:113983374:T:C rs35448518 T C T EBF1_EBF_1 15 0 + 0 0 . chr11 114148217 114148218 chr11:114148218:A:G rs11214878 A G A EBF1_EBF_1 1 1 - 7.579826217905666 6.684392777506922 ATTCCCTGGGGCCA chr11 114149842 114149843 chr11:114149843:T:C rs115421355 T C T EBF1_EBF_1 7 1 + 8.63592951818195 6.818424771899326 TCTCCCCTGGGATA chr11 114155243 114155244 chr11:114155244:C:A rs534553 C A A EBF1_EBF_1 1 1 - 7.527078528340961 8.232740314170488 CGTCCCCAGGGGCC chr11 114159197 114159198 chr11:114159198:G:A rs778693347 G A G EBF1_EBF_1 0 1 - 11.155628556195825 11.437830616926403 CTTCCCCAGGGAAC chr11 114159608 114159609 chr11:114159609:C:T rs376333690 C T C EBF1_EBF_1 9 1 - 5.322468991367717 2.6675297259201125 GGCCCCCAGGGCCT chr11 114169787 114169788 chr11:114169788:A:G rs660800 A G G EBF1_EBF_1 2 1 - 5.468259073643071 3.579435662200921 ATTCCCCTGGTTCT chr11 114187221 114187222 chr11:114187222:A:G rs682155 A G A EBF1_EBF_1 -19 0 + 0 0 . chr11 114192935 114192936 chr11:114192936:T:C rs598161 T C C EBF1_EBF_1 20 0 - 0 0 . chr11 114192967 114192968 chr11:114192968:G:A rs3782013 G A G EBF1_EBF_1 -12 0 - 0 0 . chr11 114195267 114195268 chr11:114195268:C:T rs645349 C T T EBF1_EBF_1 -17 0 - 0 0 . chr11 114195268 114195269 chr11:114195269:A:G rs655121 A G G EBF1_EBF_1 -18 0 - 0 0 . chr11 114197960 114197961 chr11:114197961:T:G rs1019820788 T G T EBF1_EBF_1 11 1 - 4.794926875879293 0.3789651780269029 GCTCCTCTGGGACC chr11 114205819 114205820 chr11:114205820:G:C rs12273098 G C G EBF1_EBF_1 -12 0 + 0 0 . chr11 114221238 114221239 chr11:114221239:T:C rs404426 T C T EBF1_EBF_1 20 0 + 0 0 . chr11 114228079 114228080 chr11:114228080:G:T rs394800 G T G EBF1_EBF_1 4 1 - 5.268871809278988 -0.5556878141744789 ACTGCCCAGGGAGC chr11 114251483 114251484 chr11:114251484:G:A rs12291483 G A G EBF1_EBF_1 -13 0 + 0 0 . chr11 114256984 114256985 chr11:114256985:G:C rs566316687 G C G EBF1_EBF_1 1 1 + 7.6659747812691705 7.4762031266999545 AGACCCAGGGGACA chr11 114258244 114258245 chr11:114258245:T:C rs2511153 T C T EBF1_EBF_1 15 0 + 0 0 . chr11 114296219 114296220 chr11:114296220:A:T rs4646335 A T A EBF1_EBF_1 33 0 - 0 0 . chr11 114296267 114296268 chr11:114296268:G:A rs193139343 G A G EBF1_EBF_1 8 1 + 5.22457268008083 0.998282591194569 CATCCCTTGGGCAA chr11 114317505 114317506 chr11:114317506:C:T rs578061663 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 114321799 114321800 chr11:114321800:C:T rs139742987 C T T EBF1_EBF_1 4 1 + 5.737417888061187 1.368030943116263 TTCCCCAAGAGACG chr11 114321821 114321822 chr11:114321822:G:A rs2852440 G A G EBF1_EBF_1 26 0 + 0 0 . chr11 114400123 114400124 chr11:114400124:C:T rs376853601 C T C EBF1_EBF_1 24 0 + 0 0 . chr11 114474297 114474298 chr11:114474298:A:T rs11215004 A T A EBF1_EBF_1 27 0 - 0 0 . chr11 114611807 114611808 chr11:114611808:A:T rs10891716 A T t EBF1_EBF_1 2 1 - 5.847860027968765 2.1633924403698876 TTTCCCAAGAGGCA chr11 114650544 114650545 chr11:114650545:A:G rs1712799 A G G EBF1_EBF_1 -12 0 + 0 0 . chr11 114794389 114794390 chr11:114794390:T:C rs7949181 T C C EBF1_EBF_1 -15 0 - 0 0 . chr11 114832923 114832924 chr11:114832924:T:C rs4936303 T C C EBF1_EBF_1 18 0 + 0 0 . chr11 114929804 114929805 chr11:114929805:G:A rs7112905 G A G EBF1_EBF_1 -17 0 - 0 0 . chr11 115079089 115079090 chr11:115079090:C:A rs1024345 C A C EBF1_EBF_1 -1 0 - 0 0 . chr11 115114275 115114276 chr11:115114276:T:C rs117224253 T C T EBF1_EBF_1 -12 0 + 0 0 . chr11 115118311 115118312 chr11:115118312:G:C rs12798992 G C G EBF1_EBF_1 33 0 - 0 0 . chr11 115120471 115120472 chr11:115120472:G:A rs2513691 G A G EBF1_EBF_1 17 0 + 0 0 . chr11 115193910 115193911 chr11:115193911:C:T rs72994018 C T C EBF1_EBF_1 12 1 - 4.407518205365309 5.826335547464787 ACTCCCGGGGGCGT chr11 115213154 115213155 chr11:115213155:G:A rs6589485 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 115233925 115233926 chr11:115233926:A:G rs4409873 A G G EBF1_EBF_1 16 0 + 0 0 . chr11 115276770 115276771 chr11:115276771:C:T rs12788053 C T T EBF1_EBF_1 5 1 + 4.437021282961267 -0.8847659325794027 AGCCCCGTGGGTAC chr11 115396676 115396677 chr11:115396677:T:G rs552448773 T G T EBF1_EBF_1 2 1 + 5.509979339877927 -1.2383463503225423 ACTCCCATGGCAAC chr11 115396677 115396678 chr11:115396678:C:T rs570627136 C T C EBF1_EBF_1 3 1 + 5.509979339877927 -0.8954194016753908 ACTCCCATGGCAAC chr11 115567535 115567536 chr11:115567536:G:A rs1460910 G A G EBF1_EBF_1 -17 0 - 0 0 . chr11 115578319 115578320 chr11:115578320:A:C rs2446893 A C A EBF1_EBF_1 -18 0 + 0 0 . chr11 115581587 115581588 chr11:115581588:G:A rs10891865 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 115581591 115581592 chr11:115581592:C:A rs10891866 C A C EBF1_EBF_1 -9 0 - 0 0 . chr11 115611441 115611442 chr11:115611442:A:G rs2446878 A G A EBF1_EBF_1 26 0 + 0 0 . chr11 115665789 115665790 chr11:115665790:G:A rs1502077 G A G EBF1_EBF_1 3 1 + 5.458643463848205 4.380233882497614 AGTGCCCAGGGAGC chr11 115672947 115672948 chr11:115672948:T:G rs114461938 T G T EBF1_EBF_1 23 0 + 0 0 . chr11 115696390 115696391 chr11:115696391:T:G rs34088692 T G G EBF1_EBF_1 23 0 - 0 0 . chr11 115700407 115700408 chr11:115700408:C:T rs872727 C T C EBF1_EBF_1 6 1 - 4.458200554782682 6.624994986057157 CTTCCCGTGGGCAC chr11 115702299 115702300 chr11:115702300:A:G rs1784955 A G A EBF1_EBF_1 1 1 + 10.014279827219195 10.274978659140496 CATCCCTTGGGAAC chr11 115711237 115711238 chr11:115711238:G:A rs114153528 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 115747368 115747369 chr11:115747369:G:T rs10891888 G T T EBF1_EBF_1 -4 0 + 0 0 . chr11 115805407 115805408 chr11:115805408:T:G rs150470290 T G T EBF1_EBF_1 7 1 - 4.828136111889071 2.5343571255188904 TGTCCCCAGGGCAG chr11 115807747 115807748 chr11:115807748:G:C rs17118772 G C G EBF1_EBF_1 5 1 - 7.522185591512693 0.6173044388775257 CATCCCAAGAGAAA chr11 115886244 115886245 chr11:115886245:G:C rs59196584 G C g EBF1_EBF_1 13 1 - 4.968352696649824 3.4802816752944095 GTTCCCCCAGGACC chr11 115986580 115986581 chr11:115986581:C:T rs7942663 C T C EBF1_EBF_1 29 0 - 0 0 . chr11 115998887 115998888 chr11:115998888:G:A rs12284734 G A G EBF1_EBF_1 6 1 - 8.760170562961855 9.061456691823611 ATTCCCCGGGTAAT chr11 116067433 116067434 chr11:116067434:A:G rs7927297 A G G EBF1_EBF_1 -4 0 - 0 0 . chr11 116112848 116112849 chr11:116112849:T:C rs1464765110 T C T EBF1_EBF_1 30 0 - 0 0 . chr11 116131373 116131374 chr11:116131374:G:A rs113658409 G A G EBF1_EBF_1 24 0 - 0 0 . chr11 116148205 116148206 chr11:116148206:C:T rs111561784 C T C EBF1_EBF_1 -12 0 + 0 0 . chr11 116174598 116174599 chr11:116174599:C:G rs79328728 C G C EBF1_EBF_1 -12 0 + 0 0 . chr11 116203355 116203356 chr11:116203356:A:C rs4611238 A C A EBF1_EBF_1 -1 0 - 0 0 . chr11 116206638 116206639 chr11:116206639:G:T rs7131573 G T T EBF1_EBF_1 10 1 + 4.937252911111031 0.7545007248837661 TCCCCCATGGGCCT chr11 116206652 116206653 chr11:116206653:C:T rs7131355 C T T EBF1_EBF_1 24 0 + 0 0 . chr11 116207518 116207519 chr11:116207519:G:A rs79188126 G A G EBF1_EBF_1 11 1 + 6.419209146445036 9.311915990049046 ATTCCCGCGGGGAC chr11 116207864 116207865 chr11:116207865:C:G rs11606432 C G C EBF1_EBF_1 3 1 + 6.9908641438212245 1.163099695706007 AGTCCCCAAGGAAG chr11 116225030 116225031 chr11:116225031:A:G rs11600836 A G A EBF1_EBF_1 -12 0 - 0 0 . chr11 116275903 116275904 chr11:116275904:C:T rs876411 C T C EBF1_EBF_1 33 0 + 0 0 . chr11 116309465 116309466 chr11:116309466:C:T rs920451 C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 116327962 116327963 chr11:116327963:A:T rs893839 A T A EBF1_EBF_1 22 0 + 0 0 . chr11 116356969 116356970 chr11:116356970:A:G rs482795 A G A EBF1_EBF_1 23 0 - 0 0 . chr11 116421569 116421570 chr11:116421570:C:T rs77544215 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 116558535 116558536 chr11:116558536:A:C rs11216005 A C C EBF1_EBF_1 20 0 - 0 0 . chr11 116563211 116563212 chr11:116563212:G:A rs116263908 G A G EBF1_EBF_1 -4 0 - 0 0 . chr11 116563435 116563436 chr11:116563436:T:G rs571169558 T G T EBF1_EBF_1 -6 0 + 0 0 . chr11 116568092 116568093 chr11:116568093:G:A rs17492366 G A G EBF1_EBF_1 28 0 + 0 0 . chr11 116591713 116591714 chr11:116591714:A:C rs35154750 A C A EBF1_EBF_1 26 0 + 0 0 . chr11 116595016 116595017 chr11:116595017:C:T rs11216030 C T C EBF1_EBF_1 13 1 + 5.45746829255351 6.301962407139028 TCTCCCTGGAGAGC chr11 116604181 116604182 chr11:116604182:C:T rs502607 C T C EBF1_EBF_1 5 1 + 4.0173212943218575 -1.3044659212188139 GGTCCCCCGGGTCA chr11 116604197 116604198 chr11:116604198:G:A rs112727733 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 116615870 116615871 chr11:116615871:A:G rs3016346 A G G EBF1_EBF_1 12 1 - 6.493501580758585 7.519768151309435 AGCCCCCAGGGGTA chr11 116636488 116636489 chr11:116636489:C:T rs61567742 C T C EBF1_EBF_1 13 1 + 4.002841671392084 4.847335785977602 GCTCCCTATGGACC chr11 116651393 116651394 chr11:116651394:A:G rs563796 A G G EBF1_EBF_1 -19 0 + 0 0 . chr11 116651396 116651397 chr11:116651397:C:A rs569570400 C A C EBF1_EBF_1 -16 0 + 0 0 . chr11 116651438 116651439 chr11:116651439:C:T rs563917 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 116653037 116653038 chr11:116653038:A:T rs148621492 A T A EBF1_EBF_1 21 0 + 0 0 . chr11 116693170 116693171 chr11:116693171:C:T rs112762216 C T C EBF1_EBF_1 11 1 - 5.20405559943691 8.096762443040921 CTTCCCCAGAGGCA chr11 116693836 116693837 chr11:116693837:G:A rs1787699 G A A EBF1_EBF_1 -10 0 + 0 0 . chr11 116693845 116693846 chr11:116693846:T:C rs1787698 T C C EBF1_EBF_1 -1 0 + 0 0 . chr11 116697799 116697800 chr11:116697800:T:G rs1787690 T G G EBF1_EBF_1 22 0 + 0 0 . chr11 116704100 116704101 chr11:116704101:T:C rs1240781 T C C EBF1_EBF_1 -4 0 - 0 0 . chr11 116725747 116725748 chr11:116725748:G:A rs60583202 G A G EBF1_EBF_1 23 0 + 0 0 . chr11 116726113 116726114 chr11:116726114:T:G rs146714678 T G T EBF1_EBF_1 -12 0 + 0 0 . chr11 116733153 116733154 chr11:116733154:A:G rs78192008 A G A EBF1_EBF_1 16 0 + 0 0 . chr11 116787928 116787929 chr11:116787929:G:A rs367894029 G A G EBF1_EBF_1 -20 0 + 0 0 . chr11 116787937 116787938 chr11:116787938:G:A rs201399491 G A G EBF1_EBF_1 -11 0 + 0 0 . chr11 116823406 116823407 chr11:116823407:G:A rs181606015 G A G EBF1_EBF_1 -7 0 + 0 0 . chr11 116829624 116829625 chr11:116829625:A:C chr11:116829625:A:C A C A EBF1_EBF_1 20 0 - 0 0 . chr11 116829626 116829627 chr11:116829627:C:T rs1005843444 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 116856830 116856831 chr11:116856831:T:C rs117910440 T C T EBF1_EBF_1 20 0 + 0 0 . chr11 116949306 116949307 chr11:116949307:A:C rs12421834 A C A EBF1_EBF_1 18 0 - 0 0 . chr11 117021729 117021730 chr11:117021730:G:A rs77594377 G A G EBF1_EBF_1 -10 0 + 0 0 . chr11 117092463 117092464 chr11:117092464:C:T rs7946729 C T T EBF1_EBF_1 2 1 + 8.159417849968273 10.048241261410423 CCCCCCCAGGGATT chr11 117144693 117144694 chr11:117144694:G:A chr11:117144694:G:A G A G EBF1_EBF_1 31 0 - 0 0 . chr11 117144695 117144696 chr11:117144696:C:T rs951555433 C T C EBF1_EBF_1 29 0 - 0 0 . chr11 117178384 117178385 chr11:117178385:C:T rs78858335 C T C EBF1_EBF_1 6 1 + 6.2110994325543585 6.512385561416115 GATCCCCGGAGAAA chr11 117191772 117191773 chr11:117191773:T:C rs3213459 T C C EBF1_EBF_1 32 0 - 0 0 . chr11 117194436 117194437 chr11:117194437:G:A rs80183569 G A G EBF1_EBF_1 -19 0 + 0 0 . chr11 117198127 117198128 chr11:117198128:G:C rs116533264 G C G EBF1_EBF_1 33 0 + 0 0 . chr11 117199879 117199880 chr11:117199880:C:T rs2239678 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 117199904 117199905 chr11:117199905:C:T rs144310058 C T C EBF1_EBF_1 23 0 + 0 0 . chr11 117202166 117202167 chr11:117202167:C:A rs189154206 C A C EBF1_EBF_1 -19 0 - 0 0 . chr11 117205619 117205620 chr11:117205620:T:C rs78301905 T C T EBF1_EBF_1 29 0 + 0 0 . chr11 117206999 117207000 chr11:117207000:G:A rs61905530 G A G EBF1_EBF_1 -20 0 + 0 0 . chr11 117217733 117217734 chr11:117217734:A:G rs236915 A G G EBF1_EBF_1 -20 0 + 0 0 . chr11 117336909 117336910 chr11:117336910:T:C rs520315 T C C EBF1_EBF_1 0 1 - 6.22944046353982 4.337156593974987 AGTCGCCTGGGAAA chr11 117336911 117336912 chr11:117336912:C:G rs620848 C G G EBF1_EBF_1 -2 0 - 0 0 . chr11 117381772 117381773 chr11:117381773:T:C rs897836 T C C EBF1_EBF_1 2 1 + 5.814052019523365 3.9252286080812135 CCTCCCCAGGGCCC chr11 117381774 117381775 chr11:117381775:C:G rs59763167 C G C EBF1_EBF_1 4 1 + 5.814052019523365 0.18828691837382017 CCTCCCCAGGGCCC chr11 117384669 117384670 chr11:117384670:C:T rs480120 C T C EBF1_EBF_1 -19 0 + 0 0 . chr11 117392386 117392387 chr11:117392387:T:C rs478693 T C C EBF1_EBF_1 17 0 - 0 0 . chr11 117395377 117395378 chr11:117395378:G:A rs11216375 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 117395931 117395932 chr11:117395932:G:C rs484988 G C C EBF1_EBF_1 -5 0 + 0 0 . chr11 117412318 117412319 chr11:117412319:G:A rs773084240 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 117412959 117412960 chr11:117412960:T:C rs8258 T C C EBF1_EBF_1 -8 0 + 0 0 . chr11 117416912 117416913 chr11:117416913:T:A rs113987102 T A t EBF1_EBF_1 -9 0 + 0 0 . chr11 117418181 117418182 chr11:117418182:G:T rs553906 G T G EBF1_EBF_1 16 0 - 0 0 . chr11 117419234 117419235 chr11:117419235:T:C rs490730 T C C EBF1_EBF_1 -17 0 + 0 0 . chr11 117421611 117421612 chr11:117421612:C:T rs139986720 C T C EBF1_EBF_1 21 0 + 0 0 . chr11 117429774 117429775 chr11:117429775:T:C rs912915885 T C T EBF1_EBF_1 0 1 + 6.817731458095952 6.535529397365373 TTCCCCCGGGGAGC chr11 117441480 117441481 chr11:117441481:A:G rs7948072 A G G EBF1_EBF_1 7 1 - 5.996812569265101 4.179307822982477 CCACCCTTGGGACA chr11 117444214 117444215 chr11:117444215:G:T rs2242135 G T G EBF1_EBF_1 17 0 - 0 0 . chr11 117477594 117477595 chr11:117477595:C:T rs760642984 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 117484188 117484189 chr11:117484189:G:A rs7129284 G A A EBF1_EBF_1 13 1 + 6.627814234772017 7.742139806841456 ACTCTCCAGGGACG chr11 117489577 117489578 chr11:117489578:G:C rs7929939 G C G EBF1_EBF_1 27 0 - 0 0 . chr11 117528933 117528934 chr11:117528934:C:A rs7126416 C A C EBF1_EBF_1 -2 0 + 0 0 . chr11 117536448 117536449 chr11:117536449:A:G chr11:117536449:A:G A G A EBF1_EBF_1 7 1 - 8.230749474545576 6.413244728262954 TCTCCCGTGGGACC chr11 117564012 117564013 chr11:117564013:G:A rs495118 G A G EBF1_EBF_1 -14 0 + 0 0 . chr11 117571526 117571527 chr11:117571527:C:G rs87824 C G G EBF1_EBF_1 17 0 - 0 0 . chr11 117631416 117631417 chr11:117631417:G:A rs530624281 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 117665274 117665275 chr11:117665275:C:T rs145882198 C T C EBF1_EBF_1 21 0 + 0 0 . chr11 117686341 117686342 chr11:117686342:G:C rs11216502 G C C EBF1_EBF_1 10 1 + 5.937530196246482 -0.9532721910829931 GTTCCCAGAGGACA chr11 117690411 117690412 chr11:117690412:T:C rs61903823 T C T EBF1_EBF_1 12 1 + 8.077278493270768 9.103545063821617 CCTCCCAAGGGATG chr11 117699452 117699453 chr11:117699453:G:A rs112845302 G A G EBF1_EBF_1 26 0 - 0 0 . chr11 117723766 117723767 chr11:117723767:G:A rs138570420 G A G EBF1_EBF_1 8 1 + 7.071101113494536 2.8448110246082754 GTTCCCCAGAGAGA chr11 117743591 117743592 chr11:117743592:G:T rs10750110 G T T EBF1_EBF_1 -14 0 + 0 0 . chr11 117744786 117744787 chr11:117744787:G:A rs748771 G A A EBF1_EBF_1 8 1 + 5.296018256509664 1.0697281676234032 AGACCCACGGGAGA chr11 117746503 117746504 chr11:117746504:G:C rs59810418 G C G EBF1_EBF_1 5 1 - 6.942694971547237 0.037813818912066824 CTTCCCCAGGTACC chr11 117752958 117752959 chr11:117752959:C:T rs80183540 C T C EBF1_EBF_1 8 1 - 9.742968642133986 5.5166785532477265 GCTCCCCTGGGACA chr11 117817717 117817718 chr11:117817718:C:T rs73018457 C T C EBF1_EBF_1 30 0 - 0 0 . chr11 117819707 117819708 chr11:117819708:T:C rs11600226 T C C EBF1_EBF_1 32 0 - 0 0 . chr11 117822697 117822698 chr11:117822698:G:A rs35705701 G A G EBF1_EBF_1 14 0 - 0 0 . chr11 117823255 117823256 chr11:117823256:G:A rs4938440 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 117824949 117824950 chr11:117824950:T:C rs73586872 T C C EBF1_EBF_1 30 0 + 0 0 . chr11 117828870 117828871 chr11:117828871:T:G rs10892181 T G G EBF1_EBF_1 12 1 - 5.268755733569134 5.238574335147808 AGCCCCCAGAGGAC chr11 117840846 117840847 chr11:117840847:C:T rs519991 C T C EBF1_EBF_1 -17 0 + 0 0 . chr11 117853777 117853778 chr11:117853778:C:A rs11216582 C A C EBF1_EBF_1 32 0 - 0 0 . chr11 117854341 117854342 chr11:117854342:C:G rs11216583 C G C EBF1_EBF_1 4 1 + 9.211565294152686 3.5858001930031422 TGCCCCCTGGGAAT chr11 117874943 117874944 chr11:117874944:C:T rs543312 C T C EBF1_EBF_1 23 0 - 0 0 . chr11 117878128 117878129 chr11:117878129:C:G rs11605223 C G C EBF1_EBF_1 -5 0 + 0 0 . chr11 117880177 117880178 chr11:117880178:A:C rs558494 A C C EBF1_EBF_1 -15 0 + 0 0 . chr11 117887906 117887907 chr11:117887907:T:G rs580205 T G G EBF1_EBF_1 18 0 - 0 0 . chr11 117887942 117887943 chr11:117887943:C:G rs580287 C G C EBF1_EBF_1 -18 0 - 0 0 . chr11 117900143 117900144 chr11:117900144:G:A rs79658634 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 117901229 117901230 chr11:117901230:C:T rs74491696 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 117901230 117901231 chr11:117901231:G:C rs78306421 G C A EBF1_EBF_1 -7 0 - 0 0 . chr11 117911922 117911923 chr11:117911923:A:G rs2277288 A G A EBF1_EBF_1 33 0 + 0 0 . chr11 117919218 117919219 chr11:117919219:G:C rs77161927 G C G EBF1_EBF_1 9 1 + 5.272449599909241 0.19676045356377742 TCCCCCATGGGGAA chr11 117929088 117929089 chr11:117929089:C:T rs722729 C T c EBF1_EBF_1 0 1 + 4.6814235260704855 4.963625586801063 CCTCCCAGGGGCTT chr11 117932027 117932028 chr11:117932028:G:C rs3863316 G C c EBF1_EBF_1 2 1 + 3.9745651179077592 8.834067396666079 ACGCCCCAGGGAGA chr11 117972102 117972103 chr11:117972103:T:C rs4936413 T C T EBF1_EBF_1 4 1 + 5.74191231439427 10.111299259339194 CTCCTCCAGGGAAT chr11 118002513 118002514 chr11:118002514:C:T rs2282493 C T C EBF1_EBF_1 -3 0 + 0 0 . chr11 118015907 118015908 chr11:118015908:A:G rs17121524 A G A EBF1_EBF_1 18 0 - 0 0 . chr11 118035573 118035574 chr11:118035574:G:T rs74582224 G T G EBF1_EBF_1 32 0 - 0 0 . chr11 118057073 118057074 chr11:118057074:A:T rs643295 A T T EBF1_EBF_1 -1 0 + 0 0 . chr11 118060637 118060638 chr11:118060638:G:A rs670225 G A G EBF1_EBF_1 6 1 + 4.225378179354974 6.3921726106294505 ACCCCCGGGGGTCT chr11 118087032 118087033 chr11:118087033:G:T rs61007905 G T G EBF1_EBF_1 -20 0 - 0 0 . chr11 118088500 118088501 chr11:118088501:C:T rs2276434 C T C EBF1_EBF_1 27 0 - 0 0 . chr11 118088508 118088509 chr11:118088509:C:T rs6589644 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 118098658 118098659 chr11:118098659:C:T rs4938481 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 118125484 118125485 chr11:118125485:A:G rs17092726 A G A EBF1_EBF_1 -10 0 - 0 0 . chr11 118135692 118135693 chr11:118135693:C:T rs7124127 C T C EBF1_EBF_1 -11 0 - 0 0 . chr11 118153326 118153327 chr11:118153327:T:A chr11:118153327:T:A T A T EBF1_EBF_1 17 0 + 0 0 . chr11 118155833 118155834 chr11:118155834:G:A rs1941625 G A G EBF1_EBF_1 -8 0 - 0 0 . chr11 118156072 118156073 chr11:118156073:G:A rs595964 G A G EBF1_EBF_1 -2 0 - 0 0 . chr11 118166226 118166227 chr11:118166227:G:A rs676685 G A G EBF1_EBF_1 -11 0 - 0 0 . chr11 118198847 118198848 chr11:118198848:G:C rs3018382 G C C EBF1_EBF_1 -2 0 + 0 0 . chr11 118229460 118229461 chr11:118229461:T:G rs12361791 T G T EBF1_EBF_1 13 1 + 6.601877335216905 4.269312199275972 TATCCCAAGCGAAT chr11 118240960 118240961 chr11:118240961:T:A rs11216828 T A T EBF1_EBF_1 29 0 + 0 0 . chr11 118284620 118284621 chr11:118284621:G:C rs67462512 G C G EBF1_EBF_1 8 1 + 7.021035823687927 1.702376962773241 AGTCCCAGGAGGCT chr11 118300523 118300524 chr11:118300524:T:G rs7928058 T G G EBF1_EBF_1 24 0 - 0 0 . chr11 118314424 118314425 chr11:118314425:T:C rs2298821 T C C EBF1_EBF_1 -5 0 - 0 0 . chr11 118315589 118315590 chr11:118315590:C:A rs2231449 C A C EBF1_EBF_1 2 1 + 4.892129088616613 3.0964849124598866 GTCCCCCTGCGACT chr11 118315596 118315597 chr11:118315597:C:A rs2231450 C A C EBF1_EBF_1 9 1 + 4.892129088616613 7.312878969514474 GTCCCCCTGCGACT chr11 118401817 118401818 chr11:118401818:C:T chr11:118401818:C:T C T C EBF1_EBF_1 16 0 + 0 0 . chr11 118424996 118424997 chr11:118424997:G:A chr11:118424997:G:A G A G EBF1_EBF_1 -16 0 - 0 0 . chr11 118431249 118431250 chr11:118431250:G:T rs11600340 G T G EBF1_EBF_1 -16 0 - 0 0 . chr11 118437441 118437442 chr11:118437442:T:G rs9332749 T G T EBF1_EBF_1 -10 0 - 0 0 . chr11 118606651 118606652 chr11:118606652:A:G rs498872 A G G EBF1_EBF_1 32 0 + 0 0 . chr11 118610508 118610509 chr11:118610509:C:G rs191210111 C G C EBF1_EBF_1 -1 0 + 0 0 . chr11 118610510 118610511 chr11:118610511:A:G rs139206588 A G A EBF1_EBF_1 1 1 + 8.25949229342731 8.520191125348612 GACCCCCAGGGACA chr11 118648844 118648845 chr11:118648845:C:G rs601318 C G G EBF1_EBF_1 31 0 + 0 0 . chr11 118658576 118658577 chr11:118658577:G:A rs45472704 G A G EBF1_EBF_1 8 1 + 3.5202730331531837 -0.7060170557330774 CCTCCCCCGGGCCC chr11 118660131 118660132 chr11:118660132:A:G rs45529131 A G A EBF1_EBF_1 -13 0 + 0 0 . chr11 118660194 118660195 chr11:118660195:A:G rs782392739 A G A EBF1_EBF_1 -10 0 - 0 0 . chr11 118673970 118673971 chr11:118673971:T:C rs146104033 T C C EBF1_EBF_1 32 0 - 0 0 . chr11 118689021 118689022 chr11:118689022:C:T rs113784020 C T c EBF1_EBF_1 23 0 - 0 0 . chr11 118689543 118689544 chr11:118689544:G:A chr11:118689544:G:A G A g EBF1_EBF_1 -13 0 + 0 0 . chr11 118689573 118689574 chr11:118689574:C:T rs1353259173 C T c EBF1_EBF_1 17 0 + 0 0 . chr11 118690700 118690701 chr11:118690701:T:G rs12798453 T G g EBF1_EBF_1 -9 0 + 0 0 . chr11 118712292 118712293 chr11:118712293:G:A rs10892259 G A G EBF1_EBF_1 -10 0 + 0 0 . chr11 118827155 118827156 chr11:118827156:C:A rs11217045 C A C EBF1_EBF_1 9 1 - 8.141442656395741 1.3044532483069347 AATCCCTTGGGTCC chr11 118847775 118847776 chr11:118847776:C:T rs4938561 C T T EBF1_EBF_1 6 1 + 8.477128751405168 8.778414880266924 AATCCCCGGAGAAC chr11 118870154 118870155 chr11:118870155:C:T rs4936443 C T T EBF1_EBF_1 -16 0 + 0 0 . chr11 118870442 118870443 chr11:118870443:T:C rs117608910 T C T EBF1_EBF_1 0 1 - 6.47353395824038 4.581250088675546 AGTGCCCAGGGACA chr11 118870447 118870448 chr11:118870448:T:C rs7117261 T C C EBF1_EBF_1 -5 0 - 0 0 . chr11 118870448 118870449 chr11:118870449:G:A rs542736987 G A g EBF1_EBF_1 -6 0 - 0 0 . chr11 118895658 118895659 chr11:118895659:G:A rs3136698 G A G EBF1_EBF_1 33 0 - 0 0 . chr11 118899911 118899912 chr11:118899912:C:T rs751879568 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 118901037 118901038 chr11:118901038:A:G rs34123504 A G A EBF1_EBF_1 15 0 - 0 0 . chr11 118901647 118901648 chr11:118901648:C:T rs147303382 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 118907268 118907269 chr11:118907269:C:A rs78755429 C A C EBF1_EBF_1 22 0 - 0 0 . chr11 118909143 118909144 chr11:118909144:G:C chr11:118909144:G:C G C G EBF1_EBF_1 10 1 + 13.006960192185122 6.116157804855649 ATTCCCCAGGGACA chr11 118909350 118909351 chr11:118909351:C:T rs59874005 C T C EBF1_EBF_1 -20 0 + 0 0 . chr11 118909486 118909487 chr11:118909487:C:A rs757651467 C A C EBF1_EBF_1 33 0 - 0 0 . chr11 118918055 118918056 chr11:118918056:G:A rs180950781 G A G EBF1_EBF_1 5 1 - 8.329047224448907 3.007260008908236 CCCCCCAAGGGACA chr11 118918059 118918060 chr11:118918060:G:T rs186418307 G T G EBF1_EBF_1 1 1 - 8.329047224448907 8.258120047096822 CCCCCCAAGGGACA chr11 118919242 118919243 chr11:118919243:C:T rs11217090 C T C EBF1_EBF_1 -17 0 - 0 0 . chr11 118922595 118922596 chr11:118922596:G:A rs79648836 G A G EBF1_EBF_1 16 0 + 0 0 . chr11 118924050 118924051 chr11:118924051:C:T rs117495513 C T C EBF1_EBF_1 -13 0 + 0 0 . chr11 118928047 118928048 chr11:118928048:C:T rs57879605 C T T EBF1_EBF_1 21 0 - 0 0 . chr11 118928060 118928061 chr11:118928061:C:G rs554281641 C G C EBF1_EBF_1 8 1 - 4.206054148611178 -1.1126047123035072 CCACCCAGGGGAGC chr11 118928070 118928071 chr11:118928071:G:C rs77916839 G C G EBF1_EBF_1 -2 0 - 0 0 . chr11 118940391 118940392 chr11:118940392:G:T rs116380428 G T G EBF1_EBF_1 -1 0 - 0 0 . chr11 118940393 118940394 chr11:118940394:G:T rs542303171 G T G EBF1_EBF_1 -3 0 - 0 0 . chr11 118988563 118988564 chr11:118988564:C:T rs4936454 C T T EBF1_EBF_1 33 0 - 0 0 . chr11 118991138 118991139 chr11:118991139:G:A rs57216066 G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 119003276 119003277 chr11:119003277:T:C rs9645664 T C t EBF1_EBF_1 -13 0 - 0 0 . chr11 119008574 119008575 chr11:119008575:A:C rs7120445 A C C EBF1_EBF_1 -14 0 + 0 0 . chr11 119015945 119015946 chr11:119015946:G:A rs7131534 G A g EBF1_EBF_1 3 1 - 5.378553531944049 -1.0268452096092684 CTCCCCCTAGGACT chr11 119029783 119029784 chr11:119029784:G:A rs76782444 G A G EBF1_EBF_1 -9 0 - 0 0 . chr11 119030551 119030552 chr11:119030552:C:T rs3759012 C T C EBF1_EBF_1 -2 0 + 0 0 . chr11 119101304 119101305 chr11:119101305:T:C chr11:119101305:T:C T C T EBF1_EBF_1 -1 0 + 0 0 . chr11 119107700 119107701 chr11:119107701:G:C rs7940073 G C C EBF1_EBF_1 18 0 + 0 0 . chr11 119134421 119134422 chr11:119134422:C:T rs100803 C T C EBF1_EBF_1 23 0 + 0 0 . chr11 119145221 119145222 chr11:119145222:C:T rs595412 C T T EBF1_EBF_1 7 1 + 4.434521624052356 6.252026370334979 GCTCCCACAGGACC chr11 119204718 119204719 chr11:119204719:G:A rs4938638 G A A EBF1_EBF_1 -5 0 - 0 0 . chr11 119284890 119284891 chr11:119284891:C:G rs139127882 C G C EBF1_EBF_1 17 0 + 0 0 . chr11 119311735 119311736 chr11:119311736:G:C rs73004806 G C G EBF1_EBF_1 20 0 + 0 0 . chr11 119315847 119315848 chr11:119315848:C:T rs139641787 C T C EBF1_EBF_1 0 1 + 5.317650332177489 5.599852392908068 CTCCCCCAGGGGTC chr11 119317476 119317477 chr11:119317477:A:G rs79202612 A G A EBF1_EBF_1 -4 0 + 0 0 . chr11 119320640 119320641 chr11:119320641:C:T rs79578828 C T C EBF1_EBF_1 -14 0 + 0 0 . chr11 119342592 119342593 chr11:119342593:C:T rs11217241 C T C EBF1_EBF_1 -8 0 - 0 0 . chr11 119346543 119346544 chr11:119346544:C:T rs883247 C T C EBF1_EBF_1 -17 0 + 0 0 . chr11 119346600 119346601 chr11:119346601:G:A rs883245 G A A EBF1_EBF_1 -15 0 + 0 0 . chr11 119347831 119347832 chr11:119347832:T:C rs10790290 T C T EBF1_EBF_1 11 1 - 4.738990823329866 1.8462839797258543 ACCCTCCAGGGACG chr11 119363244 119363245 chr11:119363245:C:T rs549064024 C T C EBF1_EBF_1 -10 0 + 0 0 . chr11 119369168 119369169 chr11:119369169:T:G rs2217380 T G T EBF1_EBF_1 11 1 - 7.943294326426111 3.5273326285737214 CCTCCCCTGAGACT chr11 119370921 119370922 chr11:119370922:G:T chr11:119370922:G:T G T G EBF1_EBF_1 17 0 + 0 0 . chr11 119377844 119377845 chr11:119377845:G:A rs145530823 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 119378777 119378778 chr11:119378778:A:G rs2115645 A G A EBF1_EBF_1 -19 0 + 0 0 . chr11 119403296 119403297 chr11:119403297:C:T rs633992 C T c EBF1_EBF_1 7 1 - 5.88496673493789 7.1974251402267155 ACTCCCCGGGAAAC chr11 119423426 119423427 chr11:119423427:C:T rs2082050 C T C EBF1_EBF_1 -10 0 - 0 0 . chr11 119423431 119423432 chr11:119423432:C:T rs3862600 C T C EBF1_EBF_1 -15 0 - 0 0 . chr11 119452573 119452574 chr11:119452574:T:C rs10750140 T C C EBF1_EBF_1 -14 0 - 0 0 . chr11 119460542 119460543 chr11:119460543:G:C rs34708086 G C C EBF1_EBF_1 -4 0 - 0 0 . chr11 119482954 119482955 chr11:119482955:A:G rs642896 A G A EBF1_EBF_1 -18 0 + 0 0 . chr11 119482959 119482960 chr11:119482960:T:C rs532150 T C t EBF1_EBF_1 -13 0 + 0 0 . chr11 119492656 119492657 chr11:119492657:G:A rs575021 G A G EBF1_EBF_1 2 1 - 8.021027953458397 9.909851364900547 ACCCCCTAGGGAGG chr11 119531708 119531709 chr11:119531709:T:C rs10892382 T C C EBF1_EBF_1 7 1 - 5.343992175796183 4.031533770507358 AGCCCCCAGGGCTC chr11 119531731 119531732 chr11:119531732:C:T rs75120908 C T C EBF1_EBF_1 -16 0 - 0 0 . chr11 119535581 119535582 chr11:119535582:G:A rs4375449 G A G EBF1_EBF_1 0 1 - 10.557487812942956 10.839689873673533 CATCCCCTGGGAAT chr11 119635931 119635932 chr11:119635932:T:C rs4936489 T C C EBF1_EBF_1 -16 0 - 0 0 . chr11 119664139 119664140 chr11:119664140:G:T rs187441699 G T G EBF1_EBF_1 -20 0 + 0 0 . chr11 119683920 119683921 chr11:119683921:G:A rs11217394 G A G EBF1_EBF_1 -12 0 + 0 0 . chr11 119689738 119689739 chr11:119689739:G:A rs4936492 G A A EBF1_EBF_1 8 1 + 5.386560484138833 1.160270395252573 ATCCCCTCGAGAAG chr11 119721150 119721151 chr11:119721151:A:T rs778196496 A T A EBF1_EBF_1 6 1 + 6.389733144998523 6.329416906059002 GTCCCCAAGGGCCT chr11 119721912 119721913 chr11:119721913:A:C rs143535541 A C A EBF1_EBF_1 -19 0 + 0 0 . chr11 119727540 119727541 chr11:119727541:T:C chr11:119727541:T:C T C T EBF1_EBF_1 26 0 + 0 0 . chr11 119730172 119730173 chr11:119730173:C:A rs867412888 C A C EBF1_EBF_1 9 1 - 4.581535509764211 -2.2554538983245993 CTCCCCCAGGGGGA chr11 119741023 119741024 chr11:119741024:A:G rs10892446 A G A EBF1_EBF_1 21 0 + 0 0 . chr11 119751787 119751788 chr11:119751788:G:A rs76486382 G A G EBF1_EBF_1 14 0 - 0 0 . chr11 119751821 119751822 chr11:119751822:G:A rs140696523 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 119762636 119762637 chr11:119762637:G:A rs55829398 G A G EBF1_EBF_1 32 0 + 0 0 . chr11 119762637 119762638 chr11:119762638:T:G rs55724844 T G C EBF1_EBF_1 33 0 + 0 0 . chr11 119782287 119782288 chr11:119782288:G:A rs142774084 G A G EBF1_EBF_1 9 1 + 5.519900936404787 2.8649616709571832 TGCCCCGAGGGACG chr11 119782291 119782292 chr11:119782292:G:A rs76826806 G A G EBF1_EBF_1 13 1 + 5.519900936404787 6.634226508474226 TGCCCCGAGGGACG chr11 119786795 119786796 chr11:119786796:C:T rs12271691 C T C EBF1_EBF_1 12 1 + 6.273648895701296 5.247382325150446 AGTCCCTTGAGCCT chr11 119788767 119788768 chr11:119788768:C:T rs12361746 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 119795132 119795133 chr11:119795133:T:C rs7935816 T C C EBF1_EBF_1 15 0 + 0 0 . chr11 119829549 119829550 chr11:119829550:G:C chr11:119829550:G:C G C G EBF1_EBF_1 32 0 - 0 0 . chr11 119829569 119829570 chr11:119829570:G:C rs115244331 G C G EBF1_EBF_1 12 1 - 5.331144458419978 3.9425085147418257 CTTCCCCTGGAACC chr11 119833484 119833485 chr11:119833485:A:G rs527833 A G G EBF1_EBF_1 29 0 - 0 0 . chr11 119864509 119864510 chr11:119864510:G:T rs7113967 G T G EBF1_EBF_1 26 0 - 0 0 . chr11 119865598 119865599 chr11:119865599:G:A rs576634044 G A G EBF1_EBF_1 -2 0 - 0 0 . chr11 119873218 119873219 chr11:119873219:A:G rs111305856 A G A EBF1_EBF_1 25 0 + 0 0 . chr11 119897344 119897345 chr11:119897345:C:A rs11217556 C A C EBF1_EBF_1 27 0 - 0 0 . chr11 119907560 119907561 chr11:119907561:T:C rs554422 T C C EBF1_EBF_1 -6 0 + 0 0 . chr11 119907596 119907597 chr11:119907597:G:T rs143715532 G T G EBF1_EBF_1 30 0 + 0 0 . chr11 119907645 119907646 chr11:119907646:G:A rs553578 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 119908414 119908415 chr11:119908415:C:A rs147529593 C A C EBF1_EBF_1 25 0 + 0 0 . chr11 119908422 119908423 chr11:119908423:C:T rs1442893912 C T C EBF1_EBF_1 33 0 + 0 0 . chr11 119965135 119965136 chr11:119965136:G:A rs76085613 G A G EBF1_EBF_1 3 1 - 4.596247138246303 -1.8091516033070145 CTTCCCAAGGCACC chr11 119966402 119966403 chr11:119966403:C:T rs626466 C T C EBF1_EBF_1 22 0 - 0 0 . chr11 119990429 119990430 chr11:119990430:G:C rs115682293 G C G EBF1_EBF_1 8 1 + 6.230327727290848 0.9116688663761627 TGTCCCCAGGGTCA chr11 119994149 119994150 chr11:119994150:C:G rs115320148 C G C EBF1_EBF_1 -7 0 - 0 0 . chr11 120008617 120008618 chr11:120008618:C:T rs17245368 C T C EBF1_EBF_1 12 1 + 5.561207733136613 4.534941162585763 AGTCGCCAGGGACG chr11 120013601 120013602 chr11:120013602:C:T rs614677 C T T EBF1_EBF_1 25 0 - 0 0 . chr11 120013609 120013610 chr11:120013610:G:A rs665758 G A G EBF1_EBF_1 17 0 - 0 0 . chr11 120031457 120031458 chr11:120031458:T:C rs949189 T C C EBF1_EBF_1 7 1 - 5.694842292409712 4.382383887120886 CACCCCTAGGGAGG chr11 120031545 120031546 chr11:120031546:T:C rs10892517 T C C EBF1_EBF_1 7 1 - 5.096691410675389 3.7842330053865636 GCTGCCTAGGGAAC chr11 120056781 120056782 chr11:120056782:T:C rs11217663 T C T EBF1_EBF_1 12 1 - 4.977279685581461 3.5584623434819838 GACCCCATGGGCAT chr11 120057674 120057675 chr11:120057675:C:G rs10431043 C G C EBF1_EBF_1 -12 0 - 0 0 . chr11 120057678 120057679 chr11:120057679:G:C chr11:120057679:G:C G C G EBF1_EBF_1 -16 0 - 0 0 . chr11 120057679 120057680 chr11:120057680:G:A rs774653578 G A G EBF1_EBF_1 -17 0 - 0 0 . chr11 120063371 120063372 chr11:120063372:T:G rs680630 T G G EBF1_EBF_1 -8 0 + 0 0 . chr11 120065582 120065583 chr11:120065583:A:G rs640663 A G G EBF1_EBF_1 -16 0 + 0 0 . chr11 120087922 120087923 chr11:120087923:T:C rs7109733 T C C EBF1_EBF_1 33 0 - 0 0 . chr11 120090915 120090916 chr11:120090916:G:C rs7929336 G C G EBF1_EBF_1 10 1 + 5.810692888245354 -1.080109499084121 ACTCACCTGGGACA chr11 120090926 120090927 chr11:120090927:A:T rs617715 A T C EBF1_EBF_1 21 0 + 0 0 . chr11 120096847 120096848 chr11:120096848:T:C rs613004 T C C EBF1_EBF_1 -6 0 + 0 0 . chr11 120131361 120131362 chr11:120131362:A:C rs78872962 A C A EBF1_EBF_1 -12 0 - 0 0 . chr11 120172562 120172563 chr11:120172563:G:T rs774334975 G T G EBF1_EBF_1 -6 0 - 0 0 . chr11 120177036 120177037 chr11:120177037:C:T rs488672 C T C EBF1_EBF_1 22 0 + 0 0 . chr11 120181249 120181250 chr11:120181250:G:A rs189467879 G A G EBF1_EBF_1 -13 0 + 0 0 . chr11 120184617 120184618 chr11:120184618:C:G rs73004764 C G C EBF1_EBF_1 4 1 + 7.265592141142689 1.6398270399931445 ATCCCCCAAGGACC chr11 120184873 120184874 chr11:120184874:C:A rs140970914 C A C EBF1_EBF_1 -20 0 - 0 0 . chr11 120185707 120185708 chr11:120185708:A:T rs2444239 A T A EBF1_EBF_1 -13 0 - 0 0 . chr11 120212369 120212370 chr11:120212370:C:T rs2508496 C T C EBF1_EBF_1 12 1 - 4.823396004334988 6.242213346434466 AGCCCCCTGGGTGC chr11 120212562 120212563 chr11:120212563:C:A rs547990 C A A EBF1_EBF_1 -15 0 - 0 0 . chr11 120212603 120212604 chr11:120212604:G:A rs2444241 G A G EBF1_EBF_1 -4 0 - 0 0 . chr11 120219501 120219502 chr11:120219502:G:A rs117031761 G A G EBF1_EBF_1 19 0 + 0 0 . chr11 120234837 120234838 chr11:120234838:C:T rs7934134 C T C EBF1_EBF_1 6 1 - 8.184149355917345 10.350943787191818 ATCCCCGTGGGATC chr11 120294334 120294335 chr11:120294335:C:T rs144737134 C T C EBF1_EBF_1 13 1 - 5.004903976793583 6.1192295488630215 CATCCCTGGAGACG chr11 120317261 120317262 chr11:120317262:G:A rs2282537 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 120398103 120398104 chr11:120398104:G:A rs1367537035 G A G EBF1_EBF_1 -16 0 + 0 0 . chr11 120517468 120517469 chr11:120517469:C:T rs4084121 C T C EBF1_EBF_1 -13 0 - 0 0 . chr11 120521691 120521692 chr11:120521692:C:T rs61900865 C T C EBF1_EBF_1 24 0 + 0 0 . chr11 120523609 120523610 chr11:120523610:A:G rs61900870 A G G EBF1_EBF_1 19 0 + 0 0 . chr11 120554855 120554856 chr11:120554856:G:T rs116954664 G T G EBF1_EBF_1 22 0 - 0 0 . chr11 120626640 120626641 chr11:120626641:T:G rs4445643 T G G EBF1_EBF_1 19 0 + 0 0 . chr11 120631376 120631377 chr11:120631377:T:C rs7927736 T C T EBF1_EBF_1 -1 0 - 0 0 . chr11 120634918 120634919 chr11:120634919:C:T rs17124120 C T C EBF1_EBF_1 -2 0 - 0 0 . chr11 120648983 120648984 chr11:120648984:C:T rs74316906 C T C EBF1_EBF_1 3 1 + 5.510336912914022 -0.8950618286392961 GCCCCCGAGGGAGC chr11 120675219 120675220 chr11:120675220:A:G rs10892600 A G G EBF1_EBF_1 23 0 - 0 0 . chr11 120692188 120692189 chr11:120692189:G:A rs9651695 G A A EBF1_EBF_1 12 1 - 7.201329002642175 6.175062432091325 CCTCCCCAGAGACA chr11 120692220 120692221 chr11:120692221:T:C rs9651696 T C C EBF1_EBF_1 -20 0 - 0 0 . chr11 120704961 120704962 chr11:120704962:T:C rs9630199 T C C EBF1_EBF_1 7 1 - 7.513868096014741 6.2014096907259155 ATTCACTAGGGAAA chr11 120704978 120704979 chr11:120704979:A:G rs149348115 A G A EBF1_EBF_1 -10 0 - 0 0 . chr11 120710685 120710686 chr11:120710686:T:C rs11217988 T C C EBF1_EBF_1 -20 0 - 0 0 . chr11 120717390 120717391 chr11:120717391:T:C rs2846109 T C T EBF1_EBF_1 -17 0 - 0 0 . chr11 120739375 120739376 chr11:120739376:G:C rs2846115 G C C EBF1_EBF_1 24 0 + 0 0 . chr11 120766605 120766606 chr11:120766606:T:G rs7925365 T G G EBF1_EBF_1 -7 0 - 0 0 . chr11 120773476 120773477 chr11:120773477:C:A rs61901423 C A C EBF1_EBF_1 19 0 + 0 0 . chr11 120816359 120816360 chr11:120816360:G:T rs7105363 G T G EBF1_EBF_1 4 1 - 4.779160960040868 -1.0453986634126002 GCCCCCTAAGGACC chr11 120819329 120819330 chr11:120819330:G:A rs7940041 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 120819347 120819348 chr11:120819348:G:A rs7940051 G A A EBF1_EBF_1 32 0 + 0 0 . chr11 120833597 120833598 chr11:120833598:T:C rs4936558 T C C EBF1_EBF_1 33 0 + 0 0 . chr11 120836934 120836935 chr11:120836935:C:T rs3934628 C T C EBF1_EBF_1 -2 0 - 0 0 . chr11 120900762 120900763 chr11:120900763:C:G rs6589850 C G G EBF1_EBF_1 -18 0 + 0 0 . chr11 120917690 120917691 chr11:120917691:A:G rs77248109 A G A EBF1_EBF_1 23 0 - 0 0 . chr11 120934509 120934510 chr11:120934510:C:T rs144456931 C T C EBF1_EBF_1 -12 0 - 0 0 . chr11 120958117 120958118 chr11:120958118:G:A rs732480 G A T EBF1_EBF_1 -18 0 + 0 0 . chr11 121079811 121079812 chr11:121079812:G:A rs7940619 G A A EBF1_EBF_1 21 0 + 0 0 . chr11 121104680 121104681 chr11:121104681:G:A rs3758893 G A G EBF1_EBF_1 31 0 + 0 0 . chr11 121147741 121147742 chr11:121147742:A:G rs7108594 A G A EBF1_EBF_1 13 1 + 6.315152981103548 5.200827409034109 TTCCCCTTGAGACA chr11 121192067 121192068 chr11:121192068:C:T rs60016568 C T C EBF1_EBF_1 29 0 + 0 0 . chr11 121237805 121237806 chr11:121237806:C:T rs12295531 C T C EBF1_EBF_1 8 1 - 7.341280452328915 3.1149903634426535 ACTCCCGTGGGGAC chr11 121237969 121237970 chr11:121237970:T:C rs1941297 T C T EBF1_EBF_1 18 0 + 0 0 . chr11 121246432 121246433 chr11:121246433:A:C rs2105670 A C C EBF1_EBF_1 -9 0 - 0 0 . chr11 121309137 121309138 chr11:121309138:A:T rs2060008 A T A EBF1_EBF_1 19 0 - 0 0 . chr11 121367613 121367614 chr11:121367614:G:T rs1560408 G T G EBF1_EBF_1 19 0 + 0 0 . chr11 121367875 121367876 chr11:121367876:C:G rs1560406 C G C EBF1_EBF_1 -18 0 - 0 0 . chr11 121379270 121379271 chr11:121379271:C:T rs191290648 C T C EBF1_EBF_1 5 1 + 8.961897557374169 3.640110341833498 AACCCCTTGGGAGC chr11 121412466 121412467 chr11:121412467:T:C rs61677434 T C T EBF1_EBF_1 21 0 + 0 0 . chr11 121466774 121466775 chr11:121466775:A:T rs116620400 A T A EBF1_EBF_1 21 0 + 0 0 . chr11 121566701 121566702 chr11:121566702:G:A rs11218344 G A G EBF1_EBF_1 18 0 + 0 0 . chr11 121644040 121644041 chr11:121644041:T:C rs186262379 T C T EBF1_EBF_1 27 0 - 0 0 . chr11 121723751 121723752 chr11:121723752:C:T rs73026663 C T C EBF1_EBF_1 9 1 - 5.158590634564512 2.503651369116908 GTTCCCTGCGGACC chr11 121723767 121723768 chr11:121723768:C:T rs75792460 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 122033225 122033226 chr11:122033226:C:T rs11218499 C T C EBF1_EBF_1 10 1 - 7.866297757753251 2.5482692984862836 CGCCCCAAGGGATC chr11 122112661 122112662 chr11:122112662:A:C rs518839 A C A EBF1_EBF_1 -4 0 - 0 0 . chr11 122165134 122165135 chr11:122165135:C:G rs75089611 C G C EBF1_EBF_1 33 0 + 0 0 . chr11 122175132 122175133 chr11:122175133:C:T rs12785847 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 122202644 122202645 chr11:122202645:C:T rs549674099 C T C EBF1_EBF_1 -13 0 - 0 0 . chr11 122210114 122210115 chr11:122210115:C:T rs928359543 C T C EBF1_EBF_1 12 1 - 7.364074009379324 8.782891351478801 TTTCCCCAGAGAGC chr11 122228892 122228893 chr11:122228893:C:T rs61909886 C T C EBF1_EBF_1 12 1 + 8.086594553435159 7.060327982884309 AACCCCAGGGGACG chr11 122287583 122287584 chr11:122287584:G:A rs7118903 G A G EBF1_EBF_1 -20 0 + 0 0 . chr11 122305175 122305176 chr11:122305176:G:A rs115438643 G A G EBF1_EBF_1 30 0 - 0 0 . chr11 122525464 122525465 chr11:122525465:C:A rs10892855 C A C EBF1_EBF_1 5 1 + 6.5555934146883565 -0.3492877379468131 ACTCCCTAGGTGCT chr11 122547354 122547355 chr11:122547355:C:T rs114567080 C T c EBF1_EBF_1 -18 0 + 0 0 . chr11 122578361 122578362 chr11:122578362:C:A chr11:122578362:C:A C A c EBF1_EBF_1 14 0 - 0 0 . chr11 122654258 122654259 chr11:122654259:A:G rs57812455 A G G EBF1_EBF_1 -9 0 + 0 0 . chr11 122664497 122664498 chr11:122664498:C:A rs117102907 C A C EBF1_EBF_1 14 0 + 0 0 . chr11 122664527 122664528 chr11:122664528:T:A rs73014240 T A T EBF1_EBF_1 29 0 - 0 0 . chr11 122664571 122664572 chr11:122664572:G:A rs144131009 G A G EBF1_EBF_1 -15 0 - 0 0 . chr11 122681507 122681508 chr11:122681508:G:A rs11218749 G A A EBF1_EBF_1 21 0 - 0 0 . chr11 122686189 122686190 chr11:122686190:T:C rs1008862 T C T EBF1_EBF_1 -10 0 - 0 0 . chr11 122686194 122686195 chr11:122686195:A:C rs7107079 A C G EBF1_EBF_1 -15 0 - 0 0 . chr11 122720546 122720547 chr11:122720547:C:A rs973286937 C A C EBF1_EBF_1 19 0 - 0 0 . chr11 122728075 122728076 chr11:122728076:A:G rs1945398 A G A EBF1_EBF_1 -16 0 + 0 0 . chr11 122787040 122787041 chr11:122787041:C:A chr11:122787041:C:A C A C EBF1_EBF_1 21 0 - 0 0 . chr11 122816012 122816013 chr11:122816013:A:G rs11218832 A G A EBF1_EBF_1 -15 0 - 0 0 . chr11 122875189 122875190 chr11:122875190:T:A rs7109713 T A T EBF1_EBF_1 -1 0 + 0 0 . chr11 122883492 122883493 chr11:122883493:T:C rs75103902 T C T EBF1_EBF_1 -8 0 - 0 0 . chr11 122883498 122883499 chr11:122883499:G:C rs56919486 G C G EBF1_EBF_1 1 1 + 5.9008709546720555 5.7110993001028385 AGTCCCTAGGTGCC chr11 122966561 122966562 chr11:122966562:G:A rs73606768 G A G EBF1_EBF_1 6 1 - 5.13379192872363 5.435078057585388 TTTGCCCTGGGAAC chr11 122981232 122981233 chr11:122981233:C:T rs73608626 C T C EBF1_EBF_1 8 1 - 4.972882902031688 0.7465928131454262 GCTCCCTAGAGGCA chr11 122999318 122999319 chr11:122999319:T:C rs73608646 T C T EBF1_EBF_1 -12 0 + 0 0 . chr11 123054434 123054435 chr11:123054435:C:G rs7929869 C G C EBF1_EBF_1 7 1 + 4.538949307963483 5.520269889044838 CTTCCCCCGGGGTA chr11 123054434 123054435 chr11:123054435:C:T chr11:123054435:C:T C T C EBF1_EBF_1 7 1 + 4.538949307963483 6.3564540542461065 CTTCCCCCGGGGTA chr11 123060504 123060505 chr11:123060505:C:T rs1461497 C T C EBF1_EBF_1 33 0 - 0 0 . chr11 123060542 123060543 chr11:123060543:G:A rs188681746 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 123061656 123061657 chr11:123061657:A:C rs4935825 A C A EBF1_EBF_1 -13 0 - 0 0 . chr11 123061815 123061816 chr11:123061816:G:C rs79563213 G C G EBF1_EBF_1 -20 0 + 0 0 . chr11 123061819 123061820 chr11:123061820:G:C rs771280950 G C G EBF1_EBF_1 -16 0 + 0 0 . chr11 123062394 123062395 chr11:123062395:C:T rs547226301 C T C EBF1_EBF_1 7 1 - 4.191158094008448 5.503616499297274 TTTCCCCGGGGCCG chr11 123062814 123062815 chr11:123062815:T:C rs927516840 T C T EBF1_EBF_1 26 0 - 0 0 . chr11 123062818 123062819 chr11:123062819:A:G rs2236660 A G G EBF1_EBF_1 22 0 - 0 0 . chr11 123062857 123062858 chr11:123062858:C:G rs188537188 C G C EBF1_EBF_1 -17 0 - 0 0 . chr11 123087077 123087078 chr11:123087078:C:A rs75665886 C A c EBF1_EBF_1 23 0 - 0 0 . chr11 123137640 123137641 chr11:123137641:G:C rs12283343 G C G EBF1_EBF_1 2 1 - 4.385929811080434 -0.47357246767788275 AGCCCCAGGCGACA chr11 123145205 123145206 chr11:123145206:G:A rs7120168 G A G EBF1_EBF_1 6 1 - 4.769463168229073 5.070749297090829 AGCCCCCATGGAAT chr11 123168529 123168530 chr11:123168530:G:C rs114690317 G C G EBF1_EBF_1 33 0 - 0 0 . chr11 123185659 123185660 chr11:123185660:T:G rs10892978 T G G EBF1_EBF_1 23 0 + 0 0 . chr11 123196033 123196034 chr11:123196034:C:G rs76768790 C G C EBF1_EBF_1 -15 0 - 0 0 . chr11 123196277 123196278 chr11:123196278:G:T rs4488207 G T G EBF1_EBF_1 12 1 - 5.630383444377002 5.660564842798328 ACTCCCAGGGGCCG chr11 123207485 123207486 chr11:123207486:A:C rs577432 A C A EBF1_EBF_1 -18 0 - 0 0 . chr11 123261684 123261685 chr11:123261685:T:G chr11:123261685:T:G T G T EBF1_EBF_1 27 0 - 0 0 . chr11 123261692 123261693 chr11:123261693:T:C chr11:123261693:T:C T C T EBF1_EBF_1 19 0 - 0 0 . chr11 123261731 123261732 chr11:123261732:G:A rs74393045 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 123264975 123264976 chr11:123264976:G:A rs7949765 G A A EBF1_EBF_1 4 1 - 8.08002025226002 3.7106333073150948 CTCCCCTAGGGAAG chr11 123280191 123280192 chr11:123280192:C:A rs35678992 C A A EBF1_EBF_1 32 0 - 0 0 . chr11 123321900 123321901 chr11:123321901:C:T rs73603903 C T C EBF1_EBF_1 -12 0 + 0 0 . chr11 123323715 123323716 chr11:123323716:C:A rs12275698 C A A EBF1_EBF_1 -8 0 - 0 0 . chr11 123409600 123409601 chr11:123409601:C:T rs2282561 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 123426861 123426862 chr11:123426862:G:A rs10893036 G A A EBF1_EBF_1 26 0 + 0 0 . chr11 123430133 123430134 chr11:123430134:G:A rs372742093 G A G EBF1_EBF_1 8 1 + 8.306107195865996 4.0798171069797355 CTCCCCGAGGGAAT chr11 123444598 123444599 chr11:123444599:T:C rs2714051 T C T EBF1_EBF_1 20 0 - 0 0 . chr11 123449112 123449113 chr11:123449113:C:T rs192044032 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 123449133 123449134 chr11:123449134:C:T rs117290601 C T C EBF1_EBF_1 10 1 - 7.790781610416593 2.4727531511496266 ATTCCCTAGAGGAA chr11 123450854 123450855 chr11:123450855:G:A rs73607991 G A G EBF1_EBF_1 22 0 - 0 0 . chr11 123526050 123526051 chr11:123526051:T:C rs554654768 T C T EBF1_EBF_1 9 1 - 6.185598267530311 8.840537532977915 AGTCCCAAAAGAAC chr11 123526623 123526624 chr11:123526624:A:G rs141948789 A G A EBF1_EBF_1 30 0 - 0 0 . chr11 123560033 123560034 chr11:123560034:A:G rs186245814 A G A EBF1_EBF_1 -11 0 - 0 0 . chr11 123578184 123578185 chr11:123578185:T:C rs1275056 T C C EBF1_EBF_1 16 0 + 0 0 . chr11 123587212 123587213 chr11:123587213:T:C rs75830339 T C c EBF1_EBF_1 -17 0 + 0 0 . chr11 123659371 123659372 chr11:123659372:G:C rs56383565 G C G EBF1_EBF_1 9 1 + 7.7818458070092635 2.7061566606637997 CACCCCATGGGACA chr11 123740467 123740468 chr11:123740468:A:T rs1939925 A T A EBF1_EBF_1 26 0 + 0 0 . chr11 123741779 123741780 chr11:123741780:C:A rs112826762 C A C EBF1_EBF_1 -4 0 + 0 0 . chr11 123741783 123741784 chr11:123741784:G:A rs184867825 G A G EBF1_EBF_1 0 1 + 6.36669824262126 8.258982112186093 GCTCCCCAAGGACC chr11 123741790 123741791 chr11:123741791:A:G rs145730315 A G A EBF1_EBF_1 7 1 + 6.36669824262126 5.054239837332435 GCTCCCCAAGGACC chr11 123778726 123778727 chr11:123778727:T:C rs4245048 T C C EBF1_EBF_1 -8 0 - 0 0 . chr11 123800218 123800219 chr11:123800219:G:T rs78802534 G T T EBF1_EBF_1 -9 0 + 0 0 . chr11 123851987 123851988 chr11:123851988:G:A rs4936854 G A G EBF1_EBF_1 13 1 - 6.285768272482079 7.130262387067597 AGCCCCATGGGCAC chr11 123906828 123906829 chr11:123906829:G:A rs7926767 G A A EBF1_EBF_1 -10 0 + 0 0 . chr11 123921103 123921104 chr11:123921104:G:T rs184238602 G T G EBF1_EBF_1 -4 0 - 0 0 . chr11 124075580 124075581 chr11:124075581:C:T rs10893147 C T C EBF1_EBF_1 22 0 - 0 0 . chr11 124075661 124075662 chr11:124075662:T:C rs75697339 T C T EBF1_EBF_1 -4 0 + 0 0 . chr11 124075679 124075680 chr11:124075680:C:A rs7939583 C A C EBF1_EBF_1 14 0 + 0 0 . chr11 124075694 124075695 chr11:124075695:C:G rs11219440 C G C EBF1_EBF_1 29 0 + 0 0 . chr11 124080337 124080338 chr11:124080338:G:A rs74405357 G A G EBF1_EBF_1 9 1 + 6.382314763543601 3.7273754980959968 CTTCTCCAGGGACA chr11 124278069 124278070 chr11:124278070:G:A rs2466629 G A . EBF1_EBF_1 6 1 - 7.693498286469837 7.994784415331595 AGCCCCCGGAGAAT chr11 124425827 124425828 chr11:124425828:C:A rs7942913 C A C EBF1_EBF_1 16 0 - 0 0 . chr11 124445758 124445759 chr11:124445759:C:G rs10790679 C G C EBF1_EBF_1 -13 0 + 0 0 . chr11 124536007 124536008 chr11:124536008:T:A rs556725406 T A T EBF1_EBF_1 13 1 + 5.3019180977516465 4.0836785338801525 CCTCCCGGGAGACT chr11 124537481 124537482 chr11:124537482:G:C rs1942667 G C C EBF1_EBF_1 -12 0 - 0 0 . chr11 124582174 124582175 chr11:124582175:T:C rs10893275 T C T EBF1_EBF_1 25 0 - 0 0 . chr11 124658044 124658045 chr11:124658045:G:A rs7926572 G A G EBF1_EBF_1 33 0 - 0 0 . chr11 124658060 124658061 chr11:124658061:G:A rs7926579 G A G EBF1_EBF_1 17 0 - 0 0 . chr11 124658083 124658084 chr11:124658084:G:A rs75408477 G A G EBF1_EBF_1 -6 0 - 0 0 . chr11 124674490 124674491 chr11:124674491:C:T rs7944163 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 124718183 124718184 chr11:124718184:G:A rs150394689 G A G EBF1_EBF_1 9 1 + 7.890521736210056 5.235582470762451 CCTCCCAGGGGAGC chr11 124758694 124758695 chr11:124758695:G:A rs117957857 G A G EBF1_EBF_1 18 0 + 0 0 . chr11 124800544 124800545 chr11:124800545:G:C rs556738505 G C G EBF1_EBF_1 10 1 + 8.437530377717925 1.546727990388451 ACTCCCCTGGGCCT chr11 124840363 124840364 chr11:124840364:A:T rs73014350 A T A EBF1_EBF_1 -16 0 + 0 0 . chr11 124867319 124867320 chr11:124867320:T:C rs73014380 T C T EBF1_EBF_1 32 0 + 0 0 . chr11 124872916 124872917 chr11:124872917:A:T chr11:124872917:A:T A T A EBF1_EBF_1 7 1 + 7.122495285084026 6.6462210449964685 ATCCTCCAGGGACC chr11 124881230 124881231 chr11:124881231:C:T rs147605571 C T C EBF1_EBF_1 1 1 + 5.495473447701125 6.3909068880998685 TCTCCCTAGGAACC chr11 124885406 124885407 chr11:124885407:G:A rs78995362 G A G EBF1_EBF_1 11 1 + 7.266379696419658 10.159086540023667 CATCCCCAGGGGCC chr11 124891559 124891560 chr11:124891560:G:A rs59946255 G A G EBF1_EBF_1 12 1 + 7.68061260902827 9.099429951127746 AGTCCCAGGAGAGC chr11 124894391 124894392 chr11:124894392:C:G rs11827448 C G C EBF1_EBF_1 -3 0 + 0 0 . chr11 124895997 124895998 chr11:124895998:C:G rs12421743 C G C EBF1_EBF_1 4 1 + 6.867774964977886 1.2420098638283414 GAACCCCAGGGAAC chr11 124903572 124903573 chr11:124903573:G:T rs56238909 G T G EBF1_EBF_1 -18 0 + 0 0 . chr11 124921025 124921026 chr11:124921026:C:A rs10893303 C A G EBF1_EBF_1 5 1 + 6.41038593369519 -0.49449521893997905 GCCCCCCCGGGACC chr11 124921025 124921026 chr11:124921026:C:T chr11:124921026:C:T C T G EBF1_EBF_1 5 1 + 6.41038593369519 1.0885987181545194 GCCCCCCCGGGACC chr11 124921413 124921414 chr11:124921414:C:T rs116536622 C T C EBF1_EBF_1 25 0 - 0 0 . chr11 124921417 124921418 chr11:124921418:T:C rs116102273 T C T EBF1_EBF_1 21 0 - 0 0 . chr11 124921546 124921547 chr11:124921547:C:T rs116386538 C T C EBF1_EBF_1 -15 0 - 0 0 . chr11 125075442 125075443 chr11:125075443:C:T rs769180691 C T C EBF1_EBF_1 24 0 + 0 0 . chr11 125080262 125080263 chr11:125080263:C:G rs7938776 C G C EBF1_EBF_1 10 1 - 9.558039137594657 2.6672367502651815 ACCCCCAAGGGATA chr11 125086080 125086081 chr11:125086081:C:T rs3808995 C T T EBF1_EBF_1 -9 0 - 0 0 . chr11 125104249 125104250 chr11:125104250:C:T rs2186890 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 125112335 125112336 chr11:125112336:C:T rs111239441 C T C EBF1_EBF_1 7 1 + 5.135057502320743 6.952562248603367 CTACCCACGGGACA chr11 125112349 125112350 chr11:125112350:G:A rs147117660 G A G EBF1_EBF_1 21 0 + 0 0 . chr11 125112353 125112354 chr11:125112354:A:C rs12290979 A C A EBF1_EBF_1 25 0 + 0 0 . chr11 125137193 125137194 chr11:125137194:A:T rs636743 A T A EBF1_EBF_1 -11 0 + 0 0 . chr11 125141329 125141330 chr11:125141330:A:G rs583820 A G A EBF1_EBF_1 1 1 - 5.53616115738918 4.640727716990434 ATTCCCGGGGTAGT chr11 125149595 125149596 chr11:125149596:T:C rs633810 T C C EBF1_EBF_1 -19 0 + 0 0 . chr11 125149640 125149641 chr11:125149641:C:T rs61910992 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 125173802 125173803 chr11:125173803:C:T rs139685633 C T C EBF1_EBF_1 -2 0 - 0 0 . chr11 125173803 125173804 chr11:125173804:G:A rs1971836 G A A EBF1_EBF_1 -3 0 - 0 0 . chr11 125174896 125174897 chr11:125174897:G:A rs11219946 G A G EBF1_EBF_1 31 0 - 0 0 . chr11 125188284 125188285 chr11:125188285:C:A rs940501580 C A C EBF1_EBF_1 9 1 - 5.393556163547953 -1.4434332445408562 CACCCCCAGGGAGG chr11 125208114 125208115 chr11:125208115:T:C rs10790728 T C T EBF1_EBF_1 -9 0 - 0 0 . chr11 125243328 125243329 chr11:125243329:T:C rs56210320 T C C EBF1_EBF_1 22 0 - 0 0 . chr11 125243329 125243330 chr11:125243330:G:A rs56104811 G A g EBF1_EBF_1 21 0 - 0 0 . chr11 125245074 125245075 chr11:125245075:C:T rs58549225 C T C EBF1_EBF_1 32 0 - 0 0 . chr11 125254076 125254077 chr11:125254077:G:A rs12288326 G A A EBF1_EBF_1 31 0 + 0 0 . chr11 125299222 125299223 chr11:125299223:C:T rs12287268 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 125304239 125304240 chr11:125304240:C:T rs2002701 C T C EBF1_EBF_1 18 0 + 0 0 . chr11 125308140 125308141 chr11:125308141:C:T rs11220016 C T T EBF1_EBF_1 15 0 + 0 0 . chr11 125322528 125322529 chr11:125322529:G:A rs144221780 G A G EBF1_EBF_1 33 0 - 0 0 . chr11 125344806 125344807 chr11:125344807:T:C rs73618152 T C C EBF1_EBF_1 -9 0 + 0 0 . chr11 125344822 125344823 chr11:125344823:T:C rs12287582 T C T EBF1_EBF_1 7 1 + 4.397948744472775 2.5804439981901517 TGTGCCCTGGGACC chr11 125387539 125387540 chr11:125387540:G:C rs6590143 G C C EBF1_EBF_1 -5 0 + 0 0 . chr11 125393697 125393698 chr11:125393698:G:T rs117217690 G T G EBF1_EBF_1 2 1 - 4.573468043674381 2.7778238675176534 AGCCCCCCGGGGTC chr11 125399987 125399988 chr11:125399988:C:A rs1037867906 C A C EBF1_EBF_1 1 1 + 5.831956337796355 5.76102916044427 TCCCCCTGGAGAAT chr11 125428974 125428975 chr11:125428975:A:G rs595849 A G G EBF1_EBF_1 -14 0 - 0 0 . chr11 125441777 125441778 chr11:125441778:A:G rs10893380 A G A EBF1_EBF_1 -17 0 - 0 0 . chr11 125512176 125512177 chr11:125512177:C:T rs2155128 C T C EBF1_EBF_1 26 0 - 0 0 . chr11 125525831 125525832 chr11:125525832:A:G rs2702014 A G G EBF1_EBF_1 14 0 - 0 0 . chr11 125702803 125702804 chr11:125702804:T:C rs523563 T C C EBF1_EBF_1 -18 0 - 0 0 . chr11 125720497 125720498 chr11:125720498:G:T rs2000658 G T g EBF1_EBF_1 -16 0 + 0 0 . chr11 125763927 125763928 chr11:125763928:C:T rs140479100 C T T EBF1_EBF_1 8 1 - 5.87225334774134 1.6459632588550814 ACTCCCAAGGGTGG chr11 125776606 125776607 chr11:125776607:G:T rs76435292 G T T EBF1_EBF_1 -4 0 + 0 0 . chr11 125817651 125817652 chr11:125817652:T:C rs7480568 T C C EBF1_EBF_1 8 1 - 4.812423837196165 9.038713926082425 ATTCCCCCAGGGCT chr11 125817652 125817653 chr11:125817653:G:A rs7483275 G A G EBF1_EBF_1 7 1 - 4.812423837196165 6.629928583478789 ATTCCCCCAGGGCT chr11 125921121 125921122 chr11:125921122:C:T rs635819 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 125923184 125923185 chr11:125923185:G:A rs58611632 G A G EBF1_EBF_1 14 0 - 0 0 . chr11 125942515 125942516 chr11:125942516:A:T rs652336 A T A EBF1_EBF_1 7 1 - 7.673045255600888 8.149319495688447 AGCCCCATGAGAAA chr11 125942979 125942980 chr11:125942980:G:A rs592545 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 125951566 125951567 chr11:125951567:G:A rs11827919 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 125954554 125954555 chr11:125954555:C:T rs1893040 C T C EBF1_EBF_1 28 0 - 0 0 . chr11 126002946 126002947 chr11:126002947:C:T rs7104123 C T T EBF1_EBF_1 33 0 - 0 0 . chr11 126062253 126062254 chr11:126062254:G:A rs192993910 G A G EBF1_EBF_1 -7 0 - 0 0 . chr11 126063158 126063159 chr11:126063159:G:A rs149384634 G A G EBF1_EBF_1 -2 0 + 0 0 . chr11 126063175 126063176 chr11:126063176:T:C rs7482154 T C T EBF1_EBF_1 15 0 + 0 0 . chr11 126075167 126075168 chr11:126075168:G:C rs654989 G C g EBF1_EBF_1 33 0 - 0 0 . chr11 126112269 126112270 chr11:126112270:C:T rs680875 C T c EBF1_EBF_1 18 0 - 0 0 . chr11 126112661 126112662 chr11:126112662:C:T rs185303117 C T c EBF1_EBF_1 -4 0 + 0 0 . chr11 126112898 126112899 chr11:126112899:G:A rs11220355 G A G EBF1_EBF_1 19 0 - 0 0 . chr11 126113258 126113259 chr11:126113259:G:A rs478951 G A G EBF1_EBF_1 28 0 - 0 0 . chr11 126114979 126114980 chr11:126114980:G:A rs144152440 G A G EBF1_EBF_1 5 1 - 9.976766255342081 4.654979039801411 ACACCCTAGGGAAT chr11 126116378 126116379 chr11:126116379:G:C rs564236 G C G EBF1_EBF_1 3 1 - 6.891905434148941 1.064140986033725 CCCCCCCCGGGACT chr11 126116381 126116382 chr11:126116382:G:T rs564264 G T G EBF1_EBF_1 0 1 - 6.891905434148941 9.14716391784554 CCCCCCCCGGGACT chr11 126123917 126123918 chr11:126123918:C:T rs73633221 C T C EBF1_EBF_1 4 1 + 7.325163792287045 2.9557768473421215 CCTCCCAAGGGGCA chr11 126138934 126138935 chr11:126138935:C:A rs60074046 C A C EBF1_EBF_1 -6 0 + 0 0 . chr11 126154009 126154010 chr11:126154010:G:A rs892424 G A G EBF1_EBF_1 -7 0 - 0 0 . chr11 126154416 126154417 chr11:126154417:C:T rs75564112 C T C EBF1_EBF_1 3 1 + 7.1818892225547035 0.7764904810013865 CATCCCATGGGGAC chr11 126186716 126186717 chr11:126186717:C:G rs473373 C G C EBF1_EBF_1 16 0 + 0 0 . chr11 126186727 126186728 chr11:126186728:C:T rs644050 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 126211440 126211441 chr11:126211441:T:C rs587891 T C C EBF1_EBF_1 5 1 - 6.131765070807682 6.131765070807682 AGTCCAAAGGGACC chr11 126328339 126328340 chr11:126328340:G:C rs1786698 G C G EBF1_EBF_1 22 0 - 0 0 . chr11 126348257 126348258 chr11:126348258:G:A rs73632723 G A G EBF1_EBF_1 24 0 + 0 0 . chr11 126348498 126348499 chr11:126348499:A:G rs146785704 A G A EBF1_EBF_1 32 0 + 0 0 . chr11 126355311 126355312 chr11:126355312:T:C rs771093848 T C T EBF1_EBF_1 0 1 + 5.837347483665347 5.5551454229347685 TTCCCCGTGGGATA chr11 126356489 126356490 chr11:126356490:C:T rs3758831 C T C EBF1_EBF_1 32 0 + 0 0 . chr11 126357827 126357828 chr11:126357828:G:T rs7928577 G T G EBF1_EBF_1 27 0 - 0 0 . chr11 126363489 126363490 chr11:126363490:T:A rs187734661 T A T EBF1_EBF_1 -11 0 - 0 0 . chr11 126363773 126363774 chr11:126363774:G:C rs7952602 G C G EBF1_EBF_1 -7 0 - 0 0 . chr11 126365614 126365615 chr11:126365615:C:G rs35156054 C G C EBF1_EBF_1 32 0 + 0 0 . chr11 126368737 126368738 chr11:126368738:T:C rs74612335 T C C EBF1_EBF_1 32 0 - 0 0 . chr11 126394259 126394260 chr11:126394260:C:T rs12293992 C T C EBF1_EBF_1 -19 0 - 0 0 . chr11 126414003 126414004 chr11:126414004:G:A rs143689441 G A G EBF1_EBF_1 27 0 + 0 0 . chr11 126416473 126416474 chr11:126416474:C:G rs971607889 C G C EBF1_EBF_1 27 0 - 0 0 . chr11 126418866 126418867 chr11:126418867:T:C rs4935966 T C C EBF1_EBF_1 -1 0 - 0 0 . chr11 126440724 126440725 chr11:126440725:A:G rs73629340 A G A EBF1_EBF_1 -9 0 + 0 0 . chr11 126479719 126479720 chr11:126479720:C:T rs11220515 C T C EBF1_EBF_1 5 1 + 5.406502501533329 0.08471528599265778 GCTCCCCCGGGGCC chr11 126480656 126480657 chr11:126480657:G:A rs12803938 G A A EBF1_EBF_1 25 0 - 0 0 . chr11 126482752 126482753 chr11:126482753:C:G rs576945467 C G C EBF1_EBF_1 -3 0 - 0 0 . chr11 126488012 126488013 chr11:126488013:C:T rs11220520 C T C EBF1_EBF_1 23 0 + 0 0 . chr11 126490696 126490697 chr11:126490697:C:T rs1000254 C T A EBF1_EBF_1 -11 0 - 0 0 . chr11 126507821 126507822 chr11:126507822:G:A rs11601028 G A G EBF1_EBF_1 -5 0 + 0 0 . chr11 126508592 126508593 chr11:126508593:T:A rs6590213 T A A EBF1_EBF_1 -15 0 - 0 0 . chr11 126514056 126514057 chr11:126514057:G:T rs7122210 G T G EBF1_EBF_1 11 1 - 5.436006045449627 9.851967743302016 TGTCCCCTGGGCCA chr11 126515065 126515066 chr11:126515066:G:A rs7947957 G A G EBF1_EBF_1 15 0 + 0 0 . chr11 126543706 126543707 chr11:126543707:T:C rs581945 T C T EBF1_EBF_1 15 0 - 0 0 . chr11 126551233 126551234 chr11:126551234:C:T rs12287753 C T T EBF1_EBF_1 27 0 - 0 0 . chr11 126579191 126579192 chr11:126579192:C:T rs4935977 C T C EBF1_EBF_1 22 0 - 0 0 . chr11 126590400 126590401 chr11:126590401:A:G rs497526 A G G EBF1_EBF_1 -19 0 - 0 0 . chr11 126598767 126598768 chr11:126598768:T:C rs56000419 T C T EBF1_EBF_1 15 0 + 0 0 . chr11 126633495 126633496 chr11:126633496:G:A rs508165 G A G EBF1_EBF_1 -20 0 - 0 0 . chr11 126655579 126655580 chr11:126655580:G:T rs4937172 G T G EBF1_EBF_1 10 1 + 8.456714204822633 4.273962018595369 TCCCCCTGGGGACT chr11 126655587 126655588 chr11:126655588:C:T rs77761316 C T C EBF1_EBF_1 18 0 + 0 0 . chr11 126673524 126673525 chr11:126673525:G:T rs117450539 G T G EBF1_EBF_1 -18 0 - 0 0 . chr11 126790873 126790874 chr11:126790874:A:C rs114694184 A C C EBF1_EBF_1 12 1 - 7.310623210594992 6.94825383746769 TGCCCCCTGGGATC chr11 126790902 126790903 chr11:126790903:G:A rs11220610 G A G EBF1_EBF_1 -17 0 - 0 0 . chr11 126803824 126803825 chr11:126803825:G:A rs11220619 G A G EBF1_EBF_1 -6 0 + 0 0 . chr11 126853997 126853998 chr11:126853998:G:C rs4494313 G C C EBF1_EBF_1 4 1 - 7.2013234714322065 1.5755583702826623 ACTCCCATGAGATG chr11 126866518 126866519 chr11:126866519:G:A rs56273251 G A G EBF1_EBF_1 18 0 - 0 0 . chr11 126866534 126866535 chr11:126866535:G:A rs7130944 G A A EBF1_EBF_1 2 1 - 8.341190305933603 10.230013717375753 CCCCCCCAGGGACC chr11 126925838 126925839 chr11:126925839:C:T rs12223167 C T C EBF1_EBF_1 17 0 - 0 0 . chr11 126998179 126998180 chr11:126998180:T:C rs73018718 T C T EBF1_EBF_1 22 0 - 0 0 . chr11 127107737 127107738 chr11:127107738:G:A rs10893632 G A G EBF1_EBF_1 0 1 + 5.264256659021718 7.156540528586551 GACCCCCTGGGGCC chr11 127114236 127114237 chr11:127114237:C:G rs10790863 C G G EBF1_EBF_1 6 1 + 4.982369223760647 3.177177160287449 AGTGCCCTGGGAGC chr11 127162326 127162327 chr11:127162327:A:G rs2510709 A G G EBF1_EBF_1 -17 0 + 0 0 . chr11 127461045 127461046 chr11:127461046:T:C rs74911457 T C T EBF1_EBF_1 7 1 + 7.0278730344885085 5.210368288205885 CATCCCCTGAGACC chr11 127676116 127676117 chr11:127676117:C:T rs12278462 C T C EBF1_EBF_1 5 1 + 6.814900506883945 1.4931132913432759 AGTCCCGAGAGATA chr11 127813771 127813772 chr11:127813772:G:T rs7945680 G T T EBF1_EBF_1 -11 0 + 0 0 . chr11 127859371 127859372 chr11:127859372:G:C rs4309172 G C C EBF1_EBF_1 -5 0 + 0 0 . chr11 127860120 127860121 chr11:127860121:T:C rs142934200 T C T EBF1_EBF_1 -3 0 - 0 0 . chr11 127925950 127925951 chr11:127925951:T:C rs1834311 T C T EBF1_EBF_1 -20 0 - 0 0 . chr11 128030950 128030951 chr11:128030951:G:C rs77680607 G C G EBF1_EBF_1 -1 0 - 0 0 . chr11 128042383 128042384 chr11:128042384:G:A rs113110698 G A G EBF1_EBF_1 30 0 - 0 0 . chr11 128045218 128045219 chr11:128045219:A:G rs76119913 A G A EBF1_EBF_1 -10 0 + 0 0 . chr11 128085728 128085729 chr11:128085729:A:G rs997089721 A G A EBF1_EBF_1 7 1 + 5.46009589136918 4.147637486080355 CTTCCTCAGGGAAA chr11 128095981 128095982 chr11:128095982:C:T rs149982314 C T C EBF1_EBF_1 15 0 + 0 0 . chr11 128105756 128105757 chr11:128105757:C:T rs28712818 C T C EBF1_EBF_1 14 0 - 0 0 . chr11 128117135 128117136 chr11:128117136:G:A rs10893820 G A G EBF1_EBF_1 25 0 + 0 0 . chr11 128222311 128222312 chr11:128222312:C:G rs502440 C G G EBF1_EBF_1 11 1 - 6.110349912501993 4.587095058253615 TCTCCCTAGAGGCT chr11 128222517 128222518 chr11:128222518:C:T rs61667324 C T C EBF1_EBF_1 5 1 + 8.77976006951191 3.457972853971239 GTCCCCCTGGGAAA chr11 128293312 128293313 chr11:128293313:C:T rs34415928 C T C EBF1_EBF_1 26 0 + 0 0 . chr11 128353727 128353728 chr11:128353728:G:A rs75218451 G A G EBF1_EBF_1 -5 0 + 0 0 . chr11 128359696 128359697 chr11:128359697:T:C rs74903016 T C T EBF1_EBF_1 -20 0 - 0 0 . chr11 128456567 128456568 chr11:128456568:C:T rs4369416 C T T EBF1_EBF_1 22 0 + 0 0 . chr11 128530735 128530736 chr11:128530736:A:G rs35656079 A G A EBF1_EBF_1 -7 0 + 0 0 . chr11 128532666 128532667 chr11:128532667:T:C rs73565230 T C T EBF1_EBF_1 1 1 + 5.415153925001787 4.519720484603042 TTTCCCCAGTGACT chr11 128548745 128548746 chr11:128548746:C:T rs11828668 C T c EBF1_EBF_1 -14 0 - 0 0 . chr11 128549451 128549452 chr11:128549452:A:G rs977686888 A G A EBF1_EBF_1 24 0 - 0 0 . chr11 128549494 128549495 chr11:128549495:A:C rs1291709818 A C A EBF1_EBF_1 -19 0 - 0 0 . chr11 128549534 128549535 chr11:128549535:C:T rs187689203 C T C EBF1_EBF_1 -6 0 + 0 0 . chr11 128576100 128576101 chr11:128576101:C:T rs188442373 C T C EBF1_EBF_1 13 1 - 8.422538551930117 9.536864123999555 TTCCCCAAGGGAAG chr11 128621863 128621864 chr11:128621864:C:T rs141689446 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 128633506 128633507 chr11:128633507:C:T rs11221402 C T T EBF1_EBF_1 -5 0 - 0 0 . chr11 128693237 128693238 chr11:128693238:A:T chr11:128693238:A:T A T A EBF1_EBF_1 -8 0 + 0 0 . chr11 128693245 128693246 chr11:128693246:C:A rs45525840 C A C EBF1_EBF_1 0 1 + 3.8330630534256125 6.088321537122214 CGCCCCGAGGGGCC chr11 128693245 128693246 chr11:128693246:C:T chr11:128693246:C:T C T C EBF1_EBF_1 0 1 + 3.8330630534256125 4.115265114156191 CGCCCCGAGGGGCC chr11 128693276 128693277 chr11:128693277:G:T rs60551357 G T G EBF1_EBF_1 31 0 + 0 0 . chr11 128726375 128726376 chr11:128726376:G:A rs75693468 G A G EBF1_EBF_1 16 0 - 0 0 . chr11 128728101 128728102 chr11:128728102:G:A rs117944950 G A G EBF1_EBF_1 30 0 + 0 0 . chr11 128739196 128739197 chr11:128739197:G:C rs61907859 G C G EBF1_EBF_1 23 0 + 0 0 . chr11 128754640 128754641 chr11:128754641:T:C rs667789 T C T EBF1_EBF_1 11 1 - 5.72499760301541 2.832290759411399 GCTCCCTAGAGATG chr11 128758446 128758447 chr11:128758447:C:G rs140111384 C G C EBF1_EBF_1 -8 0 + 0 0 . chr11 128776340 128776341 chr11:128776341:G:C rs112796764 G C G EBF1_EBF_1 -13 0 + 0 0 . chr11 128777250 128777251 chr11:128777251:C:T rs564490941 C T C EBF1_EBF_1 10 1 - 5.043527887401563 -0.274500571865404 CCTCCCCCGGGGCC chr11 128777261 128777262 chr11:128777262:T:C rs12283770 T C C EBF1_EBF_1 -1 0 - 0 0 . chr11 128813864 128813865 chr11:128813865:C:A rs633305 C A C EBF1_EBF_1 -9 0 + 0 0 . chr11 128815466 128815467 chr11:128815467:A:T rs550621 A T T EBF1_EBF_1 33 0 - 0 0 . chr11 128825137 128825138 chr11:128825138:T:C rs61477780 T C T EBF1_EBF_1 27 0 - 0 0 . chr11 128828499 128828500 chr11:128828500:G:T rs138711450 G T G EBF1_EBF_1 3 1 - 6.1397413128470575 -0.76643271661875 AACCCCCAGGGCAC chr11 128870994 128870995 chr11:128870995:C:T rs12274612 C T c EBF1_EBF_1 18 0 - 0 0 . chr11 128886548 128886549 chr11:128886549:C:T rs74683988 C T C EBF1_EBF_1 27 0 - 0 0 . chr11 128890056 128890057 chr11:128890057:C:T rs73025273 C T T EBF1_EBF_1 -13 0 - 0 0 . chr11 128890878 128890879 chr11:128890879:C:G rs12419455 C G C EBF1_EBF_1 27 0 + 0 0 . chr11 128891492 128891493 chr11:128891493:C:G rs565895120 C G C EBF1_EBF_1 -16 0 + 0 0 . chr11 128901740 128901741 chr11:128901741:C:A rs79963032 C A C EBF1_EBF_1 -17 0 + 0 0 . chr11 128903902 128903903 chr11:128903903:C:G rs4937388 C G G EBF1_EBF_1 7 1 + 7.787338874547687 8.768659455629043 CTCCCCCCGGGACT chr11 128903906 128903907 chr11:128903907:A:G rs4937389 A G G EBF1_EBF_1 11 1 + 7.787338874547687 4.894632030943676 CTCCCCCCGGGACT chr11 128905096 128905097 chr11:128905097:T:C rs115156125 T C T EBF1_EBF_1 -15 0 - 0 0 . chr11 128912082 128912083 chr11:128912083:T:G rs7118824 T G G EBF1_EBF_1 -17 0 + 0 0 . chr11 128912106 128912107 chr11:128912107:T:C rs7118833 T C C EBF1_EBF_1 7 1 + 6.716338069821599 4.898833323538975 TCTCCCATGAGATC chr11 128930276 128930277 chr11:128930277:C:T rs191284886 C T C EBF1_EBF_1 31 0 + 0 0 . chr11 128937884 128937885 chr11:128937885:C:G rs112432537 C G G EBF1_EBF_1 3 1 + 5.8875144504343835 0.059750002319167564 AGTCCCTAAGGGAC chr11 128942311 128942312 chr11:128942312:C:G rs10893937 C G G EBF1_EBF_1 17 0 + 0 0 . chr11 128943932 128943933 chr11:128943933:T:C rs3806786 T C G EBF1_EBF_1 7 1 - 10.60444798823409 9.291989582945266 AGCCCCCAGGGATT chr11 128967836 128967837 chr11:128967837:T:C rs7949524 T C C EBF1_EBF_1 14 0 + 0 0 . chr11 129143525 129143526 chr11:129143526:G:A rs377020596 G A G EBF1_EBF_1 17 0 - 0 0 . chr11 129156454 129156455 chr11:129156455:C:A rs640361 C A C EBF1_EBF_1 24 0 - 0 0 . chr11 129220956 129220957 chr11:129220957:C:T rs6590368 C T T EBF1_EBF_1 17 0 - 0 0 . chr11 129279468 129279469 chr11:129279469:C:G rs900846478 C G - EBF1_EBF_1 -7 0 - 0 0 . chr11 129279784 129279785 chr11:129279785:T:A rs140484073 T A T EBF1_EBF_1 21 0 - 0 0 . chr11 129279792 129279793 chr11:129279793:T:G rs561540118 T G T EBF1_EBF_1 13 1 - 6.6344321964358866 7.0081776457218625 GTCCCCTAGGGGCA chr11 129321261 129321262 chr11:129321262:A:G rs10750410 A G G EBF1_EBF_1 18 0 - 0 0 . chr11 129324662 129324663 chr11:129324663:A:G rs188976224 A G A EBF1_EBF_1 0 1 - 5.912280285537184 5.630078224806606 TGTCCCCAGGGCCA chr11 129369888 129369889 chr11:129369889:T:C rs10894032 T C T EBF1_EBF_1 -5 0 - 0 0 . chr11 129373338 129373339 chr11:129373339:A:C rs11221695 A C C EBF1_EBF_1 2 1 - 4.389675680185951 -2.3586500100145176 GGTCCCAGGGGCTC chr11 129396123 129396124 chr11:129396124:A:G rs1493532 A G G EBF1_EBF_1 7 1 - 8.909167178949016 7.091662432666392 AGCCCCATGGGAGA chr11 129440431 129440432 chr11:129440432:C:T rs12360755 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 129586569 129586570 chr11:129586570:C:T rs11221765 C T C EBF1_EBF_1 20 0 + 0 0 . chr11 129586573 129586574 chr11:129586574:C:G rs11221766 C G C EBF1_EBF_1 24 0 + 0 0 . chr11 129691577 129691578 chr11:129691578:C:T rs113314609 C T C EBF1_EBF_1 20 0 + 0 0 . chr11 129702900 129702901 chr11:129702901:C:T rs1864170 C T C EBF1_EBF_1 3 1 + 6.984115893355182 0.5787171518018659 GTACCCCTGGGAAA chr11 129731285 129731286 chr11:129731286:A:G rs2846374 A G G EBF1_EBF_1 -17 0 + 0 0 . chr11 129731330 129731331 chr11:129731331:G:A rs11221819 G A G EBF1_EBF_1 28 0 + 0 0 . chr11 129768018 129768019 chr11:129768019:G:A rs148267021 G A G EBF1_EBF_1 -16 0 - 0 0 . chr11 129816299 129816300 chr11:129816300:G:A rs11221861 G A G EBF1_EBF_1 -9 0 + 0 0 . chr11 129860993 129860994 chr11:129860994:A:G rs148272451 A G a EBF1_EBF_1 -3 0 - 0 0 . chr11 129895438 129895439 chr11:129895439:C:T rs547954329 C T C EBF1_EBF_1 -8 0 + 0 0 . chr11 129947095 129947096 chr11:129947096:A:G rs34161604 A G G EBF1_EBF_1 30 0 - 0 0 . chr11 130002767 130002768 chr11:130002768:T:G rs551367470 T G T EBF1_EBF_1 15 0 - 0 0 . chr11 130002793 130002794 chr11:130002794:A:C rs879169836 A C A EBF1_EBF_1 -11 0 - 0 0 . chr11 130023486 130023487 chr11:130023487:C:T rs58767996 C T C EBF1_EBF_1 30 0 - 0 0 . chr11 130023495 130023496 chr11:130023496:C:T rs117118393 C T C EBF1_EBF_1 21 0 - 0 0 . chr11 130064980 130064981 chr11:130064981:A:C rs12577439 A C A EBF1_EBF_1 11 1 + 5.177899843375476 0.7619381455230863 CCCCTCAAGGGACT chr11 130109849 130109850 chr11:130109850:G:A rs3819106 G A A EBF1_EBF_1 3 1 - 6.324292056042119 -0.08110668551119882 ACTCCCATGGCACT chr11 130155445 130155446 chr11:130155446:G:A rs60734234 G A G EBF1_EBF_1 14 0 + 0 0 . chr11 130160617 130160618 chr11:130160618:A:G chr11:130160618:A:G A G A EBF1_EBF_1 17 0 - 0 0 . chr11 130163945 130163946 chr11:130163946:C:G rs554724184 C G C EBF1_EBF_1 -18 0 + 0 0 . chr11 130163974 130163975 chr11:130163975:A:G rs12785510 A G A EBF1_EBF_1 11 1 + 4.263787595813487 1.371080752209475 GCTCCCTGGGAACC chr11 130182003 130182004 chr11:130182004:C:T rs60745822 C T C EBF1_EBF_1 8 1 - 8.257771440404383 4.031481351518122 ACTCCCATGAGAAG chr11 130196476 130196477 chr11:130196477:G:A rs858713 G A G EBF1_EBF_1 -12 0 - 0 0 . chr11 130213226 130213227 chr11:130213227:C:G chr11:130213227:C:G C G C EBF1_EBF_1 -7 0 - 0 0 . chr11 130246799 130246800 chr11:130246800:C:T rs112601750 C T C EBF1_EBF_1 20 0 - 0 0 . chr11 130310342 130310343 chr11:130310343:G:C rs141300695 G C G EBF1_EBF_1 -13 0 - 0 0 . chr11 130383489 130383490 chr11:130383490:G:A rs117990837 G A G EBF1_EBF_1 10 1 + 4.999966825121131 -0.31806163414583377 TCTCCCAAGGGCAG chr11 130389459 130389460 chr11:130389460:C:T rs78114819 C T C EBF1_EBF_1 -6 0 - 0 0 . chr11 130405853 130405854 chr11:130405854:T:C rs11222085 T C t EBF1_EBF_1 15 0 + 0 0 . chr11 130411285 130411286 chr11:130411286:A:C rs2291346 A C C EBF1_EBF_1 16 0 + 0 0 . chr11 130546009 130546010 chr11:130546010:G:A rs2172492 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 130554833 130554834 chr11:130554834:A:G rs2703755 A G A EBF1_EBF_1 -8 0 - 0 0 . chr11 130576466 130576467 chr11:130576467:C:T rs12418439 C T C EBF1_EBF_1 -18 0 - 0 0 . chr11 130581263 130581264 chr11:130581264:A:T rs763502585 A T A EBF1_EBF_1 20 0 - 0 0 . chr11 130581290 130581291 chr11:130581291:G:T rs544459535 G T G EBF1_EBF_1 -7 0 - 0 0 . chr11 130584172 130584173 chr11:130584173:G:A rs6590480 G A G EBF1_EBF_1 20 0 + 0 0 . chr11 130602033 130602034 chr11:130602034:G:A rs10894224 G A A EBF1_EBF_1 27 0 - 0 0 . chr11 130602060 130602061 chr11:130602061:G:A rs7117972 G A G EBF1_EBF_1 0 1 - 6.422295163308404 6.704497224038982 CTTCCCCAGAGGCT chr11 130609105 130609106 chr11:130609106:A:G rs7125089 A G A EBF1_EBF_1 18 0 + 0 0 . chr11 130702092 130702093 chr11:130702093:G:A rs4466848 G A A EBF1_EBF_1 -9 0 - 0 0 . chr11 130770203 130770204 chr11:130770204:G:A rs376471173 G A A EBF1_EBF_1 -14 0 + 0 0 . chr11 130770206 130770207 chr11:130770207:A:C rs79873080 A C A EBF1_EBF_1 -11 0 + 0 0 . chr11 130770242 130770243 chr11:130770243:T:C rs6590505 T C C EBF1_EBF_1 25 0 + 0 0 . chr11 130771035 130771036 chr11:130771036:G:A rs55668886 G A A EBF1_EBF_1 -4 0 + 0 0 . chr11 130778053 130778054 chr11:130778054:G:C rs11222301 G C G EBF1_EBF_1 8 1 + 7.145057810187104 1.826398949272417 CTTCCCACGGGGCT chr11 130778451 130778452 chr11:130778452:T:C rs7125617 T C C EBF1_EBF_1 20 0 + 0 0 . chr11 130778453 130778454 chr11:130778454:C:A rs7109483 C A C EBF1_EBF_1 22 0 + 0 0 . chr11 130804311 130804312 chr11:130804312:A:G rs7123842 A G G EBF1_EBF_1 20 0 - 0 0 . chr11 130828135 130828136 chr11:130828136:A:G rs78335560 A G A EBF1_EBF_1 21 0 - 0 0 . chr11 130828546 130828547 chr11:130828547:A:G rs948997 A G A EBF1_EBF_1 25 0 + 0 0 . chr11 130828551 130828552 chr11:130828552:C:T rs948998 C T T EBF1_EBF_1 30 0 + 0 0 . chr11 130840093 130840094 chr11:130840094:C:G rs899830118 C G C EBF1_EBF_1 21 0 - 0 0 . chr11 130840823 130840824 chr11:130840824:G:A rs10750447 G A A EBF1_EBF_1 23 0 + 0 0 . chr11 130849064 130849065 chr11:130849065:C:G rs111354394 C G C EBF1_EBF_1 -3 0 - 0 0 . chr11 130849071 130849072 chr11:130849072:G:A rs61467764 G A G EBF1_EBF_1 -10 0 - 0 0 . chr11 130869477 130869478 chr11:130869478:G:C rs2236712 G C G EBF1_EBF_1 33 0 - 0 0 . chr11 130869491 130869492 chr11:130869492:T:C rs11222366 T C T EBF1_EBF_1 19 0 - 0 0 . chr11 130892673 130892674 chr11:130892674:G:A rs10732870 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 130909750 130909751 chr11:130909751:A:G rs4508210 A G A EBF1_EBF_1 -8 0 + 0 0 . chr11 130909772 130909773 chr11:130909773:T:C rs7107568 T C T EBF1_EBF_1 14 0 + 0 0 . chr11 130909778 130909779 chr11:130909779:C:T rs4403816 C T C EBF1_EBF_1 20 0 + 0 0 . chr11 130955083 130955084 chr11:130955084:G:A rs142530325 G A G EBF1_EBF_1 -4 0 + 0 0 . chr11 131064605 131064606 chr11:131064606:G:T rs117065004 G T G EBF1_EBF_1 10 1 + 7.049908555901974 2.8671563696747095 AGCCCCAAGGGTCC chr11 131064619 131064620 chr11:131064620:G:T rs115075395 G T G EBF1_EBF_1 24 0 + 0 0 . chr11 131198505 131198506 chr11:131198506:G:A rs1105722 G A G EBF1_EBF_1 26 0 + 0 0 . chr11 131214973 131214974 chr11:131214974:T:C rs1793785 T C T EBF1_EBF_1 -16 0 + 0 0 . chr11 131217562 131217563 chr11:131217563:C:G rs11222520 C G G EBF1_EBF_1 25 0 + 0 0 . chr11 131224289 131224290 chr11:131224290:C:T rs11222531 C T C EBF1_EBF_1 25 0 - 0 0 . chr11 131248446 131248447 chr11:131248447:C:A rs1946030 C A G EBF1_EBF_1 4 1 + 5.947926333722957 0.12336671026948953 ACCCCCTTGGAACT chr11 131275966 131275967 chr11:131275967:G:C rs1793762 G C G EBF1_EBF_1 16 0 - 0 0 . chr11 131495239 131495240 chr11:131495240:C:A rs11601315 C A C EBF1_EBF_1 -15 0 - 0 0 . chr11 131504457 131504458 chr11:131504458:G:A rs7114805 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 131506238 131506239 chr11:131506239:G:C rs390914 G C C EBF1_EBF_1 21 0 - 0 0 . chr11 131506238 131506239 chr11:131506239:G:T chr11:131506239:G:T G T C EBF1_EBF_1 21 0 - 0 0 . chr11 131580886 131580887 chr11:131580887:G:A rs1514647 G A A EBF1_EBF_1 9 1 - 6.417977885926997 4.656677624183652 CTTCCCCTGCGACT chr11 131581287 131581288 chr11:131581288:T:C rs1010781 T C C EBF1_EBF_1 23 0 - 0 0 . chr11 131581937 131581938 chr11:131581938:A:C rs2458764 A C C EBF1_EBF_1 -19 0 - 0 0 . chr11 131591266 131591267 chr11:131591267:C:G rs11822983 C G C EBF1_EBF_1 9 1 - 11.407649218505076 6.331960072159615 TTTCCCCAGGGACC chr11 131591278 131591279 chr11:131591279:G:A rs11222709 G A G EBF1_EBF_1 -3 0 - 0 0 . chr11 131591397 131591398 chr11:131591398:T:C rs2512874 T C C EBF1_EBF_1 26 0 + 0 0 . chr11 131598200 131598201 chr11:131598201:A:C rs10894417 A C C EBF1_EBF_1 32 0 - 0 0 . chr11 131600140 131600141 chr11:131600141:C:T rs3018603 C T T EBF1_EBF_1 33 0 - 0 0 . chr11 131612987 131612988 chr11:131612988:T:C rs78937344 T C T EBF1_EBF_1 -16 0 + 0 0 . chr11 131615894 131615895 chr11:131615895:C:T rs906166 C T T EBF1_EBF_1 -14 0 + 0 0 . chr11 131660188 131660189 chr11:131660189:G:C rs2472253 G C G EBF1_EBF_1 -3 0 - 0 0 . chr11 131668961 131668962 chr11:131668962:C:T chr11:131668962:C:T C T C EBF1_EBF_1 -8 0 - 0 0 . chr11 131683853 131683854 chr11:131683854:C:T rs77074180 C T c EBF1_EBF_1 3 1 + 5.946337231304301 -0.4590615102490174 TCTCCCCGGGGTCT chr11 131684171 131684172 chr11:131684172:G:A rs118074219 G A G EBF1_EBF_1 28 0 - 0 0 . chr11 131685142 131685143 chr11:131685143:G:A rs2658847 G A G EBF1_EBF_1 -2 0 - 0 0 . chr11 131685152 131685153 chr11:131685153:G:A rs2658848 G A A EBF1_EBF_1 -12 0 - 0 0 . chr11 131748931 131748932 chr11:131748932:C:T rs4937651 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 131757426 131757427 chr11:131757427:A:C rs61901639 A C C EBF1_EBF_1 7 1 - 10.00696945018767 9.170785284986403 AACCCCTTGGGAAA chr11 131796779 131796780 chr11:131796780:C:T rs7949732 C T T EBF1_EBF_1 -13 0 - 0 0 . chr11 131965324 131965325 chr11:131965325:A:G rs10450613 A G A EBF1_EBF_1 15 0 + 0 0 . chr11 131965336 131965337 chr11:131965337:A:G rs7111797 A G G EBF1_EBF_1 27 0 + 0 0 . chr11 131993159 131993160 chr11:131993160:A:G rs78577020 A G G EBF1_EBF_1 14 0 + 0 0 . chr11 132032873 132032874 chr11:132032874:T:C rs12226818 T C T EBF1_EBF_1 22 0 - 0 0 . chr11 132042334 132042335 chr11:132042335:A:G rs11222883 A G A EBF1_EBF_1 13 1 - 6.442812243952324 5.598318129366806 CATCCCTTGGGCAT chr11 132048196 132048197 chr11:132048197:A:G rs140241648 A G A EBF1_EBF_1 11 1 + 10.37690617674235 7.484199333138339 AATCCCTGGGGATC chr11 132072909 132072910 chr11:132072910:T:C rs1790174 T C T EBF1_EBF_1 -4 0 - 0 0 . chr11 132124277 132124278 chr11:132124278:G:C rs192275705 G C G EBF1_EBF_1 9 1 + 5.359086469919965 0.28339732357450076 AGCCCCTGGGGCCC chr11 132133569 132133570 chr11:132133570:C:A rs4937673 C A A EBF1_EBF_1 10 1 - 8.317321353596617 4.134569167369353 GTCCCCCGGGGAAC chr11 132133597 132133598 chr11:132133598:G:A rs4937674 G A A EBF1_EBF_1 -18 0 - 0 0 . chr11 132136893 132136894 chr11:132136894:A:G rs3934806 A G G EBF1_EBF_1 -11 0 + 0 0 . chr11 132136897 132136898 chr11:132136898:A:G rs3934807 A G A EBF1_EBF_1 -7 0 + 0 0 . chr11 132171178 132171179 chr11:132171179:A:G rs4544010 A G A EBF1_EBF_1 7 1 + 5.5337978977186 4.221339492429774 TTTCCCCAGGGCAG chr11 132186466 132186467 chr11:132186467:C:A rs79905194 C A C EBF1_EBF_1 3 1 + 5.084421235753761 -1.8217527937120452 GCTCCCCGAGGAAC chr11 132256672 132256673 chr11:132256673:C:T rs4936163 C T C EBF1_EBF_1 25 0 + 0 0 . chr11 132312942 132312943 chr11:132312943:G:A chr11:132312943:G:A G A G EBF1_EBF_1 -14 0 + 0 0 . chr11 132332818 132332819 chr11:132332819:T:C rs73028641 T C T EBF1_EBF_1 6 1 + 4.5156894464825905 4.214403317620833 CCTCCCTGGAGGCT chr11 132347117 132347118 chr11:132347118:C:T rs1839315 C T c EBF1_EBF_1 6 1 - 5.921251319033427 8.088045750307902 TTTCCCGAGAGATC chr11 132401939 132401940 chr11:132401940:G:T rs11223057 G T G EBF1_EBF_1 21 0 - 0 0 . chr11 132430343 132430344 chr11:132430344:G:A rs180908634 G A G EBF1_EBF_1 -9 0 + 0 0 . chr11 132453473 132453474 chr11:132453474:A:G rs7929377 A G A EBF1_EBF_1 29 0 + 0 0 . chr11 132550062 132550063 chr11:132550063:A:G rs76751620 A G A EBF1_EBF_1 28 0 - 0 0 . chr11 132550336 132550337 chr11:132550337:A:G rs4245107 A G G EBF1_EBF_1 -11 0 + 0 0 . chr11 132576997 132576998 chr11:132576998:T:G rs55969708 T G T EBF1_EBF_1 32 0 - 0 0 . chr11 132588237 132588238 chr11:132588238:T:A rs75035994 T A T EBF1_EBF_1 -15 0 - 0 0 . chr11 132793754 132793755 chr11:132793755:A:G rs4076157 A G G EBF1_EBF_1 -3 0 + 0 0 . chr11 132819931 132819932 chr11:132819932:T:A rs7342151 T A A EBF1_EBF_1 1 1 + 5.138709490233625 4.172348872482794 CTCCCCCAGGGTCC chr11 132821262 132821263 chr11:132821263:C:G rs750432577 C G C EBF1_EBF_1 19 0 + 0 0 . chr11 132840344 132840345 chr11:132840345:G:A rs10160341 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 132917729 132917730 chr11:132917730:C:A rs7926798 C A G EBF1_EBF_1 0 1 - 6.931924136393026 6.851151582991839 GTTCCCCAGGTACA chr11 132961897 132961898 chr11:132961898:A:G rs11223299 A G G EBF1_EBF_1 18 0 - 0 0 . chr11 133047716 133047717 chr11:133047717:A:G rs4482038 A G G EBF1_EBF_1 14 0 + 0 0 . chr11 133051999 133052000 chr11:133052000:T:C rs7108715 T C C EBF1_EBF_1 31 0 + 0 0 . chr11 133081285 133081286 chr11:133081286:G:C rs10791276 G C C EBF1_EBF_1 -9 0 - 0 0 . chr11 133154148 133154149 chr11:133154149:A:G rs4328223 A G G EBF1_EBF_1 -7 0 - 0 0 . chr11 133219564 133219565 chr11:133219565:T:C rs545375 T C T EBF1_EBF_1 2 1 + 5.584898043837386 3.6960746323952356 CATCCCCTGGGTCC chr11 133287473 133287474 chr11:133287474:A:G rs10894666 A G G EBF1_EBF_1 15 0 + 0 0 . chr11 133287487 133287488 chr11:133287488:G:A rs10791289 G A G EBF1_EBF_1 29 0 + 0 0 . chr11 133337416 133337417 chr11:133337417:C:T rs76717242 C T C EBF1_EBF_1 -1 0 - 0 0 . chr11 133468672 133468673 chr11:133468673:G:A rs12421869 G A A EBF1_EBF_1 9 1 + 8.881793493149889 6.226854227702286 GGCCCCAAGGGACA chr11 133472819 133472820 chr11:133472820:A:C rs12288624 A C A EBF1_EBF_1 18 0 - 0 0 . chr11 133477019 133477020 chr11:133477020:T:C rs7112051 T C C EBF1_EBF_1 6 1 - 7.6713373302076295 5.504542898933156 TTTCCCAAGGGTCC chr11 133516648 133516649 chr11:133516649:C:T rs76471104 C T C EBF1_EBF_1 19 0 - 0 0 . chr11 133520506 133520507 chr11:133520507:A:G rs35309700 A G G EBF1_EBF_1 -3 0 + 0 0 . chr11 133530383 133530384 chr11:133530384:C:T rs4554901 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 133532246 133532247 chr11:133532247:C:T rs117900389 C T C EBF1_EBF_1 23 0 + 0 0 . chr11 133545287 133545288 chr11:133545288:A:G rs68107944 A G G EBF1_EBF_1 -10 0 - 0 0 . chr11 133579514 133579515 chr11:133579515:C:G rs184599796 C G C EBF1_EBF_1 32 0 - 0 0 . chr11 133579553 133579554 chr11:133579554:C:T rs561440676 C T C EBF1_EBF_1 -7 0 - 0 0 . chr11 133639160 133639161 chr11:133639161:C:T rs4937805 C T C EBF1_EBF_1 -5 0 - 0 0 . chr11 133650311 133650312 chr11:133650312:T:C rs2279694 T C C EBF1_EBF_1 -5 0 + 0 0 . chr11 133679120 133679121 chr11:133679121:A:G rs1496247 A G A EBF1_EBF_1 -3 0 - 0 0 . chr11 133712550 133712551 chr11:133712551:T:A rs76393391 T A T EBF1_EBF_1 -20 0 - 0 0 . chr11 133718333 133718334 chr11:133718334:C:T rs56833523 C T C EBF1_EBF_1 12 1 + 4.627267127029708 3.6010005564788585 CCTCCCTAGGGCCG chr11 133720000 133720001 chr11:133720001:C:T rs61911584 C T C EBF1_EBF_1 15 0 + 0 0 . chr11 133782628 133782629 chr11:133782629:C:T rs34502061 C T C EBF1_EBF_1 18 0 + 0 0 . chr11 133794944 133794945 chr11:133794945:C:A rs144011855 C A C EBF1_EBF_1 -1 0 - 0 0 . chr11 133797682 133797683 chr11:133797683:G:A rs536326220 G A G EBF1_EBF_1 10 1 + 5.260642676861303 -0.05738578240566339 CTTCCCAAGAGCCT chr11 133831108 133831109 chr11:133831109:G:A rs73042860 G A G EBF1_EBF_1 18 0 - 0 0 . chr11 133834671 133834672 chr11:133834672:C:T rs2723615 C T c EBF1_EBF_1 -3 0 + 0 0 . chr11 133889346 133889347 chr11:133889347:A:G rs6590732 A G a EBF1_EBF_1 22 0 - 0 0 . chr11 133893580 133893581 chr11:133893581:G:A rs10894759 G A G EBF1_EBF_1 -8 0 + 0 0 . chr11 133893581 133893582 chr11:133893582:C:T rs79883545 C T C EBF1_EBF_1 -7 0 + 0 0 . chr11 133894595 133894596 chr11:133894596:T:C rs75520597 T C T EBF1_EBF_1 14 0 - 0 0 . chr11 133917653 133917654 chr11:133917654:T:C rs3741104 T C C EBF1_EBF_1 7 1 + 7.289752597612399 5.472247851329775 AGCCCCATAGGACT chr11 133927537 133927538 chr11:133927538:C:T rs7931606 C T C EBF1_EBF_1 29 0 + 0 0 . chr11 133927814 133927815 chr11:133927815:C:G rs12421671 C G C EBF1_EBF_1 -5 0 - 0 0 . chr11 133981295 133981296 chr11:133981296:C:G rs77156929 C G C EBF1_EBF_1 28 0 + 0 0 . chr11 134020752 134020753 chr11:134020753:C:T rs138762412 C T c EBF1_EBF_1 7 1 + 4.281948541828022 6.099453288110645 CCACCCCCGGGAAC chr11 134037664 134037665 chr11:134037665:C:G rs114075823 C G C EBF1_EBF_1 17 0 + 0 0 . chr11 134039571 134039572 chr11:134039572:C:G rs564446770 C G C EBF1_EBF_1 3 1 + 6.626104378026205 0.798339929910989 ACTCCCTTAGGAAG chr11 134069615 134069616 chr11:134069616:G:T rs539829793 G T G EBF1_EBF_1 3 1 - 3.745016703132637 -3.1611573263331705 CCACCCCGGGGACG chr11 134232165 134232166 chr11:134232166:C:T rs34536650 C T C EBF1_EBF_1 18 0 - 0 0 . chr11 134253438 134253439 chr11:134253439:T:C chr11:134253439:T:C T C T EBF1_EBF_1 7 1 - 8.092065254126114 6.779606848837289 GCTCCCAAGGGGAC chr11 134253441 134253442 chr11:134253442:G:T rs114085882 G T G EBF1_EBF_1 4 1 - 8.092065254126114 2.267505630672646 GCTCCCAAGGGGAC chr11 134253506 134253507 chr11:134253507:G:C rs561907281 G C G EBF1_EBF_1 19 0 + 0 0 . chr11 134261266 134261267 chr11:134261267:C:G rs201188279 C G C EBF1_EBF_1 27 0 - 0 0 . chr11 134261968 134261969 chr11:134261969:A:C rs75901277 A C C EBF1_EBF_1 -18 0 - 0 0 . chr11 134273054 134273055 chr11:134273055:G:A rs529419 G A G EBF1_EBF_1 9 1 + 9.77203477062068 7.117095505173077 ACTCCCAGGGGAGA chr11 134273057 134273058 chr11:134273058:G:A rs75451591 G A A EBF1_EBF_1 12 1 + 9.77203477062068 11.190852112720156 ACTCCCAGGGGAGA chr11 134276228 134276229 chr11:134276229:A:G rs113887517 A G A EBF1_EBF_1 16 0 - 0 0 . chr11 134277476 134277477 chr11:134277477:G:A rs67473835 G A G EBF1_EBF_1 4 1 - 7.71567612546949 3.3462891805245665 CCTCCCCAGGGATG chr11 134290159 134290160 chr11:134290160:C:T rs521321 C T C EBF1_EBF_1 -17 0 - 0 0 . chr11 134290944 134290945 chr11:134290945:A:G rs542707 A G G EBF1_EBF_1 11 1 + 8.586031791215925 5.693324947611914 TTTCCCTGGAGACT chr11 134332247 134332248 chr11:134332248:G:T rs181805821 G T G EBF1_EBF_1 6 1 - 6.973026158383495 7.334628526184772 CTCCCCCCGGGAAC chr11 134342189 134342190 chr11:134342190:T:C rs71489003 T C T EBF1_EBF_1 21 0 - 0 0 . chr11 134346192 134346193 chr11:134346193:C:T rs77403965 C T C EBF1_EBF_1 -5 0 - 0 0 . chr11 134360292 134360293 chr11:134360293:C:T rs145159846 C T C EBF1_EBF_1 22 0 - 0 0 . chr11 134361928 134361929 chr11:134361929:T:A rs7932366 T A T EBF1_EBF_1 12 1 - 5.385707364615518 4.3292593956433425 GCTCCCTGAGGAAC chr11 134362504 134362505 chr11:134362505:C:T rs79250858 C T C EBF1_EBF_1 6 1 + 6.528213975069106 6.829500103930864 TTCCCCCTGGGATG chr11 134370247 134370248 chr11:134370248:T:C rs10791358 T C T EBF1_EBF_1 22 0 - 0 0 . chr11 134370629 134370630 chr11:134370630:T:C rs3741099 T C T EBF1_EBF_1 33 0 - 0 0 . chr11 134378000 134378001 chr11:134378001:C:T rs11223775 C T T EBF1_EBF_1 14 0 - 0 0 . chr11 134459168 134459169 chr11:134459169:A:G rs4937892 A G G EBF1_EBF_1 -3 0 - 0 0 . chr11 134460593 134460594 chr11:134460594:C:T rs7115253 C T C EBF1_EBF_1 7 1 + 5.085343226507769 6.902847972790393 TGACCCACGGGACC chr11 134463763 134463764 chr11:134463764:C:T rs73034383 C T C EBF1_EBF_1 23 0 + 0 0 . chr11 134475533 134475534 chr11:134475534:A:G rs4245134 A G G EBF1_EBF_1 -20 0 + 0 0 . chr11 134478755 134478756 chr11:134478756:C:T rs11824640 C T c EBF1_EBF_1 6 1 + 6.8770325122546305 7.178318641116388 CCTCCCCTGGGAGG chr11 134483080 134483081 chr11:134483081:A:G rs10894832 A G G EBF1_EBF_1 -9 0 + 0 0 . chr11 134486415 134486416 chr11:134486416:T:C rs11223861 T C C EBF1_EBF_1 -9 0 + 0 0 . chr11 134486451 134486452 chr11:134486452:C:T rs12795689 C T C EBF1_EBF_1 27 0 + 0 0 . chr11 134487128 134487129 chr11:134487129:C:T rs12418713 C T C EBF1_EBF_1 -3 0 - 0 0 . chr11 134487183 134487184 chr11:134487184:C:A rs77116101 C A - EBF1_EBF_1 -6 0 - 0 0 . chr11 134487255 134487256 chr11:134487256:C:G rs12418724 C G - EBF1_EBF_1 23 0 - 0 0 . chr11 134510085 134510086 chr11:134510086:C:T rs117129241 C T C EBF1_EBF_1 15 0 - 0 0 . chr11 134528740 134528741 chr11:134528741:T:C rs79536217 T C T EBF1_EBF_1 20 0 + 0 0 . chr11 134529699 134529700 chr11:134529700:G:A rs4936236 G A A EBF1_EBF_1 -3 0 + 0 0 . chr11 134531510 134531511 chr11:134531511:C:T rs183512965 C T C EBF1_EBF_1 12 1 + 5.159557081269022 4.133290510718172 TGTCCCAAGGGCCG chr11 134541886 134541887 chr11:134541887:T:C rs3922657 T C C EBF1_EBF_1 0 1 - 7.897873659659329 6.005589790094496 ATTCCCTGGGGTCA chr11 134543827 134543828 chr11:134543828:C:G rs7938789 C G C EBF1_EBF_1 0 1 - 4.930670350169626 4.56769573603786 GCTCCCATGAGGCC chr11 134561062 134561063 chr11:134561063:G:A rs942730806 G A G EBF1_EBF_1 4 1 - 4.2676218923679965 -0.10176505257692652 AGTCCACTGGGATC chr11 134578439 134578440 chr11:134578440:C:T rs4633486 C T C EBF1_EBF_1 33 0 - 0 0 . chr11 134585743 134585744 chr11:134585744:G:A rs905504070 G A G EBF1_EBF_1 27 0 + 0 0 . chr11 134612861 134612862 chr11:134612862:G:A rs7113634 G A G EBF1_EBF_1 15 0 - 0 0 . chr11 134614065 134614066 chr11:134614066:G:A rs7118231 G A G EBF1_EBF_1 29 0 + 0 0 . chr11 134623432 134623433 chr11:134623433:A:G rs2156705 A G A EBF1_EBF_1 20 0 - 0 0 . chr11 134639708 134639709 chr11:134639709:T:C rs3019653 T C C EBF1_EBF_1 13 1 - 5.192776049807467 4.078450477738028 CTCCCCGTGGGAGA chr11 134640088 134640089 chr11:134640089:T:G chr11:134640089:T:G T G T EBF1_EBF_1 17 0 - 0 0 . chr11 134644630 134644631 chr11:134644631:G:A rs995451284 G A G EBF1_EBF_1 13 1 - 5.017660018887211 5.862154133472729 AGTCCCCGCGGATC chr11 134644662 134644663 chr11:134644663:C:G rs183057712 C G C EBF1_EBF_1 -19 0 - 0 0 . chr11 134648973 134648974 chr11:134648974:C:T rs12806052 C T C EBF1_EBF_1 6 1 + 4.94700105891694 5.248287187778698 AGACCCCAGAGAGC chr11 134663879 134663880 chr11:134663880:C:T rs76089077 C T C EBF1_EBF_1 4 1 + 8.160280993746268 3.7908940488013476 CTTCCCTAGGGGCA chr11 134663903 134663904 chr11:134663904:C:T rs145025718 C T C EBF1_EBF_1 28 0 + 0 0 . chr11 134668110 134668111 chr11:134668111:T:C rs11223932 T C t EBF1_EBF_1 -14 0 - 0 0 . chr11 134670016 134670017 chr11:134670017:C:T rs7951841 C T C EBF1_EBF_1 0 1 - 5.389344989496812 7.2816288590616445 GTCCCCTGGAGAGT chr11 134676576 134676577 chr11:134676577:G:A rs942205137 G A G EBF1_EBF_1 -5 0 - 0 0 . chr11 134679023 134679024 chr11:134679024:C:T rs3016429 C T C EBF1_EBF_1 31 0 - 0 0 . chr11 134684797 134684798 chr11:134684798:G:C rs3017998 G C C EBF1_EBF_1 -2 0 + 0 0 . chr11 134700999 134701000 chr11:134701000:A:G rs56076806 A G G EBF1_EBF_1 2 1 - 4.186217343623715 2.2973939321815635 CCTTCCAAGGGACC chr11 134701802 134701803 chr11:134701803:T:C rs76149265 T C t EBF1_EBF_1 2 1 + 6.551258661588218 4.662435250146068 CTTCCCCTGGGTCC chr11 134704150 134704151 chr11:134704151:G:A rs71489020 G A G EBF1_EBF_1 -8 0 + 0 0 . chr11 134719982 134719983 chr11:134719983:C:T rs7119532 C T C EBF1_EBF_1 6 1 - 6.301180284172668 8.467974715447143 TACCCCGTGGGAAC chr11 134728070 134728071 chr11:134728071:A:G rs117188356 A G A EBF1_EBF_1 1 1 - 4.390962561299868 3.4955291209011214 CTCCTCCTGGGACC chr11 134736499 134736500 chr11:134736500:A:C rs4937942 A C C EBF1_EBF_1 -18 0 - 0 0 . chr11 134737169 134737170 chr11:134737170:A:G rs28694380 A G A EBF1_EBF_1 -17 0 - 0 0 . chr11 134737175 134737176 chr11:134737176:C:T rs11603828 C T C EBF1_EBF_1 22 0 - 0 0 . chr11 134737589 134737590 chr11:134737590:G:A rs4937944 G A G EBF1_EBF_1 13 1 - 5.597951843889753 6.442445958475271 CCTCCCAGGAGATC chr11 134758557 134758558 chr11:134758558:T:C rs1944889 T C C EBF1_EBF_1 0 1 - 11.534416163584805 9.642132294019973 ACTCCCAGGGGACC chr11 134843512 134843513 chr11:134843513:A:G rs2661984 A G G EBF1_EBF_1 22 0 + 0 0 . chr11 134851141 134851142 chr11:134851142:T:C rs12793067 T C c EBF1_EBF_1 -14 0 - 0 0 . chr11 134869254 134869255 chr11:134869255:T:G rs7932186 T G T EBF1_EBF_1 29 0 + 0 0 . chr11 134894080 134894081 chr11:134894081:C:T rs1824830 C T c EBF1_EBF_1 25 0 + 0 0 . chr11 134894098 134894099 chr11:134894099:C:G rs4495910 C G C EBF1_EBF_1 -16 0 + 0 0 . chr11 134912731 134912732 chr11:134912732:C:A rs77770577 C A C EBF1_EBF_1 26 0 + 0 0 . chr11 134912738 134912739 chr11:134912739:G:A rs2127074 G A G EBF1_EBF_1 33 0 + 0 0 . chr11 134915329 134915330 chr11:134915330:C:T rs79834540 C T C EBF1_EBF_1 -19 0 + 0 0 . chr11 134922864 134922865 chr11:134922865:C:T rs7950628 C T - EBF1_EBF_1 21 0 - 0 0 . chr11 134955568 134955569 chr11:134955569:G:A rs11224110 G A G EBF1_EBF_1 -18 0 + 0 0 . chr11 134955603 134955604 chr11:134955604:C:T rs11224111 C T C EBF1_EBF_1 17 0 + 0 0 . chr11 135000255 135000256 chr11:135000256:G:C rs12222123 G C . EBF1_EBF_1 5 1 - 7.3755928835245745 0.47071173088940643 GTTCCCCAGAGAAG chr11 135004853 135004854 chr11:135004854:T:A rs11224165 T A t EBF1_EBF_1 -17 0 - 0 0 . chr11 135004856 135004857 chr11:135004857:C:T rs1463550 C T c EBF1_EBF_1 -20 0 - 0 0 . chr11 135005736 135005737 chr11:135005737:A:T rs57609270 A T a EBF1_EBF_1 -14 0 - 0 0 . chr11 135008495 135008496 chr11:135008496:C:T rs58732879 C T c EBF1_EBF_1 8 1 - 6.949907707764295 2.723617618878033 AACCCCAGGAGAAC chr11 135046600 135046601 chr11:135046601:T:C rs1463548 T C - EBF1_EBF_1 -15 0 + 0 0 . chr11 135046649 135046650 chr11:135046650:A:G rs1463547 A G - EBF1_EBF_1 15 0 + 0 0 . chr11 135049405 135049406 chr11:135049406:A:T rs10894952 A T - EBF1_EBF_1 30 0 + 0 0 . chr11 135076413 135076414 chr11:135076414:G:T rs1030884172 G T . EBF1_EBF_1 -4 0 + 0 0 . chr11 135076423 135076424 chr11:135076424:G:A rs142123614 G A . EBF1_EBF_1 6 1 + 5.499180349994696 7.6659747812691705 AGACCCGGGGGACA chr11 135076440 135076441 chr11:135076441:C:A rs151162666 C A . EBF1_EBF_1 23 0 + 0 0 . chr12 11031 11032 chr12:11032:C:T rs1383569378 C T . EBF1_EBF_1 -4 0 - 0 0 . chr12 11034 11035 chr12:11035:G:T rs568391955 G T . EBF1_EBF_1 -7 0 - 0 0 . chr12 12929 12930 chr12:12930:G:A rs372668853 G A . EBF1_EBF_1 -1 0 - 0 0 . chr12 14786 14787 chr12:14787:G:C rs374287517 G C . EBF1_EBF_1 -18 0 + 0 0 . chr12 20169 20170 chr12:20170:G:T rs141636439 G T . EBF1_EBF_1 1 1 + 6.934480671015658 7.640142456845187 AGTCCCAGGGGCCA chr12 22098 22099 chr12:22099:A:G rs555826449 A G . EBF1_EBF_1 23 0 - 0 0 . chr12 22123 22124 chr12:22124:C:A rs567011939 C A . EBF1_EBF_1 -2 0 - 0 0 . chr12 43617 43618 chr12:43618:T:G rs113739812 T G . EBF1_EBF_1 14 0 + 0 0 . chr12 56714 56715 chr12:56715:A:T rs561492736 A T . EBF1_EBF_1 0 1 + 9.328330821265332 7.355274398299312 AGCCCCCAGGGAAG chr12 57104 57105 chr12:57105:C:T rs6416305 C T . EBF1_EBF_1 -12 0 + 0 0 . chr12 101475 101476 chr12:101476:G:T rs55790173 G T . EBF1_EBF_1 -20 0 - 0 0 . chr12 114833 114834 chr12:114834:C:A rs55718013 C A . EBF1_EBF_1 -17 0 - 0 0 . chr12 115496 115497 chr12:115497:T:G rs55786139 T G . EBF1_EBF_1 28 0 - 0 0 . chr12 116488 116489 chr12:116489:G:A rs11064561 G A . EBF1_EBF_1 3 1 - 4.945142987072376 -1.4602557544809411 GTTCCAAAGGGACC chr12 167169 167170 chr12:167170:G:C rs11061708 G C G EBF1_EBF_1 -11 0 + 0 0 . chr12 167170 167171 chr12:167171:G:A rs74708103 G A G EBF1_EBF_1 -10 0 + 0 0 . chr12 173644 173645 chr12:173645:C:T rs76593881 C T C EBF1_EBF_1 27 0 - 0 0 . chr12 188242 188243 chr12:188243:C:T rs61907087 C T C EBF1_EBF_1 27 0 - 0 0 . chr12 189255 189256 chr12:189256:A:G rs509877 A G G EBF1_EBF_1 -20 0 - 0 0 . chr12 189876 189877 chr12:189877:G:A rs515932 G A G EBF1_EBF_1 4 1 - 5.074842459155087 0.7054555142101636 TTCCCCCACGGAAT chr12 199421 199422 chr12:199422:T:C rs148290760 T C T EBF1_EBF_1 -3 0 - 0 0 . chr12 213555 213556 chr12:213556:G:C rs67273841 G C G EBF1_EBF_1 -8 0 - 0 0 . chr12 213559 213560 chr12:213560:T:C rs7312189 T C T EBF1_EBF_1 -12 0 - 0 0 . chr12 225175 225176 chr12:225176:C:T rs11835796 C T . EBF1_EBF_1 5 1 + 4.972984634556508 -0.34880258098416217 CCACCCATGGGAAG chr12 229626 229627 chr12:229627:C:T rs138445801 C T C EBF1_EBF_1 -15 0 - 0 0 . chr12 231171 231172 chr12:231172:C:A rs543673598 C A C EBF1_EBF_1 32 0 - 0 0 . chr12 236783 236784 chr12:236784:T:C rs7960324 T C T EBF1_EBF_1 7 1 + 6.698968700321716 4.881463954039094 AGTCCCCTGGAATT chr12 253507 253508 chr12:253508:C:T rs55657100 C T C EBF1_EBF_1 4 1 + 6.001501764200427 1.6321148192555035 GCTGCCAAGGGAAT chr12 308466 308467 chr12:308467:A:G rs7315852 A G a EBF1_EBF_1 -12 0 + 0 0 . chr12 352431 352432 chr12:352432:C:A rs715230 C A C EBF1_EBF_1 21 0 + 0 0 . chr12 389118 389119 chr12:389119:C:T rs201074442 C T c EBF1_EBF_1 10 1 - 4.724123743311339 -0.5939047159556271 TTCCCCACGGGGAC chr12 459854 459855 chr12:459855:A:G rs565281537 A G A EBF1_EBF_1 18 0 + 0 0 . chr12 460778 460779 chr12:460779:G:A rs12825673 G A G EBF1_EBF_1 13 1 - 5.299670244422545 6.144164359008063 AGCCCCCAGGGTGC chr12 460781 460782 chr12:460782:C:T rs12424113 C T T EBF1_EBF_1 10 1 - 5.299670244422545 -0.018358214844419837 AGCCCCCAGGGTGC chr12 460807 460808 chr12:460808:C:G rs12424116 C G C EBF1_EBF_1 -16 0 - 0 0 . chr12 561226 561227 chr12:561227:T:C rs900237978 T C T EBF1_EBF_1 28 0 - 0 0 . chr12 561247 561248 chr12:561248:G:A rs75501440 G A G EBF1_EBF_1 7 1 - 4.037994834873459 5.855499581156082 CTCCCCACGGGGCA chr12 561504 561505 chr12:561505:C:T rs2535408 C T C EBF1_EBF_1 4 1 + 6.1770266336551325 1.8076396887102075 GCTCCCCAGGGCCC chr12 561988 561989 chr12:561989:G:A rs148672221 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 572387 572388 chr12:572388:G:A rs2535415 G A G EBF1_EBF_1 -20 0 + 0 0 . chr12 572548 572549 chr12:572549:T:C rs112790038 T C C EBF1_EBF_1 23 0 - 0 0 . chr12 572563 572564 chr12:572564:C:G rs2535416 C G G EBF1_EBF_1 8 1 - 5.524735630493812 0.2060767695791269 CTTCCCAAGTGAAT chr12 572588 572589 chr12:572589:A:C rs2607939 A C C EBF1_EBF_1 -17 0 - 0 0 . chr12 575929 575930 chr12:575930:G:C rs113302342 G C G EBF1_EBF_1 -20 0 - 0 0 . chr12 578526 578527 chr12:578527:A:G rs11063687 A G A EBF1_EBF_1 32 0 - 0 0 . chr12 586883 586884 chr12:586884:C:T rs4980945 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 587492 587493 chr12:587493:C:T rs10849287 C T c EBF1_EBF_1 -9 0 + 0 0 . chr12 587507 587508 chr12:587508:A:G rs10849288 A G A EBF1_EBF_1 6 1 + 6.453568432411131 4.286774001136656 AGCCCCAGAGGACT chr12 591697 591698 chr12:591698:G:A rs952126143 G A T EBF1_EBF_1 -6 0 + 0 0 . chr12 605409 605410 chr12:605410:T:G rs11063838 T G T EBF1_EBF_1 7 1 - 6.420928796680802 4.127149810310621 AGCCCCAAGGTAGT chr12 627692 627693 chr12:627693:G:A rs56285241 G A G EBF1_EBF_1 4 1 + 6.009487410549277 5.810692888245354 ACTCGCCTGGGACA chr12 630165 630166 chr12:630166:A:T rs73598112 A T A EBF1_EBF_1 6 1 + 5.913030504127776 5.852714265188257 TTCCCCAGGAGACC chr12 631891 631892 chr12:631892:C:T rs187121847 C T C EBF1_EBF_1 17 0 - 0 0 . chr12 631895 631896 chr12:631896:G:A rs79052876 G A G EBF1_EBF_1 13 1 - 5.472580540496767 6.317074655082285 AGCCCCTAAGGAGC chr12 643106 643107 chr12:643107:G:A rs558243295 G A G EBF1_EBF_1 -3 0 + 0 0 . chr12 647184 647185 chr12:647185:G:A rs11612453 G A G EBF1_EBF_1 7 1 - 6.209020307046187 8.026525053328808 TTTCCCACGGGGCA chr12 647734 647735 chr12:647735:G:A rs7136756 G A G EBF1_EBF_1 4 1 - 5.997986098729583 1.6285991537846591 AGTCCCCTGGTGAT chr12 674640 674641 chr12:674641:G:A rs117176744 G A G EBF1_EBF_1 17 0 + 0 0 . chr12 753972 753973 chr12:753973:G:A chr12:753973:G:A G A G EBF1_EBF_1 -15 0 + 0 0 . chr12 753985 753986 chr12:753986:G:A rs11554421 G A G EBF1_EBF_1 -2 0 + 0 0 . chr12 754010 754011 chr12:754011:C:T rs34880640 C T C EBF1_EBF_1 23 0 + 0 0 . chr12 879856 879857 chr12:879857:G:C rs200965163 G C G EBF1_EBF_1 7 1 - 6.376192496951193 7.357513078032549 ATACCCCCGGGATC chr12 904787 904788 chr12:904788:A:G rs66559822 A G A EBF1_EBF_1 -20 0 - 0 0 . chr12 906006 906007 chr12:906007:G:A rs2286026 G A G EBF1_EBF_1 9 1 + 5.761104782547191 3.106165517099587 ATTCCCCAGGTGGT chr12 950262 950263 chr12:950263:C:T rs11571377 C T C EBF1_EBF_1 30 0 - 0 0 . chr12 991470 991471 chr12:991471:G:C rs10774481 G C G EBF1_EBF_1 3 1 - 4.536777447127504 -1.2909870009877142 CCTCCCCGGGGGCG chr12 1100871 1100872 chr12:1100872:G:C rs1044704860 G C G EBF1_EBF_1 -3 0 - 0 0 . chr12 1161801 1161802 chr12:1161802:G:A rs10848449 G A G EBF1_EBF_1 -9 0 - 0 0 . chr12 1169308 1169309 chr12:1169309:A:G rs2906103 A G G EBF1_EBF_1 19 0 + 0 0 . chr12 1279298 1279299 chr12:1279299:A:C rs73029107 A C A EBF1_EBF_1 20 0 + 0 0 . chr12 1307046 1307047 chr12:1307047:G:A rs73032705 G A G EBF1_EBF_1 -12 0 - 0 0 . chr12 1368093 1368094 chr12:1368094:A:G rs76879893 A G A EBF1_EBF_1 -3 0 + 0 0 . chr12 1479830 1479831 chr12:1479831:G:T rs11061775 G T G EBF1_EBF_1 -7 0 + 0 0 . chr12 1481591 1481592 chr12:1481592:C:T rs886540 C T C EBF1_EBF_1 -8 0 + 0 0 . chr12 1486675 1486676 chr12:1486676:C:T rs58064734 C T C EBF1_EBF_1 -10 0 - 0 0 . chr12 1486685 1486686 chr12:1486686:A:G rs7979424 A G A EBF1_EBF_1 -20 0 - 0 0 . chr12 1496644 1496645 chr12:1496645:G:T rs115805278 G T G EBF1_EBF_1 17 0 - 0 0 . chr12 1501797 1501798 chr12:1501798:C:T rs10848501 C T C EBF1_EBF_1 19 0 + 0 0 . chr12 1502404 1502405 chr12:1502405:G:A rs11061787 G A G EBF1_EBF_1 -12 0 + 0 0 . chr12 1510273 1510274 chr12:1510274:A:G rs10773950 A G A EBF1_EBF_1 -20 0 + 0 0 . chr12 1510306 1510307 chr12:1510307:G:A rs74680448 G A G EBF1_EBF_1 13 1 + 6.016076253220651 7.13040182529009 CATCCCCAGAGACG chr12 1517274 1517275 chr12:1517275:C:T rs73044907 C T C EBF1_EBF_1 19 0 - 0 0 . chr12 1524325 1524326 chr12:1524326:T:G rs7954464 T G T EBF1_EBF_1 27 0 + 0 0 . chr12 1527959 1527960 chr12:1527960:T:A rs61912200 T A T EBF1_EBF_1 -12 0 - 0 0 . chr12 1527962 1527963 chr12:1527963:C:G rs7959604 C G C EBF1_EBF_1 -15 0 - 0 0 . chr12 1527963 1527964 chr12:1527964:T:G rs7977125 T G T EBF1_EBF_1 -16 0 - 0 0 . chr12 1531760 1531761 chr12:1531761:C:T rs882580 C T C EBF1_EBF_1 19 0 + 0 0 . chr12 1534120 1534121 chr12:1534121:T:C rs11837047 T C C EBF1_EBF_1 19 0 - 0 0 . chr12 1546819 1546820 chr12:1546820:C:T rs11061840 C T C EBF1_EBF_1 9 1 - 10.328241983389573 7.67330271794197 TGTCCCCAGGGACA chr12 1546830 1546831 chr12:1546831:A:G rs11061841 A G A EBF1_EBF_1 -2 0 - 0 0 . chr12 1575487 1575488 chr12:1575488:T:C rs7976490 T C C EBF1_EBF_1 18 0 - 0 0 . chr12 1576652 1576653 chr12:1576653:C:T rs535670407 C T C EBF1_EBF_1 32 0 + 0 0 . chr12 1583443 1583444 chr12:1583444:G:A rs1009668995 G A g EBF1_EBF_1 26 0 - 0 0 . chr12 1591767 1591768 chr12:1591768:G:A rs3741975 G A G EBF1_EBF_1 28 0 + 0 0 . chr12 1605702 1605703 chr12:1605703:C:G rs886846 C G c EBF1_EBF_1 -4 0 - 0 0 . chr12 1605708 1605709 chr12:1605709:T:C rs886847 T C t EBF1_EBF_1 -10 0 - 0 0 . chr12 1606303 1606304 chr12:1606304:A:T rs73607819 A T A EBF1_EBF_1 28 0 - 0 0 . chr12 1619421 1619422 chr12:1619422:A:G rs1029628 A G A EBF1_EBF_1 -2 0 - 0 0 . chr12 1625490 1625491 chr12:1625491:C:T rs77619671 C T C EBF1_EBF_1 29 0 - 0 0 . chr12 1629481 1629482 chr12:1629482:G:A rs74061307 G A A EBF1_EBF_1 25 0 + 0 0 . chr12 1629785 1629786 chr12:1629786:G:A rs570677502 G A G EBF1_EBF_1 5 1 - 4.859554092684804 -0.46223312285586715 CGTCCCCCGGGGCA chr12 1629804 1629805 chr12:1629805:G:C rs375286028 G C G EBF1_EBF_1 -14 0 - 0 0 . chr12 1630245 1630246 chr12:1630246:C:T rs61914788 C T C EBF1_EBF_1 -1 0 - 0 0 . chr12 1639599 1639600 chr12:1639600:G:A rs6489313 G A A EBF1_EBF_1 -20 0 - 0 0 . chr12 1644963 1644964 chr12:1644964:C:T rs735892 C T T EBF1_EBF_1 0 1 - 6.766795415875445 8.659079285440278 GCCCCCTTGGGAGA chr12 1644971 1644972 chr12:1644972:G:A rs371911692 G A G EBF1_EBF_1 -8 0 - 0 0 . chr12 1657202 1657203 chr12:1657203:A:G rs76042478 A G A EBF1_EBF_1 0 1 + 10.626831126623077 8.734547257058246 AGTCCCCAGGGGCT chr12 1660366 1660367 chr12:1660367:T:G rs117723462 T G T EBF1_EBF_1 -17 0 + 0 0 . chr12 1663111 1663112 chr12:1663112:A:G chr12:1663112:A:G A G a EBF1_EBF_1 31 0 - 0 0 . chr12 1798331 1798332 chr12:1798332:T:G rs143588161 T G T EBF1_EBF_1 12 1 + 7.493924554797763 7.131555181670461 GGCCCCCAGGGATA chr12 1799465 1799466 chr12:1799466:T:C rs118166561 T C T EBF1_EBF_1 0 1 + 6.825009358811139 6.542807298080561 TTTCCCTGGGGCCT chr12 1828619 1828620 chr12:1828620:G:C rs886944 G C G EBF1_EBF_1 5 1 - 6.198013244815873 -0.7068679078192953 TTCCCCACGGGAGC chr12 1828635 1828636 chr12:1828636:G:C rs117625848 G C G EBF1_EBF_1 -11 0 - 0 0 . chr12 1828965 1828966 chr12:1828966:G:A rs571768381 G A G EBF1_EBF_1 5 1 - 6.320814322101593 0.9990271065609231 CGTCCCGCGGGACC chr12 1828977 1828978 chr12:1828978:C:T rs11061993 C T C EBF1_EBF_1 -7 0 - 0 0 . chr12 1829144 1829145 chr12:1829145:G:A rs150648238 G A G EBF1_EBF_1 21 0 - 0 0 . chr12 1829146 1829147 chr12:1829147:C:T chr12:1829147:C:T C T C EBF1_EBF_1 19 0 - 0 0 . chr12 1846454 1846455 chr12:1846455:T:C rs117757079 T C T EBF1_EBF_1 -13 0 + 0 0 . chr12 1846497 1846498 chr12:1846498:G:T rs7137553 G T C EBF1_EBF_1 30 0 + 0 0 . chr12 1847144 1847145 chr12:1847145:A:G rs7135189 A G C EBF1_EBF_1 -18 0 + 0 0 . chr12 1853825 1853826 chr12:1853826:A:G rs2041141 A G G EBF1_EBF_1 -9 0 - 0 0 . chr12 1858509 1858510 chr12:1858510:G:A rs2286371 G A G EBF1_EBF_1 -6 0 + 0 0 . chr12 1879000 1879001 chr12:1879001:T:C rs7966806 T C T EBF1_EBF_1 -10 0 + 0 0 . chr12 1879584 1879585 chr12:1879585:T:G rs77874864 T G G EBF1_EBF_1 16 0 - 0 0 . chr12 1881060 1881061 chr12:1881061:G:T rs78556987 G T G EBF1_EBF_1 -19 0 - 0 0 . chr12 1882804 1882805 chr12:1882805:A:G rs55779143 A G G EBF1_EBF_1 0 1 + 7.376185668546562 5.483901798981728 ACTCCCTGGGGTCC chr12 1884170 1884171 chr12:1884171:T:C rs2429184 T C C EBF1_EBF_1 -16 0 - 0 0 . chr12 1885875 1885876 chr12:1885876:A:G rs10774003 A G G EBF1_EBF_1 -9 0 - 0 0 . chr12 1886236 1886237 chr12:1886237:T:C rs10735005 T C C EBF1_EBF_1 -10 0 + 0 0 . chr12 1916037 1916038 chr12:1916038:A:G rs758158 A G G EBF1_EBF_1 -15 0 - 0 0 . chr12 1924729 1924730 chr12:1924730:T:G rs552990528 T G T EBF1_EBF_1 25 0 - 0 0 . chr12 1929474 1929475 chr12:1929475:G:A rs111710435 G A G EBF1_EBF_1 33 0 - 0 0 . chr12 1934912 1934913 chr12:1934913:C:T rs145164287 C T C EBF1_EBF_1 -16 0 - 0 0 . chr12 2044467 2044468 chr12:2044468:G:A rs73601580 G A G EBF1_EBF_1 -13 0 + 0 0 . chr12 2052650 2052651 chr12:2052651:G:C rs71435030 G C C EBF1_EBF_1 -14 0 + 0 0 . chr12 2110935 2110936 chr12:2110936:T:C rs758724 T C T EBF1_EBF_1 15 0 + 0 0 . chr12 2111565 2111566 chr12:2111566:A:G rs874925 A G A EBF1_EBF_1 7 1 - 5.610729190485178 3.793224444202555 CCTCCCCTGAGACG chr12 2126875 2126876 chr12:2126876:G:A rs1122864 G A A EBF1_EBF_1 32 0 - 0 0 . chr12 2149782 2149783 chr12:2149783:G:C rs2238039 G C G EBF1_EBF_1 5 1 - 4.049203870477899 -2.855677282157269 TCCCCCCTGGGTCC chr12 2170620 2170621 chr12:2170621:T:C rs183223417 T C T EBF1_EBF_1 32 0 - 0 0 . chr12 2172887 2172888 chr12:2172888:G:C rs73050459 G C G EBF1_EBF_1 15 0 - 0 0 . chr12 2189881 2189882 chr12:2189882:C:T rs2283288 C T C EBF1_EBF_1 19 0 + 0 0 . chr12 2220405 2220406 chr12:2220406:T:C rs72653432 T C T EBF1_EBF_1 -3 0 + 0 0 . chr12 2239914 2239915 chr12:2239915:A:C rs2239035 A C A EBF1_EBF_1 -5 0 - 0 0 . chr12 2244393 2244394 chr12:2244394:G:C rs182576471 G C G EBF1_EBF_1 13 1 - 6.724168957662439 5.236097936307024 CTTCCCTTAGGACC chr12 2296431 2296432 chr12:2296432:A:G rs11615601 A G A EBF1_EBF_1 -14 0 + 0 0 . chr12 2329846 2329847 chr12:2329847:G:A rs2283300 G A G EBF1_EBF_1 19 0 + 0 0 . chr12 2341381 2341382 chr12:2341382:T:G rs16929293 T G T EBF1_EBF_1 -4 0 + 0 0 . chr12 2348733 2348734 chr12:2348734:G:A rs7304137 G A G EBF1_EBF_1 7 1 - 6.085185517401317 7.90269026368394 TTTCCCCCGAGACA chr12 2355096 2355097 chr12:2355097:T:C rs6489366 T C T EBF1_EBF_1 14 0 + 0 0 . chr12 2355984 2355985 chr12:2355985:C:T rs2238075 C T C EBF1_EBF_1 -3 0 + 0 0 . chr12 2373163 2373164 chr12:2373164:C:A rs1108385 C A C EBF1_EBF_1 32 0 - 0 0 . chr12 2385032 2385033 chr12:2385033:G:C rs561251211 G C G EBF1_EBF_1 0 1 + 6.184925786655929 5.8219511725241615 GCTCCCCAAGGATT chr12 2403861 2403862 chr12:2403862:T:C rs7294604 T C C EBF1_EBF_1 17 0 - 0 0 . chr12 2456557 2456558 chr12:2456558:C:T rs35917745 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 2495647 2495648 chr12:2495648:C:T rs181094856 C T C EBF1_EBF_1 -4 0 + 0 0 . chr12 2524847 2524848 chr12:2524848:A:G rs2283322 A G G EBF1_EBF_1 29 0 + 0 0 . chr12 2582683 2582684 chr12:2582684:T:C rs558414430 T C T EBF1_EBF_1 -5 0 - 0 0 . chr12 2641945 2641946 chr12:2641946:G:A rs758636134 G A G EBF1_EBF_1 -2 0 - 0 0 . chr12 2641954 2641955 chr12:2641955:C:A rs75808668 C A C EBF1_EBF_1 -11 0 - 0 0 . chr12 2641958 2641959 chr12:2641959:G:A rs75047029 G A G EBF1_EBF_1 -15 0 - 0 0 . chr12 2642816 2642817 chr12:2642817:G:A rs12227672 G A G EBF1_EBF_1 24 0 - 0 0 . chr12 2650468 2650469 chr12:2650469:G:A rs1359923880 G A G EBF1_EBF_1 -16 0 + 0 0 . chr12 2652724 2652725 chr12:2652725:C:T rs758559 C T C EBF1_EBF_1 -10 0 - 0 0 . chr12 2665238 2665239 chr12:2665239:A:G rs115860457 A G A EBF1_EBF_1 14 0 + 0 0 . chr12 2682580 2682581 chr12:2682581:G:A chr12:2682581:G:A G A G EBF1_EBF_1 26 0 + 0 0 . chr12 2734077 2734078 chr12:2734078:C:T rs10848695 C T C EBF1_EBF_1 31 0 + 0 0 . chr12 2750493 2750494 chr12:2750494:G:A rs7303994 G A T EBF1_EBF_1 23 0 + 0 0 . chr12 2813827 2813828 chr12:2813828:T:G rs11062366 T G T EBF1_EBF_1 7 1 - 4.698367486894584 2.4045885005244036 CCTCCCCAGAGAGG chr12 2844946 2844947 chr12:2844947:C:T rs113034633 C T C EBF1_EBF_1 -20 0 + 0 0 . chr12 2844954 2844955 chr12:2844955:C:T rs12099884 C T C EBF1_EBF_1 -12 0 + 0 0 . chr12 2844975 2844976 chr12:2844976:G:T rs141341249 G T G EBF1_EBF_1 9 1 + 5.0526712044890765 -1.7843182035997336 TCTGCCCTGGGACT chr12 2852145 2852146 chr12:2852146:T:C rs138985785 T C T EBF1_EBF_1 23 0 - 0 0 . chr12 2891293 2891294 chr12:2891294:C:T rs74968713 C T C EBF1_EBF_1 10 1 - 6.474636252326335 1.1566077930593694 TCCCCCGAGGGAAA chr12 2974503 2974504 chr12:2974504:A:G rs4759437 A G A EBF1_EBF_1 24 0 - 0 0 . chr12 2974542 2974543 chr12:2974543:A:G rs4759436 A G G EBF1_EBF_1 -15 0 - 0 0 . chr12 3010339 3010340 chr12:3010340:G:A rs59641273 G A A EBF1_EBF_1 21 0 - 0 0 . chr12 3010340 3010341 chr12:3010341:T:C rs60232504 T C C EBF1_EBF_1 20 0 - 0 0 . chr12 3010377 3010378 chr12:3010378:G:A rs11062458 G A G EBF1_EBF_1 -17 0 - 0 0 . chr12 3010482 3010483 chr12:3010483:C:A rs11614257 C A C EBF1_EBF_1 12 1 + 5.486881323144855 5.517062721566181 CCTCTCCAGGGACA chr12 3012679 3012680 chr12:3012680:T:G rs11062459 T G G EBF1_EBF_1 -4 0 - 0 0 . chr12 3018936 3018937 chr12:3018937:G:A rs71534246 G A G EBF1_EBF_1 27 0 - 0 0 . chr12 3032040 3032041 chr12:3032041:A:G rs74054840 A G G EBF1_EBF_1 -10 0 + 0 0 . chr12 3032068 3032069 chr12:3032069:G:T rs77864708 G T T EBF1_EBF_1 18 0 + 0 0 . chr12 3036638 3036639 chr12:3036639:G:A rs560643680 G A G EBF1_EBF_1 -17 0 + 0 0 . chr12 3037269 3037270 chr12:3037270:G:C rs7961302 G C G EBF1_EBF_1 14 0 - 0 0 . chr12 3040962 3040963 chr12:3040963:A:G rs188041941 A G A EBF1_EBF_1 28 0 - 0 0 . chr12 3041007 3041008 chr12:3041008:G:A rs4766030 G A G EBF1_EBF_1 -17 0 - 0 0 . chr12 3041680 3041681 chr12:3041681:T:C rs113408752 T C C EBF1_EBF_1 32 0 - 0 0 . chr12 3041682 3041683 chr12:3041683:G:C rs899353585 G C G EBF1_EBF_1 30 0 - 0 0 . chr12 3041688 3041689 chr12:3041689:C:T rs189294808 C T C EBF1_EBF_1 24 0 - 0 0 . chr12 3041707 3041708 chr12:3041708:G:A rs201595260 G A G EBF1_EBF_1 5 1 - 6.245244909012504 0.9234576934718337 CTCCCCATGGGAGG chr12 3050165 3050166 chr12:3050166:A:T rs7314853 A T A EBF1_EBF_1 7 1 + 7.837216967499991 7.360942727412433 GTCCCCCAGGGAGA chr12 3055654 3055655 chr12:3055655:C:T rs76489049 C T T EBF1_EBF_1 21 0 + 0 0 . chr12 3056688 3056689 chr12:3056689:G:A rs74054859 G A A EBF1_EBF_1 19 0 - 0 0 . chr12 3073038 3073039 chr12:3073039:C:T rs4766038 C T C EBF1_EBF_1 29 0 - 0 0 . chr12 3084307 3084308 chr12:3084308:G:A rs73046400 G A G EBF1_EBF_1 26 0 - 0 0 . chr12 3091885 3091886 chr12:3091886:A:G rs7977264 A G G EBF1_EBF_1 22 0 - 0 0 . chr12 3091890 3091891 chr12:3091891:T:C rs7980334 T C C EBF1_EBF_1 17 0 - 0 0 . chr12 3102725 3102726 chr12:3102726:T:C rs150411689 T C C EBF1_EBF_1 19 0 - 0 0 . chr12 3105111 3105112 chr12:3105112:C:T rs57209305 C T C EBF1_EBF_1 -20 0 - 0 0 . chr12 3105288 3105289 chr12:3105289:C:T rs59941056 C T C EBF1_EBF_1 -18 0 - 0 0 . chr12 3117763 3117764 chr12:3117764:A:G rs6489438 A G G EBF1_EBF_1 -12 0 + 0 0 . chr12 3120866 3120867 chr12:3120867:A:G rs12319072 A G A EBF1_EBF_1 -11 0 - 0 0 . chr12 3122980 3122981 chr12:3122981:G:T rs7965820 G T G EBF1_EBF_1 23 0 - 0 0 . chr12 3129986 3129987 chr12:3129987:G:A rs668938 G A G EBF1_EBF_1 -6 0 - 0 0 . chr12 3129998 3129999 chr12:3129999:C:T rs489298 C T C EBF1_EBF_1 -18 0 - 0 0 . chr12 3133471 3133472 chr12:3133472:A:G rs7972285 A G A EBF1_EBF_1 7 1 + 3.410760849823201 2.098302444534376 CAGCCCCAGGGACC chr12 3140805 3140806 chr12:3140806:G:A rs11062526 G A A EBF1_EBF_1 6 1 - 6.051438763869222 6.352724892730978 CTTCCCCTGGGCTT chr12 3207665 3207666 chr12:3207666:G:A rs2335665 G A A EBF1_EBF_1 6 1 + 4.850579995719221 7.017374426993696 GCTCCCGGGAGAAC chr12 3216941 3216942 chr12:3216942:A:G rs3741946 A G A EBF1_EBF_1 14 0 + 0 0 . chr12 3217637 3217638 chr12:3217638:G:A rs7307662 G A G EBF1_EBF_1 -15 0 + 0 0 . chr12 3218373 3218374 chr12:3218374:A:C rs74909496 A C A EBF1_EBF_1 16 0 + 0 0 . chr12 3224239 3224240 chr12:3224240:C:G rs61907332 C G C EBF1_EBF_1 -4 0 + 0 0 . chr12 3225822 3225823 chr12:3225823:G:C rs116715279 G C G EBF1_EBF_1 -9 0 + 0 0 . chr12 3225848 3225849 chr12:3225849:A:G rs114486846 A G A EBF1_EBF_1 17 0 + 0 0 . chr12 3242103 3242104 chr12:3242104:C:T rs73047004 C T C EBF1_EBF_1 33 0 - 0 0 . chr12 3247786 3247787 chr12:3247787:T:C rs659618 T C C EBF1_EBF_1 -13 0 + 0 0 . chr12 3252084 3252085 chr12:3252085:A:G rs7956298 A G G EBF1_EBF_1 18 0 - 0 0 . chr12 3265067 3265068 chr12:3265068:C:T rs4766088 C T T EBF1_EBF_1 25 0 + 0 0 . chr12 3266852 3266853 chr12:3266853:A:G rs7973548 A G G EBF1_EBF_1 25 0 + 0 0 . chr12 3274542 3274543 chr12:3274543:A:C rs557621 A C C EBF1_EBF_1 27 0 - 0 0 . chr12 3275635 3275636 chr12:3275636:G:A rs527444840 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 3275640 3275641 chr12:3275641:C:T chr12:3275641:C:T C T C EBF1_EBF_1 26 0 - 0 0 . chr12 3276252 3276253 chr12:3276253:A:C rs521392 A C A EBF1_EBF_1 32 0 + 0 0 . chr12 3293292 3293293 chr12:3293293:T:C rs10848841 T C C EBF1_EBF_1 6 1 - 6.543874261822603 4.377079830548128 CCTCCCATGGGCCT chr12 3293885 3293886 chr12:3293886:A:C chr12:3293886:A:C A C A EBF1_EBF_1 -8 0 - 0 0 . chr12 3295362 3295363 chr12:3295363:T:C rs12423050 T C C EBF1_EBF_1 0 1 - 4.873072765302677 2.980788895737843 ATTCCCCAAGGGGC chr12 3313806 3313807 chr12:3313807:C:T rs138034869 C T C EBF1_EBF_1 -19 0 + 0 0 . chr12 3315640 3315641 chr12:3315641:C:A rs139554000 C A C EBF1_EBF_1 3 1 + 4.610914305070223 -2.2952597243955832 ATTCCACTGGGAGC chr12 3326363 3326364 chr12:3326364:C:T rs998374 C T C EBF1_EBF_1 30 0 - 0 0 . chr12 3329790 3329791 chr12:3329791:G:A rs1860393 G A A EBF1_EBF_1 2 1 - 5.861780427534184 7.750603838976334 TGCCCCATGAGATT chr12 3334027 3334028 chr12:3334028:C:T rs887331 C T C EBF1_EBF_1 -8 0 - 0 0 . chr12 3341926 3341927 chr12:3341927:T:C rs56270397 T C T EBF1_EBF_1 30 0 - 0 0 . chr12 3365247 3365248 chr12:3365248:T:C rs11062630 T C C EBF1_EBF_1 23 0 + 0 0 . chr12 3365478 3365479 chr12:3365479:A:C rs887357 A C A EBF1_EBF_1 -10 0 + 0 0 . chr12 3365515 3365516 chr12:3365516:G:A rs75219505 G A G EBF1_EBF_1 27 0 + 0 0 . chr12 3367125 3367126 chr12:3367126:T:C rs61907543 T C T EBF1_EBF_1 12 1 + 5.422370436376247 6.448637006927097 AGTCCCCAGAGCTT chr12 3420910 3420911 chr12:3420911:C:T rs74848364 C T C EBF1_EBF_1 10 1 - 5.836969695281141 0.5189412360141751 CTTCCCCACGGAAC chr12 3445273 3445274 chr12:3445274:T:A rs17769276 T A C EBF1_EBF_1 30 0 + 0 0 . chr12 3447084 3447085 chr12:3447085:T:C rs2335985 T C C EBF1_EBF_1 30 0 + 0 0 . chr12 3460036 3460037 chr12:3460037:A:C rs1860426 A C A EBF1_EBF_1 -14 0 - 0 0 . chr12 3483300 3483301 chr12:3483301:T:C rs11062678 T C C EBF1_EBF_1 -15 0 + 0 0 . chr12 3489134 3489135 chr12:3489135:T:A rs1450539933 T A T EBF1_EBF_1 -20 0 + 0 0 . chr12 3552794 3552795 chr12:3552795:C:T rs876593 C T C EBF1_EBF_1 -11 0 - 0 0 . chr12 3595298 3595299 chr12:3595299:C:T rs111321849 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 3616142 3616143 chr12:3616143:G:A rs4765742 G A G EBF1_EBF_1 19 0 + 0 0 . chr12 3619230 3619231 chr12:3619231:T:C rs17770235 T C T EBF1_EBF_1 1 1 - 5.3701560563060005 5.630854888227302 GACCCCGAGGGAAG chr12 3624919 3624920 chr12:3624920:C:G rs4766149 C G C EBF1_EBF_1 8 1 - 11.467663844514366 6.14900498359968 GCTCCCCAGGGAAT chr12 3625752 3625753 chr12:3625753:T:C rs4766150 T C C EBF1_EBF_1 25 0 - 0 0 . chr12 3630413 3630414 chr12:3630414:C:T rs61907752 C T C EBF1_EBF_1 10 1 - 5.782212305835248 0.46418384656828204 GCCCCCTAGGGTAT chr12 3650730 3650731 chr12:3650731:G:C rs17836203 G C G EBF1_EBF_1 22 0 + 0 0 . chr12 3694441 3694442 chr12:3694442:T:G rs17780653 T G T EBF1_EBF_1 -5 0 + 0 0 . chr12 3696980 3696981 chr12:3696981:T:C rs9788233 T C T EBF1_EBF_1 -12 0 - 0 0 . chr12 3707266 3707267 chr12:3707267:G:A rs56343193 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 3717919 3717920 chr12:3717920:G:A rs4141033 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 3743883 3743884 chr12:3743884:G:A rs140578495 G A G EBF1_EBF_1 -9 0 - 0 0 . chr12 3743892 3743893 chr12:3743893:G:A rs1435214631 G A g EBF1_EBF_1 -18 0 - 0 0 . chr12 3746938 3746939 chr12:3746939:C:G rs114310958 C G C EBF1_EBF_1 -8 0 - 0 0 . chr12 3749907 3749908 chr12:3749908:G:A rs11062786 G A A EBF1_EBF_1 -4 0 + 0 0 . chr12 3752114 3752115 chr12:3752115:C:T rs10848922 C T C EBF1_EBF_1 1 1 + 6.378867967935328 7.274301408334074 CCACCCTGGGGACT chr12 3752140 3752141 chr12:3752141:T:C rs10848923 T C C EBF1_EBF_1 27 0 + 0 0 . chr12 3790157 3790158 chr12:3790158:T:G rs2041430 T G T EBF1_EBF_1 7 1 - 6.2135615068958385 3.919782520525658 AATCCCCAGGTGAT chr12 3863682 3863683 chr12:3863683:C:T rs559337610 C T C EBF1_EBF_1 -6 0 + 0 0 . chr12 3863683 3863684 chr12:3863684:G:A rs10848948 G A A EBF1_EBF_1 -5 0 + 0 0 . chr12 3897264 3897265 chr12:3897265:A:G rs11062909 A G A EBF1_EBF_1 29 0 - 0 0 . chr12 3921408 3921409 chr12:3921409:C:T rs6489503 C T C EBF1_EBF_1 8 1 - 9.43437865017205 5.2080885612857895 GATCCCACGGGACT chr12 3929095 3929096 chr12:3929096:T:C rs546127143 T C T EBF1_EBF_1 6 1 - 5.382597328398918 3.215802897124443 CCTCCCAAGGTATC chr12 3962688 3962689 chr12:3962689:A:C rs73255504 A C A EBF1_EBF_1 -9 0 + 0 0 . chr12 3967798 3967799 chr12:3967799:G:A rs4337111 G A G EBF1_EBF_1 17 0 - 0 0 . chr12 4031709 4031710 chr12:4031710:T:C rs2540119 T C C EBF1_EBF_1 18 0 - 0 0 . chr12 4043714 4043715 chr12:4043715:C:T rs10848975 C T C EBF1_EBF_1 22 0 + 0 0 . chr12 4052845 4052846 chr12:4052846:G:A chr12:4052846:G:A G A G EBF1_EBF_1 -10 0 - 0 0 . chr12 4106563 4106564 chr12:4106564:T:C rs7973836 T C C EBF1_EBF_1 -12 0 + 0 0 . chr12 4149101 4149102 chr12:4149102:C:T rs10848988 C T C EBF1_EBF_1 9 1 - 8.250778631107115 5.595839365659513 CTTCCCAAGGGGAA chr12 4164414 4164415 chr12:4164415:A:G rs115955163 A G A EBF1_EBF_1 25 0 + 0 0 . chr12 4188932 4188933 chr12:4188933:A:G rs4766215 A G A EBF1_EBF_1 31 0 - 0 0 . chr12 4220350 4220351 chr12:4220351:C:T rs187260585 C T C EBF1_EBF_1 -17 0 + 0 0 . chr12 4232371 4232372 chr12:4232372:G:C rs12426043 G C G EBF1_EBF_1 28 0 - 0 0 . chr12 4271382 4271383 chr12:4271383:G:C rs1318706694 G C G EBF1_EBF_1 14 0 + 0 0 . chr12 4296125 4296126 chr12:4296126:A:G rs377071471 A G A EBF1_EBF_1 20 0 + 0 0 . chr12 4308107 4308108 chr12:4308108:A:C chr12:4308108:A:C A C A EBF1_EBF_1 -16 0 + 0 0 . chr12 4383639 4383640 chr12:4383640:C:T rs2075316 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 4424509 4424510 chr12:4424510:G:A rs888465 G A A EBF1_EBF_1 3 1 - 9.322492604586246 2.9170938630329295 ATACCCCAGGGACA chr12 4439286 4439287 chr12:4439287:C:A rs17177291 C A c EBF1_EBF_1 30 0 - 0 0 . chr12 4446324 4446325 chr12:4446325:G:A rs2970824 G A G EBF1_EBF_1 -1 0 - 0 0 . chr12 4569147 4569148 chr12:4569148:C:G rs10849096 C G C EBF1_EBF_1 33 0 + 0 0 . chr12 4590065 4590066 chr12:4590066:C:T rs997868 C T c EBF1_EBF_1 33 0 + 0 0 . chr12 4604383 4604384 chr12:4604384:C:T rs1860344 C T T EBF1_EBF_1 31 0 + 0 0 . chr12 4653902 4653903 chr12:4653903:T:C rs11063285 T C T EBF1_EBF_1 -20 0 - 0 0 . chr12 4700609 4700610 chr12:4700610:T:C rs75341965 T C T EBF1_EBF_1 7 1 + 4.877170346881 3.059665600598377 AGCCACATGGGAAC chr12 4719443 4719444 chr12:4719444:C:T rs10849132 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 4743345 4743346 chr12:4743346:G:A rs17176851 G A G EBF1_EBF_1 20 0 + 0 0 . chr12 4754190 4754191 chr12:4754191:G:A rs10849139 G A A EBF1_EBF_1 -11 0 + 0 0 . chr12 4813910 4813911 chr12:4813911:G:A chr12:4813911:G:A G A G EBF1_EBF_1 -17 0 + 0 0 . chr12 4868480 4868481 chr12:4868481:G:A rs16933876 G A G EBF1_EBF_1 -20 0 - 0 0 . chr12 4947368 4947369 chr12:4947369:G:A rs7955054 G A G EBF1_EBF_1 -20 0 + 0 0 . chr12 4988334 4988335 chr12:4988335:A:G rs10735042 A G g EBF1_EBF_1 -19 0 + 0 0 . chr12 4998673 4998674 chr12:4998674:A:C rs10849188 A C C EBF1_EBF_1 7 1 - 6.459225759517336 5.623041594316069 ATTCCCATGTGAAC chr12 5032146 5032147 chr12:5032147:A:G rs77608808 A G A EBF1_EBF_1 13 1 - 5.090579311537253 4.246085196951735 CACCCCAAGGGCAT chr12 5032162 5032163 chr12:5032163:G:A rs80000585 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 5033086 5033087 chr12:5033087:T:C rs4766329 T C T EBF1_EBF_1 -7 0 + 0 0 . chr12 5041967 5041968 chr12:5041968:G:T rs2239507 G T G EBF1_EBF_1 -20 0 + 0 0 . chr12 5074138 5074139 chr12:5074139:G:A rs12300186 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 5149076 5149077 chr12:5149077:G:A rs758606 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 5167345 5167346 chr12:5167346:G:A rs7295134 G A G EBF1_EBF_1 4 1 + 5.3642522569467745 5.165457734642851 CCTCGCATGGGAAT chr12 5168955 5168956 chr12:5168956:C:A chr12:5168956:C:A C A C EBF1_EBF_1 -20 0 - 0 0 . chr12 5173710 5173711 chr12:5173711:T:C rs4562903 T C C EBF1_EBF_1 -18 0 - 0 0 . chr12 5202160 5202161 chr12:5202161:A:G rs765839435 A G A EBF1_EBF_1 -5 0 - 0 0 . chr12 5233488 5233489 chr12:5233489:G:T rs17721627 G T G EBF1_EBF_1 18 0 - 0 0 . chr12 5234059 5234060 chr12:5234060:G:T rs4765809 G T G EBF1_EBF_1 4 1 - 6.446646169014006 0.6220865455605399 CATCCCACGAGAAT chr12 5258254 5258255 chr12:5258255:G:A rs11063581 G A G EBF1_EBF_1 4 1 - 4.593713269615536 0.22432632467061148 TCTCCCCTGGGCTC chr12 5301869 5301870 chr12:5301870:T:C rs7968928 T C C EBF1_EBF_1 27 0 - 0 0 . chr12 5407292 5407293 chr12:5407293:G:C rs74056326 G C G EBF1_EBF_1 18 0 - 0 0 . chr12 5415395 5415396 chr12:5415396:C:A rs4238023 C A A EBF1_EBF_1 -14 0 + 0 0 . chr12 5427026 5427027 chr12:5427027:T:G rs11063670 T G T EBF1_EBF_1 8 1 + 5.970720690403455 12.862153479380648 ATTCCCTTTGGAAA chr12 5477985 5477986 chr12:5477986:T:G rs11063699 T G T EBF1_EBF_1 17 0 + 0 0 . chr12 5478586 5478587 chr12:5478587:C:T rs11063700 C T C EBF1_EBF_1 28 0 + 0 0 . chr12 5478587 5478588 chr12:5478588:A:G rs11063701 A G A EBF1_EBF_1 29 0 + 0 0 . chr12 5490479 5490480 chr12:5490480:T:C rs10161033 T C C EBF1_EBF_1 26 0 + 0 0 . chr12 5503870 5503871 chr12:5503871:G:A rs188937874 G A G EBF1_EBF_1 30 0 - 0 0 . chr12 5503871 5503872 chr12:5503872:C:A rs192404578 C A C EBF1_EBF_1 29 0 - 0 0 . chr12 5505116 5505117 chr12:5505117:T:C rs34581657 T C T EBF1_EBF_1 27 0 - 0 0 . chr12 5522601 5522602 chr12:5522602:A:G rs7312002 A G G EBF1_EBF_1 32 0 + 0 0 . chr12 5577275 5577276 chr12:5577276:C:T rs10774345 C T C EBF1_EBF_1 3 1 + 10.248052034312382 3.8426532927590653 CCTCCCAAGGGAAA chr12 5591858 5591859 chr12:5591859:C:T rs1158054 C T C EBF1_EBF_1 16 0 - 0 0 . chr12 5614276 5614277 chr12:5614277:A:G rs10849302 A G G EBF1_EBF_1 -18 0 + 0 0 . chr12 5614279 5614280 chr12:5614280:T:C rs11830935 T C T EBF1_EBF_1 -15 0 + 0 0 . chr12 5631591 5631592 chr12:5631592:C:T rs3782605 C T C EBF1_EBF_1 29 0 - 0 0 . chr12 5665353 5665354 chr12:5665354:C:T rs251777 C T T EBF1_EBF_1 30 0 + 0 0 . chr12 5711225 5711226 chr12:5711226:G:A rs12422937 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 5742894 5742895 chr12:5742895:C:T rs12580223 C T C EBF1_EBF_1 3 1 + 5.8158284111932135 -0.5895703303601034 AGTCCCAGGAGTCT chr12 5742898 5742899 chr12:5742899:G:A rs10849330 G A G EBF1_EBF_1 7 1 + 5.8158284111932135 7.128286816482038 AGTCCCAGGAGTCT chr12 5809753 5809754 chr12:5809754:G:A rs73255118 G A G EBF1_EBF_1 -14 0 + 0 0 . chr12 5864211 5864212 chr12:5864212:G:A rs73257218 G A G EBF1_EBF_1 30 0 - 0 0 . chr12 5864245 5864246 chr12:5864246:T:C rs73257220 T C C EBF1_EBF_1 -4 0 - 0 0 . chr12 5864261 5864262 chr12:5864262:C:T rs73257222 C T C EBF1_EBF_1 -20 0 - 0 0 . chr12 5881551 5881552 chr12:5881552:C:T rs148618407 C T C EBF1_EBF_1 -13 0 - 0 0 . chr12 5905162 5905163 chr12:5905163:G:C rs61908389 G C G EBF1_EBF_1 -17 0 - 0 0 . chr12 5926471 5926472 chr12:5926472:C:A rs55821032 C A C EBF1_EBF_1 27 0 - 0 0 . chr12 5945110 5945111 chr12:5945111:G:A rs6416321 G A G EBF1_EBF_1 -15 0 - 0 0 . chr12 5945873 5945874 chr12:5945874:C:T rs6416322 C T T EBF1_EBF_1 -7 0 + 0 0 . chr12 5957142 5957143 chr12:5957143:C:T rs145921602 C T C EBF1_EBF_1 19 0 - 0 0 . chr12 6021191 6021192 chr12:6021192:T:C rs74056788 T C T EBF1_EBF_1 -5 0 + 0 0 . chr12 6027467 6027468 chr12:6027468:G:A rs11611917 G A A EBF1_EBF_1 4 1 - 8.655778724059237 4.2863917791143145 CATCCCATGGGAGC chr12 6057121 6057122 chr12:6057122:C:G chr12:6057122:C:G C G C EBF1_EBF_1 -19 0 + 0 0 . chr12 6060355 6060356 chr12:6060356:T:C rs980134 T C C EBF1_EBF_1 24 0 + 0 0 . chr12 6060379 6060380 chr12:6060380:G:A rs1990491 G A A EBF1_EBF_1 20 0 + 0 0 . chr12 6080229 6080230 chr12:6080230:T:C rs116856265 T C T EBF1_EBF_1 14 0 - 0 0 . chr12 6080348 6080349 chr12:6080349:C:A rs113190143 C A C EBF1_EBF_1 28 0 - 0 0 . chr12 6083719 6083720 chr12:6083720:A:G rs3819538 A G g EBF1_EBF_1 6 1 + 5.397819878171314 3.231025446896838 ACCCCCACGGGGCA chr12 6083720 6083721 chr12:6083721:C:T rs73036530 C T c EBF1_EBF_1 7 1 + 5.397819878171314 7.215324624453936 ACCCCCACGGGGCA chr12 6093324 6093325 chr12:6093325:G:C rs184895112 G C G EBF1_EBF_1 11 1 - 5.3799848000879 6.903239654336278 ACTCCCTTGGGCTG chr12 6100709 6100710 chr12:6100710:G:C rs12826868 G C G EBF1_EBF_1 27 0 - 0 0 . chr12 6168144 6168145 chr12:6168145:C:T rs10774403 C T C EBF1_EBF_1 19 0 + 0 0 . chr12 6204764 6204765 chr12:6204765:G:A rs11064087 G A G EBF1_EBF_1 25 0 - 0 0 . chr12 6272872 6272873 chr12:6272873:G:T rs7304348 G T G EBF1_EBF_1 -4 0 - 0 0 . chr12 6278733 6278734 chr12:6278734:C:A rs370868077 C A c EBF1_EBF_1 4 1 + 5.215030461171051 -0.6095291622824164 ATCCCCGAGGGTCA chr12 6278762 6278763 chr12:6278763:G:T rs572345354 G T g EBF1_EBF_1 33 0 + 0 0 . chr12 6285133 6285134 chr12:6285134:A:C rs77831828 A C A EBF1_EBF_1 24 0 - 0 0 . chr12 6308406 6308407 chr12:6308407:G:A rs61918245 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 6310586 6310587 chr12:6310587:G:A rs755357 G A G EBF1_EBF_1 -17 0 + 0 0 . chr12 6310615 6310616 chr12:6310616:C:T chr12:6310616:C:T C T C EBF1_EBF_1 12 1 + 6.3667982882243495 5.3405317176735 GGTCCCCAGGGCCC chr12 6313161 6313162 chr12:6313162:C:T rs567842070 C T C EBF1_EBF_1 13 1 - 6.131437913146907 7.2457634852163455 TCTCCCCAGGGGCG chr12 6314733 6314734 chr12:6314734:A:G rs71459999 A G A EBF1_EBF_1 -3 0 + 0 0 . chr12 6323508 6323509 chr12:6323509:A:G rs112040512 A G A EBF1_EBF_1 31 0 - 0 0 . chr12 6323550 6323551 chr12:6323551:T:C rs140351794 T C T EBF1_EBF_1 -8 0 + 0 0 . chr12 6323569 6323570 chr12:6323570:A:T rs73259266 A T A EBF1_EBF_1 11 1 + 7.431074690190572 3.3331604340918464 AATGCCCAGGGACT chr12 6338270 6338271 chr12:6338271:C:T rs4149578 C T C EBF1_EBF_1 -5 0 + 0 0 . chr12 6338304 6338305 chr12:6338305:C:A rs150233965 C A C EBF1_EBF_1 29 0 + 0 0 . chr12 6340576 6340577 chr12:6340577:C:A rs4149572 C A C EBF1_EBF_1 12 1 + 3.7540516069652368 3.7842330053865636 GCTGCCTGGGGACC chr12 6371026 6371027 chr12:6371027:G:A rs187032875 G A G EBF1_EBF_1 9 1 + 6.476294300219532 3.821355034771929 CTTCCCTTCGGACT chr12 6380991 6380992 chr12:6380992:A:G rs11831214 A G A EBF1_EBF_1 13 1 - 5.868055596496632 5.023561481911114 ATCCCCAGGAGGAT chr12 6417767 6417768 chr12:6417768:G:A rs2364487 G A G EBF1_EBF_1 7 1 + 5.651103019196943 6.963561424485768 CCTCCCCGGGGGCA chr12 6423865 6423866 chr12:6423866:C:T rs12369647 C T C EBF1_EBF_1 -2 0 + 0 0 . chr12 6430324 6430325 chr12:6430325:T:C rs12319859 T C C EBF1_EBF_1 -3 0 + 0 0 . chr12 6443849 6443850 chr12:6443850:A:G rs2250246 A G G EBF1_EBF_1 22 0 - 0 0 . chr12 6443888 6443889 chr12:6443889:T:C rs2286596 T C T EBF1_EBF_1 -17 0 - 0 0 . chr12 6444437 6444438 chr12:6444438:C:G rs11569359 C G C EBF1_EBF_1 -5 0 + 0 0 . chr12 6451977 6451978 chr12:6451978:G:T rs11569391 G T G EBF1_EBF_1 5 1 - 5.7372872668082815 -1.1675938858268877 AATCCCCTGGTGAT chr12 6451978 6451979 chr12:6451979:G:A rs7132503 G A G EBF1_EBF_1 4 1 - 5.7372872668082815 1.367900321863357 AATCCCCTGGTGAT chr12 6451985 6451986 chr12:6451986:C:T rs758925895 C T C EBF1_EBF_1 -3 0 - 0 0 . chr12 6452525 6452526 chr12:6452526:G:A chr12:6452526:G:A G A G EBF1_EBF_1 25 0 + 0 0 . chr12 6453669 6453670 chr12:6453670:G:A rs2041388 G A G EBF1_EBF_1 -20 0 + 0 0 . chr12 6495164 6495165 chr12:6495165:G:T rs61753197 G T G EBF1_EBF_1 31 0 + 0 0 . chr12 6532758 6532759 chr12:6532759:G:C rs79264455 G C G EBF1_EBF_1 18 0 - 0 0 . chr12 6532772 6532773 chr12:6532773:G:A rs45540931 G A G EBF1_EBF_1 4 1 - 5.458643463848205 1.0892565189032808 AGTGCCCAGGGAGC chr12 6532792 6532793 chr12:6532793:G:A rs45509198 G A G EBF1_EBF_1 -16 0 - 0 0 . chr12 6540373 6540374 chr12:6540374:A:G rs2240875 A G G EBF1_EBF_1 11 1 + 12.67504385564157 9.782337012037559 AGTCCCCAGGGACC chr12 6552580 6552581 chr12:6552581:C:T rs7135726 C T C EBF1_EBF_1 25 0 - 0 0 . chr12 6554454 6554455 chr12:6554455:T:C rs59436997 T C C EBF1_EBF_1 18 0 - 0 0 . chr12 6554486 6554487 chr12:6554487:A:C rs74390085 A C A EBF1_EBF_1 -14 0 - 0 0 . chr12 6554489 6554490 chr12:6554490:G:A rs112595756 G A G EBF1_EBF_1 -17 0 - 0 0 . chr12 6570576 6570577 chr12:6570577:G:A rs2267968 G A G EBF1_EBF_1 -20 0 + 0 0 . chr12 6603149 6603150 chr12:6603150:C:T rs773241996 C T C EBF1_EBF_1 14 0 + 0 0 . chr12 6604469 6604470 chr12:6604470:C:A rs12099908 C A A EBF1_EBF_1 -12 0 + 0 0 . chr12 6606255 6606256 chr12:6606256:C:T rs71584862 C T C EBF1_EBF_1 9 1 - 5.464466477011816 2.8095272115642116 TTCCCCAAGGGCCC chr12 6606786 6606787 chr12:6606787:G:A rs938820864 G A G EBF1_EBF_1 27 0 - 0 0 . chr12 6607229 6607230 chr12:6607230:T:G rs930387800 T G T EBF1_EBF_1 21 0 - 0 0 . chr12 6614542 6614543 chr12:6614543:C:T chr12:6614543:C:T C T C EBF1_EBF_1 -9 0 + 0 0 . chr12 6632820 6632821 chr12:6632821:G:A rs6489726 G A G EBF1_EBF_1 1 1 - 6.378867967935328 7.274301408334074 CCACCCTGGGGACT chr12 6637880 6637881 chr12:6637881:C:T rs61919662 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 6723491 6723492 chr12:6723492:C:G rs74453500 C G C EBF1_EBF_1 -17 0 - 0 0 . chr12 6723937 6723938 chr12:6723938:T:C rs3759343 T C T EBF1_EBF_1 33 0 + 0 0 . chr12 6730954 6730955 chr12:6730955:C:T rs77365023 C T C EBF1_EBF_1 -11 0 - 0 0 . chr12 6731042 6731043 chr12:6731043:G:A rs3168600 G A G EBF1_EBF_1 24 0 - 0 0 . chr12 6731204 6731205 chr12:6731205:G:T rs11227 G T G EBF1_EBF_1 -3 0 - 0 0 . chr12 6736127 6736128 chr12:6736128:C:T rs12372368 C T C EBF1_EBF_1 17 0 + 0 0 . chr12 6744307 6744308 chr12:6744308:A:G rs7954666 A G A EBF1_EBF_1 15 0 - 0 0 . chr12 6746068 6746069 chr12:6746069:A:G rs35039612 A G A EBF1_EBF_1 18 0 - 0 0 . chr12 6746073 6746074 chr12:6746074:T:C rs11064360 T C T EBF1_EBF_1 13 1 - 9.228711705745905 8.114386133676465 TTTCCCGAGGGACA chr12 6746080 6746081 chr12:6746081:C:T rs78788918 C T C EBF1_EBF_1 6 1 - 9.228711705745905 11.395506137020378 TTTCCCGAGGGACA chr12 6752080 6752081 chr12:6752081:G:A rs59560168 G A G EBF1_EBF_1 -18 0 - 0 0 . chr12 6753479 6753480 chr12:6753480:G:A rs3759344 G A G EBF1_EBF_1 23 0 - 0 0 . chr12 6753482 6753483 chr12:6753483:G:C rs889960780 G C G EBF1_EBF_1 20 0 - 0 0 . chr12 6753492 6753493 chr12:6753493:C:A rs78897326 C A C EBF1_EBF_1 10 1 - 6.33177382124364 2.1490216350163744 CTCCCCAAGGGGCA chr12 6753511 6753512 chr12:6753512:G:T rs3759345 G T T EBF1_EBF_1 -9 0 - 0 0 . chr12 6766274 6766275 chr12:6766275:C:A rs1001276040 C A C EBF1_EBF_1 -20 0 + 0 0 . chr12 6787379 6787380 chr12:6787380:A:G rs73053728 A G a EBF1_EBF_1 2 1 - 9.593454427360424 7.704631015918275 ACTCCCAAGGGTCT chr12 6818303 6818304 chr12:6818304:C:T rs12226953 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 6826789 6826790 chr12:6826790:T:C rs35717080 T C T EBF1_EBF_1 0 1 - 4.375295028304618 2.4830111587397834 ACCCCCGAGGGCCC chr12 6826800 6826801 chr12:6826801:A:G rs200776021 A G A EBF1_EBF_1 -11 0 - 0 0 . chr12 6828838 6828839 chr12:6828839:G:A chr12:6828839:G:A G A G EBF1_EBF_1 13 1 - 2.8025418573616063 3.6470359719471253 CGCCCCCGGGGCCC chr12 6829707 6829708 chr12:6829708:C:T rs3741920 C T T EBF1_EBF_1 -9 0 - 0 0 . chr12 6831856 6831857 chr12:6831857:G:A rs1047771 G A G EBF1_EBF_1 3 1 - 8.2103571757815 1.8049584342281821 CTCCCCCAGGGATC chr12 6867049 6867050 chr12:6867050:C:G rs149791950 C G C EBF1_EBF_1 -9 0 + 0 0 . chr12 6868530 6868531 chr12:6868531:A:G rs2001005 A G A EBF1_EBF_1 -14 0 + 0 0 . chr12 6869538 6869539 chr12:6869539:G:C rs2071067 G C C EBF1_EBF_1 -9 0 + 0 0 . chr12 6873357 6873358 chr12:6873358:C:G rs112225089 C G C EBF1_EBF_1 5 1 + 4.1509928869421495 -2.7538882656930186 CCTCCCTTGGGCCG chr12 6913945 6913946 chr12:6913946:A:C rs116003276 A C A EBF1_EBF_1 21 0 + 0 0 . chr12 6944009 6944010 chr12:6944010:C:G rs780070025 C G C EBF1_EBF_1 -19 0 + 0 0 . chr12 6944015 6944016 chr12:6944016:C:T rs781973025 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 6944022 6944023 chr12:6944023:C:T rs111966673 C T C EBF1_EBF_1 -6 0 + 0 0 . chr12 6944033 6944034 chr12:6944034:C:T rs781938134 C T C EBF1_EBF_1 5 1 + 4.682028767074951 -0.639758448465719 GCTCCCAGGGGCGT chr12 6944042 6944043 chr12:6944043:T:G rs1052194315 T G T EBF1_EBF_1 14 0 + 0 0 . chr12 6944048 6944049 chr12:6944049:C:T rs375310246 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 6944051 6944052 chr12:6944052:T:G rs114366875 T G T EBF1_EBF_1 23 0 + 0 0 . chr12 6946424 6946425 chr12:6946425:G:A rs138660215 G A A EBF1_EBF_1 6 1 - 7.069286778440193 7.370572907301949 CTTCCCCCGGGAGA chr12 6951279 6951280 chr12:6951280:C:G chr12:6951280:C:G C G C EBF1_EBF_1 -18 0 + 0 0 . chr12 6964339 6964340 chr12:6964340:C:G rs767953072 C G C EBF1_EBF_1 -18 0 + 0 0 . chr12 7060439 7060440 chr12:7060440:C:A rs138176455 C A C EBF1_EBF_1 13 1 + 6.748622792190256 6.37487734290428 TTTCCCCTGGTACC chr12 7060779 7060780 chr12:7060780:G:A rs951463029 G A G EBF1_EBF_1 25 0 - 0 0 . chr12 7108397 7108398 chr12:7108398:C:T rs75771510 C T C EBF1_EBF_1 -6 0 - 0 0 . chr12 7108754 7108755 chr12:7108755:C:A rs979338166 C A C EBF1_EBF_1 16 0 + 0 0 . chr12 7123079 7123080 chr12:7123080:C:T rs117827303 C T C EBF1_EBF_1 -17 0 + 0 0 . chr12 7123097 7123098 chr12:7123098:G:C rs34458614 G C C EBF1_EBF_1 1 1 + 5.035866360246287 4.8460947056770705 GGCCCCCTAGGACT chr12 7129552 7129553 chr12:7129553:C:T rs144896790 C T C EBF1_EBF_1 8 1 - 5.951333116091837 1.725043027205576 AACCCCCTGGGTCC chr12 7159379 7159380 chr12:7159380:G:T rs4883058 G T G EBF1_EBF_1 17 0 - 0 0 . chr12 7189359 7189360 chr12:7189360:G:C rs186091940 G C G EBF1_EBF_1 5 1 - 5.145861405018159 -1.7590197476170097 CTTCCCTTGGGCGC chr12 7189812 7189813 chr12:7189813:G:C rs977817879 G C G EBF1_EBF_1 22 0 - 0 0 . chr12 7189820 7189821 chr12:7189821:G:A rs927673107 G A G EBF1_EBF_1 14 0 - 0 0 . chr12 7189851 7189852 chr12:7189852:G:C rs747187521 G C G EBF1_EBF_1 -17 0 - 0 0 . chr12 7190039 7190040 chr12:7190040:T:A rs113752912 T A T EBF1_EBF_1 31 0 - 0 0 . chr12 7190087 7190088 chr12:7190088:G:A rs542096066 G A G EBF1_EBF_1 -17 0 - 0 0 . chr12 7346729 7346730 chr12:7346730:A:C rs78883719 A C A EBF1_EBF_1 -12 0 + 0 0 . chr12 7346755 7346756 chr12:7346756:C:G rs10772012 C G C EBF1_EBF_1 14 0 + 0 0 . chr12 7383401 7383402 chr12:7383402:G:T rs7979059 G T G EBF1_EBF_1 28 0 - 0 0 . chr12 7450748 7450749 chr12:7450749:G:A rs12297113 G A G EBF1_EBF_1 8 1 + 4.064336678492153 -0.1619534103941087 AGCCCCACGGGCCA chr12 7460687 7460688 chr12:7460688:A:G rs6488327 A G G EBF1_EBF_1 -15 0 + 0 0 . chr12 7471755 7471756 chr12:7471756:C:T rs144496744 C T C EBF1_EBF_1 4 1 + 5.233079878783742 0.8636929338388191 ACTCCCCTGGAAAG chr12 7567243 7567244 chr12:7567244:C:T rs17198726 C T C EBF1_EBF_1 -13 0 - 0 0 . chr12 7589061 7589062 chr12:7589062:T:C rs12830098 T C t EBF1_EBF_1 8 1 - 4.89728974554192 9.123579834428181 TCTCCCCAAGGAGC chr12 7626630 7626631 chr12:7626631:A:G rs12829339 A G A EBF1_EBF_1 -13 0 + 0 0 . chr12 7626644 7626645 chr12:7626645:G:A rs7296689 G A A EBF1_EBF_1 1 1 + 6.488796385685877 6.2280975537645755 AGCCCCATGGTACC chr12 7807284 7807285 chr12:7807285:A:G rs7302729 A G a EBF1_EBF_1 2 1 - 8.137467764099963 6.248644352657815 TTTCCCTAGGGCCT chr12 7832173 7832174 chr12:7832174:T:C rs542353104 T C T EBF1_EBF_1 26 0 + 0 0 . chr12 7847703 7847704 chr12:7847704:C:A rs7956032 C A C EBF1_EBF_1 -6 0 + 0 0 . chr12 7847739 7847740 chr12:7847740:T:G rs7965203 T G t EBF1_EBF_1 30 0 + 0 0 . chr12 7862437 7862438 chr12:7862438:A:G rs34900677 A G a EBF1_EBF_1 23 0 + 0 0 . chr12 7977004 7977005 chr12:7977005:C:T chr12:7977005:C:T C T C EBF1_EBF_1 3 1 + 6.708126499362771 0.30272775780945266 CTTCCCCAGAGAGA chr12 8037620 8037621 chr12:8037621:C:T rs3782680 C T t EBF1_EBF_1 -17 0 + 0 0 . chr12 8077392 8077393 chr12:8077393:G:C rs767119 G C G EBF1_EBF_1 -18 0 + 0 0 . chr12 8146772 8146773 chr12:8146773:G:A rs76365742 G A . EBF1_EBF_1 20 0 - 0 0 . chr12 8146789 8146790 chr12:8146790:G:C rs151057491 G C . EBF1_EBF_1 3 1 - 5.555022704723066 -0.27274174339214946 TGTCCCAGAGGAAC chr12 8153879 8153880 chr12:8153880:C:T rs10840834 C T . EBF1_EBF_1 9 1 - 7.866931143586433 5.2119918781388295 ACTCCCTTGGAAAT chr12 8180245 8180246 chr12:8180246:G:C rs190201965 G C c EBF1_EBF_1 5 1 - 6.563902175501084 -0.34097897713408487 CCTCCCTGGAGACC chr12 8218318 8218319 chr12:8218319:T:C rs7975450 T C t EBF1_EBF_1 6 1 - 5.726967602596406 3.5601731713219307 GCCCCCATGGGGAC chr12 8224698 8224699 chr12:8224699:G:A rs190442090 G A . EBF1_EBF_1 18 0 + 0 0 . chr12 8231800 8231801 chr12:8231801:C:T rs202131353 C T . EBF1_EBF_1 13 1 + 4.313915738269005 5.158409852854523 AAACCCCAGGGCCC chr12 8231815 8231816 chr12:8231816:G:A rs200270566 G A . EBF1_EBF_1 28 0 + 0 0 . chr12 8239164 8239165 chr12:8239165:C:T rs35731850 C T . EBF1_EBF_1 -18 0 - 0 0 . chr12 8272148 8272149 chr12:8272149:G:C rs17729229 G C g EBF1_EBF_1 19 0 + 0 0 . chr12 8288112 8288113 chr12:8288113:C:T rs4519163 C T N EBF1_EBF_1 1 1 - 4.376152111324865 4.115453279403563 GGTCCCCCGAGAAG chr12 8373966 8373967 chr12:8373967:G:T rs186025288 G T . EBF1_EBF_1 27 0 + 0 0 . chr12 8392659 8392660 chr12:8392660:A:C rs11045060 A C . EBF1_EBF_1 8 1 + 5.714152711987231 4.621783939958807 GCCCCCAAAGGAAT chr12 8538108 8538109 chr12:8538109:A:C rs4551822 A C C EBF1_EBF_1 31 0 - 0 0 . chr12 8559823 8559824 chr12:8559824:A:G rs4459364 A G G EBF1_EBF_1 19 0 + 0 0 . chr12 8559827 8559828 chr12:8559828:G:A rs112107231 G A T EBF1_EBF_1 23 0 + 0 0 . chr12 8584802 8584803 chr12:8584803:C:T rs11046277 C T C EBF1_EBF_1 8 1 - 9.847929163837524 5.621639074951263 ACTCCCCCGGGAAA chr12 8597957 8597958 chr12:8597958:T:C rs7294897 T C T EBF1_EBF_1 -11 0 - 0 0 . chr12 8629905 8629906 chr12:8629906:G:A rs78968124 G A G EBF1_EBF_1 -18 0 - 0 0 . chr12 8660901 8660902 chr12:8660902:T:A rs12833793 T A T EBF1_EBF_1 22 0 + 0 0 . chr12 8828563 8828564 chr12:8828564:C:G rs7979289 C G G EBF1_EBF_1 -1 0 + 0 0 . chr12 8877215 8877216 chr12:8877216:T:C rs35800043 T C T EBF1_EBF_1 7 1 - 6.073963569776883 4.761505164488058 ATTGCCTAGAGAAT chr12 8914087 8914088 chr12:8914088:C:T rs114804788 C T C EBF1_EBF_1 -19 0 - 0 0 . chr12 8914318 8914319 chr12:8914319:A:C rs745765269 A C A EBF1_EBF_1 -14 0 + 0 0 . chr12 8914342 8914343 chr12:8914343:G:T rs142469283 G T G EBF1_EBF_1 10 1 + 4.682135612766964 0.49938342653969897 AAACCCCTGGGCCT chr12 9043927 9043928 chr12:9043928:C:G rs36061702 C G C EBF1_EBF_1 28 0 - 0 0 . chr12 9065699 9065700 chr12:9065700:T:C rs73050013 T C G EBF1_EBF_1 -15 0 - 0 0 . chr12 9107683 9107684 chr12:9107684:T:C rs226388 T C T EBF1_EBF_1 26 0 + 0 0 . chr12 9128768 9128769 chr12:9128769:G:A rs74060477 G A G EBF1_EBF_1 1 1 - 5.631753531266039 6.527186971664783 ACCCCCTTGGGCCA chr12 9134982 9134983 chr12:9134983:G:A rs76536818 G A G EBF1_EBF_1 19 0 - 0 0 . chr12 9147330 9147331 chr12:9147331:C:A rs6487633 C A C EBF1_EBF_1 -2 0 - 0 0 . chr12 9157289 9157290 chr12:9157290:G:A rs35095552 G A G EBF1_EBF_1 9 1 + 6.3804022031225145 3.725462937674911 GCTCCCATGGGTCC chr12 9245004 9245005 chr12:9245005:A:T rs2377748 A T A EBF1_EBF_1 26 0 - 0 0 . chr12 9299627 9299628 chr12:9299628:C:T rs148288411 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 9312655 9312656 chr12:9312656:G:A rs1335078103 G A . EBF1_EBF_1 20 0 + 0 0 . chr12 9322653 9322654 chr12:9322654:G:C rs113944417 G C g EBF1_EBF_1 7 1 + 7.611659567767089 6.630338986685733 GGCCCCCGGGGAAC chr12 9339310 9339311 chr12:9339311:T:G rs11050312 T G t EBF1_EBF_1 -11 0 + 0 0 . chr12 9349301 9349302 chr12:9349302:A:C rs4883243 A C c EBF1_EBF_1 -16 0 - 0 0 . chr12 9387761 9387762 chr12:9387762:G:C rs7139275 G C c EBF1_EBF_1 15 0 - 0 0 . chr12 9389928 9389929 chr12:9389929:C:T rs111676459 C T c EBF1_EBF_1 -5 0 - 0 0 . chr12 9415637 9415638 chr12:9415638:C:G rs142459037 C G . EBF1_EBF_1 -6 0 + 0 0 . chr12 9420827 9420828 chr12:9420828:G:A rs6487908 G A . EBF1_EBF_1 4 1 - 4.206054148611178 -0.1633327963337456 CCACCCAGGGGAGC chr12 9448467 9448468 chr12:9448468:C:T rs1484511684 C T C EBF1_EBF_1 18 0 + 0 0 . chr12 9448482 9448483 chr12:9448483:C:A chr12:9448483:C:A C A C EBF1_EBF_1 33 0 + 0 0 . chr12 9584302 9584303 chr12:9584303:G:A rs7305404 G A . EBF1_EBF_1 24 0 + 0 0 . chr12 9594869 9594870 chr12:9594870:T:C rs2058429 T C . EBF1_EBF_1 -17 0 + 0 0 . chr12 9649701 9649702 chr12:9649702:A:G rs113327090 A G A EBF1_EBF_1 -13 0 + 0 0 . chr12 9653470 9653471 chr12:9653471:G:A rs12310789 G A A EBF1_EBF_1 24 0 - 0 0 . chr12 9674104 9674105 chr12:9674105:G:A rs1118223 G A C EBF1_EBF_1 25 0 + 0 0 . chr12 9695393 9695394 chr12:9695394:C:T rs2401388 C T C EBF1_EBF_1 -17 0 + 0 0 . chr12 9716674 9716675 chr12:9716675:A:G rs2268146 A G G EBF1_EBF_1 -4 0 + 0 0 . chr12 9735799 9735800 chr12:9735800:T:C rs10844638 T C T EBF1_EBF_1 20 0 - 0 0 . chr12 9783045 9783046 chr12:9783046:C:G rs10844781 C G G EBF1_EBF_1 18 0 - 0 0 . chr12 9791103 9791104 chr12:9791104:G:A rs917915 G A A EBF1_EBF_1 32 0 + 0 0 . chr12 9810888 9810889 chr12:9810889:T:A rs17807354 T A A EBF1_EBF_1 28 0 - 0 0 . chr12 9819126 9819127 chr12:9819127:A:C rs10844866 A C C EBF1_EBF_1 23 0 + 0 0 . chr12 9852048 9852049 chr12:9852049:C:T rs2908700 C T C EBF1_EBF_1 3 1 + 5.793764625053505 -0.6116341164998111 ATTCACCAGGGAGA chr12 9951648 9951649 chr12:9951649:A:G rs117133882 A G A EBF1_EBF_1 28 0 - 0 0 . chr12 9951689 9951690 chr12:9951690:C:T rs7957464 C T T EBF1_EBF_1 -13 0 - 0 0 . chr12 10093884 10093885 chr12:10093885:A:T rs7976945 A T A EBF1_EBF_1 2 1 - 7.374823609268554 3.690356021669679 ATTCCCATGAGGAA chr12 10094944 10094945 chr12:10094945:G:A rs3912642 G A G EBF1_EBF_1 16 0 + 0 0 . chr12 10101643 10101644 chr12:10101644:C:T rs11053585 C T T EBF1_EBF_1 16 0 - 0 0 . chr12 10153996 10153997 chr12:10153997:A:G rs11835576 A G A EBF1_EBF_1 12 1 + 5.598429365373815 4.179612023274338 ACTCCGAAGGGAAA chr12 10154015 10154016 chr12:10154016:C:G rs763146827 C G C EBF1_EBF_1 31 0 + 0 0 . chr12 10184155 10184156 chr12:10184156:A:C rs4763454 A C C EBF1_EBF_1 33 0 + 0 0 . chr12 10212191 10212192 chr12:10212192:T:C rs946767615 T C T EBF1_EBF_1 25 0 - 0 0 . chr12 10212197 10212198 chr12:10212198:C:T rs74614910 C T C EBF1_EBF_1 19 0 - 0 0 . chr12 10214412 10214413 chr12:10214413:G:T rs73264703 G T G EBF1_EBF_1 9 1 + 7.880904726238802 1.0439153181499927 ATTCCCCAGGAATT chr12 10363866 10363867 chr12:10363867:G:A rs149162276 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 10363894 10363895 chr12:10363895:C:G rs146456672 C G C EBF1_EBF_1 21 0 + 0 0 . chr12 10481837 10481838 chr12:10481838:G:A rs111710145 G A G EBF1_EBF_1 8 1 + 5.233079878783742 1.0067897898974825 ACTCCCCTGGAAAG chr12 10541066 10541067 chr12:10541067:A:T rs7969250 A T A EBF1_EBF_1 -12 0 + 0 0 . chr12 10559443 10559444 chr12:10559444:C:T rs4763547 C T C EBF1_EBF_1 27 0 - 0 0 . chr12 10559448 10559449 chr12:10559449:T:C rs4763548 T C T EBF1_EBF_1 22 0 - 0 0 . chr12 10629515 10629516 chr12:10629516:T:C rs3759259 T C T EBF1_EBF_1 -7 0 + 0 0 . chr12 10641824 10641825 chr12:10641825:C:T rs7957167 C T C EBF1_EBF_1 0 1 + 9.98715801714488 10.269360077875458 CCTCCCTAGGGAGT chr12 10717631 10717632 chr12:10717632:T:C rs7304148 T C T EBF1_EBF_1 -10 0 - 0 0 . chr12 10722736 10722737 chr12:10722737:T:A rs756381315 T A T EBF1_EBF_1 -7 0 - 0 0 . chr12 10750077 10750078 chr12:10750078:G:C chr12:10750078:G:C G C G EBF1_EBF_1 31 0 - 0 0 . chr12 10750120 10750121 chr12:10750121:C:A rs114947808 C A C EBF1_EBF_1 -12 0 - 0 0 . chr12 10832259 10832260 chr12:10832260:G:A rs12427297 G A - EBF1_EBF_1 -9 0 - 0 0 . chr12 11047134 11047135 chr12:11047135:T:C rs2597984 T C t EBF1_EBF_1 32 0 - 0 0 . chr12 11131092 11131093 chr12:11131093:G:A rs113467751 G A G EBF1_EBF_1 21 0 + 0 0 . chr12 11500090 11500091 chr12:11500091:A:G rs61734140 A G A EBF1_EBF_1 13 1 + 6.742902994916092 5.628577422846653 GGTCCCTGGAGACA chr12 11657623 11657624 chr12:11657624:T:C rs956753 T C C EBF1_EBF_1 30 0 - 0 0 . chr12 11676583 11676584 chr12:11676584:T:C rs78997811 T C C EBF1_EBF_1 33 0 - 0 0 . chr12 11711169 11711170 chr12:11711170:A:G rs17818461 A G A EBF1_EBF_1 9 1 + 8.921723680234791 11.576662945682394 TGTCCCCAGAGAAT chr12 11725529 11725530 chr12:11725530:C:A rs2900208 C A C EBF1_EBF_1 5 1 + 6.884914801367205 -0.01996635126796309 TTTCCCATAGGATT chr12 11767945 11767946 chr12:11767946:G:A rs532871636 G A A EBF1_EBF_1 33 0 - 0 0 . chr12 11822299 11822300 chr12:11822300:A:G rs148910631 A G A EBF1_EBF_1 13 1 + 5.639883564768092 4.525557992698653 ATTCCCCTGGCACA chr12 11822318 11822319 chr12:11822319:A:G rs923172270 A G A EBF1_EBF_1 32 0 + 0 0 . chr12 11835655 11835656 chr12:11835656:A:T rs116291498 A T A EBF1_EBF_1 11 1 + 5.729793642278727 1.6318793861800016 CTTCTCCAGGGATC chr12 11859996 11859997 chr12:11859997:G:A rs114932093 G A G EBF1_EBF_1 4 1 - 9.976547078732315 5.60716013378739 CATCCCAAGGGAGT chr12 11884939 11884940 chr12:11884940:T:G chr12:11884940:T:G T G T EBF1_EBF_1 14 0 + 0 0 . chr12 11893406 11893407 chr12:11893407:A:G rs2855748 A G A EBF1_EBF_1 -19 0 + 0 0 . chr12 11893443 11893444 chr12:11893444:T:C rs9870 T C T EBF1_EBF_1 18 0 + 0 0 . chr12 11902500 11902501 chr12:11902501:C:G rs2723833 C G G EBF1_EBF_1 -10 0 + 0 0 . chr12 11947545 11947546 chr12:11947546:G:A chr12:11947546:G:A G A G EBF1_EBF_1 1 1 - 4.9160411245061075 5.811474564904853 CCTCCCACAGGACT chr12 11970214 11970215 chr12:11970215:G:A rs12229243 G A G EBF1_EBF_1 -8 0 + 0 0 . chr12 11981528 11981529 chr12:11981529:C:T rs140920657 C T C EBF1_EBF_1 10 1 - 4.4614536140340775 -0.8565748452328894 ATTCCCAGGCGGCC chr12 11981546 11981547 chr12:11981547:T:G rs984344373 T G T EBF1_EBF_1 -8 0 - 0 0 . chr12 11984915 11984916 chr12:11984916:T:C rs1046615006 T C T EBF1_EBF_1 14 0 - 0 0 . chr12 12006746 12006747 chr12:12006747:T:C rs61162753 T C C EBF1_EBF_1 12 1 - 6.722751068020451 5.303933725920974 ACCCCCTGGGGGAC chr12 12006752 12006753 chr12:12006753:A:G rs28537342 A G - EBF1_EBF_1 6 1 - 6.722751068020451 6.421464939158695 ACCCCCTGGGGGAC chr12 12018571 12018572 chr12:12018572:A:C rs35258987 A C A EBF1_EBF_1 -8 0 + 0 0 . chr12 12018609 12018610 chr12:12018610:G:A rs114307365 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 12053030 12053031 chr12:12053031:T:G rs2961555 T G C EBF1_EBF_1 6 1 - 9.961200728265393 9.599598360464116 GTCCCCAAGGGACC chr12 12071608 12071609 chr12:12071609:C:T rs2287154 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 12072367 12072368 chr12:12072368:C:T rs34206364 C T C EBF1_EBF_1 -16 0 + 0 0 . chr12 12268124 12268125 chr12:12268125:A:T rs12309338 A T A EBF1_EBF_1 -17 0 + 0 0 . chr12 12281209 12281210 chr12:12281210:T:C rs1071994 T C T EBF1_EBF_1 21 0 + 0 0 . chr12 12293998 12293999 chr12:12293999:G:A rs4763797 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 12308531 12308532 chr12:12308532:C:T rs4763276 C T C EBF1_EBF_1 7 1 - 7.164850477970803 8.477308883259628 AACCCCCGGGGGCT chr12 12315176 12315177 chr12:12315177:A:T rs7963823 A T G EBF1_EBF_1 11 1 + 5.045532455852831 0.9476181997541053 ATCCCCCCGGTAAC chr12 12319983 12319984 chr12:12319984:T:C rs1317893 T C C EBF1_EBF_1 -14 0 + 0 0 . chr12 12612286 12612287 chr12:12612287:C:T rs3940353 C T C EBF1_EBF_1 12 1 + 6.133722266541176 5.107455695990327 ACACCCAAGAGACA chr12 12681959 12681960 chr12:12681960:C:A rs10845606 C A C EBF1_EBF_1 19 0 - 0 0 . chr12 12696175 12696176 chr12:12696176:C:G rs562520673 C G C EBF1_EBF_1 32 0 + 0 0 . chr12 12698404 12698405 chr12:12698405:T:G rs10492235 T G T EBF1_EBF_1 24 0 + 0 0 . chr12 12716730 12716731 chr12:12716731:T:C rs189853108 T C T EBF1_EBF_1 -18 0 + 0 0 . chr12 12716734 12716735 chr12:12716735:C:A rs143010675 C A C EBF1_EBF_1 -14 0 + 0 0 . chr12 12747504 12747505 chr12:12747505:C:G rs145731648 C G c EBF1_EBF_1 17 0 - 0 0 . chr12 12747511 12747512 chr12:12747512:C:T rs7980747 C T c EBF1_EBF_1 10 1 - 4.143152294603223 -1.1748761646637427 CCTCCCTGGCGACC chr12 12747523 12747524 chr12:12747524:A:G rs559336561 A G a EBF1_EBF_1 -2 0 - 0 0 . chr12 12776511 12776512 chr12:12776512:C:T rs373930107 C T T EBF1_EBF_1 20 0 - 0 0 . chr12 12804667 12804668 chr12:12804668:A:G rs151100112 A G a EBF1_EBF_1 20 0 - 0 0 . chr12 12808439 12808440 chr12:12808440:G:C rs12229881 G C G EBF1_EBF_1 25 0 + 0 0 . chr12 12811010 12811011 chr12:12811011:T:A rs11834394 T A A EBF1_EBF_1 -5 0 - 0 0 . chr12 12881496 12881497 chr12:12881497:A:G rs79842841 A G A EBF1_EBF_1 7 1 - 7.047283597755626 5.229778851473002 GATCCCCTGAGAAA chr12 12888627 12888628 chr12:12888628:G:T rs10845663 G T G EBF1_EBF_1 17 0 + 0 0 . chr12 12888636 12888637 chr12:12888637:C:T rs73055073 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 12917817 12917818 chr12:12917818:A:G rs1056927 A G G EBF1_EBF_1 25 0 + 0 0 . chr12 12939007 12939008 chr12:12939008:A:G rs1291348 A G A EBF1_EBF_1 24 0 - 0 0 . chr12 13002061 13002062 chr12:13002062:C:T rs61210233 C T C EBF1_EBF_1 -14 0 - 0 0 . chr12 13044028 13044029 chr12:13044029:G:T rs1872625 G T g EBF1_EBF_1 9 1 + 9.737058442889618 2.900069034800808 CGCCCCAAGGGACT chr12 13097735 13097736 chr12:13097736:A:G rs113339793 A G A EBF1_EBF_1 14 0 - 0 0 . chr12 13117011 13117012 chr12:13117012:A:G rs3983739 A G A EBF1_EBF_1 23 0 + 0 0 . chr12 13217547 13217548 chr12:13217548:C:T rs13519 C T T EBF1_EBF_1 -14 0 + 0 0 . chr12 13218644 13218645 chr12:13218645:G:T rs10772633 G T T EBF1_EBF_1 -6 0 - 0 0 . chr12 13247908 13247909 chr12:13247909:T:C rs4763331 T C T EBF1_EBF_1 25 0 + 0 0 . chr12 13256246 13256247 chr12:13256247:T:C rs150280024 T C T EBF1_EBF_1 7 1 + 8.586525044803299 6.769020298520677 CATCCCATGGGAAG chr12 13315073 13315074 chr12:13315074:T:A rs4763964 T A T EBF1_EBF_1 7 1 + 5.69678620417508 6.173060444262638 GCCCCCATGGGGCC chr12 13373370 13373371 chr12:13373371:T:C rs7308685 T C C EBF1_EBF_1 -12 0 - 0 0 . chr12 13427619 13427620 chr12:13427620:C:A rs17213328 C A C EBF1_EBF_1 -19 0 - 0 0 . chr12 13437287 13437288 chr12:13437288:C:T rs115521256 C T C EBF1_EBF_1 13 1 + 10.120174549542645 10.964668664128164 ACCCCCCTGGGACC chr12 13437306 13437307 chr12:13437307:T:C rs7304436 T C C EBF1_EBF_1 32 0 + 0 0 . chr12 13606467 13606468 chr12:13606468:A:C rs12823855 A C A EBF1_EBF_1 6 1 + 9.20298014149888 8.841377773697603 CCTCCCAAGGGAGC chr12 13700644 13700645 chr12:13700645:A:G rs7297761 A G G EBF1_EBF_1 28 0 + 0 0 . chr12 13739798 13739799 chr12:13739799:G:A rs145417246 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 13799959 13799960 chr12:13799960:A:G rs11055625 A G A EBF1_EBF_1 16 0 - 0 0 . chr12 13799988 13799989 chr12:13799989:A:C chr12:13799989:A:C A C A EBF1_EBF_1 -13 0 - 0 0 . chr12 13836446 13836447 chr12:13836447:G:C rs60894392 G C G EBF1_EBF_1 12 1 - 6.657373433070453 5.268737489392302 GATCCCAAAGGACC chr12 13892783 13892784 chr12:13892784:G:A rs10845858 G A G EBF1_EBF_1 0 1 + 5.795968950050177 7.688252819615009 GTTCCCTTGAGGAC chr12 13941046 13941047 chr12:13941047:G:A rs219906 G A G EBF1_EBF_1 10 1 + 10.78188310690985 5.463854647642885 CTTCCCCAGGGAAA chr12 14044065 14044066 chr12:14044066:A:C chr12:14044066:A:C A C A EBF1_EBF_1 18 0 + 0 0 . chr12 14066279 14066280 chr12:14066280:T:C rs139359826 T C T EBF1_EBF_1 -15 0 + 0 0 . chr12 14066304 14066305 chr12:14066305:G:A rs73053600 G A G EBF1_EBF_1 10 1 + 6.143692558600571 0.825664099333605 AATCACCTGGGAAC chr12 14258872 14258873 chr12:14258873:C:T rs76607066 C T C EBF1_EBF_1 3 1 + 6.610075642869623 0.20467690131630545 ATTCCTAAGAGACT chr12 14366359 14366360 chr12:14366360:C:T rs754935677 C T C EBF1_EBF_1 6 1 - 6.219222987187467 8.386017418461941 CTTCCCGTGAGAAC chr12 14560858 14560859 chr12:14560859:T:C rs7134154 T C T EBF1_EBF_1 -17 0 + 0 0 . chr12 14627276 14627277 chr12:14627277:G:C rs7307553 G C G EBF1_EBF_1 18 0 + 0 0 . chr12 14705311 14705312 chr12:14705312:A:T rs61920223 A T A EBF1_EBF_1 -14 0 + 0 0 . chr12 14767034 14767035 chr12:14767035:A:G rs11830437 A G A EBF1_EBF_1 -9 0 - 0 0 . chr12 14773569 14773570 chr12:14773570:A:G rs11056183 A G A EBF1_EBF_1 -3 0 + 0 0 . chr12 14775059 14775060 chr12:14775060:T:C rs2195199 T C C EBF1_EBF_1 19 0 - 0 0 . chr12 14775081 14775082 chr12:14775082:G:T rs7969159 G T G EBF1_EBF_1 -3 0 - 0 0 . chr12 14869096 14869097 chr12:14869097:C:T rs11056223 C T C EBF1_EBF_1 18 0 + 0 0 . chr12 14879085 14879086 chr12:14879086:C:T rs11056227 C T C EBF1_EBF_1 32 0 - 0 0 . chr12 14884538 14884539 chr12:14884539:G:A rs540096217 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 14884578 14884579 chr12:14884579:A:G rs115717800 A G A EBF1_EBF_1 -3 0 + 0 0 . chr12 14884590 14884591 chr12:14884591:A:G rs113748586 A G A EBF1_EBF_1 9 1 + 5.6808990436703715 8.335838309117975 AGTCTCCAGAGAAC chr12 14911327 14911328 chr12:14911328:T:C rs4764132 T C C EBF1_EBF_1 6 1 - 7.472467477372905 5.30567304609843 AGCCCCATGAGAGT chr12 14952696 14952697 chr12:14952697:A:G rs4763408 A G g EBF1_EBF_1 30 0 - 0 0 . chr12 14959583 14959584 chr12:14959584:C:G rs12579745 C G C EBF1_EBF_1 16 0 + 0 0 . chr12 14959714 14959715 chr12:14959715:A:T rs2430716 A T T EBF1_EBF_1 -2 0 + 0 0 . chr12 14981160 14981161 chr12:14981161:C:A rs12422943 C A A EBF1_EBF_1 25 0 + 0 0 . chr12 14982296 14982297 chr12:14982297:T:C rs7301508 T C C EBF1_EBF_1 14 0 + 0 0 . chr12 15185589 15185590 chr12:15185590:A:C rs16910677 A C A EBF1_EBF_1 27 0 - 0 0 . chr12 15186861 15186862 chr12:15186862:A:G rs571527590 A G A EBF1_EBF_1 -5 0 - 0 0 . chr12 15363274 15363275 chr12:15363275:T:C rs73057259 T C T EBF1_EBF_1 2 1 + 6.382314763543601 4.49349135210145 CTTCTCCAGGGACA chr12 15829376 15829377 chr12:15829377:A:G rs61908140 A G A EBF1_EBF_1 6 1 + 6.340394689157751 4.173600257883277 ACTCCAATGGGAAT chr12 15911534 15911535 chr12:15911535:C:A rs1013080447 C A C EBF1_EBF_1 -11 0 - 0 0 . chr12 16169972 16169973 chr12:16169973:G:A rs1405513 G A G EBF1_EBF_1 15 0 - 0 0 . chr12 16299901 16299902 chr12:16299902:G:A rs76059986 G A G EBF1_EBF_1 -16 0 - 0 0 . chr12 16300939 16300940 chr12:16300940:C:G rs184805793 C G C EBF1_EBF_1 -10 0 - 0 0 . chr12 16389857 16389858 chr12:16389858:G:C rs906002576 G C G EBF1_EBF_1 15 0 - 0 0 . chr12 16586827 16586828 chr12:16586828:T:C rs16912043 T C T EBF1_EBF_1 31 0 + 0 0 . chr12 16940875 16940876 chr12:16940876:C:T rs11057106 C T C EBF1_EBF_1 -10 0 + 0 0 . chr12 17015533 17015534 chr12:17015534:C:T rs567453786 C T C EBF1_EBF_1 22 0 + 0 0 . chr12 17015535 17015536 chr12:17015536:T:C rs534815478 T C T EBF1_EBF_1 24 0 + 0 0 . chr12 17348606 17348607 chr12:17348607:C:T rs146816037 C T C EBF1_EBF_1 31 0 + 0 0 . chr12 17391666 17391667 chr12:17391667:A:G rs118155092 A G A EBF1_EBF_1 7 1 - 4.56769573603786 2.750190989755235 CCTCCCATGAGGCC chr12 17609390 17609391 chr12:17609391:G:T rs2437992 G T T EBF1_EBF_1 -4 0 + 0 0 . chr12 18271051 18271052 chr12:18271052:G:C rs567390970 G C G EBF1_EBF_1 26 0 + 0 0 . chr12 18274653 18274654 chr12:18274654:G:A rs80204864 G A g EBF1_EBF_1 19 0 + 0 0 . chr12 18423377 18423378 chr12:18423378:G:C rs78780098 G C G EBF1_EBF_1 -5 0 - 0 0 . chr12 18457564 18457565 chr12:18457565:C:G rs12230457 C G C EBF1_EBF_1 11 1 + 6.634926953461556 8.158181807709935 TTTCCCTTGGGCTT chr12 18462577 18462578 chr12:18462578:T:C rs74070269 T C T EBF1_EBF_1 33 0 - 0 0 . chr12 18579417 18579418 chr12:18579418:T:G rs10841044 T G G EBF1_EBF_1 28 0 + 0 0 . chr12 18754551 18754552 chr12:18754552:T:C rs1960496 T C T EBF1_EBF_1 12 1 + 9.593137882570616 10.619404453121465 ATTCCCAGGAGATT chr12 18794157 18794158 chr12:18794158:C:T rs12368843 C T C EBF1_EBF_1 9 1 - 6.937172749140808 4.282233483693204 AATCCCAGGGTAAA chr12 18896261 18896262 chr12:18896262:C:G rs899105593 C G C EBF1_EBF_1 6 1 + 8.790530904666118 6.98533884119292 CTCCCCCTGGGAAC chr12 18898016 18898017 chr12:18898017:A:T rs116696008 A T A EBF1_EBF_1 25 0 - 0 0 . chr12 18925231 18925232 chr12:18925232:A:C rs3983611 A C C EBF1_EBF_1 17 0 + 0 0 . chr12 18951868 18951869 chr12:18951869:T:C rs10743295 T C T EBF1_EBF_1 11 1 - 10.248052034312382 7.355345190708371 CCTCCCAAGGGAAA chr12 19005407 19005408 chr12:19005408:C:T rs142902219 C T C EBF1_EBF_1 -5 0 - 0 0 . chr12 19021453 19021454 chr12:19021454:G:A rs117484500 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 19031335 19031336 chr12:19031336:G:A rs80346106 G A G EBF1_EBF_1 -12 0 + 0 0 . chr12 19038645 19038646 chr12:19038646:T:C rs74064368 T C T EBF1_EBF_1 -4 0 + 0 0 . chr12 19038665 19038666 chr12:19038666:T:C rs74064370 T C T EBF1_EBF_1 16 0 + 0 0 . chr12 19097033 19097034 chr12:19097034:C:T rs56322646 C T T EBF1_EBF_1 -20 0 + 0 0 . chr12 19129542 19129543 chr12:19129543:G:C rs1275240617 G C G EBF1_EBF_1 -13 0 - 0 0 . chr12 19227312 19227313 chr12:19227313:C:T rs117616506 C T C EBF1_EBF_1 10 1 - 5.574555582114715 0.25652712284774865 CTTCCCAGAGGACA chr12 19440204 19440205 chr12:19440205:G:T rs752111783 G T G EBF1_EBF_1 -15 0 - 0 0 . chr12 19545233 19545234 chr12:19545234:G:A rs3903219 G A G EBF1_EBF_1 12 1 - 5.49171469142742 4.46544812087657 TCTCCTTAGGGACC chr12 19550768 19550769 chr12:19550769:C:T rs11044672 C T C EBF1_EBF_1 14 0 - 0 0 . chr12 19640390 19640391 chr12:19640391:T:C rs12818282 T C C EBF1_EBF_1 22 0 - 0 0 . chr12 19675322 19675323 chr12:19675323:T:C rs73348970 T C T EBF1_EBF_1 -1 0 + 0 0 . chr12 19740952 19740953 chr12:19740953:G:C rs10841336 G C C EBF1_EBF_1 19 0 - 0 0 . chr12 19760883 19760884 chr12:19760884:A:G rs17356261 A G A EBF1_EBF_1 17 0 + 0 0 . chr12 19783997 19783998 chr12:19783998:G:C rs2695012 G C G EBF1_EBF_1 -20 0 - 0 0 . chr12 19784334 19784335 chr12:19784335:C:G rs61918495 C G G EBF1_EBF_1 8 1 + 8.200879109312405 13.51953797022709 AGTCCCCACGGACT chr12 19784344 19784345 chr12:19784345:C:G rs61918496 C G C EBF1_EBF_1 18 0 + 0 0 . chr12 19825930 19825931 chr12:19825931:A:G rs12831504 A G A EBF1_EBF_1 -2 0 + 0 0 . chr12 19839542 19839543 chr12:19839543:A:G rs7302479 A G A EBF1_EBF_1 -5 0 + 0 0 . chr12 19841216 19841217 chr12:19841217:A:C rs1388678 A C A EBF1_EBF_1 21 0 - 0 0 . chr12 19848628 19848629 chr12:19848629:C:T rs116083357 C T C EBF1_EBF_1 -9 0 - 0 0 . chr12 20019889 20019890 chr12:20019890:G:A rs2728590 G A A EBF1_EBF_1 26 0 - 0 0 . chr12 20024426 20024427 chr12:20024427:T:G rs2579189 T G G EBF1_EBF_1 25 0 + 0 0 . chr12 20039693 20039694 chr12:20039694:A:G rs11045003 A G A EBF1_EBF_1 6 1 + 8.277020429122723 6.1102259978482465 ACTCCCAAAGGAAA chr12 20040037 20040038 chr12:20040038:G:A rs3752728 G A A EBF1_EBF_1 9 1 - 7.858923653459405 6.097623391716059 ATTCCCCTGCGAAC chr12 20047325 20047326 chr12:20047326:C:T rs148289970 C T c EBF1_EBF_1 -13 0 - 0 0 . chr12 20135781 20135782 chr12:20135782:G:T rs181387529 G T G EBF1_EBF_1 -10 0 + 0 0 . chr12 20201703 20201704 chr12:20201704:C:A rs116312501 C A C EBF1_EBF_1 -18 0 - 0 0 . chr12 20264546 20264547 chr12:20264547:C:A rs4762941 C A C EBF1_EBF_1 -2 0 + 0 0 . chr12 20298970 20298971 chr12:20298971:G:C rs275002 G C C EBF1_EBF_1 -1 0 + 0 0 . chr12 20350593 20350594 chr12:20350594:A:G rs574692973 A G A EBF1_EBF_1 32 0 - 0 0 . chr12 20368654 20368655 chr12:20368655:A:G rs916018285 A G A EBF1_EBF_1 25 0 - 0 0 . chr12 20369514 20369515 chr12:20369515:G:A rs79716763 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 20374167 20374168 chr12:20374168:A:T rs77615740 A T A EBF1_EBF_1 20 0 - 0 0 . chr12 20380994 20380995 chr12:20380995:T:C rs7305462 T C T EBF1_EBF_1 1 1 - 5.997590673478236 6.258289505399539 AATCCCTAGGAATA chr12 20469898 20469899 chr12:20469899:G:A rs59843471 G A G EBF1_EBF_1 29 0 - 0 0 . chr12 20588804 20588805 chr12:20588805:G:A rs4265637 G A G EBF1_EBF_1 -9 0 - 0 0 . chr12 20588814 20588815 chr12:20588815:A:G rs4379889 A G A EBF1_EBF_1 -19 0 - 0 0 . chr12 20656743 20656744 chr12:20656744:G:A rs193261041 G A G EBF1_EBF_1 -10 0 + 0 0 . chr12 20678779 20678780 chr12:20678780:T:C rs11045385 T C T EBF1_EBF_1 -3 0 - 0 0 . chr12 20807573 20807574 chr12:20807574:C:T rs28855787 C T - EBF1_EBF_1 19 0 - 0 0 . chr12 20807584 20807585 chr12:20807585:C:T rs11611945 C T - EBF1_EBF_1 8 1 - 5.493897213354805 1.267607124468543 CTCCCCCTGGGGCA chr12 21073255 21073256 chr12:21073256:A:T rs2417871 A T T EBF1_EBF_1 22 0 - 0 0 . chr12 21170037 21170038 chr12:21170038:G:C rs12313639 G C G EBF1_EBF_1 26 0 + 0 0 . chr12 21182355 21182356 chr12:21182356:T:G rs1564369 T G t EBF1_EBF_1 15 0 - 0 0 . chr12 21305725 21305726 chr12:21305726:T:C rs11838023 T C T EBF1_EBF_1 28 0 - 0 0 . chr12 21337528 21337529 chr12:21337529:A:G rs2306230 A G G EBF1_EBF_1 7 1 + 11.365371296502184 10.05291289121336 TTTCCCTAGGGAAA chr12 21337549 21337550 chr12:21337550:T:C rs2306229 T C C EBF1_EBF_1 28 0 + 0 0 . chr12 21571195 21571196 chr12:21571196:T:G rs12372504 T G T EBF1_EBF_1 -13 0 + 0 0 . chr12 21711594 21711595 chr12:21711595:T:A rs74067624 T A T EBF1_EBF_1 33 0 - 0 0 . chr12 21881685 21881686 chr12:21881686:C:T rs7301876 C T C EBF1_EBF_1 -7 0 + 0 0 . chr12 21941979 21941980 chr12:21941980:G:A rs11046239 G A A EBF1_EBF_1 10 1 + 4.77224001749206 -0.5457884417749048 ACTCCCCCGCGAAA chr12 21983255 21983256 chr12:21983256:G:A rs543986540 G A G EBF1_EBF_1 27 0 + 0 0 . chr12 22003663 22003664 chr12:22003664:T:A rs7314084 T A T EBF1_EBF_1 26 0 + 0 0 . chr12 22102047 22102048 chr12:22102048:G:A rs116302898 G A G EBF1_EBF_1 22 0 + 0 0 . chr12 22102641 22102642 chr12:22102642:T:C rs2909067 T C C EBF1_EBF_1 0 1 - 4.794982658904405 2.9026987893395715 AGCCACTGGGGACT chr12 22102643 22102644 chr12:22102644:T:C rs74068880 T C T EBF1_EBF_1 -2 0 - 0 0 . chr12 22188643 22188644 chr12:22188644:C:A rs66611307 C A C EBF1_EBF_1 23 0 + 0 0 . chr12 22230946 22230947 chr12:22230947:A:G rs7311793 A G G EBF1_EBF_1 -11 0 + 0 0 . chr12 22283472 22283473 chr12:22283473:C:G rs148577808 C G C EBF1_EBF_1 20 0 - 0 0 . chr12 22286096 22286097 chr12:22286097:C:T rs3819877 C T T EBF1_EBF_1 -7 0 + 0 0 . chr12 22712154 22712155 chr12:22712155:C:G rs6487312 C G C EBF1_EBF_1 -12 0 - 0 0 . chr12 22759456 22759457 chr12:22759457:A:G rs2726335 A G A EBF1_EBF_1 -15 0 + 0 0 . chr12 22803202 22803203 chr12:22803203:T:C rs7301416 T C T EBF1_EBF_1 -13 0 - 0 0 . chr12 22826304 22826305 chr12:22826305:G:C rs74947144 G C G EBF1_EBF_1 3 1 - 6.324918294767535 0.4971538466523202 AGTCCCCTGGGCAG chr12 22826410 22826411 chr12:22826411:G:C rs73086527 G C C EBF1_EBF_1 1 1 + 9.501552428103517 9.311780773534302 AGTCCCTTGAGAAA chr12 22861175 22861176 chr12:22861176:A:G rs3962536 A G A EBF1_EBF_1 25 0 - 0 0 . chr12 22861186 22861187 chr12:22861187:C:T rs180918235 C T C EBF1_EBF_1 14 0 - 0 0 . chr12 23023518 23023519 chr12:23023519:T:C rs11046736 T C T EBF1_EBF_1 18 0 - 0 0 . chr12 23054974 23054975 chr12:23054975:C:T rs17175607 C T C EBF1_EBF_1 29 0 + 0 0 . chr12 23415336 23415337 chr12:23415337:C:T rs920888 C T T EBF1_EBF_1 25 0 + 0 0 . chr12 23540781 23540782 chr12:23540782:C:G rs7977455 C G G EBF1_EBF_1 -1 0 + 0 0 . chr12 23570552 23570553 chr12:23570553:G:A rs12299803 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 23646278 23646279 chr12:23646279:A:G rs11047022 A G A EBF1_EBF_1 26 0 - 0 0 . chr12 23726619 23726620 chr12:23726620:A:G rs7295342 A G G EBF1_EBF_1 16 0 + 0 0 . chr12 23773445 23773446 chr12:23773446:G:A rs11047091 G A G EBF1_EBF_1 32 0 - 0 0 . chr12 23965031 23965032 chr12:23965032:C:A rs10743483 C A C EBF1_EBF_1 13 1 + 6.50487765691726 6.131132207631284 AGCCCCCAGGGGGC chr12 24111158 24111159 chr12:24111159:C:T rs77823209 C T C EBF1_EBF_1 9 1 - 7.574801964529023 4.91986269908142 CCTCCCGTGGGACA chr12 24280641 24280642 chr12:24280642:G:A rs488353 G A G EBF1_EBF_1 6 1 - 5.497456659398531 5.798742788260289 TTTCCCCGGGGCTT chr12 24352992 24352993 chr12:24352993:A:G rs472320 A G G EBF1_EBF_1 13 1 - 6.141526115090566 5.297032000505048 CATCCCCTGGGCAT chr12 24562082 24562083 chr12:24562083:A:T chr12:24562083:A:T A T A EBF1_EBF_1 11 1 + 4.704165963307732 0.6062517072090068 CGCCCCCGGGGATG chr12 24562083 24562084 chr12:24562084:T:A chr12:24562084:T:A T A T EBF1_EBF_1 12 1 + 4.704165963307732 5.760613932279908 CGCCCCCGGGGATG chr12 24573678 24573679 chr12:24573679:C:T rs3919954 C T C EBF1_EBF_1 -3 0 + 0 0 . chr12 24768646 24768647 chr12:24768647:A:T rs56346767 A T T EBF1_EBF_1 11 1 - 6.040556072721631 10.138470328820356 TCTCCCCAGGGTCA chr12 24921915 24921916 chr12:24921916:T:G rs7303413 T G T EBF1_EBF_1 -15 0 + 0 0 . chr12 24945959 24945960 chr12:24945960:A:G rs76368938 A G A EBF1_EBF_1 24 0 - 0 0 . chr12 24967229 24967230 chr12:24967230:G:A rs1566405 G A N EBF1_EBF_1 10 1 + 5.1979446319269025 -0.12008382734006366 AATGCCCAGGGAGC chr12 25024975 25024976 chr12:25024976:C:G rs16928351 C G C EBF1_EBF_1 22 0 - 0 0 . chr12 25135940 25135941 chr12:25135941:G:C rs11047854 G C G EBF1_EBF_1 18 0 - 0 0 . chr12 25167305 25167306 chr12:25167306:C:G rs140960280 C G c EBF1_EBF_1 23 0 + 0 0 . chr12 25361662 25361663 chr12:25361663:G:A rs1994581 G A A EBF1_EBF_1 14 0 + 0 0 . chr12 25375435 25375436 chr12:25375436:C:T rs7307244 C T T EBF1_EBF_1 19 0 - 0 0 . chr12 25385036 25385037 chr12:25385037:C:T rs112109688 C T C EBF1_EBF_1 -10 0 - 0 0 . chr12 25386209 25386210 chr12:25386210:T:G rs764676379 T G T EBF1_EBF_1 2 1 + 7.404646300470392 0.656320610269925 ACTCCCAAGGGCTC chr12 25459882 25459883 chr12:25459883:C:T rs936799350 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 25714414 25714415 chr12:25714415:G:A rs11048188 G A G EBF1_EBF_1 8 1 + 7.626273562055298 3.3999834731690366 AGTCCCAGGGGTCC chr12 25797765 25797766 chr12:25797766:A:G rs559685050 A G A EBF1_EBF_1 2 1 - 5.383202569403382 3.4943791579612324 GCTCCCAAGGTAGC chr12 25883406 25883407 chr12:25883407:G:A rs61915188 G A G EBF1_EBF_1 -20 0 + 0 0 . chr12 25993109 25993110 chr12:25993110:C:T rs1495656 C T T EBF1_EBF_1 -15 0 + 0 0 . chr12 26010523 26010524 chr12:26010524:C:G chr12:26010524:C:G C G C EBF1_EBF_1 14 0 - 0 0 . chr12 26227798 26227799 chr12:26227799:G:A rs73295095 G A G EBF1_EBF_1 -11 0 + 0 0 . chr12 26270995 26270996 chr12:26270996:C:A rs10505994 C A C EBF1_EBF_1 23 0 - 0 0 . chr12 26274062 26274063 chr12:26274063:G:A rs61914512 G A G EBF1_EBF_1 16 0 + 0 0 . chr12 26318136 26318137 chr12:26318137:C:G rs1027089 C G C EBF1_EBF_1 10 1 - 5.931682659743299 -0.9591197275861758 ATTCCACAGGGAGT chr12 26379014 26379015 chr12:26379015:G:T rs73079177 G T G EBF1_EBF_1 -10 0 + 0 0 . chr12 26379020 26379021 chr12:26379021:G:A rs145339736 G A G EBF1_EBF_1 -4 0 + 0 0 . chr12 26379023 26379024 chr12:26379024:T:G rs147675659 T G T EBF1_EBF_1 -1 0 + 0 0 . chr12 26460707 26460708 chr12:26460708:A:C rs11048530 A C A EBF1_EBF_1 21 0 - 0 0 . chr12 26574848 26574849 chr12:26574849:G:A rs56035747 G A G EBF1_EBF_1 5 1 - 5.525749632347058 0.20396241680638777 GTTCCCGTGAGATC chr12 26769081 26769082 chr12:26769082:C:T rs111567655 C T C EBF1_EBF_1 8 1 - 5.274959872240556 1.048669783354294 GCTCCCCAGGAACC chr12 27014517 27014518 chr12:27014518:C:G rs537934191 C G C EBF1_EBF_1 -16 0 + 0 0 . chr12 27022734 27022735 chr12:27022735:C:T chr12:27022735:C:T C T C EBF1_EBF_1 27 0 - 0 0 . chr12 27022735 27022736 chr12:27022736:T:C rs117322672 T C T EBF1_EBF_1 26 0 - 0 0 . chr12 27022777 27022778 chr12:27022778:G:C rs762062836 G C G EBF1_EBF_1 -16 0 - 0 0 . chr12 27022894 27022895 chr12:27022895:C:G rs758821586 C G C EBF1_EBF_1 -17 0 + 0 0 . chr12 27057646 27057647 chr12:27057647:G:A rs7312239 G A A EBF1_EBF_1 14 0 - 0 0 . chr12 27095015 27095016 chr12:27095016:G:T rs859224 G T T EBF1_EBF_1 32 0 - 0 0 . chr12 27119874 27119875 chr12:27119875:T:C rs841633 T C c EBF1_EBF_1 33 0 - 0 0 . chr12 27120993 27120994 chr12:27120994:C:A rs841630 C A a EBF1_EBF_1 30 0 + 0 0 . chr12 27195750 27195751 chr12:27195751:C:T rs147481740 C T C EBF1_EBF_1 -6 0 + 0 0 . chr12 27244455 27244456 chr12:27244456:C:T rs146152748 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 27244501 27244502 chr12:27244502:C:G chr12:27244502:C:G C G C EBF1_EBF_1 -20 0 - 0 0 . chr12 27244539 27244540 chr12:27244540:A:G rs190870378 A G A EBF1_EBF_1 -19 0 - 0 0 . chr12 27303461 27303462 chr12:27303462:G:A rs12809993 G A G EBF1_EBF_1 12 1 + 8.096290544499686 9.515107886599163 GTCCCCATGGGAGC chr12 27303462 27303463 chr12:27303463:C:G rs79624958 C G C EBF1_EBF_1 13 1 + 8.096290544499686 6.608219523144272 GTCCCCATGGGAGC chr12 27310228 27310229 chr12:27310229:A:G rs115388609 A G A EBF1_EBF_1 14 0 + 0 0 . chr12 27334534 27334535 chr12:27334535:T:C rs11610949 T C T EBF1_EBF_1 7 1 - 6.64856949613419 5.336111090845364 ACTCACAAGGGACA chr12 27384142 27384143 chr12:27384143:C:T rs114741409 C T C EBF1_EBF_1 8 1 - 5.399560791306629 1.173270702420369 CATCCCCAGGGCAA chr12 27428792 27428793 chr12:27428793:G:T rs143639198 G T G EBF1_EBF_1 4 1 - 6.431734920339567 0.6071752968861004 ACCCCCAAGGTAAA chr12 27464020 27464021 chr12:27464021:C:T rs115355909 C T C EBF1_EBF_1 8 1 - 4.610914305070223 0.38462421618396153 ATTCCACTGGGAGC chr12 27478446 27478447 chr12:27478447:A:C rs415192 A C C EBF1_EBF_1 17 0 - 0 0 . chr12 27524337 27524338 chr12:27524338:C:G rs1027699804 C G C EBF1_EBF_1 -19 0 + 0 0 . chr12 27524369 27524370 chr12:27524370:G:A rs191547149 G A G EBF1_EBF_1 13 1 + 4.955806980468851 6.07013255253829 ACCCCCCAGGTAAG chr12 27530857 27530858 chr12:27530858:G:A rs57662555 G A G EBF1_EBF_1 28 0 + 0 0 . chr12 27530858 27530859 chr12:27530859:C:T rs57426538 C T C EBF1_EBF_1 29 0 + 0 0 . chr12 27541602 27541603 chr12:27541603:G:A rs365439 G A G EBF1_EBF_1 4 1 - 4.9160411245061075 0.5466541795611848 CCTCCCACAGGACT chr12 27640240 27640241 chr12:27640241:G:T rs7972519 G T T EBF1_EBF_1 30 0 - 0 0 . chr12 27644626 27644627 chr12:27644627:A:G chr12:27644627:A:G A G A EBF1_EBF_1 -5 0 + 0 0 . chr12 27779789 27779790 chr12:27779790:C:A rs374744151 C A C EBF1_EBF_1 -1 0 - 0 0 . chr12 27808494 27808495 chr12:27808495:G:A rs4931494 G A A EBF1_EBF_1 -8 0 - 0 0 . chr12 27808993 27808994 chr12:27808994:T:G rs4931497 T G G EBF1_EBF_1 15 0 + 0 0 . chr12 27821851 27821852 chr12:27821852:C:T rs150048431 C T C EBF1_EBF_1 14 0 - 0 0 . chr12 27866824 27866825 chr12:27866825:C:T rs76179698 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 27869765 27869766 chr12:27869766:G:A rs150373639 G A G EBF1_EBF_1 0 1 - 5.463267005673011 5.745469066403588 CGCCCCTTGGGGCC chr12 27947542 27947543 chr12:27947543:T:G rs3864895 T G T EBF1_EBF_1 9 1 - 5.969805423871881 3.5490555429740223 ATTCCCCTGAGTTT chr12 27952137 27952138 chr12:27952138:T:C rs7132598 T C C EBF1_EBF_1 21 0 + 0 0 . chr12 27952148 27952149 chr12:27952149:G:A rs12308352 G A G EBF1_EBF_1 32 0 + 0 0 . chr12 27970609 27970610 chr12:27970610:G:C rs1180853850 G C - EBF1_EBF_1 -16 0 + 0 0 . chr12 27971371 27971372 chr12:27971372:T:G rs27633 T G G EBF1_EBF_1 2 1 + 4.787785448972516 -1.9605402412279533 CCTCCCCAGGGCTC chr12 27973458 27973459 chr12:27973459:G:A rs33092 G A A EBF1_EBF_1 -8 0 + 0 0 . chr12 27973821 27973822 chr12:27973822:C:G rs75908589 C G C EBF1_EBF_1 -14 0 + 0 0 . chr12 28011043 28011044 chr12:28011044:C:T rs11049280 C T T EBF1_EBF_1 -20 0 + 0 0 . chr12 28033364 28033365 chr12:28033365:C:T rs10843072 C T C EBF1_EBF_1 -9 0 + 0 0 . chr12 28177725 28177726 chr12:28177726:C:T rs6487665 C T T EBF1_EBF_1 11 1 - 4.993380083489788 7.886086927093799 ATCCCCAGGAGGCC chr12 28454489 28454490 chr12:28454490:C:T rs59879751 C T T EBF1_EBF_1 -17 0 + 0 0 . chr12 28454498 28454499 chr12:28454499:G:A rs60960895 G A A EBF1_EBF_1 -8 0 + 0 0 . chr12 28645439 28645440 chr12:28645440:T:A rs11049763 T A A EBF1_EBF_1 9 1 - 6.120624149358399 1.9385740067171933 ATACCCAGGAGAAC chr12 28646129 28646130 chr12:28646130:T:G rs61118327 T G T EBF1_EBF_1 -13 0 + 0 0 . chr12 28894637 28894638 chr12:28894638:A:G rs992540 A G A EBF1_EBF_1 11 1 + 6.3293566238740775 3.4366497802700655 TATCCCAAGGAACT chr12 28956196 28956197 chr12:28956197:T:C rs73068418 T C T EBF1_EBF_1 -15 0 - 0 0 . chr12 28966206 28966207 chr12:28966207:A:T rs141064006 A T A EBF1_EBF_1 20 0 + 0 0 . chr12 28998922 28998923 chr12:28998923:C:A rs752015184 C A C EBF1_EBF_1 1 1 + 5.049116896720501 4.978189719368415 ACTCCCAAAGGCCT chr12 29148630 29148631 chr12:29148631:A:G rs11050080 A G A EBF1_EBF_1 -18 0 + 0 0 . chr12 29220939 29220940 chr12:29220940:C:T chr12:29220940:C:T C T C EBF1_EBF_1 28 0 - 0 0 . chr12 29220969 29220970 chr12:29220970:G:A rs78908793 G A G EBF1_EBF_1 -2 0 - 0 0 . chr12 29277441 29277442 chr12:29277442:T:A rs7299283 T A A EBF1_EBF_1 22 0 - 0 0 . chr12 29282681 29282682 chr12:29282682:T:C rs1006410 T C C EBF1_EBF_1 18 0 + 0 0 . chr12 29284119 29284120 chr12:29284120:G:C rs10843377 G C G EBF1_EBF_1 22 0 - 0 0 . chr12 29430359 29430360 chr12:29430360:C:T rs546480791 C T C EBF1_EBF_1 -12 0 + 0 0 . chr12 29599638 29599639 chr12:29599639:C:T rs16934597 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 29704014 29704015 chr12:29704015:C:G rs6487844 C G C EBF1_EBF_1 31 0 + 0 0 . chr12 29719517 29719518 chr12:29719518:T:C rs78551094 T C T EBF1_EBF_1 27 0 + 0 0 . chr12 29784258 29784259 chr12:29784259:G:T rs56703053 G T G EBF1_EBF_1 9 1 + 7.112363248613732 0.27537384052492164 CCTCCCGGGGGACC chr12 29784261 29784262 chr12:29784262:C:T rs75540986 C T C EBF1_EBF_1 12 1 + 7.112363248613732 6.086096678062882 CCTCCCGGGGGACC chr12 29798198 29798199 chr12:29798199:C:G rs11050437 C G G EBF1_EBF_1 -4 0 - 0 0 . chr12 29885320 29885321 chr12:29885321:G:C rs12227886 G C G EBF1_EBF_1 14 0 + 0 0 . chr12 30043263 30043264 chr12:30043264:A:G rs188748694 A G A EBF1_EBF_1 20 0 + 0 0 . chr12 30084417 30084418 chr12:30084418:A:G rs727580 A G G EBF1_EBF_1 28 0 + 0 0 . chr12 30088588 30088589 chr12:30088589:C:T rs12822033 C T C EBF1_EBF_1 -18 0 - 0 0 . chr12 30164756 30164757 chr12:30164757:G:A rs7963655 G A G EBF1_EBF_1 -18 0 + 0 0 . chr12 30166194 30166195 chr12:30166195:T:C rs11050697 T C T EBF1_EBF_1 29 0 + 0 0 . chr12 30172697 30172698 chr12:30172698:G:C rs1586281 G C G EBF1_EBF_1 9 1 + 4.47900671896263 -0.5966824273828347 CTCCCCCTGGGGGC chr12 30172704 30172705 chr12:30172705:G:A rs76693431 G A G EBF1_EBF_1 16 0 + 0 0 . chr12 30211653 30211654 chr12:30211654:C:A rs10843656 C A C EBF1_EBF_1 16 0 + 0 0 . chr12 30251553 30251554 chr12:30251554:C:T rs1825527 C T T EBF1_EBF_1 27 0 + 0 0 . chr12 30302630 30302631 chr12:30302631:G:T rs113388253 G T G EBF1_EBF_1 32 0 - 0 0 . chr12 30305471 30305472 chr12:30305472:G:A rs1652191 G A A EBF1_EBF_1 -17 0 + 0 0 . chr12 30305515 30305516 chr12:30305516:G:A rs34300913 G A G EBF1_EBF_1 27 0 + 0 0 . chr12 30306199 30306200 chr12:30306200:G:A rs16906077 G A g EBF1_EBF_1 31 0 - 0 0 . chr12 30348279 30348280 chr12:30348280:G:A rs6487904 G A G EBF1_EBF_1 7 1 - 10.43438112446689 12.251885870749513 ATCCCCACGGGAAT chr12 30348289 30348290 chr12:30348290:T:C rs6487905 T C C EBF1_EBF_1 -3 0 - 0 0 . chr12 30358929 30358930 chr12:30358930:G:A rs12580195 G A G EBF1_EBF_1 26 0 + 0 0 . chr12 30380936 30380937 chr12:30380937:G:A rs354116 G A G EBF1_EBF_1 22 0 + 0 0 . chr12 30485666 30485667 chr12:30485667:G:A rs79972009 G A G EBF1_EBF_1 19 0 - 0 0 . chr12 30546215 30546216 chr12:30546216:T:G chr12:30546216:T:G T G T EBF1_EBF_1 24 0 - 0 0 . chr12 30546219 30546220 chr12:30546220:A:G chr12:30546220:A:G A G a EBF1_EBF_1 20 0 - 0 0 . chr12 30754452 30754453 chr12:30754453:G:C rs146167357 G C G EBF1_EBF_1 6 1 - 6.113720190181438 4.308528126708241 CTCCCCCGGAGACT chr12 30785987 30785988 chr12:30785988:A:C rs1485354 A C C EBF1_EBF_1 11 1 - 6.076676864188226 7.28188427668294 CTTCCCAGGGGTCC chr12 30796480 30796481 chr12:30796481:G:A rs116567291 G A G EBF1_EBF_1 -6 0 + 0 0 . chr12 30799516 30799517 chr12:30799517:G:A rs12821941 G A G EBF1_EBF_1 3 1 - 5.769947109314595 -0.6354516322387211 AATCACCTGGGAAA chr12 30832287 30832288 chr12:30832288:C:T rs73084302 C T C EBF1_EBF_1 -14 0 - 0 0 . chr12 30851800 30851801 chr12:30851801:C:T rs1229643180 C T C EBF1_EBF_1 3 1 + 4.073019301854169 -2.33237943969915 GGTCCCCCGGGCCC chr12 30870423 30870424 chr12:30870424:G:A rs256722 G A A EBF1_EBF_1 15 0 - 0 0 . chr12 30937682 30937683 chr12:30937683:C:A rs61916734 C A C EBF1_EBF_1 22 0 + 0 0 . chr12 30962828 30962829 chr12:30962829:A:G rs10743745 A G A EBF1_EBF_1 14 0 - 0 0 . chr12 30978862 30978863 chr12:30978863:C:T rs2075323 C T C EBF1_EBF_1 -6 0 + 0 0 . chr12 30980063 30980064 chr12:30980064:G:A rs118188074 G A G EBF1_EBF_1 7 1 + 8.424352808807523 9.736811214096349 CTTCCCCGGGGAGC chr12 30983320 30983321 chr12:30983321:C:T rs35088 C T C EBF1_EBF_1 -10 0 + 0 0 . chr12 30987266 30987267 chr12:30987267:C:T rs73097998 C T C EBF1_EBF_1 22 0 - 0 0 . chr12 31002137 31002138 chr12:31002138:T:C rs35062 T C C EBF1_EBF_1 -14 0 - 0 0 . chr12 31008297 31008298 chr12:31008298:T:G rs42447 T G g EBF1_EBF_1 -12 0 + 0 0 . chr12 31008323 31008324 chr12:31008324:C:T rs1175964980 C T c EBF1_EBF_1 14 0 + 0 0 . chr12 31058060 31058061 chr12:31058061:T:C rs61919887 T C T EBF1_EBF_1 33 0 - 0 0 . chr12 31071680 31071681 chr12:31071681:G:A rs61275638 G A G EBF1_EBF_1 16 0 + 0 0 . chr12 31088025 31088026 chr12:31088026:G:A rs7309189 G A G EBF1_EBF_1 24 0 - 0 0 . chr12 31101707 31101708 chr12:31101708:T:A rs7952861 T A T EBF1_EBF_1 23 0 + 0 0 . chr12 31103284 31103285 chr12:31103285:C:T rs3825324 C T C EBF1_EBF_1 -15 0 - 0 0 . chr12 31103285 31103286 chr12:31103286:A:G rs3825325 A G A EBF1_EBF_1 -16 0 - 0 0 . chr12 31111188 31111189 chr12:31111189:G:A rs7956280 G A G EBF1_EBF_1 19 0 - 0 0 . chr12 31118594 31118595 chr12:31118595:C:A rs1170632221 C A . EBF1_EBF_1 28 0 - 0 0 . chr12 31118607 31118608 chr12:31118608:C:A rs796948390 C A . EBF1_EBF_1 15 0 - 0 0 . chr12 31118633 31118634 chr12:31118634:G:T rs117575601 G T . EBF1_EBF_1 -11 0 - 0 0 . chr12 31218164 31218165 chr12:31218165:C:T rs61917100 C T . EBF1_EBF_1 25 0 - 0 0 . chr12 31219528 31219529 chr12:31219529:T:C rs4931456 T C . EBF1_EBF_1 19 0 + 0 0 . chr12 31231774 31231775 chr12:31231775:G:A rs12825461 G A G EBF1_EBF_1 -18 0 + 0 0 . chr12 31257985 31257986 chr12:31257986:G:T rs639902 G T g EBF1_EBF_1 9 1 + 4.567436237866007 -2.269553170222803 ACTCCCAAGGCAGC chr12 31383605 31383606 chr12:31383606:A:C rs3741876 A C A EBF1_EBF_1 -6 0 + 0 0 . chr12 31392747 31392748 chr12:31392748:A:G rs7975761 A G A EBF1_EBF_1 -13 0 - 0 0 . chr12 31590447 31590448 chr12:31590448:T:C rs112357978 T C T EBF1_EBF_1 6 1 - 4.869758643004802 2.702964211730327 GGCCCCAAGGGCAC chr12 31646922 31646923 chr12:31646923:C:T rs7305611 C T C EBF1_EBF_1 4 1 + 5.278743186800401 0.9093562418554777 CCTCCCTAAGGATC chr12 31646924 31646925 chr12:31646925:T:A rs10843990 T A A EBF1_EBF_1 6 1 + 5.278743186800401 5.339059425739921 CCTCCCTAAGGATC chr12 31669657 31669658 chr12:31669658:G:A rs75093514 G A . EBF1_EBF_1 15 0 + 0 0 . chr12 31805934 31805935 chr12:31805935:G:A rs113618378 G A g EBF1_EBF_1 7 1 - 5.92681824631561 7.744322992598233 CTTCCCACGGGGCA chr12 31817515 31817516 chr12:31817516:G:A rs2388963 G A A EBF1_EBF_1 3 1 - 4.71791307875181 -1.687485662801509 TCTCCCCTGGAACC chr12 31834491 31834492 chr12:31834492:C:T rs11051621 C T T EBF1_EBF_1 13 1 - 7.794720364016958 8.909045936086397 ATTCCCCAGGGTCG chr12 31871953 31871954 chr12:31871954:T:C rs10844035 T C T EBF1_EBF_1 -14 0 + 0 0 . chr12 31898090 31898091 chr12:31898091:A:G rs78173081 A G A EBF1_EBF_1 -7 0 + 0 0 . chr12 31912888 31912889 chr12:31912889:C:A rs2799967 C A C EBF1_EBF_1 29 0 - 0 0 . chr12 31917561 31917562 chr12:31917562:C:T rs7305415 C T T EBF1_EBF_1 33 0 - 0 0 . chr12 31958894 31958895 chr12:31958895:T:C rs181314993 T C T EBF1_EBF_1 2 1 + 6.930356117549927 5.041532706107776 TTTCCTCAGGGACT chr12 32017500 32017501 chr12:32017501:T:C rs117776622 T C t EBF1_EBF_1 6 1 + 5.714848225815624 5.413562096953867 GCTCCCTTGAGGCT chr12 32022685 32022686 chr12:32022686:C:T rs1260158 C T C EBF1_EBF_1 -16 0 + 0 0 . chr12 32036547 32036548 chr12:32036548:T:C rs2243419 T C C EBF1_EBF_1 7 1 - 8.204818483682274 6.892360078393447 ACTCCCAAGGGTGT chr12 32089279 32089280 chr12:32089280:C:T rs61926869 C T C EBF1_EBF_1 -18 0 + 0 0 . chr12 32089316 32089317 chr12:32089317:T:C rs10844118 T C T EBF1_EBF_1 19 0 + 0 0 . chr12 32106432 32106433 chr12:32106433:A:G rs1151016 A G G EBF1_EBF_1 -15 0 - 0 0 . chr12 32115609 32115610 chr12:32115610:A:T rs1673864 A T T EBF1_EBF_1 -14 0 - 0 0 . chr12 32115699 32115700 chr12:32115700:A:C rs1684146 A C C EBF1_EBF_1 19 0 - 0 0 . chr12 32116139 32116140 chr12:32116140:A:G rs1673863 A G A EBF1_EBF_1 -20 0 - 0 0 . chr12 32249051 32249052 chr12:32249052:T:C rs7298257 T C T EBF1_EBF_1 -19 0 + 0 0 . chr12 32255285 32255286 chr12:32255286:A:C rs2728801 A C C EBF1_EBF_1 0 1 + 5.100056222533727 2.8447977388371264 ATTCCCAAAGGCCC chr12 32258507 32258508 chr12:32258508:G:C rs12231813 G C G EBF1_EBF_1 25 0 - 0 0 . chr12 32258546 32258547 chr12:32258547:C:T rs12231791 C T C EBF1_EBF_1 -14 0 - 0 0 . chr12 32271568 32271569 chr12:32271569:C:T rs73312732 C T C EBF1_EBF_1 14 0 + 0 0 . chr12 32314258 32314259 chr12:32314259:G:A rs4540912 G A A EBF1_EBF_1 23 0 - 0 0 . chr12 32399184 32399185 chr12:32399185:G:T rs113574596 G T T EBF1_EBF_1 9 1 + 4.93447479487024 -1.90251461321857 CTTCCCGAGGGCAC chr12 32435985 32435986 chr12:32435986:C:T rs2651360 C T T EBF1_EBF_1 -12 0 - 0 0 . chr12 32543279 32543280 chr12:32543280:C:G rs61928311 C G C EBF1_EBF_1 8 1 - 10.037104290705862 4.718445429791178 AACCCCATGGGACA chr12 32612078 32612079 chr12:32612079:A:G rs10844256 A G G EBF1_EBF_1 11 1 + 5.10092744733375 2.2082206037297385 AGCCCCCTGGTATC chr12 32647506 32647507 chr12:32647507:G:A rs112939295 G A G EBF1_EBF_1 7 1 - 4.889134327394944 6.706639073677568 CACCCCTCGGGAGC chr12 32679934 32679935 chr12:32679935:G:C rs13377901 G C G EBF1_EBF_1 8 1 + 6.142176824244802 0.8235179633301168 CTTGCCCAGGGACT chr12 32679938 32679939 chr12:32679939:C:T rs13377898 C T C EBF1_EBF_1 12 1 + 6.142176824244802 5.1159102536939525 CTTGCCCAGGGACT chr12 32893270 32893271 chr12:32893271:C:T rs55995000 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 33034076 33034077 chr12:33034077:A:G rs11052399 A G A EBF1_EBF_1 6 1 + 7.39615420269643 5.229359771421954 AGCCCCACGGGAAG chr12 33052379 33052380 chr12:33052380:A:C rs56013849 A C C EBF1_EBF_1 -5 0 + 0 0 . chr12 33053169 33053170 chr12:33053170:G:C rs7136933 G C T EBF1_EBF_1 8 1 + 6.00874102847491 0.6900821675602233 ACTCCCCCGGTACC chr12 33063880 33063881 chr12:33063881:A:C rs10844444 A C C EBF1_EBF_1 -10 0 + 0 0 . chr12 33095117 33095118 chr12:33095118:G:A rs35711399 G A G EBF1_EBF_1 25 0 - 0 0 . chr12 33200994 33200995 chr12:33200995:A:G rs1822887 A G G EBF1_EBF_1 -4 0 + 0 0 . chr12 33201004 33201005 chr12:33201005:A:G rs4931065 A G G EBF1_EBF_1 6 1 + 8.144798624716767 5.978004193442291 GCACCCAAGGGAAT chr12 33201010 33201011 chr12:33201011:A:G rs4931066 A G A EBF1_EBF_1 12 1 + 8.144798624716767 6.725981282617289 GCACCCAAGGGAAT chr12 33224460 33224461 chr12:33224461:T:G rs1563621 T G g EBF1_EBF_1 15 0 + 0 0 . chr12 33247298 33247299 chr12:33247299:C:T rs7315423 C T T EBF1_EBF_1 12 1 + 6.368036750976313 5.341770180425463 AATCCCCAGGCACT chr12 33273707 33273708 chr12:33273708:C:T rs951722 C T T EBF1_EBF_1 27 0 - 0 0 . chr12 33294878 33294879 chr12:33294879:A:G rs10844535 A G A EBF1_EBF_1 27 0 - 0 0 . chr12 33802826 33802827 chr12:33802827:C:G rs11052919 C G C EBF1_EBF_1 9 1 - 5.233079878783742 0.15739073243827972 ACTCCCCTGGAAAG chr12 33832907 33832908 chr12:33832908:T:C rs1872746 T C C EBF1_EBF_1 -9 0 - 0 0 . chr12 33995217 33995218 chr12:33995218:G:C chr12:33995218:G:C G C G EBF1_EBF_1 17 0 + 0 0 . chr12 33995734 33995735 chr12:33995735:G:C rs149952518 G C G EBF1_EBF_1 18 0 - 0 0 . chr12 34109853 34109854 chr12:34109854:C:T rs34547920 C T C EBF1_EBF_1 11 1 + 4.781497969529524 5.099545411283189 GATCCCAGGGGCCA chr12 34166367 34166368 chr12:34166368:G:A rs60077455 G A G EBF1_EBF_1 26 0 + 0 0 . chr12 34166373 34166374 chr12:34166374:A:G rs6488209 A G G EBF1_EBF_1 32 0 + 0 0 . chr12 34204987 34204988 chr12:34204988:C:G rs7964272 C G C EBF1_EBF_1 12 1 - 7.046168327820992 8.434804271499143 AATCCCAGGAGAGA chr12 34216307 34216308 chr12:34216308:A:G rs11053164 A G A EBF1_EBF_1 14 0 - 0 0 . chr12 34216317 34216318 chr12:34216318:G:A rs142472204 G A G EBF1_EBF_1 4 1 - 6.988975280240223 2.6195883352952984 ACTGCCTAGGGAAC chr12 34219226 34219227 chr12:34219227:C:T rs75444786 C T C EBF1_EBF_1 -9 0 - 0 0 . chr12 34233831 34233832 chr12:34233832:G:A rs56803177 G A G EBF1_EBF_1 1 1 - 4.34002323548664 5.235456675885386 CCCCTCCTGGGACT chr12 34289013 34289014 chr12:34289014:C:T rs11053197 C T . EBF1_EBF_1 26 0 + 0 0 . chr12 34289214 34289215 chr12:34289215:G:A rs74074317 G A . EBF1_EBF_1 -4 0 + 0 0 . chr12 34289711 34289712 chr12:34289712:G:A rs74074319 G A . EBF1_EBF_1 1 1 + 8.488980999706557 8.228282167785254 GGTCCCCCGGGACC chr12 34291077 34291078 chr12:34291078:G:C rs11053198 G C . EBF1_EBF_1 -12 0 - 0 0 . chr12 34293237 34293238 chr12:34293238:T:C rs566252932 T C . EBF1_EBF_1 -14 0 + 0 0 . chr12 34294485 34294486 chr12:34294486:C:A rs55834936 C A . EBF1_EBF_1 4 1 + 5.660006614636815 -0.16455300881665197 GATCCCCTGGGCAC chr12 34296879 34296880 chr12:34296880:C:T rs540264882 C T . EBF1_EBF_1 22 0 - 0 0 . chr12 34296880 34296881 chr12:34296881:G:A rs758898658 G A . EBF1_EBF_1 21 0 - 0 0 . chr12 34298083 34298084 chr12:34298084:A:G rs74074349 A G . EBF1_EBF_1 -6 0 - 0 0 . chr12 34298095 34298096 chr12:34298096:C:T rs3934519 C T . EBF1_EBF_1 -18 0 - 0 0 . chr12 34298097 34298098 chr12:34298098:C:A rs186085477 C A . EBF1_EBF_1 -20 0 - 0 0 . chr12 34298460 34298461 chr12:34298461:T:C rs3934517 T C . EBF1_EBF_1 22 0 - 0 0 . chr12 34298475 34298476 chr12:34298476:G:A rs71459540 G A . EBF1_EBF_1 7 1 - 3.8123204699328657 5.629825216215489 GATCCCCCGGGCCC chr12 34303453 34303454 chr12:34303454:A:C rs11053202 A C . EBF1_EBF_1 14 0 - 0 0 . chr12 34303912 34303913 chr12:34303913:G:A rs10772160 G A . EBF1_EBF_1 26 0 - 0 0 . chr12 34304318 34304319 chr12:34304319:C:T rs9739724 C T . EBF1_EBF_1 -3 0 - 0 0 . chr12 34306009 34306010 chr12:34306010:T:C rs11831137 T C . EBF1_EBF_1 17 0 - 0 0 . chr12 34307093 34307094 chr12:34307094:A:G rs11053208 A G . EBF1_EBF_1 31 0 - 0 0 . chr12 34320672 34320673 chr12:34320673:A:G rs7955933 A G . EBF1_EBF_1 20 0 + 0 0 . chr12 34324622 34324623 chr12:34324623:C:T rs7971957 C T . EBF1_EBF_1 -4 0 + 0 0 . chr12 34352503 34352504 chr12:34352504:A:G rs7298050 A G . EBF1_EBF_1 6 1 + 6.062354761368851 3.8955603300943755 GCTCCCATGGGCCC chr12 34363208 34363209 chr12:34363209:T:C rs61331418 T C . EBF1_EBF_1 -14 0 + 0 0 . chr12 34363215 34363216 chr12:34363216:C:G rs117660604 C G . EBF1_EBF_1 -7 0 + 0 0 . chr12 34369909 34369910 chr12:34369910:T:C rs7312049 T C . EBF1_EBF_1 -20 0 + 0 0 . chr12 34376503 34376504 chr12:34376504:A:C rs11053259 A C . EBF1_EBF_1 22 0 + 0 0 . chr12 34386738 34386739 chr12:34386739:C:A rs7489130 C A . EBF1_EBF_1 -1 0 + 0 0 . chr12 34387482 34387483 chr12:34387483:A:T rs61931992 A T . EBF1_EBF_1 -6 0 + 0 0 . chr12 34388177 34388178 chr12:34388178:C:T rs79217559 C T . EBF1_EBF_1 16 0 + 0 0 . chr12 34388589 34388590 chr12:34388590:G:C rs183104773 G C . EBF1_EBF_1 19 0 + 0 0 . chr12 34389957 34389958 chr12:34389958:C:T rs61931993 C T . EBF1_EBF_1 33 0 - 0 0 . chr12 34390165 34390166 chr12:34390166:A:G rs56404399 A G . EBF1_EBF_1 14 0 - 0 0 . chr12 34390385 34390386 chr12:34390386:C:A rs66765532 C A . EBF1_EBF_1 -20 0 - 0 0 . chr12 34390529 34390530 chr12:34390530:T:C rs56056630 T C . EBF1_EBF_1 23 0 - 0 0 . chr12 34390943 34390944 chr12:34390944:T:C rs7398471 T C . EBF1_EBF_1 -19 0 - 0 0 . chr12 34392434 34392435 chr12:34392435:G:A rs11053270 G A . EBF1_EBF_1 -7 0 - 0 0 . chr12 34392471 34392472 chr12:34392472:C:A rs11053271 C A . EBF1_EBF_1 6 1 - 3.529991772900604 5.636469965235561 GCCCCCGTGGGGCC chr12 34393171 34393172 chr12:34393172:G:T rs74075903 G T . EBF1_EBF_1 -4 0 + 0 0 . chr12 34393195 34393196 chr12:34393196:G:T rs138272239 G T . EBF1_EBF_1 20 0 + 0 0 . chr12 34393703 34393704 chr12:34393704:G:A rs56691041 G A . EBF1_EBF_1 16 0 - 0 0 . chr12 34393726 34393727 chr12:34393727:G:A rs12308355 G A . EBF1_EBF_1 -7 0 - 0 0 . chr12 34393731 34393732 chr12:34393732:C:T rs542199293 C T . EBF1_EBF_1 -12 0 - 0 0 . chr12 34394084 34394085 chr12:34394085:C:G rs11053272 C G . EBF1_EBF_1 3 1 + 5.605613859702929 -0.22215058841228663 GGTCCCAGAGGACC chr12 34394094 34394095 chr12:34394095:C:A rs74075914 C A . EBF1_EBF_1 13 1 + 5.605613859702929 5.231868410416953 GGTCCCAGAGGACC chr12 34394829 34394830 chr12:34394830:A:G rs59556442 A G . EBF1_EBF_1 -11 0 + 0 0 . chr12 34395013 34395014 chr12:34395014:G:A rs61931997 G A . EBF1_EBF_1 26 0 + 0 0 . chr12 34395016 34395017 chr12:34395017:G:A rs34080094 G A . EBF1_EBF_1 29 0 + 0 0 . chr12 34395412 34395413 chr12:34395413:A:G rs12578558 A G . EBF1_EBF_1 2 1 - 6.208571489890456 4.319748078448305 GGTCCCCTGGGTCC chr12 34395414 34395415 chr12:34395415:C:G rs76486135 C G . EBF1_EBF_1 0 1 - 6.208571489890456 5.845596875758689 GGTCCCCTGGGTCC chr12 34395458 34395459 chr12:34395459:C:T rs144298666 C T . EBF1_EBF_1 -5 0 - 0 0 . chr12 34396708 34396709 chr12:34396709:C:T rs141708837 C T . EBF1_EBF_1 15 0 - 0 0 . chr12 34397255 34397256 chr12:34397256:T:C rs55967587 T C . EBF1_EBF_1 30 0 - 0 0 . chr12 34397435 34397436 chr12:34397436:G:A rs60621150 G A . EBF1_EBF_1 24 0 - 0 0 . chr12 34398694 34398695 chr12:34398695:C:T rs74075981 C T . EBF1_EBF_1 12 1 - 4.501888104458641 5.920705446558118 GGTCCCCTGGGCGC chr12 34398871 34398872 chr12:34398872:G:A rs11833122 G A . EBF1_EBF_1 22 0 - 0 0 . chr12 34399804 34399805 chr12:34399805:C:T rs55649912 C T . EBF1_EBF_1 23 0 - 0 0 . chr12 34399828 34399829 chr12:34399829:G:A rs75892156 G A . EBF1_EBF_1 -1 0 - 0 0 . chr12 34399846 34399847 chr12:34399847:T:C rs11836198 T C . EBF1_EBF_1 -19 0 - 0 0 . chr12 34400408 34400409 chr12:34400409:G:A rs111813337 G A . EBF1_EBF_1 -18 0 - 0 0 . chr12 34400820 34400821 chr12:34400821:G:A rs113853965 G A . EBF1_EBF_1 2 1 - 4.0017006366946415 5.890524048136792 GGCCCCCTGGGCCC chr12 34401004 34401005 chr12:34401005:G:T rs1428453326 G T . EBF1_EBF_1 5 1 - 6.208571489890456 -0.6963096627447132 GGTCCCCTGGGTCC chr12 34401821 34401822 chr12:34401822:G:A rs79837228 G A . EBF1_EBF_1 -12 0 - 0 0 . chr12 34402491 34402492 chr12:34402492:C:T rs112708996 C T . EBF1_EBF_1 23 0 - 0 0 . chr12 34403232 34403233 chr12:34403233:C:T rs114307884 C T . EBF1_EBF_1 7 1 + 7.850160393468657 9.667665139751279 AGTCCCACGGGGCC chr12 34404294 34404295 chr12:34404295:T:C rs74077616 T C . EBF1_EBF_1 16 0 - 0 0 . chr12 34405245 34405246 chr12:34405246:G:A rs74077622 G A . EBF1_EBF_1 0 1 - 4.992651397665515 5.274853458396092 CGTCCCTGGGGCCC chr12 34405417 34405418 chr12:34405418:C:G rs114441273 C G . EBF1_EBF_1 16 0 - 0 0 . chr12 34405822 34405823 chr12:34405823:G:T rs369316305 G T . EBF1_EBF_1 -13 0 - 0 0 . chr12 34405998 34405999 chr12:34405999:G:A rs577478971 G A . EBF1_EBF_1 -3 0 - 0 0 . chr12 34406419 34406420 chr12:34406420:C:A rs74077626 C A . EBF1_EBF_1 1 1 - 3.9895575552099425 4.695219341039471 GGCCCCATGGGCCA chr12 34406721 34406722 chr12:34406722:G:A rs61932002 G A . EBF1_EBF_1 27 0 - 0 0 . chr12 34598465 34598466 chr12:34598466:A:G rs74072742 A G . EBF1_EBF_1 16 0 - 0 0 . chr12 34598471 34598472 chr12:34598472:C:T rs74072743 C T . EBF1_EBF_1 10 1 - 10.045786914067877 4.727758454800914 GATCCCCTGGGACC chr12 34598525 34598526 chr12:34598526:G:A rs117330907 G A . EBF1_EBF_1 6 1 - 4.498999671172535 4.800285800034293 GCCCCCCGGGGGCC chr12 34600314 34600315 chr12:34600315:C:T rs142769416 C T . EBF1_EBF_1 -13 0 + 0 0 . chr12 34600581 34600582 chr12:34600582:A:G rs74072766 A G . EBF1_EBF_1 0 1 - 4.937252911111031 4.655050850380452 TCCCCCATGGGCCT chr12 34601311 34601312 chr12:34601312:C:A rs74072773 C A . EBF1_EBF_1 26 0 - 0 0 . chr12 34603128 34603129 chr12:34603129:G:A rs1281778106 G A . EBF1_EBF_1 24 0 - 0 0 . chr12 34603737 34603738 chr12:34603738:G:A rs10844958 G A . EBF1_EBF_1 -20 0 - 0 0 . chr12 34604467 34604468 chr12:34604468:T:C rs11829771 T C . EBF1_EBF_1 -1 0 - 0 0 . chr12 34605186 34605187 chr12:34605187:T:C rs1475321626 T C . EBF1_EBF_1 33 0 - 0 0 . chr12 34605785 34605786 chr12:34605786:C:A rs74072802 C A . EBF1_EBF_1 -3 0 - 0 0 . chr12 34606695 34606696 chr12:34606696:C:T rs115673692 C T . EBF1_EBF_1 17 0 - 0 0 . chr12 34606886 34606887 chr12:34606887:C:T rs74074519 C T . EBF1_EBF_1 15 0 - 0 0 . chr12 34606907 34606908 chr12:34606908:C:T rs74074521 C T . EBF1_EBF_1 -6 0 - 0 0 . chr12 34607395 34607396 chr12:34607396:G:A rs11053413 G A . EBF1_EBF_1 -10 0 + 0 0 . chr12 34607462 34607463 chr12:34607463:G:A rs76447348 G A . EBF1_EBF_1 -4 0 - 0 0 . chr12 34607678 34607679 chr12:34607679:C:T rs185215088 C T . EBF1_EBF_1 -4 0 + 0 0 . chr12 37318036 37318037 chr12:37318037:A:C rs1298191562 A C . EBF1_EBF_1 -6 0 + 0 0 . chr12 37318069 37318070 chr12:37318070:C:T rs1255417866 C T . EBF1_EBF_1 27 0 + 0 0 . chr12 37456000 37456001 chr12:37456001:C:T rs1490912100 C T . EBF1_EBF_1 -3 0 - 0 0 . chr12 38057021 38057022 chr12:38057022:C:A rs750998728 C A . EBF1_EBF_1 31 0 + 0 0 . chr12 38115182 38115183 chr12:38115183:G:A rs1983389 G A A EBF1_EBF_1 14 0 + 0 0 . chr12 38123664 38123665 chr12:38123665:A:G rs10880620 A G A EBF1_EBF_1 15 0 + 0 0 . chr12 38138414 38138415 chr12:38138415:C:G rs572369949 C G c EBF1_EBF_1 -20 0 + 0 0 . chr12 38151709 38151710 chr12:38151710:G:A rs192734866 G A g EBF1_EBF_1 21 0 + 0 0 . chr12 38153866 38153867 chr12:38153867:A:C rs11833006 A C c EBF1_EBF_1 -16 0 + 0 0 . chr12 38155939 38155940 chr12:38155940:G:A rs143599608 G A - EBF1_EBF_1 14 0 + 0 0 . chr12 38156637 38156638 chr12:38156638:C:G rs543335103 C G - EBF1_EBF_1 21 0 - 0 0 . chr12 38157640 38157641 chr12:38157641:C:G chr12:38157641:C:G C G c EBF1_EBF_1 8 1 - 5.032488348854909 -0.28617051205977706 ACCCCCTCGGGCCT chr12 38158329 38158330 chr12:38158330:G:A rs537466711 G A g EBF1_EBF_1 6 1 - 6.626118963458918 6.927405092320675 TTCCCCCAGGGGCC chr12 38159111 38159112 chr12:38159112:C:A chr12:38159112:C:A C A c EBF1_EBF_1 7 1 - 5.313660558170092 6.149844723371359 TTCCCCCGGGGGCC chr12 38159513 38159514 chr12:38159514:G:A rs7955765 G A a EBF1_EBF_1 0 1 - 3.99919859054493 4.281400651275508 CCCCCCCCGGGGCT chr12 38261467 38261468 chr12:38261468:A:T rs11183046 A T A EBF1_EBF_1 18 0 + 0 0 . chr12 38317267 38317268 chr12:38317268:A:T rs1872846 A T t EBF1_EBF_1 12 1 - 8.192574870397838 9.249022839370014 CCTCCCTGGGGATC chr12 38344998 38344999 chr12:38344999:C:T rs145898127 C T c EBF1_EBF_1 16 0 - 0 0 . chr12 38345268 38345269 chr12:38345269:G:A rs11183419 G A g EBF1_EBF_1 19 0 + 0 0 . chr12 38368030 38368031 chr12:38368031:C:A rs112962952 C A C EBF1_EBF_1 25 0 + 0 0 . chr12 38689738 38689739 chr12:38689739:G:A rs76717879 G A G EBF1_EBF_1 33 0 + 0 0 . chr12 38721705 38721706 chr12:38721706:G:T rs75874418 G T g EBF1_EBF_1 2 1 - 6.343916902728338 4.548272726571612 CTCCCCCAGGGGCC chr12 38836720 38836721 chr12:38836721:T:G rs11169760 T G T EBF1_EBF_1 23 0 - 0 0 . chr12 39301642 39301643 chr12:39301643:G:A rs2271477 G A G EBF1_EBF_1 7 1 + 4.269868326969356 5.582326732258182 ACTCCCAGGGCACA chr12 39355044 39355045 chr12:39355045:C:A rs11830643 C A A EBF1_EBF_1 -13 0 + 0 0 . chr12 39782308 39782309 chr12:39782309:A:G rs4238077 A G G EBF1_EBF_1 16 0 - 0 0 . chr12 39879419 39879420 chr12:39879420:G:T rs4277185 G T G EBF1_EBF_1 3 1 - 5.744744565882918 -1.1614294635828886 AGTCCCCAGGGCTG chr12 40225061 40225062 chr12:40225062:C:T chr12:40225062:C:T C T C EBF1_EBF_1 23 0 + 0 0 . chr12 40239155 40239156 chr12:40239156:G:T rs954884 G T G EBF1_EBF_1 3 1 - 7.157315940901024 0.2511419114352176 AAACCCATGGGAAG chr12 40338274 40338275 chr12:40338275:A:T rs754692596 A T A EBF1_EBF_1 18 0 + 0 0 . chr12 40342136 40342137 chr12:40342137:G:A rs10506155 G A G EBF1_EBF_1 29 0 - 0 0 . chr12 40449457 40449458 chr12:40449458:A:G rs17128203 A G A EBF1_EBF_1 7 1 - 8.103564460969054 6.286059714686431 AATCCCATAGGAAC chr12 40714780 40714781 chr12:40714781:C:T rs11178015 C T C EBF1_EBF_1 8 1 - 10.45203356463317 6.225743475746911 AATCCCTCGGGAAC chr12 40821269 40821270 chr12:40821270:T:G rs935687310 T G T EBF1_EBF_1 32 0 - 0 0 . chr12 41108113 41108114 chr12:41108114:T:G rs516840 T G G EBF1_EBF_1 -4 0 + 0 0 . chr12 41280153 41280154 chr12:41280154:C:G rs1852463 C G C EBF1_EBF_1 25 0 + 0 0 . chr12 41407090 41407091 chr12:41407091:A:G rs10735995 A G A EBF1_EBF_1 11 1 + 5.7836110666643785 2.8909042230603665 AGTCCCCATGGACC chr12 41409813 41409814 chr12:41409814:G:A rs11180904 G A G EBF1_EBF_1 0 1 - 5.828147851771416 6.110349912501993 CCTCCCTAGAGGCT chr12 41453222 41453223 chr12:41453223:G:C rs78766272 G C G EBF1_EBF_1 -11 0 - 0 0 . chr12 41454553 41454554 chr12:41454554:C:A rs1870286 C A A EBF1_EBF_1 22 0 + 0 0 . chr12 41689524 41689525 chr12:41689525:A:G rs35557723 A G G EBF1_EBF_1 -19 0 - 0 0 . chr12 41717204 41717205 chr12:41717205:C:T rs10785282 C T C EBF1_EBF_1 13 1 + 4.145928082163524 4.990422196749042 CGTGCCCTGGGAAC chr12 42163137 42163138 chr12:42163138:T:G rs74078179 T G T EBF1_EBF_1 21 0 - 0 0 . chr12 42238551 42238552 chr12:42238552:C:A rs75085410 C A C EBF1_EBF_1 14 0 + 0 0 . chr12 42267707 42267708 chr12:42267708:C:T rs7485381 C T C EBF1_EBF_1 19 0 + 0 0 . chr12 42288808 42288809 chr12:42288809:G:A rs7306744 G A . EBF1_EBF_1 20 0 + 0 0 . chr12 42459547 42459548 chr12:42459548:A:G rs1043656 A G A EBF1_EBF_1 25 0 + 0 0 . chr12 42482837 42482838 chr12:42482838:G:C rs1055708953 G C G EBF1_EBF_1 16 0 - 0 0 . chr12 42482860 42482861 chr12:42482861:T:C chr12:42482861:T:C T C T EBF1_EBF_1 -7 0 - 0 0 . chr12 42483509 42483510 chr12:42483510:C:T chr12:42483510:C:T C T C EBF1_EBF_1 3 1 + 6.4839714471342225 0.07857270558090519 ACTCCCGAAGGAAC chr12 42485076 42485077 chr12:42485077:T:G rs1669916 T G G EBF1_EBF_1 1 1 - 6.4316523617037324 6.502579539055817 AATCCCAAGGAATC chr12 42609808 42609809 chr12:42609809:C:G rs7316762 C G C EBF1_EBF_1 31 0 - 0 0 . chr12 42611406 42611407 chr12:42611407:C:G rs76354792 C G C EBF1_EBF_1 31 0 - 0 0 . chr12 42744948 42744949 chr12:42744949:C:T rs79878045 C T C EBF1_EBF_1 10 1 - 7.238474640951139 1.9204461816841731 TCCCCCTGGGGACA chr12 42762201 42762202 chr12:42762202:C:T rs2678119 C T C EBF1_EBF_1 13 1 + 8.192574870397838 9.037068984983357 CCTCCCTGGGGATC chr12 42768060 42768061 chr12:42768061:G:A rs2678112 G A G EBF1_EBF_1 23 0 + 0 0 . chr12 42881762 42881763 chr12:42881763:T:G rs1356970 T G T EBF1_EBF_1 2 1 + 5.632770302931755 -1.115555387268712 CATCCCCAGGTAAA chr12 42960453 42960454 chr12:42960454:G:A rs78042423 G A G EBF1_EBF_1 -2 0 - 0 0 . chr12 43208148 43208149 chr12:43208149:G:C rs7967535 G C C EBF1_EBF_1 12 1 - 6.3945554476157005 5.005919503937549 GTTCCCAGGAGACG chr12 43445609 43445610 chr12:43445610:C:T rs10880493 C T C EBF1_EBF_1 24 0 - 0 0 . chr12 43481970 43481971 chr12:43481971:C:G rs6582466 C G C EBF1_EBF_1 33 0 + 0 0 . chr12 43847488 43847489 chr12:43847489:G:T rs80098499 G T G EBF1_EBF_1 33 0 - 0 0 . chr12 43847493 43847494 chr12:43847494:C:T rs77161382 C T C EBF1_EBF_1 28 0 - 0 0 . chr12 44000371 44000372 chr12:44000372:C:T rs73286255 C T C EBF1_EBF_1 -12 0 - 0 0 . chr12 44574209 44574210 chr12:44574210:A:T rs4768558 A T T EBF1_EBF_1 20 0 + 0 0 . chr12 44655149 44655150 chr12:44655150:C:T rs1452260 C T T EBF1_EBF_1 22 0 + 0 0 . chr12 44658803 44658804 chr12:44658804:G:A rs58322207 G A G EBF1_EBF_1 4 1 - 5.662039617549847 1.2926526726049234 AGCCCCCAGGGTTC chr12 44658806 44658807 chr12:44658807:C:G rs59379685 C G G EBF1_EBF_1 1 1 - 5.662039617549847 5.47226796298063 AGCCCCCAGGGTTC chr12 44834540 44834541 chr12:44834541:A:G rs7973268 A G G EBF1_EBF_1 6 1 + 5.852675172780666 3.685880741506189 ATACCCAGGGGGCC chr12 44852427 44852428 chr12:44852428:C:A rs7952764 C A C EBF1_EBF_1 -7 0 + 0 0 . chr12 45110575 45110576 chr12:45110576:G:A rs79113099 G A G EBF1_EBF_1 10 1 + 6.152929173519059 0.834900714252093 ATTGCCCAGGGATA chr12 45284463 45284464 chr12:45284464:C:G rs10748419 C G G EBF1_EBF_1 -17 0 - 0 0 . chr12 45477734 45477735 chr12:45477735:C:G rs4143964 C G C EBF1_EBF_1 13 1 + 10.055025606149952 8.566954584794537 CCTCCCTTGGGACC chr12 45522393 45522394 chr12:45522394:G:A rs7970315 G A A EBF1_EBF_1 -10 0 + 0 0 . chr12 45694700 45694701 chr12:45694701:G:C rs73290754 G C G EBF1_EBF_1 17 0 + 0 0 . chr12 45696994 45696995 chr12:45696995:A:G rs73092978 A G A EBF1_EBF_1 11 1 + 5.300887534321033 2.408180690717021 CTTCACCAGGGACC chr12 45697683 45697684 chr12:45697684:T:C rs7136397 T C T EBF1_EBF_1 -9 0 - 0 0 . chr12 45699862 45699863 chr12:45699863:G:T rs12582560 G T T EBF1_EBF_1 21 0 - 0 0 . chr12 45727607 45727608 chr12:45727608:C:T rs762900916 C T C EBF1_EBF_1 3 1 + 4.846478873995524 -1.5589198675577942 CCCCTCCAGGGAAT chr12 46136468 46136469 chr12:46136469:A:G rs12425825 A G A EBF1_EBF_1 12 1 + 7.821268056954119 6.402450714854641 CCTCCCAGGGGAAG chr12 46160946 46160947 chr12:46160947:C:T rs1867500 C T C EBF1_EBF_1 11 1 - 5.174358894164435 8.067065737768447 ATTCCCTAAGGGGC chr12 46160950 46160951 chr12:46160951:T:C rs56322862 T C T EBF1_EBF_1 7 1 - 5.174358894164435 3.8619004888756074 ATTCCCTAAGGGGC chr12 46372796 46372797 chr12:46372797:T:G rs1006942214 T G t EBF1_EBF_1 -8 0 + 0 0 . chr12 46372800 46372801 chr12:46372801:A:T rs549608909 A T a EBF1_EBF_1 -4 0 + 0 0 . chr12 46372803 46372804 chr12:46372804:G:T rs368297610 G T g EBF1_EBF_1 -1 0 + 0 0 . chr12 46372812 46372813 chr12:46372813:G:A rs948537296 G A g EBF1_EBF_1 8 1 + 4.107991317264404 -0.11829877162185687 GCACCCCGGGGACG chr12 46385653 46385654 chr12:46385654:C:T rs11834905 C T C EBF1_EBF_1 -2 0 + 0 0 . chr12 46391803 46391804 chr12:46391804:C:T rs11183454 C T C EBF1_EBF_1 5 1 + 5.106368815734105 -0.2154183998065642 GGCCCCAGGAGATT chr12 46407998 46407999 chr12:46407999:C:G rs10880966 C G C EBF1_EBF_1 32 0 - 0 0 . chr12 46496820 46496821 chr12:46496821:G:A rs76048740 G A g EBF1_EBF_1 30 0 - 0 0 . chr12 46701479 46701480 chr12:46701480:G:C rs12303703 G C G EBF1_EBF_1 6 1 - 5.698788192003767 3.89359612853057 CCTCCCCTGAGATA chr12 46702914 46702915 chr12:46702915:G:C rs751989382 G C G EBF1_EBF_1 33 0 - 0 0 . chr12 46702924 46702925 chr12:46702925:A:G rs150780266 A G A EBF1_EBF_1 23 0 - 0 0 . chr12 46717985 46717986 chr12:46717986:C:T rs57762173 C T C EBF1_EBF_1 -4 0 + 0 0 . chr12 46933969 46933970 chr12:46933970:C:T rs75675902 C T C EBF1_EBF_1 -19 0 + 0 0 . chr12 46934017 46934018 chr12:46934018:G:A rs10881013 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 46943355 46943356 chr12:46943356:A:G rs2465618 A G A EBF1_EBF_1 -15 0 - 0 0 . chr12 46964335 46964336 chr12:46964336:C:T chr12:46964336:C:T C T C EBF1_EBF_1 -8 0 + 0 0 . chr12 46984284 46984285 chr12:46984285:G:A rs11503854 G A G EBF1_EBF_1 9 1 + 8.256662784380847 5.601723518933241 ACACCCCAGGGAGT chr12 47034334 47034335 chr12:47034335:T:C rs542725936 T C T EBF1_EBF_1 9 1 - 7.059337105361248 9.714276370808852 ATACCCAAGAGAAA chr12 47034354 47034355 chr12:47034355:C:T rs7956758 C T T EBF1_EBF_1 -11 0 - 0 0 . chr12 47088460 47088461 chr12:47088461:G:A rs11183724 G A G EBF1_EBF_1 9 1 + 10.35884345043657 7.703904184988966 AACCCCTGGGGACT chr12 47141859 47141860 chr12:47141860:C:T rs12578367 C T T EBF1_EBF_1 21 0 - 0 0 . chr12 47191331 47191332 chr12:47191332:G:A rs10881072 G A A EBF1_EBF_1 -15 0 + 0 0 . chr12 47192496 47192497 chr12:47192497:G:A rs1554693 G A G EBF1_EBF_1 4 1 - 7.1993974465554444 2.830010501610522 TTTCCCAAAGGAAA chr12 47220959 47220960 chr12:47220960:C:T rs138571817 C T C EBF1_EBF_1 5 1 - 3.91152068998558 3.91152068998558 AGCCCGCAGGGAAC chr12 47222786 47222787 chr12:47222787:G:T rs832712 G T G EBF1_EBF_1 10 1 + 4.886314555029834 0.7035623688025685 AAACCCAGGGGGCC chr12 47234692 47234693 chr12:47234693:G:A rs940762 G A A EBF1_EBF_1 6 1 - 7.71567612546949 8.016962254331247 CCTCCCCAGGGATG chr12 47255087 47255088 chr12:47255088:C:T rs1101810 C T T EBF1_EBF_1 5 1 + 9.120929827555434 3.799142612014765 TTTCCCCTGAGACT chr12 47283215 47283216 chr12:47283216:G:C rs11183828 G C G EBF1_EBF_1 -12 0 + 0 0 . chr12 47316789 47316790 chr12:47316790:C:T rs543341338 C T C EBF1_EBF_1 4 1 + 6.9069913507194824 2.5376044057745584 AATCCCAGGGTACA chr12 47362507 47362508 chr12:47362508:A:G rs215380 A G A EBF1_EBF_1 28 0 - 0 0 . chr12 47370606 47370607 chr12:47370607:T:G rs10492011 T G T EBF1_EBF_1 -7 0 - 0 0 . chr12 47424825 47424826 chr12:47424826:C:A rs7296045 C A C EBF1_EBF_1 -8 0 + 0 0 . chr12 47498326 47498327 chr12:47498327:G:A rs4768817 G A g EBF1_EBF_1 18 0 - 0 0 . chr12 47504018 47504019 chr12:47504019:C:T rs6580618 C T c EBF1_EBF_1 -12 0 - 0 0 . chr12 47637279 47637280 chr12:47637280:A:G rs73102120 A G A EBF1_EBF_1 15 0 - 0 0 . chr12 47712752 47712753 chr12:47712753:G:A rs112845931 G A G EBF1_EBF_1 -3 0 + 0 0 . chr12 47717750 47717751 chr12:47717751:T:A rs74088008 T A T EBF1_EBF_1 24 0 - 0 0 . chr12 47718811 47718812 chr12:47718812:G:C rs74852746 G C G EBF1_EBF_1 23 0 - 0 0 . chr12 47726171 47726172 chr12:47726172:G:A rs7306680 G A A EBF1_EBF_1 -17 0 + 0 0 . chr12 47726213 47726214 chr12:47726214:C:G rs7306556 C G C EBF1_EBF_1 25 0 + 0 0 . chr12 47735470 47735471 chr12:47735471:G:A rs60186089 G A G EBF1_EBF_1 -19 0 - 0 0 . chr12 47740232 47740233 chr12:47740233:C:T rs78244793 C T C EBF1_EBF_1 -15 0 + 0 0 . chr12 47741246 47741247 chr12:47741247:T:C rs117510262 T C T EBF1_EBF_1 9 1 - 5.5392850548418 8.194224320289404 TTCCCCAGGAGACA chr12 47747179 47747180 chr12:47747180:A:T rs73304409 A T A EBF1_EBF_1 22 0 - 0 0 . chr12 47753903 47753904 chr12:47753904:G:C rs4768843 G C G EBF1_EBF_1 10 1 + 7.218592415735182 0.3277900284057077 CTCCCCCAGGGGAT chr12 47754916 47754917 chr12:47754917:C:T rs73105873 C T C EBF1_EBF_1 30 0 + 0 0 . chr12 47759348 47759349 chr12:47759349:C:T rs73304423 C T C EBF1_EBF_1 9 1 - 5.076290706354899 2.421351440907296 TTCCCCGCGGGAAA chr12 47759357 47759358 chr12:47759358:A:C rs145426754 A C A EBF1_EBF_1 0 1 - 5.076290706354899 5.157063259756088 TTCCCCGCGGGAAA chr12 47764170 47764171 chr12:47764171:T:C rs74783972 T C T EBF1_EBF_1 -13 0 + 0 0 . chr12 47775226 47775227 chr12:47775227:A:G rs189592421 A G A EBF1_EBF_1 29 0 - 0 0 . chr12 47778994 47778995 chr12:47778995:C:G rs73105899 C G C EBF1_EBF_1 8 1 - 4.672536340246792 -0.6461225206678934 CATCCCCAGGGTGC chr12 47783116 47783117 chr12:47783117:T:G rs9859 T G G EBF1_EBF_1 -12 0 - 0 0 . chr12 47783386 47783387 chr12:47783387:C:G rs757342 C G C EBF1_EBF_1 -3 0 + 0 0 . chr12 47785563 47785564 chr12:47785564:C:T rs1006476 C T C EBF1_EBF_1 33 0 + 0 0 . chr12 47791216 47791217 chr12:47791217:G:T rs767807291 G T G EBF1_EBF_1 -13 0 + 0 0 . chr12 47797463 47797464 chr12:47797464:C:T rs148755202 C T C EBF1_EBF_1 27 0 - 0 0 . chr12 47799909 47799910 chr12:47799910:G:T rs71462981 G T G EBF1_EBF_1 13 1 - 7.222635001485464 6.848889552199488 CCTCCCATGGGGCC chr12 47801666 47801667 chr12:47801667:C:A rs776448774 C A C EBF1_EBF_1 -18 0 + 0 0 . chr12 47801667 47801668 chr12:47801668:G:A rs2544030 G A G EBF1_EBF_1 -17 0 + 0 0 . chr12 47810470 47810471 chr12:47810471:G:A rs34379708 G A G EBF1_EBF_1 4 1 - 6.42605659946711 2.056669654522186 ATACCCTAGGGCCT chr12 47832275 47832276 chr12:47832276:C:G chr12:47832276:C:G C G C EBF1_EBF_1 -11 0 + 0 0 . chr12 47885469 47885470 chr12:47885470:T:C rs180925698 T C T EBF1_EBF_1 29 0 + 0 0 . chr12 47888235 47888236 chr12:47888236:C:G rs12298585 C G C EBF1_EBF_1 -7 0 + 0 0 . chr12 47892195 47892196 chr12:47892196:C:G rs112083780 C G C EBF1_EBF_1 30 0 + 0 0 . chr12 47910724 47910725 chr12:47910725:A:G rs57440316 A G A EBF1_EBF_1 17 0 + 0 0 . chr12 47912376 47912377 chr12:47912377:T:C rs75080511 T C T EBF1_EBF_1 -19 0 - 0 0 . chr12 47963935 47963936 chr12:47963936:G:A rs1859448 G A G EBF1_EBF_1 19 0 - 0 0 . chr12 47963972 47963973 chr12:47963973:C:A rs4417373 C A C EBF1_EBF_1 -18 0 - 0 0 . chr12 47971097 47971098 chr12:47971098:C:T rs34829929 C T C EBF1_EBF_1 31 0 - 0 0 . chr12 47983934 47983935 chr12:47983935:T:C rs56010633 T C T EBF1_EBF_1 7 1 + 5.566813809880965 3.749309063598341 AGCCTCCTGGGACA chr12 47985773 47985774 chr12:47985774:T:C rs145042175 T C T EBF1_EBF_1 16 0 - 0 0 . chr12 47991160 47991161 chr12:47991161:A:T rs1793917 A T T EBF1_EBF_1 13 1 - 5.12469176945218 3.9064522055806856 CTCCCCAAGGAACT chr12 47995046 47995047 chr12:47995047:C:T rs2248990 C T C EBF1_EBF_1 -14 0 + 0 0 . chr12 47998649 47998650 chr12:47998650:A:G rs1793958 A G A EBF1_EBF_1 -15 0 - 0 0 . chr12 47999314 47999315 chr12:47999315:G:A rs12308909 G A G EBF1_EBF_1 0 1 + 5.042663874868935 6.934947744433768 GTCCCCACGAGAAC chr12 47999322 47999323 chr12:47999323:G:T rs1793955 G T T EBF1_EBF_1 8 1 + 5.042663874868935 -1.8487689141082597 GTCCCCACGAGAAC chr12 48001577 48001578 chr12:48001578:C:T rs117072186 C T C EBF1_EBF_1 33 0 - 0 0 . chr12 48001624 48001625 chr12:48001625:G:C rs549736609 G C G EBF1_EBF_1 -14 0 - 0 0 . chr12 48010759 48010760 chr12:48010760:A:T rs78113681 A T A EBF1_EBF_1 25 0 + 0 0 . chr12 48027879 48027880 chr12:48027880:G:A rs4760676 G A G EBF1_EBF_1 23 0 + 0 0 . chr12 48033712 48033713 chr12:48033713:C:T rs59667534 C T C EBF1_EBF_1 -9 0 + 0 0 . chr12 48033739 48033740 chr12:48033740:G:A rs7310579 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 48037129 48037130 chr12:48037130:T:C rs56094347 T C T EBF1_EBF_1 -5 0 + 0 0 . chr12 48038281 48038282 chr12:48038282:C:A rs79774458 C A C EBF1_EBF_1 15 0 - 0 0 . chr12 48183629 48183630 chr12:48183630:C:A rs111850579 C A C EBF1_EBF_1 1 1 + 4.823859055420578 4.752931878068494 ACCCCCGGGAGACC chr12 48185442 48185443 chr12:48185443:C:G rs146501167 C G C EBF1_EBF_1 29 0 + 0 0 . chr12 48186437 48186438 chr12:48186438:C:T rs576821468 C T C EBF1_EBF_1 4 1 + 7.550758341129515 3.1813713961845926 ACTCCCTTGGGCAA chr12 48186439 48186440 chr12:48186440:T:C rs118176511 T C T EBF1_EBF_1 6 1 + 7.550758341129515 7.249472212267757 ACTCCCTTGGGCAA chr12 48186446 48186447 chr12:48186447:A:G rs73105950 A G A EBF1_EBF_1 13 1 + 7.550758341129515 6.436432769060076 ACTCCCTTGGGCAA chr12 48198154 48198155 chr12:48198155:T:G rs1383428411 T G T EBF1_EBF_1 -16 0 + 0 0 . chr12 48198176 48198177 chr12:48198177:C:A rs4760622 C A C EBF1_EBF_1 6 1 + 5.759003206889321 6.120605574690598 GTTCCCCAGAGGTT chr12 48198192 48198193 chr12:48198193:C:A rs4760696 C A A EBF1_EBF_1 22 0 + 0 0 . chr12 48198200 48198201 chr12:48198201:T:C rs150253968 T C T EBF1_EBF_1 30 0 + 0 0 . chr12 48212467 48212468 chr12:48212468:C:G rs76761573 C G C EBF1_EBF_1 26 0 + 0 0 . chr12 48446634 48446635 chr12:48446635:C:T rs11168576 C T C EBF1_EBF_1 4 1 + 5.056721015472594 0.6873340705276721 ATTCCACCGGGAAT chr12 48565050 48565051 chr12:48565051:C:T rs61941975 C T C EBF1_EBF_1 -2 0 + 0 0 . chr12 48570450 48570451 chr12:48570451:G:A rs73104702 G A A EBF1_EBF_1 15 0 + 0 0 . chr12 48722494 48722495 chr12:48722495:A:T rs11168701 A T A EBF1_EBF_1 7 1 - 4.498140805016265 4.9744150451038225 GATCCCCTGAGGCC chr12 48787415 48787416 chr12:48787416:C:A rs574821485 C A - EBF1_EBF_1 3 1 + 6.6847613431377155 -0.2214126863280923 CCCCCCAAGGGGAT chr12 48788775 48788776 chr12:48788776:G:A rs181861837 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 48810637 48810638 chr12:48810638:G:A rs1532365 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 48812398 48812399 chr12:48812399:G:C rs2860360 G C G EBF1_EBF_1 23 0 - 0 0 . chr12 48830730 48830731 chr12:48830731:T:G rs376711639 T G T EBF1_EBF_1 12 1 - 7.0517021466619765 7.0215207482406505 GCTCCCCAGGGCAT chr12 48836251 48836252 chr12:48836252:T:G rs1057908 T G G EBF1_EBF_1 26 0 + 0 0 . chr12 48851919 48851920 chr12:48851920:G:A rs145847729 G A G EBF1_EBF_1 9 1 + 4.071998486484054 1.4170592210364494 CTACCCCTGGGGCC chr12 48857388 48857389 chr12:48857389:C:G rs78844933 C G C EBF1_EBF_1 8 1 - 8.577129599542845 3.258470738628161 AGTCCCCAGGGTCC chr12 48985753 48985754 chr12:48985754:G:T rs118115924 G T G EBF1_EBF_1 -18 0 + 0 0 . chr12 48998159 48998160 chr12:48998160:G:C rs200919291 G C G EBF1_EBF_1 12 1 - 4.363202958892829 2.9745670152146775 GCCCCCATAGGACC chr12 49018925 49018926 chr12:49018926:G:A rs2293446 G A A EBF1_EBF_1 16 0 + 0 0 . chr12 49028249 49028250 chr12:49028250:T:G rs117856947 T G T EBF1_EBF_1 11 1 + 6.929468322039541 8.134675734534255 ACTCCCCAGGGTAG chr12 49040099 49040100 chr12:49040100:G:A rs189888707 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 49040290 49040291 chr12:49040291:C:A rs10747559 C A A EBF1_EBF_1 -6 0 + 0 0 . chr12 49100520 49100521 chr12:49100521:C:T rs138195319 C T C EBF1_EBF_1 2 1 + 4.587848198533187 6.476671609975337 CACCCCCCGGGAGC chr12 49188601 49188602 chr12:49188602:A:G rs911097637 A G A EBF1_EBF_1 26 0 + 0 0 . chr12 49188605 49188606 chr12:49188606:T:C rs115188082 T C t EBF1_EBF_1 30 0 + 0 0 . chr12 49230524 49230525 chr12:49230525:A:G rs10783310 A G G EBF1_EBF_1 29 0 - 0 0 . chr12 49265239 49265240 chr12:49265240:C:T chr12:49265240:C:T C T C EBF1_EBF_1 -6 0 - 0 0 . chr12 49265649 49265650 chr12:49265650:T:A chr12:49265650:T:A T A T EBF1_EBF_1 32 0 - 0 0 . chr12 49265678 49265679 chr12:49265679:G:A rs560463999 G A G EBF1_EBF_1 3 1 - 4.638364457319854 -1.7670342842334628 TCTCCCCAGGGCAG chr12 49265689 49265690 chr12:49265690:A:G rs192811838 A G A EBF1_EBF_1 -8 0 - 0 0 . chr12 49277070 49277071 chr12:49277071:G:A rs10875942 G A A EBF1_EBF_1 12 1 - 5.94089960824646 4.91463303769561 CCTCCCAGGGTACT chr12 49284428 49284429 chr12:49284429:A:G rs3847764 A G G EBF1_EBF_1 -3 0 + 0 0 . chr12 49284435 49284436 chr12:49284436:C:T rs12322497 C T C EBF1_EBF_1 4 1 + 6.902779101559701 2.5333921566147763 ATCCCCCTGAGAAG chr12 49295096 49295097 chr12:49295097:C:G rs115335961 C G C EBF1_EBF_1 2 1 + 5.209976800398443 0.3504745216401245 CCCCCCCTGAGACC chr12 49295262 49295263 chr12:49295263:C:T rs58403142 C T C EBF1_EBF_1 -18 0 + 0 0 . chr12 49312338 49312339 chr12:49312339:G:A chr12:49312339:G:A G A G EBF1_EBF_1 32 0 - 0 0 . chr12 49327338 49327339 chr12:49327339:C:T rs73309977 C T C EBF1_EBF_1 15 0 - 0 0 . chr12 49347933 49347934 chr12:49347934:G:A rs11610266 G A G EBF1_EBF_1 15 0 + 0 0 . chr12 49348546 49348547 chr12:49348547:G:T rs76627833 G T T EBF1_EBF_1 14 0 - 0 0 . chr12 49359077 49359078 chr12:49359078:A:G rs189694822 A G A EBF1_EBF_1 -18 0 - 0 0 . chr12 49370026 49370027 chr12:49370027:G:A rs1043033881 G A G EBF1_EBF_1 -16 0 + 0 0 . chr12 49554321 49554322 chr12:49554322:A:G rs3815832 A G G EBF1_EBF_1 -6 0 + 0 0 . chr12 49577968 49577969 chr12:49577969:C:A rs143750572 C A C EBF1_EBF_1 30 0 + 0 0 . chr12 49622158 49622159 chr12:49622159:G:A rs74086911 G A G EBF1_EBF_1 7 1 + 6.237491144441936 7.549949549730761 AGCCCCCGGGGGAA chr12 49623330 49623331 chr12:49623331:C:T rs147118019 C T C EBF1_EBF_1 -8 0 + 0 0 . chr12 49623331 49623332 chr12:49623332:G:A rs1381166045 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 49623358 49623359 chr12:49623359:G:A rs138529836 G A G EBF1_EBF_1 20 0 + 0 0 . chr12 49632411 49632412 chr12:49632412:C:T rs114259945 C T C EBF1_EBF_1 -4 0 + 0 0 . chr12 49644508 49644509 chr12:49644509:G:A rs4073998 G A A EBF1_EBF_1 -19 0 - 0 0 . chr12 49650100 49650101 chr12:49650101:C:T rs61512987 C T T EBF1_EBF_1 -2 0 - 0 0 . chr12 49674463 49674464 chr12:49674464:C:A rs117322902 C A C EBF1_EBF_1 -8 0 + 0 0 . chr12 49706622 49706623 chr12:49706623:G:A rs1035944138 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 49763287 49763288 chr12:49763288:T:C rs7688 T C C EBF1_EBF_1 33 0 - 0 0 . chr12 49792285 49792286 chr12:49792286:G:T rs1470907 G T G EBF1_EBF_1 -19 0 + 0 0 . chr12 49795818 49795819 chr12:49795819:A:G rs3813526 A G G EBF1_EBF_1 -8 0 + 0 0 . chr12 49796023 49796024 chr12:49796024:C:A rs2720298 C A C EBF1_EBF_1 -14 0 - 0 0 . chr12 49797705 49797706 chr12:49797706:C:G rs74088200 C G C EBF1_EBF_1 29 0 - 0 0 . chr12 49800939 49800940 chr12:49800940:A:G rs12313989 A G A EBF1_EBF_1 -18 0 - 0 0 . chr12 49806740 49806741 chr12:49806741:C:T rs61691368 C T C EBF1_EBF_1 27 0 - 0 0 . chr12 49808553 49808554 chr12:49808554:C:G rs142996391 C G C EBF1_EBF_1 -3 0 - 0 0 . chr12 49822025 49822026 chr12:49822026:G:A rs77695587 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 49823379 49823380 chr12:49823380:G:A rs138482368 G A G EBF1_EBF_1 -8 0 + 0 0 . chr12 49825705 49825706 chr12:49825706:T:C rs66908137 T C T EBF1_EBF_1 -17 0 - 0 0 . chr12 49826301 49826302 chr12:49826302:G:T rs146815082 G T G EBF1_EBF_1 -12 0 - 0 0 . chr12 49842008 49842009 chr12:49842009:G:A rs115995838 G A A EBF1_EBF_1 -10 0 + 0 0 . chr12 49843617 49843618 chr12:49843618:G:A rs143068756 G A G EBF1_EBF_1 0 1 + 6.33314606757413 8.22542993713896 GTCCCCCAGGGGCA chr12 49873033 49873034 chr12:49873034:G:A rs112054645 G A G EBF1_EBF_1 25 0 - 0 0 . chr12 49882633 49882634 chr12:49882634:G:A rs11169198 G A G EBF1_EBF_1 -6 0 + 0 0 . chr12 49889079 49889080 chr12:49889080:G:T rs138943643 G T G EBF1_EBF_1 10 1 + 6.229245030442058 2.0464928442147925 CTCCCCATGGGGCC chr12 49892271 49892272 chr12:49892272:G:A rs905619 G A G EBF1_EBF_1 10 1 + 5.214216217516209 -0.1038122417507568 ATTGCCCGGGGATC chr12 49896013 49896014 chr12:49896014:G:C rs11836450 G C C EBF1_EBF_1 12 1 - 7.508881019685139 6.120245076006988 GTTCCCAGGAGACA chr12 49897951 49897952 chr12:49897952:G:C rs297937 G C C EBF1_EBF_1 19 0 - 0 0 . chr12 49904129 49904130 chr12:49904130:G:A rs568178250 G A G EBF1_EBF_1 6 1 - 4.155103177995912 4.456389306857669 AGCCCCCGCGGACC chr12 49904143 49904144 chr12:49904144:C:A rs543500836 C A C EBF1_EBF_1 -8 0 - 0 0 . chr12 49904143 49904144 chr12:49904144:C:T chr12:49904144:C:T C T C EBF1_EBF_1 -8 0 - 0 0 . chr12 49911165 49911166 chr12:49911166:G:A rs450798 G A G EBF1_EBF_1 10 1 + 8.154229076991871 2.836200617724905 TTTCCCCAGGGTCT chr12 49939794 49939795 chr12:49939795:C:T rs56663692 C T T EBF1_EBF_1 -9 0 - 0 0 . chr12 49939929 49939930 chr12:49939930:G:C rs11169219 G C G EBF1_EBF_1 12 1 - 6.942657563107862 5.554021619429711 AGCCCCAGGGGGCC chr12 49967444 49967445 chr12:49967445:T:G chr12:49967445:T:G T G T EBF1_EBF_1 21 0 - 0 0 . chr12 49968023 49968024 chr12:49968024:C:T rs138989962 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 49970972 49970973 chr12:49970973:T:C rs296764 T C C EBF1_EBF_1 -4 0 - 0 0 . chr12 49973551 49973552 chr12:49973552:C:G rs139985595 C G C EBF1_EBF_1 -4 0 + 0 0 . chr12 50025961 50025962 chr12:50025962:T:C chr12:50025962:T:C T C T EBF1_EBF_1 2 1 + 5.978792321356473 4.089968909914323 ACTCCACTGGGAAT chr12 50032985 50032986 chr12:50032986:G:T rs578012264 G T G EBF1_EBF_1 17 0 + 0 0 . chr12 50032999 50033000 chr12:50033000:C:T rs1055573415 C T C EBF1_EBF_1 31 0 + 0 0 . chr12 50035129 50035130 chr12:50035130:C:T rs73119688 C T C EBF1_EBF_1 23 0 - 0 0 . chr12 50044774 50044775 chr12:50044775:A:G rs630114 A G G EBF1_EBF_1 -5 0 - 0 0 . chr12 50111072 50111073 chr12:50111073:C:T rs919163143 C T C EBF1_EBF_1 24 0 + 0 0 . chr12 50258169 50258170 chr12:50258170:G:A rs192184474 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 50298277 50298278 chr12:50298278:G:A rs117203396 G A G EBF1_EBF_1 20 0 - 0 0 . chr12 50313450 50313451 chr12:50313451:T:C rs10783348 T C C EBF1_EBF_1 -20 0 - 0 0 . chr12 50353133 50353134 chr12:50353134:A:G rs10876023 A G - EBF1_EBF_1 -11 0 + 0 0 . chr12 50621080 50621081 chr12:50621081:G:A rs79385328 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 50941993 50941994 chr12:50941994:T:G rs73090629 T G T EBF1_EBF_1 -12 0 + 0 0 . chr12 50942029 50942030 chr12:50942030:G:A rs73090630 G A G EBF1_EBF_1 24 0 + 0 0 . chr12 51028787 51028788 chr12:51028788:G:C rs12423207 G C G EBF1_EBF_1 8 1 + 7.422529172694975 2.103870311780287 TATCCCTCGGGATC chr12 51098725 51098726 chr12:51098726:G:A rs2359189 G A g EBF1_EBF_1 30 0 + 0 0 . chr12 51181749 51181750 chr12:51181750:G:C rs11169743 G C C EBF1_EBF_1 -5 0 + 0 0 . chr12 51199832 51199833 chr12:51199833:T:G rs4077093 T G G EBF1_EBF_1 29 0 - 0 0 . chr12 51270495 51270496 chr12:51270496:C:T rs117660999 C T C EBF1_EBF_1 10 1 - 5.72372730493558 0.40569884566861397 CCTCCCGGGGGAGC chr12 51270519 51270520 chr12:51270520:C:A rs1567584 C A C EBF1_EBF_1 -14 0 - 0 0 . chr12 51292046 51292047 chr12:51292047:T:C rs3210837 T C C EBF1_EBF_1 -8 0 - 0 0 . chr12 51368829 51368830 chr12:51368830:A:G rs10161277 A G A EBF1_EBF_1 24 0 - 0 0 . chr12 51391796 51391797 chr12:51391797:G:T rs12322423 G T G EBF1_EBF_1 14 0 + 0 0 . chr12 51405987 51405988 chr12:51405988:A:C rs987418470 A C A EBF1_EBF_1 -15 0 + 0 0 . chr12 51484437 51484438 chr12:51484438:G:A rs145761779 G A G EBF1_EBF_1 -9 0 - 0 0 . chr12 51531520 51531521 chr12:51531521:T:C rs1027827 T C T EBF1_EBF_1 32 0 + 0 0 . chr12 51532379 51532380 chr12:51532380:G:A rs11169870 G A G EBF1_EBF_1 -16 0 - 0 0 . chr12 51800833 51800834 chr12:51800834:C:T rs113851522 C T C EBF1_EBF_1 19 0 - 0 0 . chr12 51817832 51817833 chr12:51817833:A:C rs12580969 A C C EBF1_EBF_1 -15 0 + 0 0 . chr12 51824091 51824092 chr12:51824092:C:T rs12367439 C T C EBF1_EBF_1 22 0 - 0 0 . chr12 51829298 51829299 chr12:51829299:G:A rs59429712 G A G EBF1_EBF_1 1 1 - 5.199496213772073 6.094929654170818 ACCCCCTGGGGCAC chr12 51841196 51841197 chr12:51841197:T:C rs303788 T C C EBF1_EBF_1 -8 0 - 0 0 . chr12 51841675 51841676 chr12:51841676:A:G rs12580688 A G A EBF1_EBF_1 -17 0 - 0 0 . chr12 51847127 51847128 chr12:51847128:G:A rs183881035 G A G EBF1_EBF_1 2 1 - 5.071859333957747 6.960682745399898 AGCCCCCAAGGACG chr12 51866287 51866288 chr12:51866288:C:A rs12228470 C A c EBF1_EBF_1 20 0 - 0 0 . chr12 51923911 51923912 chr12:51923912:A:C rs1700164 A C A EBF1_EBF_1 31 0 + 0 0 . chr12 51924177 51924178 chr12:51924178:A:G rs111984900 A G A EBF1_EBF_1 -13 0 + 0 0 . chr12 51924188 51924189 chr12:51924189:G:A rs2641534 G A G EBF1_EBF_1 -2 0 + 0 0 . chr12 51924593 51924594 chr12:51924594:A:T rs3847858 A T A EBF1_EBF_1 32 0 + 0 0 . chr12 52003118 52003119 chr12:52003119:G:A rs117685281 G A G EBF1_EBF_1 -5 0 - 0 0 . chr12 52007748 52007749 chr12:52007749:A:G rs2603742 A G A EBF1_EBF_1 16 0 - 0 0 . chr12 52008850 52008851 chr12:52008851:A:G rs55863539 A G A EBF1_EBF_1 17 0 - 0 0 . chr12 52009507 52009508 chr12:52009508:C:T rs146172532 C T C EBF1_EBF_1 -11 0 - 0 0 . chr12 52033325 52033326 chr12:52033326:G:T rs541450519 G T G EBF1_EBF_1 31 0 - 0 0 . chr12 52033326 52033327 chr12:52033327:A:C rs561757175 A C A EBF1_EBF_1 30 0 - 0 0 . chr12 52033365 52033366 chr12:52033366:G:T rs547333498 G T G EBF1_EBF_1 -9 0 - 0 0 . chr12 52033565 52033566 chr12:52033566:C:T rs2701131 C T C EBF1_EBF_1 -5 0 - 0 0 . chr12 52033895 52033896 chr12:52033896:T:G rs2603763 T G G EBF1_EBF_1 20 0 - 0 0 . chr12 52037593 52037594 chr12:52037594:C:T rs147395859 C T C EBF1_EBF_1 13 1 + 7.1413721414901925 7.9858662560757105 ATTCCCAGCGGAAC chr12 52043653 52043654 chr12:52043654:C:T rs117293367 C T C EBF1_EBF_1 0 1 + 4.6114404309720545 4.893642491702633 CATCCCCAGAGGCC chr12 52053967 52053968 chr12:52053968:T:C rs2603756 T C C EBF1_EBF_1 17 0 - 0 0 . chr12 52070146 52070147 chr12:52070147:C:A rs114611447 C A C EBF1_EBF_1 28 0 + 0 0 . chr12 52079864 52079865 chr12:52079865:C:G rs77130816 C G C EBF1_EBF_1 4 1 + 3.6432913988563946 -1.9824737022931496 CCCCCCGAGGGGCC chr12 52088508 52088509 chr12:52088509:G:A rs7136855 G A G EBF1_EBF_1 15 0 + 0 0 . chr12 52088652 52088653 chr12:52088653:C:T rs11169997 C T C EBF1_EBF_1 33 0 - 0 0 . chr12 52111600 52111601 chr12:52111601:T:C rs2141662 T C T EBF1_EBF_1 21 0 - 0 0 . chr12 52118806 52118807 chr12:52118807:C:T rs144962082 C T C EBF1_EBF_1 16 0 + 0 0 . chr12 52118807 52118808 chr12:52118808:A:G rs79643069 A G G EBF1_EBF_1 17 0 + 0 0 . chr12 52119467 52119468 chr12:52119468:A:G rs11835013 A G A EBF1_EBF_1 30 0 - 0 0 . chr12 52121856 52121857 chr12:52121857:G:A rs116315993 G A G EBF1_EBF_1 -15 0 - 0 0 . chr12 52121979 52121980 chr12:52121980:C:T rs976192817 C T C EBF1_EBF_1 -15 0 - 0 0 . chr12 52122787 52122788 chr12:52122788:C:A rs7308998 C A A EBF1_EBF_1 3 1 + 6.407026442911957 -0.4991475865538484 CCCCCCCTGGGAAG chr12 52125995 52125996 chr12:52125996:G:A rs74686082 G A G EBF1_EBF_1 5 1 - 4.729118659924564 -0.5926685556161078 TTCCCCCAGGGCCA chr12 52144070 52144071 chr12:52144071:C:T rs367851969 C T C EBF1_EBF_1 3 1 + 5.683218889371261 -0.7221798521820564 CTTCCCCAGGGCTC chr12 52144094 52144095 chr12:52144095:C:A rs10219706 C A C EBF1_EBF_1 27 0 + 0 0 . chr12 52147131 52147132 chr12:52147132:G:C rs73103407 G C G EBF1_EBF_1 25 0 + 0 0 . chr12 52152159 52152160 chr12:52152160:G:A rs61238854 G A G EBF1_EBF_1 20 0 - 0 0 . chr12 52153027 52153028 chr12:52153028:C:T rs56146553 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 52164033 52164034 chr12:52164034:C:T chr12:52164034:C:T C T C EBF1_EBF_1 13 1 - 6.661202910160543 7.775528482229982 CTCCCCTAGGGAGG chr12 52164048 52164049 chr12:52164049:G:A rs933944163 G A G EBF1_EBF_1 -2 0 - 0 0 . chr12 52164060 52164061 chr12:52164061:T:C rs1902762 T C C EBF1_EBF_1 -14 0 - 0 0 . chr12 52164259 52164260 chr12:52164260:C:T rs61915218 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 52177528 52177529 chr12:52177529:C:T rs80246701 C T C EBF1_EBF_1 3 1 + 5.9909274896136955 -0.4144712519396219 GCCCCCAGGGGAGA chr12 52191910 52191911 chr12:52191911:C:G rs368079294 C G C EBF1_EBF_1 28 0 - 0 0 . chr12 52193088 52193089 chr12:52193089:C:T rs2360653 C T T EBF1_EBF_1 15 0 - 0 0 . chr12 52201221 52201222 chr12:52201222:C:T rs7958522 C T C EBF1_EBF_1 4 1 + 4.833117302077459 0.4637303571325349 AACCCCCACGGACA chr12 52201241 52201242 chr12:52201242:C:G rs7958529 C G C EBF1_EBF_1 24 0 + 0 0 . chr12 52210813 52210814 chr12:52210814:C:T rs144019949 C T C EBF1_EBF_1 24 0 + 0 0 . chr12 52215422 52215423 chr12:52215423:G:A rs1440255202 G A G EBF1_EBF_1 4 1 - 6.684392777506922 2.3150058325619978 ACTCCCTGGGGCCA chr12 52232571 52232572 chr12:52232572:G:A rs10876254 G A G EBF1_EBF_1 -6 0 + 0 0 . chr12 52237796 52237797 chr12:52237797:G:T rs73105194 G T G EBF1_EBF_1 2 1 - 5.279161632088263 3.483517455931536 AACCCCTAGAGGCC chr12 52246492 52246493 chr12:52246493:C:T rs936332 C T T EBF1_EBF_1 28 0 + 0 0 . chr12 52252787 52252788 chr12:52252788:T:C rs10876255 T C T EBF1_EBF_1 -18 0 + 0 0 . chr12 52256892 52256893 chr12:52256893:G:A rs74093385 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 52278920 52278921 chr12:52278921:C:T rs4761855 C T T EBF1_EBF_1 8 1 - 7.887457911080055 3.6611678221937947 TCTCCCCAGAGAAC chr12 52279725 52279726 chr12:52279726:T:C rs7134425 T C T EBF1_EBF_1 25 0 - 0 0 . chr12 52279764 52279765 chr12:52279765:T:G rs112639163 T G T EBF1_EBF_1 -14 0 - 0 0 . chr12 52297539 52297540 chr12:52297540:G:C rs1945284 G C G EBF1_EBF_1 27 0 + 0 0 . chr12 52300508 52300509 chr12:52300509:C:A rs17655636 C A C EBF1_EBF_1 17 0 - 0 0 . chr12 52305943 52305944 chr12:52305944:G:A rs3741734 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 52317431 52317432 chr12:52317432:T:C rs2277385 T C C EBF1_EBF_1 -10 0 + 0 0 . chr12 52319028 52319029 chr12:52319029:A:G rs998821 A G G EBF1_EBF_1 26 0 + 0 0 . chr12 52319032 52319033 chr12:52319033:G:A rs3741717 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 52323759 52323760 chr12:52323760:G:A rs73311144 G A G EBF1_EBF_1 -3 0 + 0 0 . chr12 52343067 52343068 chr12:52343068:G:T rs10747639 G T G EBF1_EBF_1 17 0 + 0 0 . chr12 52357201 52357202 chr12:52357202:A:G rs4761868 A G A EBF1_EBF_1 -17 0 - 0 0 . chr12 52366947 52366948 chr12:52366948:G:A rs2298794 G A G EBF1_EBF_1 19 0 + 0 0 . chr12 52383110 52383111 chr12:52383111:G:A rs1732298 G A G EBF1_EBF_1 -14 0 + 0 0 . chr12 52383157 52383158 chr12:52383158:T:C rs1732299 T C G EBF1_EBF_1 33 0 + 0 0 . chr12 52386833 52386834 chr12:52386834:C:A rs2000936 C A C EBF1_EBF_1 25 0 - 0 0 . chr12 52386840 52386841 chr12:52386841:A:G rs1995632 A G G EBF1_EBF_1 18 0 - 0 0 . chr12 52386871 52386872 chr12:52386872:G:A rs1995633 G A G EBF1_EBF_1 -13 0 - 0 0 . chr12 52391860 52391861 chr12:52391861:C:T rs113826186 C T C EBF1_EBF_1 4 1 + 6.822109968813946 2.452723023869022 AGTCCCGTGGGCCT chr12 52391882 52391883 chr12:52391883:C:T rs10876284 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 52395413 52395414 chr12:52395414:C:A rs2658659 C A C EBF1_EBF_1 23 0 + 0 0 . chr12 52395423 52395424 chr12:52395424:T:C rs2701135 T C T EBF1_EBF_1 33 0 + 0 0 . chr12 52396354 52396355 chr12:52396355:G:C chr12:52396355:G:C G C G EBF1_EBF_1 -16 0 + 0 0 . chr12 52402933 52402934 chr12:52402934:A:G rs1506567 A G G EBF1_EBF_1 -9 0 + 0 0 . chr12 52429217 52429218 chr12:52429218:T:G rs400719 T G G EBF1_EBF_1 3 1 - 5.579098171606549 12.485272201072354 ACTACCCAGGGACC chr12 52433749 52433750 chr12:52433750:C:T rs67876 C T C EBF1_EBF_1 16 0 + 0 0 . chr12 52434505 52434506 chr12:52434506:T:C rs2232381 T C T EBF1_EBF_1 14 0 + 0 0 . chr12 52452016 52452017 chr12:52452017:T:C rs428894 T C C EBF1_EBF_1 23 0 + 0 0 . chr12 52493126 52493127 chr12:52493127:T:C rs17845411 T C C EBF1_EBF_1 23 0 + 0 0 . chr12 52500526 52500527 chr12:52500527:C:A rs73103247 C A C EBF1_EBF_1 -18 0 - 0 0 . chr12 52520828 52520829 chr12:52520829:G:A rs61916869 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 52527494 52527495 chr12:52527495:T:C rs602017 T C C EBF1_EBF_1 -14 0 + 0 0 . chr12 52527509 52527510 chr12:52527510:G:A rs605170 G A A EBF1_EBF_1 1 1 + 6.7305021535889695 6.4698033216676665 AGCCCCAAGAGAGA chr12 52545897 52545898 chr12:52545898:C:T rs73105537 C T C EBF1_EBF_1 9 1 - 5.683218889371261 3.0282796239236576 CTTCCCCAGGGCTC chr12 52560109 52560110 chr12:52560110:G:T rs12372446 G T G EBF1_EBF_1 6 1 - 5.16682998097334 5.528432348774618 GCTCACCTGGGAAT chr12 52575417 52575418 chr12:52575418:C:T rs73107590 C T T EBF1_EBF_1 33 0 + 0 0 . chr12 52584176 52584177 chr12:52584177:A:G rs3905761 A G G EBF1_EBF_1 26 0 + 0 0 . chr12 52589369 52589370 chr12:52589370:A:G rs681293 A G A EBF1_EBF_1 -18 0 + 0 0 . chr12 52602778 52602779 chr12:52602779:T:C rs586981 T C C EBF1_EBF_1 13 1 - 6.724929833796733 5.610604261727294 GTCCCCAAGGGGAA chr12 52614268 52614269 chr12:52614269:C:T rs7296390 C T C EBF1_EBF_1 -12 0 - 0 0 . chr12 52615746 52615747 chr12:52615747:G:A rs683884 G A A EBF1_EBF_1 1 1 - 8.273560145054649 9.168993585453395 TCTCCCCTGGGAGA chr12 52618852 52618853 chr12:52618853:C:T rs76863782 C T C EBF1_EBF_1 9 1 - 11.504281323066612 8.84934205761901 ACTCCCTGGGGAAC chr12 52652530 52652531 chr12:52652531:A:G rs12302209 A G g EBF1_EBF_1 1 1 - 5.076607725762221 4.1811742853634755 CTTCCCTTGGGCAG chr12 52656284 52656285 chr12:52656285:G:A rs11170223 G A g EBF1_EBF_1 10 1 + 5.796154149043461 0.47812568977649444 ACTCCCGGGGGCCT chr12 52671473 52671474 chr12:52671474:T:C rs646526 T C A EBF1_EBF_1 -9 0 + 0 0 . chr12 52701833 52701834 chr12:52701834:T:C rs10783531 T C T EBF1_EBF_1 -13 0 + 0 0 . chr12 52729455 52729456 chr12:52729456:C:G rs662843 C G C EBF1_EBF_1 -4 0 + 0 0 . chr12 52729484 52729485 chr12:52729485:T:G rs662170 T G T EBF1_EBF_1 25 0 + 0 0 . chr12 52734548 52734549 chr12:52734549:C:T rs73096453 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 52740140 52740141 chr12:52740141:A:G rs34850088 A G A EBF1_EBF_1 -14 0 - 0 0 . chr12 52751120 52751121 chr12:52751121:C:T rs530952814 C T C EBF1_EBF_1 8 1 - 7.284418013356286 3.0581279244700252 CATCCCAAGGGGAA chr12 52774958 52774959 chr12:52774959:C:G rs10876342 C G A EBF1_EBF_1 -10 0 + 0 0 . chr12 52792062 52792063 chr12:52792063:T:C rs4919751 T C C EBF1_EBF_1 -1 0 + 0 0 . chr12 52801137 52801138 chr12:52801138:A:T rs117906648 A T A EBF1_EBF_1 31 0 + 0 0 . chr12 52836786 52836787 chr12:52836787:G:A rs73106418 G A G EBF1_EBF_1 11 1 + 5.056034873856452 7.9487417174604635 AGCCCCAAGAGGGT chr12 52846626 52846627 chr12:52846627:T:C rs10876364 T C C EBF1_EBF_1 2 1 + 10.456793181017527 8.56796976957538 TTTCCCAGGGGACC chr12 52870172 52870173 chr12:52870173:C:T rs7972845 C T C EBF1_EBF_1 -7 0 + 0 0 . chr12 52871614 52871615 chr12:52871615:G:A rs117732925 G A A EBF1_EBF_1 0 1 - 4.959494377235951 5.241696437966529 CGCCCCCAGGGCCT chr12 52871724 52871725 chr12:52871725:C:T rs7313139 C T T EBF1_EBF_1 25 0 - 0 0 . chr12 52872812 52872813 chr12:52872813:G:T rs2682316 G T G EBF1_EBF_1 16 0 + 0 0 . chr12 52874107 52874108 chr12:52874108:G:A rs2638518 G A G EBF1_EBF_1 -11 0 + 0 0 . chr12 52874211 52874212 chr12:52874212:G:T rs190835491 G T g EBF1_EBF_1 5 1 - 4.07083063158202 -2.8340505210531486 CGCCCCGAGAGACC chr12 52874225 52874226 chr12:52874226:C:T rs2638517 C T c EBF1_EBF_1 -9 0 - 0 0 . chr12 52874504 52874505 chr12:52874505:C:T rs2638515 C T C EBF1_EBF_1 7 1 + 4.669782438115587 6.487287184398209 CCTCCCCCGGGGCA chr12 52879179 52879180 chr12:52879180:G:A rs4919742 G A G EBF1_EBF_1 -14 0 + 0 0 . chr12 52890382 52890383 chr12:52890383:A:G rs12422322 A G a EBF1_EBF_1 17 0 - 0 0 . chr12 52899603 52899604 chr12:52899604:C:A rs72648108 C A C EBF1_EBF_1 -12 0 - 0 0 . chr12 52905111 52905112 chr12:52905112:G:A rs184843692 G A G EBF1_EBF_1 0 1 - 5.817094824634996 6.099296885365574 CATCCCCCGGGGCT chr12 52945249 52945250 chr12:52945250:C:T rs12423065 C T C EBF1_EBF_1 32 0 - 0 0 . chr12 52951045 52951046 chr12:52951046:C:T rs2070876 C T C EBF1_EBF_1 29 0 - 0 0 . chr12 52975661 52975662 chr12:52975662:T:C rs12366796 T C T EBF1_EBF_1 -6 0 + 0 0 . chr12 52975672 52975673 chr12:52975673:C:T rs73299679 C T C EBF1_EBF_1 5 1 + 5.068964790325447 -0.25282242521522413 GTCCCCATGGGCCC chr12 52988895 52988896 chr12:52988896:T:A rs10876392 T A T EBF1_EBF_1 23 0 + 0 0 . chr12 53002276 53002277 chr12:53002277:G:A rs4919712 G A G EBF1_EBF_1 -12 0 - 0 0 . chr12 53044107 53044108 chr12:53044108:C:T rs1474214 C T T EBF1_EBF_1 15 0 - 0 0 . chr12 53058953 53058954 chr12:53058954:C:G rs2293061 C G C EBF1_EBF_1 26 0 + 0 0 . chr12 53059648 53059649 chr12:53059649:G:A rs11558984 G A G EBF1_EBF_1 25 0 + 0 0 . chr12 53060137 53060138 chr12:53060138:C:T rs138423123 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 53061324 53061325 chr12:53061325:C:T rs73099917 C T C EBF1_EBF_1 -4 0 - 0 0 . chr12 53061351 53061352 chr12:53061352:G:A rs71455226 G A G EBF1_EBF_1 3 1 - 4.922843410365297 -1.4825553311880209 AGACCCCAGGGTAC chr12 53063507 53063508 chr12:53063508:C:G rs199763931 C G C EBF1_EBF_1 25 0 - 0 0 . chr12 53069336 53069337 chr12:53069337:C:T rs907277078 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 53071247 53071248 chr12:53071248:C:T rs9783505 C T T EBF1_EBF_1 -2 0 - 0 0 . chr12 53092059 53092060 chr12:53092060:C:G rs936196343 C G C EBF1_EBF_1 15 0 + 0 0 . chr12 53102945 53102946 chr12:53102946:G:A rs35802162 G A G EBF1_EBF_1 20 0 + 0 0 . chr12 53113428 53113429 chr12:53113429:G:A rs11170423 G A A EBF1_EBF_1 8 1 + 5.121167475945124 0.8948773870588624 GGTCCCCAGGAAAA chr12 53171672 53171673 chr12:53171673:T:C rs1465056 T C C EBF1_EBF_1 31 0 - 0 0 . chr12 53200475 53200476 chr12:53200476:G:A rs3741435 G A G EBF1_EBF_1 13 1 - 4.992551352062426 5.837045466647944 CCTCCCTGAGGACC chr12 53219691 53219692 chr12:53219692:T:C rs190674471 T C T EBF1_EBF_1 -4 0 + 0 0 . chr12 53232591 53232592 chr12:53232592:A:C rs184335228 A C A EBF1_EBF_1 -16 0 + 0 0 . chr12 53233334 53233335 chr12:53233335:C:T rs373760860 C T C EBF1_EBF_1 1 1 + 9.508074883603388 10.403508324002132 ACTCCCATGGGGAC chr12 53234470 53234471 chr12:53234471:G:A rs368763916 G A G EBF1_EBF_1 -5 0 + 0 0 . chr12 53236615 53236616 chr12:53236616:C:T rs530454024 C T C EBF1_EBF_1 -10 0 + 0 0 . chr12 53268140 53268141 chr12:53268141:C:T rs1976938 C T T EBF1_EBF_1 14 0 + 0 0 . chr12 53268147 53268148 chr12:53268148:G:T rs76050896 G T G EBF1_EBF_1 21 0 + 0 0 . chr12 53290834 53290835 chr12:53290835:A:G rs61754164 A G A EBF1_EBF_1 -16 0 + 0 0 . chr12 53299664 53299665 chr12:53299665:C:T rs745844111 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 53325322 53325323 chr12:53325323:G:A rs376368959 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 53352749 53352750 chr12:53352750:G:T chr12:53352750:G:T G T G EBF1_EBF_1 23 0 + 0 0 . chr12 53380493 53380494 chr12:53380494:C:A rs566918956 C A - EBF1_EBF_1 18 0 - 0 0 . chr12 53380513 53380514 chr12:53380514:G:T rs916565556 G T - EBF1_EBF_1 -2 0 - 0 0 . chr12 53428885 53428886 chr12:53428886:G:A rs3741664 G A g EBF1_EBF_1 -1 0 + 0 0 . chr12 53430959 53430960 chr12:53430960:A:G rs12368222 A G A EBF1_EBF_1 -20 0 + 0 0 . chr12 53441313 53441314 chr12:53441314:G:C chr12:53441314:G:C G C G EBF1_EBF_1 -9 0 - 0 0 . chr12 53441548 53441549 chr12:53441549:G:A rs573037576 G A G EBF1_EBF_1 7 1 + 4.916597161164888 6.229055566453713 GCCCCCAGGAGATT chr12 53480059 53480060 chr12:53480060:G:C rs1049193 G C G EBF1_EBF_1 24 0 - 0 0 . chr12 53625936 53625937 chr12:53625937:C:G rs571476138 C G C EBF1_EBF_1 6 1 + 4.471172305844726 2.6659802423715275 TGCCCCCCGGGGCT chr12 53626418 53626419 chr12:53626419:T:G rs10876469 T G G EBF1_EBF_1 31 0 - 0 0 . chr12 53626448 53626449 chr12:53626449:C:T rs73117352 C T C EBF1_EBF_1 1 1 - 4.441295012733887 4.180596180812585 AGCCCCCACGGATC chr12 53626465 53626466 chr12:53626466:C:T chr12:53626466:C:T C T C EBF1_EBF_1 -16 0 - 0 0 . chr12 53674042 53674043 chr12:53674043:C:T rs12422531 C T C EBF1_EBF_1 25 0 - 0 0 . chr12 53678650 53678651 chr12:53678651:C:A rs4759282 C A A EBF1_EBF_1 23 0 + 0 0 . chr12 53727048 53727049 chr12:53727049:C:T rs567217823 C T C EBF1_EBF_1 17 0 + 0 0 . chr12 53737309 53737310 chr12:53737310:C:T rs12423655 C T C EBF1_EBF_1 16 0 - 0 0 . chr12 53757332 53757333 chr12:53757333:T:C rs190928976 T C T EBF1_EBF_1 2 1 + 4.818162339984639 2.929338928542486 ACTCCCTAGCGGCC chr12 53845108 53845109 chr12:53845109:T:C rs79133995 T C T EBF1_EBF_1 7 1 - 6.308130798176293 4.995672392887469 ATTCCCCAGGCATT chr12 53867903 53867904 chr12:53867904:G:A rs79373064 G A G EBF1_EBF_1 6 1 - 7.313134683566096 7.614420812427853 TCTCCCCAGAGAGT chr12 53930502 53930503 chr12:53930503:G:A rs569896314 G A G EBF1_EBF_1 22 0 + 0 0 . chr12 53938486 53938487 chr12:53938487:C:A rs76171313 C A C EBF1_EBF_1 -10 0 + 0 0 . chr12 53938486 53938487 chr12:53938487:C:T chr12:53938487:C:T C T C EBF1_EBF_1 -10 0 + 0 0 . chr12 53938613 53938614 chr12:53938614:C:G rs188000242 C G C EBF1_EBF_1 -13 0 + 0 0 . chr12 53938632 53938633 chr12:53938633:A:G rs191273159 A G A EBF1_EBF_1 6 1 + 6.919726309342969 4.752931878068494 AACCCCAGGAGACC chr12 53938647 53938648 chr12:53938648:G:T rs75360426 G T G EBF1_EBF_1 21 0 + 0 0 . chr12 53938654 53938655 chr12:53938655:C:A rs1009470881 C A C EBF1_EBF_1 28 0 + 0 0 . chr12 53943056 53943057 chr12:53943057:G:A rs1975470 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 53953913 53953914 chr12:53953914:T:G rs2366149 T G G EBF1_EBF_1 -4 0 - 0 0 . chr12 53984668 53984669 chr12:53984669:C:G rs116486400 C G C EBF1_EBF_1 30 0 + 0 0 . chr12 53993317 53993318 chr12:53993318:G:A rs35643724 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 54019116 54019117 chr12:54019117:A:T rs191302926 A T A EBF1_EBF_1 32 0 - 0 0 . chr12 54024815 54024816 chr12:54024816:C:T rs111372546 C T C EBF1_EBF_1 -6 0 - 0 0 . chr12 54037990 54037991 chr12:54037991:G:A rs55759322 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 54126256 54126257 chr12:54126257:G:C rs770724143 G C G EBF1_EBF_1 -2 0 + 0 0 . chr12 54126266 54126267 chr12:54126267:G:A rs113281968 G A G EBF1_EBF_1 8 1 + 4.794020270795428 0.5677301819091665 CGTCGCCAGGGACC chr12 54187151 54187152 chr12:54187152:G:A rs3136375 G A G EBF1_EBF_1 -16 0 + 0 0 . chr12 54353308 54353309 chr12:54353309:C:T rs114096139 C T C EBF1_EBF_1 -2 0 + 0 0 . chr12 54353321 54353322 chr12:54353322:A:G rs11170880 A G G EBF1_EBF_1 11 1 + 5.594723929228027 2.702017085624016 CTTTCCCAGGGAAT chr12 54370833 54370834 chr12:54370834:T:C rs2706248 T C C EBF1_EBF_1 16 0 + 0 0 . chr12 54371688 54371689 chr12:54371689:C:G chr12:54371689:C:G C G C EBF1_EBF_1 -7 0 - 0 0 . chr12 54371701 54371702 chr12:54371702:A:G rs116062585 A G A EBF1_EBF_1 -20 0 - 0 0 . chr12 54378311 54378312 chr12:54378312:A:G rs2605490 A G G EBF1_EBF_1 -7 0 - 0 0 . chr12 54384950 54384951 chr12:54384951:C:T rs571503253 C T C EBF1_EBF_1 13 1 + 6.646269758625785 7.490763873211303 TCCCCCAGGGGATC chr12 54385293 54385294 chr12:54385294:G:A rs147337543 G A G EBF1_EBF_1 -17 0 + 0 0 . chr12 54387076 54387077 chr12:54387077:G:C rs114135723 G C G EBF1_EBF_1 15 0 + 0 0 . chr12 54416734 54416735 chr12:54416735:C:A rs573061121 C A C EBF1_EBF_1 -2 0 + 0 0 . chr12 54549478 54549479 chr12:54549479:G:T rs371875628 G T g EBF1_EBF_1 1 1 - 6.395507394712097 6.324580217360012 CCTCCCAAAGGAAC chr12 54570690 54570691 chr12:54570691:G:A rs75469366 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 54578780 54578781 chr12:54578781:C:T rs76676851 C T C EBF1_EBF_1 -11 0 - 0 0 . chr12 54585829 54585830 chr12:54585830:G:A rs73110931 G A G EBF1_EBF_1 -14 0 + 0 0 . chr12 54601350 54601351 chr12:54601351:G:A rs2101828 G A G EBF1_EBF_1 -13 0 + 0 0 . chr12 54602087 54602088 chr12:54602088:C:T rs6580984 C T C EBF1_EBF_1 29 0 - 0 0 . chr12 54602110 54602111 chr12:54602111:G:A rs1795832 G A G EBF1_EBF_1 6 1 - 10.03399260709486 10.335278735956617 ATCCCCCAGGGAAG chr12 54606673 54606674 chr12:54606674:G:T rs1610820 G T t EBF1_EBF_1 7 1 - 5.954961206370754 8.248740192740936 CTTCCCCCGGGAGG chr12 54606678 54606679 chr12:54606679:A:G rs1610821 A G a EBF1_EBF_1 2 1 - 5.954961206370754 4.066137794928602 CTTCCCCCGGGAGG chr12 54640388 54640389 chr12:54640389:G:A rs147603880 G A G EBF1_EBF_1 15 0 - 0 0 . chr12 54644177 54644178 chr12:54644178:C:T rs73310825 C T C EBF1_EBF_1 8 1 - 4.058494634662358 -0.16779545422390263 ACCACCCTGGGACT chr12 54678281 54678282 chr12:54678282:C:T rs12819070 C T C EBF1_EBF_1 11 1 - 5.463129176436605 8.355836020040615 CTTCCCATGAGGCC chr12 54854099 54854100 chr12:54854100:T:G chr12:54854100:T:G T G T EBF1_EBF_1 29 0 - 0 0 . chr12 54866363 54866364 chr12:54866364:T:C rs73324496 T C C EBF1_EBF_1 12 1 - 5.0132726592929195 3.594455317193442 AACCCCAAGGGCAG chr12 54883045 54883046 chr12:54883046:A:G rs150648456 A G A EBF1_EBF_1 -5 0 + 0 0 . chr12 54917038 54917039 chr12:54917039:C:A rs78872239 C A C EBF1_EBF_1 -2 0 - 0 0 . chr12 54949476 54949477 chr12:54949477:T:C rs2252698 T C C EBF1_EBF_1 20 0 - 0 0 . chr12 54983709 54983710 chr12:54983710:T:C rs1872935 T C T EBF1_EBF_1 -13 0 - 0 0 . chr12 55034479 55034480 chr12:55034480:T:A rs531420145 T A T EBF1_EBF_1 25 0 + 0 0 . chr12 55057219 55057220 chr12:55057220:C:G chr12:55057220:C:G C G C EBF1_EBF_1 17 0 + 0 0 . chr12 55133132 55133133 chr12:55133133:C:T rs11171314 C T C EBF1_EBF_1 1 1 + 10.367409065301857 11.262842505700602 TCTCCCTTGGGAAC chr12 55178939 55178940 chr12:55178940:G:C rs11171356 G C g EBF1_EBF_1 16 0 + 0 0 . chr12 55222476 55222477 chr12:55222477:A:G rs1478733 A G a EBF1_EBF_1 -7 0 - 0 0 . chr12 55226898 55226899 chr12:55226899:A:T rs114512235 A T A EBF1_EBF_1 -7 0 + 0 0 . chr12 55226926 55226927 chr12:55226927:G:A rs1382489 G A A EBF1_EBF_1 21 0 + 0 0 . chr12 55245519 55245520 chr12:55245520:A:G rs7138584 A G A EBF1_EBF_1 27 0 + 0 0 . chr12 55296942 55296943 chr12:55296943:A:G rs56380243 A G G EBF1_EBF_1 1 1 - 6.182632166006047 5.287198725607302 ATTCCTCTGGGATA chr12 55441471 55441472 chr12:55441472:G:A rs7296531 G A g EBF1_EBF_1 -5 0 + 0 0 . chr12 55624346 55624347 chr12:55624347:A:T rs78601854 A T A EBF1_EBF_1 13 1 - 10.77627870146615 9.558039137594657 ACCCCCAAGGGATT chr12 55638052 55638053 chr12:55638053:A:G rs7309433 A G G EBF1_EBF_1 23 0 - 0 0 . chr12 55647280 55647281 chr12:55647281:G:A rs536980366 G A G EBF1_EBF_1 3 1 + 5.579884770389862 4.5014751890392715 TTTGCCCAGGGACC chr12 55662527 55662528 chr12:55662528:G:A rs950166 G A A EBF1_EBF_1 -20 0 + 0 0 . chr12 55666906 55666907 chr12:55666907:C:T rs78874486 C T C EBF1_EBF_1 15 0 - 0 0 . chr12 55694988 55694989 chr12:55694989:C:T rs2293410 C T C EBF1_EBF_1 23 0 + 0 0 . chr12 55704049 55704050 chr12:55704050:C:T rs1298695078 C T C EBF1_EBF_1 10 1 - 9.835364406711872 4.517335947444908 AGCCCCAGGGGACC chr12 55716039 55716040 chr12:55716040:C:T rs115612389 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 55716361 55716362 chr12:55716362:C:T rs374757164 C T C EBF1_EBF_1 -17 0 + 0 0 . chr12 55716560 55716561 chr12:55716561:T:G chr12:55716561:T:G T G T EBF1_EBF_1 20 0 + 0 0 . chr12 55717882 55717883 chr12:55717883:G:A rs73119219 G A G EBF1_EBF_1 16 0 - 0 0 . chr12 55733292 55733293 chr12:55733293:T:C rs2462936 T C C EBF1_EBF_1 -18 0 - 0 0 . chr12 55744321 55744322 chr12:55744322:C:T rs191256314 C T C EBF1_EBF_1 29 0 - 0 0 . chr12 55822285 55822286 chr12:55822286:G:T rs12308277 G T G EBF1_EBF_1 11 1 - 4.278480992719059 8.69444269057145 ACACCCAGGGGCCT chr12 56008350 56008351 chr12:56008351:G:A rs186719671 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 56152329 56152330 chr12:56152330:A:G rs773060436 A G A EBF1_EBF_1 -10 0 + 0 0 . chr12 56189680 56189681 chr12:56189681:A:C rs868333592 A C C EBF1_EBF_1 20 0 - 0 0 . chr12 56189697 56189698 chr12:56189698:G:A rs538790032 G A G EBF1_EBF_1 3 1 - 4.49660537835141 -1.9087933632019076 TCTCCCCGGAGGCT chr12 56189701 56189702 chr12:56189702:A:G rs11171773 A G A EBF1_EBF_1 -1 0 - 0 0 . chr12 56231312 56231313 chr12:56231313:C:G rs1040287093 C G C EBF1_EBF_1 -18 0 - 0 0 . chr12 56300418 56300419 chr12:56300419:A:C rs189586184 A C G EBF1_EBF_1 7 1 + 7.066723254817627 4.7729442684474455 CCTCTCAAGGGACT chr12 56300427 56300428 chr12:56300428:C:T chr12:56300428:C:T C T C EBF1_EBF_1 16 0 + 0 0 . chr12 56300430 56300431 chr12:56300431:C:G chr12:56300431:C:G C G C EBF1_EBF_1 19 0 + 0 0 . chr12 56333753 56333754 chr12:56333754:G:A chr12:56333754:G:A G A G EBF1_EBF_1 2 1 - 7.738562054622169 9.62738546606432 TTCCCCCAGAGAAT chr12 56334570 56334571 chr12:56334571:C:T rs1031251767 C T C EBF1_EBF_1 3 1 + 7.575074451928151 1.1696757103748336 CCTCCCCAGAGACC chr12 56360176 56360177 chr12:56360177:G:C rs905019697 G C G EBF1_EBF_1 10 1 + 4.801515688627961 -2.089286698701515 ACCCCCCTCGGACC chr12 56474881 56474882 chr12:56474882:C:T rs61731958 C T C EBF1_EBF_1 2 1 + 5.851606538653493 7.740429950095644 ACCCCCTTAGGAAA chr12 56497024 56497025 chr12:56497025:G:A rs1250354719 G A G EBF1_EBF_1 5 1 - 8.772727066516135 3.4509398509754674 ACTCCCCTGGGGAA chr12 56629511 56629512 chr12:56629512:C:A rs528086905 C A C EBF1_EBF_1 25 0 - 0 0 . chr12 56630036 56630037 chr12:56630037:G:A rs570559404 G A G EBF1_EBF_1 -16 0 + 0 0 . chr12 56653932 56653933 chr12:56653933:A:G rs36012661 A G A EBF1_EBF_1 7 1 - 9.635025019251637 7.817520272969013 CTCCCCCTGGGAAT chr12 56716007 56716008 chr12:56716008:A:G rs2958149 A G G EBF1_EBF_1 -8 0 - 0 0 . chr12 56725194 56725195 chr12:56725195:G:C rs112233962 G C g EBF1_EBF_1 7 1 + 4.0699167874667905 3.088596206385435 AGTCCCCGGGGCGG chr12 56809520 56809521 chr12:56809521:C:T rs12367339 C T C EBF1_EBF_1 28 0 + 0 0 . chr12 56837537 56837538 chr12:56837538:T:A rs11171991 T A A EBF1_EBF_1 31 0 - 0 0 . chr12 56839793 56839794 chr12:56839794:C:A rs73341007 C A C EBF1_EBF_1 18 0 + 0 0 . chr12 56899651 56899652 chr12:56899652:A:G rs1846400 A G G EBF1_EBF_1 11 1 + 6.628735582897616 3.736028739293605 AGTCCCCAGGCACT chr12 56908335 56908336 chr12:56908336:C:T rs7957145 C T C EBF1_EBF_1 13 1 + 5.298632401744038 6.143126516329556 ATTCCCTGGAGCCC chr12 56908336 56908337 chr12:56908337:G:A rs74093937 G A A EBF1_EBF_1 14 0 + 0 0 . chr12 56929738 56929739 chr12:56929739:A:G rs840161 A G G EBF1_EBF_1 15 0 - 0 0 . chr12 56954101 56954102 chr12:56954102:G:C rs7314151 G C G EBF1_EBF_1 -16 0 + 0 0 . chr12 56954141 56954142 chr12:56954142:G:A rs7314262 G A A EBF1_EBF_1 24 0 + 0 0 . chr12 57037617 57037618 chr12:57037618:C:T rs33962952 C T C EBF1_EBF_1 5 1 + 4.072919256251079 -1.2488679592895917 GCTCCCCCAGGACC chr12 57087060 57087061 chr12:57087061:G:A rs141657144 G A G EBF1_EBF_1 20 0 + 0 0 . chr12 57087062 57087063 chr12:57087063:C:T rs150538757 C T C EBF1_EBF_1 22 0 + 0 0 . chr12 57087065 57087066 chr12:57087066:C:T rs201807508 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 57087271 57087272 chr12:57087272:T:C rs542361230 T C T EBF1_EBF_1 -16 0 + 0 0 . chr12 57087852 57087853 chr12:57087853:C:G rs114655691 C G C EBF1_EBF_1 18 0 - 0 0 . chr12 57087873 57087874 chr12:57087874:A:G rs376704953 A G A EBF1_EBF_1 -3 0 - 0 0 . chr12 57103880 57103881 chr12:57103881:G:C rs191086712 G C G EBF1_EBF_1 27 0 - 0 0 . chr12 57111704 57111705 chr12:57111705:C:A rs192696000 C A C EBF1_EBF_1 1 1 + 7.0215207482406505 6.950593570888566 GCTCCCCAGGGCCT chr12 57111707 57111708 chr12:57111708:C:T rs3024943 C T C EBF1_EBF_1 4 1 + 7.0215207482406505 2.652133803295726 GCTCCCCAGGGCCT chr12 57127389 57127390 chr12:57127390:G:A rs34890469 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 57127967 57127968 chr12:57127968:C:T rs10467066 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 57129046 57129047 chr12:57129047:C:G rs36095408 C G C EBF1_EBF_1 24 0 - 0 0 . chr12 57134107 57134108 chr12:57134108:G:A rs779458435 G A G EBF1_EBF_1 -1 0 - 0 0 . chr12 57135659 57135660 chr12:57135660:C:T rs11172114 C T C EBF1_EBF_1 -12 0 - 0 0 . chr12 57147172 57147173 chr12:57147173:G:T rs75505823 G T G EBF1_EBF_1 -8 0 - 0 0 . chr12 57174227 57174228 chr12:57174228:C:T rs35720812 C T C EBF1_EBF_1 -18 0 - 0 0 . chr12 57208495 57208496 chr12:57208496:G:A rs140287808 G A G EBF1_EBF_1 -13 0 - 0 0 . chr12 57214716 57214717 chr12:57214717:C:T rs74093103 C T C EBF1_EBF_1 7 1 + 5.130749091185067 6.94825383746769 TGCCCCCCGGGAGC chr12 57220409 57220410 chr12:57220410:G:A rs7489208 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 57225425 57225426 chr12:57225426:C:G rs7979061 C G G EBF1_EBF_1 24 0 - 0 0 . chr12 57234940 57234941 chr12:57234941:G:A chr12:57234941:G:A G A G EBF1_EBF_1 23 0 - 0 0 . chr12 57242095 57242096 chr12:57242096:G:T rs114737821 G T G EBF1_EBF_1 25 0 - 0 0 . chr12 57272950 57272951 chr12:57272951:T:A rs1210896955 T A T EBF1_EBF_1 -5 0 - 0 0 . chr12 57430871 57430872 chr12:57430872:G:A rs531159048 G A - EBF1_EBF_1 21 0 + 0 0 . chr12 57454924 57454925 chr12:57454925:C:G rs3809113 C G C EBF1_EBF_1 -14 0 - 0 0 . chr12 57460869 57460870 chr12:57460870:A:G rs116200681 A G A EBF1_EBF_1 28 0 + 0 0 . chr12 57461272 57461273 chr12:57461273:A:C chr12:57461273:A:C A C A EBF1_EBF_1 0 1 - 7.803945563519417 7.884718116920606 TATCCCCCGGGAAA chr12 57461289 57461290 chr12:57461290:A:C chr12:57461290:A:C A C A EBF1_EBF_1 21 0 - 0 0 . chr12 57461396 57461397 chr12:57461397:G:A rs4760255 G A G EBF1_EBF_1 -4 0 - 0 0 . chr12 57462883 57462884 chr12:57462884:G:C rs10876986 G C G EBF1_EBF_1 1 1 - 9.856268268089778 10.046039922658995 CCTCCCCAGGGACA chr12 57476700 57476701 chr12:57476701:T:A rs150416488 T A T EBF1_EBF_1 23 0 - 0 0 . chr12 57476864 57476865 chr12:57476865:A:G rs512490 A G A EBF1_EBF_1 13 1 - 4.519463022261959 3.6749689076764405 ACCCCCATGTGAAT chr12 57498321 57498322 chr12:57498322:C:G rs56354627 C G C EBF1_EBF_1 8 1 - 12.90443140138354 7.585772540468857 ATTCCCCTGGGACC chr12 57519788 57519789 chr12:57519789:G:T chr12:57519789:G:T G T G EBF1_EBF_1 -9 0 - 0 0 . chr12 57522572 57522573 chr12:57522573:G:C rs566017224 G C G EBF1_EBF_1 24 0 - 0 0 . chr12 57522591 57522592 chr12:57522592:G:T chr12:57522592:G:T G T G EBF1_EBF_1 5 1 - 9.228726730803949 2.323845578168782 ATCCCCAAGAGAAC chr12 57522611 57522612 chr12:57522612:G:T rs1405464426 G T G EBF1_EBF_1 -15 0 - 0 0 . chr12 57553571 57553572 chr12:57553572:G:A rs77241748 G A G EBF1_EBF_1 8 1 + 4.917649526268687 0.6913594373824264 ATCCCCACGGGTGT chr12 57583573 57583574 chr12:57583574:A:C rs964106 A C A EBF1_EBF_1 -2 0 - 0 0 . chr12 57603969 57603970 chr12:57603970:T:G chr12:57603970:T:G T G T EBF1_EBF_1 33 0 - 0 0 . chr12 57603993 57603994 chr12:57603994:C:T rs114031508 C T C EBF1_EBF_1 9 1 - 5.324567734209067 2.6696284687614633 CTTCCCTGGGGCCA chr12 57604527 57604528 chr12:57604528:G:C rs74328595 G C G EBF1_EBF_1 31 0 - 0 0 . chr12 57612053 57612054 chr12:57612054:T:A rs116953253 T A T EBF1_EBF_1 22 0 - 0 0 . chr12 57615418 57615419 chr12:57615419:T:C rs2277322 T C T EBF1_EBF_1 -8 0 - 0 0 . chr12 57621871 57621872 chr12:57621872:G:A rs373366023 G A G EBF1_EBF_1 30 0 - 0 0 . chr12 57627562 57627563 chr12:57627563:C:A rs117571686 C A C EBF1_EBF_1 -6 0 + 0 0 . chr12 57635079 57635080 chr12:57635080:T:C rs6581147 T C C EBF1_EBF_1 1 1 + 7.177460050305188 6.282026609906443 GTTCCCCGGAGAAA chr12 57725878 57725879 chr12:57725879:C:A rs143847526 C A C EBF1_EBF_1 -4 0 + 0 0 . chr12 57739472 57739473 chr12:57739473:G:A rs12368653 G A G EBF1_EBF_1 -13 0 - 0 0 . chr12 57740042 57740043 chr12:57740043:G:A rs542279359 G A G EBF1_EBF_1 -15 0 - 0 0 . chr12 57759164 57759165 chr12:57759165:C:T rs1048691 C T C EBF1_EBF_1 4 1 + 5.696954222935702 1.3275672779907781 CTTCCCTGGAGAGA chr12 57772604 57772605 chr12:57772605:G:C rs148073180 G C G EBF1_EBF_1 -13 0 + 0 0 . chr12 57772619 57772620 chr12:57772620:T:G rs2291617 T G G EBF1_EBF_1 2 1 + 4.209795169985123 -2.5385305202153456 GTTCCACAGGGACA chr12 57772642 57772643 chr12:57772643:C:T rs572639808 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 57779145 57779146 chr12:57779146:C:T rs10877019 C T C EBF1_EBF_1 24 0 - 0 0 . chr12 57824143 57824144 chr12:57824144:C:T rs78073833 C T C EBF1_EBF_1 3 1 + 7.058107142017128 0.6527084004638106 TAACCCTAGGGACC chr12 57839155 57839156 chr12:57839156:G:A rs12305031 G A C EBF1_EBF_1 18 0 + 0 0 . chr12 57839155 57839156 chr12:57839156:G:C chr12:57839156:G:C G C C EBF1_EBF_1 18 0 + 0 0 . chr12 57845623 57845624 chr12:57845624:C:G rs79256481 C G C EBF1_EBF_1 29 0 - 0 0 . chr12 57845624 57845625 chr12:57845625:T:C rs1042135486 T C T EBF1_EBF_1 28 0 - 0 0 . chr12 57845637 57845638 chr12:57845638:C:G rs369111694 C G G EBF1_EBF_1 15 0 - 0 0 . chr12 57845656 57845657 chr12:57845657:C:T rs774962844 C T C EBF1_EBF_1 -4 0 - 0 0 . chr12 57855133 57855134 chr12:57855134:A:T rs73102465 A T A EBF1_EBF_1 7 1 + 8.504670279118628 8.028396039031069 AGTCCCTAGGGTCA chr12 57890396 57890397 chr12:57890397:G:C rs1377702494 G C G EBF1_EBF_1 -20 0 - 0 0 . chr12 57953084 57953085 chr12:57953085:T:C rs4760347 T C C EBF1_EBF_1 29 0 + 0 0 . chr12 58269560 58269561 chr12:58269561:A:G rs28481485 A G A EBF1_EBF_1 18 0 + 0 0 . chr12 58342920 58342921 chr12:58342921:G:A rs12821169 G A G EBF1_EBF_1 8 1 + 6.271888957213618 2.045598868327357 ATTCTCATGAGAAC chr12 58347680 58347681 chr12:58347681:A:G rs1174601 A G A EBF1_EBF_1 20 0 - 0 0 . chr12 58410405 58410406 chr12:58410406:C:T rs3842937 C T C EBF1_EBF_1 15 0 - 0 0 . chr12 58416490 58416491 chr12:58416491:C:T rs115562407 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 58416496 58416497 chr12:58416497:G:T rs112876027 G T G EBF1_EBF_1 33 0 + 0 0 . chr12 58451337 58451338 chr12:58451338:A:G rs7358634 A G A EBF1_EBF_1 -6 0 - 0 0 . chr12 58458149 58458150 chr12:58458150:G:T rs3858570 G T G EBF1_EBF_1 -12 0 - 0 0 . chr12 58488094 58488095 chr12:58488095:G:C rs10877124 G C G EBF1_EBF_1 -4 0 - 0 0 . chr12 58562369 58562370 chr12:58562370:G:A rs11172657 G A G EBF1_EBF_1 11 1 + 7.964282295957193 10.856989139561202 AACCCCTAGGGGAC chr12 58757124 58757125 chr12:58757125:C:A rs74921683 C A C EBF1_EBF_1 8 1 - 12.922469718320169 6.031036929342975 ATTCCCATGGGAAA chr12 58765818 58765819 chr12:58765819:T:C rs7302361 T C T EBF1_EBF_1 -18 0 - 0 0 . chr12 58855651 58855652 chr12:58855652:G:T rs78382716 G T G EBF1_EBF_1 -16 0 - 0 0 . chr12 58861032 58861033 chr12:58861033:C:T rs11172771 C T C EBF1_EBF_1 13 1 + 6.9787615043371005 7.823255618922619 ACCCCCTAGGGGTC chr12 59059589 59059590 chr12:59059590:T:C rs907345 T C C EBF1_EBF_1 21 0 - 0 0 . chr12 59114420 59114421 chr12:59114421:G:A rs117462484 G A G EBF1_EBF_1 -12 0 - 0 0 . chr12 59143557 59143558 chr12:59143558:G:A rs1392313 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 59317607 59317608 chr12:59317608:A:G rs7962946 A G C EBF1_EBF_1 18 0 + 0 0 . chr12 59390124 59390125 chr12:59390125:G:A rs34976583 G A G EBF1_EBF_1 2 1 - 8.798840854050368 10.687664265492518 CTCCCCCGGGGAAT chr12 59501549 59501550 chr12:59501550:T:C rs74865030 T C T EBF1_EBF_1 -5 0 - 0 0 . chr12 59552228 59552229 chr12:59552229:A:G rs276044 A G A EBF1_EBF_1 -19 0 - 0 0 . chr12 59578970 59578971 chr12:59578971:C:G rs7975081 C G C EBF1_EBF_1 -5 0 - 0 0 . chr12 59913415 59913416 chr12:59913416:T:C rs11173234 T C T EBF1_EBF_1 12 1 + 5.479896060333075 6.5061626308839235 CTTCCCCTGAGATG chr12 59913425 59913426 chr12:59913426:T:C rs11173235 T C T EBF1_EBF_1 22 0 + 0 0 . chr12 60270121 60270122 chr12:60270122:G:T rs964987286 G T G EBF1_EBF_1 -16 0 - 0 0 . chr12 60603721 60603722 chr12:60603722:G:A chr12:60603722:G:A G A G EBF1_EBF_1 -15 0 + 0 0 . chr12 60618316 60618317 chr12:60618317:T:C rs2723738 T C C EBF1_EBF_1 11 1 - 5.285134295022437 2.392427451418425 TCCCCCCAGGTACT chr12 60936400 60936401 chr12:60936401:T:C rs182953974 T C T EBF1_EBF_1 15 0 - 0 0 . chr12 61044094 61044095 chr12:61044095:C:T rs12297083 C T T EBF1_EBF_1 8 1 - 5.254280202516963 1.0279901136307017 TAACCCCTGGGATC chr12 61090544 61090545 chr12:61090545:C:A rs1588059 C A c EBF1_EBF_1 5 1 + 4.2958873463585086 -2.6089938062766596 CCTGCCAGGGGACT chr12 61355251 61355252 chr12:61355252:G:T rs61119259 G T G EBF1_EBF_1 14 0 + 0 0 . chr12 61386530 61386531 chr12:61386531:A:C rs2731407 A C C EBF1_EBF_1 26 0 + 0 0 . chr12 62045449 62045450 chr12:62045450:C:T rs11832494 C T C EBF1_EBF_1 21 0 - 0 0 . chr12 62260236 62260237 chr12:62260237:C:G rs543396813 C G C EBF1_EBF_1 -19 0 + 0 0 . chr12 62260236 62260237 chr12:62260237:C:T chr12:62260237:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr12 62260237 62260238 chr12:62260238:A:G rs375751773 A G A EBF1_EBF_1 -18 0 + 0 0 . chr12 62461423 62461424 chr12:62461424:G:A rs140163230 G A g EBF1_EBF_1 5 1 - 4.037994834873459 -1.2837923806672116 CTCCCCACGGGGCA chr12 62537660 62537661 chr12:62537661:G:T rs79202632 G T G EBF1_EBF_1 10 1 + 5.390056592051112 1.2073044058238465 ATTGCCATGGGGCT chr12 62674349 62674350 chr12:62674350:G:A rs699560 G A A EBF1_EBF_1 22 0 + 0 0 . chr12 62695329 62695330 chr12:62695330:T:G rs1845375 T G G EBF1_EBF_1 2 1 + 5.429994338696037 -1.31833135150443 AGTCCCTCAGGATC chr12 62695348 62695349 chr12:62695349:A:T rs61919541 A T A EBF1_EBF_1 21 0 + 0 0 . chr12 62702828 62702829 chr12:62702829:G:A rs1050528217 G A G EBF1_EBF_1 -11 0 - 0 0 . chr12 62704215 62704216 chr12:62704216:G:A rs342179 G A A EBF1_EBF_1 -18 0 + 0 0 . chr12 62711614 62711615 chr12:62711615:G:T chr12:62711615:G:T G T G EBF1_EBF_1 5 1 - 4.656677624183652 -2.2482035284515174 CTTCCCCTGTGACT chr12 62761357 62761358 chr12:62761358:C:A rs342142 C A A EBF1_EBF_1 0 1 - 8.360600869200722 8.279828315799534 GCCCCCCAGGGAAA chr12 62765699 62765700 chr12:62765700:C:T rs139539639 C T C EBF1_EBF_1 15 0 + 0 0 . chr12 62767555 62767556 chr12:62767556:G:A rs117923026 G A G EBF1_EBF_1 9 1 - 4.98876878202472 3.2274685202813744 ATACCCCTGCGACT chr12 62792273 62792274 chr12:62792274:C:T rs77910630 C T C EBF1_EBF_1 -6 0 + 0 0 . chr12 62813310 62813311 chr12:62813311:G:A rs545014035 G A G EBF1_EBF_1 13 1 + 6.5061626308839235 7.6204882029533625 CTTCCCCTGAGACG chr12 62915546 62915547 chr12:62915547:A:C rs7296020 A C A EBF1_EBF_1 27 0 + 0 0 . chr12 62972265 62972266 chr12:62972266:C:G rs699602 C G C EBF1_EBF_1 15 0 - 0 0 . chr12 63021314 63021315 chr12:63021315:T:G rs71465180 T G T EBF1_EBF_1 20 0 - 0 0 . chr12 63134511 63134512 chr12:63134512:G:A rs7964655 G A G EBF1_EBF_1 -10 0 + 0 0 . chr12 63240933 63240934 chr12:63240934:C:T rs113086356 C T C EBF1_EBF_1 -1 0 + 0 0 . chr12 63330852 63330853 chr12:63330853:C:G rs1250675 C G G EBF1_EBF_1 12 1 + 4.723960926657725 3.3353249829795732 AGCCCCCTGGGTCG chr12 63387601 63387602 chr12:63387602:A:G rs547213 A G A EBF1_EBF_1 -15 0 - 0 0 . chr12 63501037 63501038 chr12:63501038:A:G rs11175003 A G a EBF1_EBF_1 -17 0 - 0 0 . chr12 63505767 63505768 chr12:63505768:C:T rs4275676 C T c EBF1_EBF_1 33 0 + 0 0 . chr12 63624363 63624364 chr12:63624364:A:G rs12315907 A G A EBF1_EBF_1 -3 0 + 0 0 . chr12 63668242 63668243 chr12:63668243:A:C rs10878073 A C a EBF1_EBF_1 13 1 - 4.970897391739924 2.638332255798991 ACTGCCAAGGGGCT chr12 63668271 63668272 chr12:63668272:G:A rs10878074 G A G EBF1_EBF_1 -16 0 - 0 0 . chr12 63780335 63780336 chr12:63780336:C:T rs977899978 C T C EBF1_EBF_1 23 0 - 0 0 . chr12 63917108 63917109 chr12:63917109:C:T rs77261844 C T C EBF1_EBF_1 5 1 + 7.037781297188992 1.7159940816483221 TACCCCATGGGATA chr12 63924731 63924732 chr12:63924732:A:T rs12230334 A T A EBF1_EBF_1 -2 0 - 0 0 . chr12 64186964 64186965 chr12:64186965:G:T rs78638010 G T G EBF1_EBF_1 4 1 - 6.124789084245504 0.3002294607920358 ACCCCCCAGGGTCA chr12 64286235 64286236 chr12:64286236:C:A rs7974953 C A A EBF1_EBF_1 3 1 + 6.507467715827152 -0.3987063136386556 ACACCCAAGAGACC chr12 64327010 64327011 chr12:64327011:A:T rs12317146 A T A EBF1_EBF_1 1 1 - 6.458523715931277 5.4921630981804475 ATTCCCATGATAAC chr12 64390858 64390859 chr12:64390859:G:A rs12824928 G A G EBF1_EBF_1 -4 0 + 0 0 . chr12 64462146 64462147 chr12:64462147:G:T rs10784411 G T T EBF1_EBF_1 -19 0 + 0 0 . chr12 64551988 64551989 chr12:64551989:A:C rs7966390 A C C EBF1_EBF_1 25 0 - 0 0 . chr12 64560783 64560784 chr12:64560784:G:A rs28660489 G A g EBF1_EBF_1 -9 0 - 0 0 . chr12 64561425 64561426 chr12:64561426:G:A rs190301988 G A G EBF1_EBF_1 20 0 - 0 0 . chr12 64621912 64621913 chr12:64621913:G:T rs188674288 G T G EBF1_EBF_1 19 0 + 0 0 . chr12 64680564 64680565 chr12:64680565:G:T rs1244872 G T T EBF1_EBF_1 5 1 - 6.4711622822270085 -0.4337188704081606 AACCCCAAGGGCCC chr12 64862914 64862915 chr12:64862915:C:T rs12099641 C T C EBF1_EBF_1 5 1 + 6.974677684679487 1.6528904691388173 AGCCCCTAGAGAAG chr12 65025453 65025454 chr12:65025454:C:A rs7980071 C A C EBF1_EBF_1 -14 0 + 0 0 . chr12 65025491 65025492 chr12:65025492:C:A rs441851 C A C EBF1_EBF_1 24 0 + 0 0 . chr12 65169931 65169932 chr12:65169932:C:T rs61736594 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 65169973 65169974 chr12:65169974:C:T rs61736593 C T C EBF1_EBF_1 -16 0 - 0 0 . chr12 65339345 65339346 chr12:65339346:T:C rs78519975 T C T EBF1_EBF_1 6 1 + 7.6100076163269925 7.3087214874652355 ATTCCCTGGGGCAA chr12 65532487 65532488 chr12:65532488:C:G rs117819820 C G C EBF1_EBF_1 29 0 - 0 0 . chr12 65633033 65633034 chr12:65633034:C:T rs4762108 C T T EBF1_EBF_1 -13 0 + 0 0 . chr12 65635098 65635099 chr12:65635099:C:T rs2254518 C T T EBF1_EBF_1 15 0 - 0 0 . chr12 65640766 65640767 chr12:65640767:C:T rs193280304 C T C EBF1_EBF_1 -14 0 + 0 0 . chr12 65729297 65729298 chr12:65729298:G:T rs17101674 G T G EBF1_EBF_1 -10 0 - 0 0 . chr12 65744643 65744644 chr12:65744644:G:A rs6581652 G A G EBF1_EBF_1 17 0 + 0 0 . chr12 65744646 65744647 chr12:65744647:C:G rs7311118 C G C EBF1_EBF_1 20 0 + 0 0 . chr12 65784631 65784632 chr12:65784632:A:G rs2583932 A G G EBF1_EBF_1 32 0 - 0 0 . chr12 65823564 65823565 chr12:65823565:A:G rs6581658 A G G EBF1_EBF_1 -1 0 - 0 0 . chr12 65953587 65953588 chr12:65953588:G:T rs7959830 G T T EBF1_EBF_1 -3 0 + 0 0 . chr12 65983736 65983737 chr12:65983737:G:C rs76463044 G C G EBF1_EBF_1 28 0 - 0 0 . chr12 66156976 66156977 chr12:66156977:C:T rs113774533 C T C EBF1_EBF_1 6 1 + 5.235842313590875 5.537128442452632 TTTCCTCTGGGACA chr12 66169782 66169783 chr12:66169783:C:A chr12:66169783:C:A C A C EBF1_EBF_1 32 0 + 0 0 . chr12 66189262 66189263 chr12:66189263:G:T rs1001814145 G T G EBF1_EBF_1 -10 0 + 0 0 . chr12 66272678 66272679 chr12:66272679:T:G rs724742 T G G EBF1_EBF_1 -2 0 + 0 0 . chr12 66321173 66321174 chr12:66321174:A:G rs147902617 A G A EBF1_EBF_1 -18 0 - 0 0 . chr12 66407241 66407242 chr12:66407242:C:T rs4344533 C T C EBF1_EBF_1 -12 0 - 0 0 . chr12 66627740 66627741 chr12:66627741:G:A rs11176337 G A G EBF1_EBF_1 -19 0 - 0 0 . chr12 66627856 66627857 chr12:66627857:C:A rs10878473 C A A EBF1_EBF_1 8 1 - 9.123579834428181 2.232147045450987 TCTCCCCAGGGAGC chr12 66652333 66652334 chr12:66652334:C:G rs114248909 C G C EBF1_EBF_1 6 1 + 6.3336408245998 4.528448761126602 TTTCCCCTGGGCTT chr12 66675222 66675223 chr12:66675223:A:G rs7310442 A G A EBF1_EBF_1 30 0 - 0 0 . chr12 66735908 66735909 chr12:66735909:A:C rs67296013 A C C EBF1_EBF_1 31 0 - 0 0 . chr12 66943058 66943059 chr12:66943059:C:T rs12099740 C T C EBF1_EBF_1 5 1 + 5.1008841376090235 -0.22090307793164654 AGCCCCAATGGACT chr12 66964649 66964650 chr12:66964650:C:T rs73132091 C T C EBF1_EBF_1 -15 0 + 0 0 . chr12 66964658 66964659 chr12:66964659:C:T rs74098157 C T C EBF1_EBF_1 -6 0 + 0 0 . chr12 67069449 67069450 chr12:67069450:G:T rs547509621 G T G EBF1_EBF_1 24 0 - 0 0 . chr12 67069463 67069464 chr12:67069464:C:T rs570839167 C T C EBF1_EBF_1 10 1 - 3.9253934639070924 -1.3926349953598736 ACTCCCGGGGGCTC chr12 67070927 67070928 chr12:67070928:T:C rs7961562 T C C EBF1_EBF_1 -15 0 - 0 0 . chr12 67256801 67256802 chr12:67256802:A:G rs775637 A G G EBF1_EBF_1 7 1 + 8.957317296103529 7.6448588908147075 GTTCCCAAGGGGCC chr12 67269356 67269357 chr12:67269357:C:T chr12:67269357:C:T C T C EBF1_EBF_1 17 0 - 0 0 . chr12 67322772 67322773 chr12:67322773:C:T rs1066390 C T C EBF1_EBF_1 -9 0 - 0 0 . chr12 67359216 67359217 chr12:67359217:G:T rs184357490 G T G EBF1_EBF_1 2 1 - 8.11913809726712 6.323493921110393 AGCCCCAAGAGACA chr12 67365503 67365504 chr12:67365504:G:A rs75846555 G A G EBF1_EBF_1 3 1 - 5.6281372377890575 -0.7772615037642601 ATACTCTAGGGACC chr12 67437778 67437779 chr12:67437779:C:T rs12821739 C T C EBF1_EBF_1 12 1 - 5.593800927293332 7.012618269392809 CTTCCCCAGAGAGG chr12 67446837 67446838 chr12:67446838:C:A rs10878620 C A A EBF1_EBF_1 -7 0 + 0 0 . chr12 67484006 67484007 chr12:67484007:A:G rs990598817 A G A EBF1_EBF_1 -8 0 + 0 0 . chr12 67641166 67641167 chr12:67641167:C:G rs10784637 C G C EBF1_EBF_1 -6 0 - 0 0 . chr12 67643307 67643308 chr12:67643308:G:T rs10878640 G T G EBF1_EBF_1 24 0 + 0 0 . chr12 67710700 67710701 chr12:67710701:G:A rs1993974 G A G EBF1_EBF_1 16 0 - 0 0 . chr12 67766279 67766280 chr12:67766280:C:T rs2006938 C T C EBF1_EBF_1 -4 0 + 0 0 . chr12 67798052 67798053 chr12:67798053:T:C rs11176872 T C T EBF1_EBF_1 16 0 - 0 0 . chr12 67962424 67962425 chr12:67962425:A:G rs6581784 A G G EBF1_EBF_1 2 1 - 7.092937856621431 5.2041144451792825 ACTCCCAGGGGTAA chr12 67983402 67983403 chr12:67983403:G:A rs1157716 G A G EBF1_EBF_1 -8 0 - 0 0 . chr12 67988924 67988925 chr12:67988925:G:A rs78836744 G A G EBF1_EBF_1 17 0 - 0 0 . chr12 67989803 67989804 chr12:67989804:G:C rs55637283 G C G EBF1_EBF_1 -7 0 + 0 0 . chr12 68100450 68100451 chr12:68100451:C:T rs55859520 C T A EBF1_EBF_1 29 0 + 0 0 . chr12 68177389 68177390 chr12:68177390:G:A rs12312186 G A G EBF1_EBF_1 0 1 - 4.370945939932692 4.65314800066327 CGTCCCTTGGGCAG chr12 68243667 68243668 chr12:68243668:C:T rs11177131 C T T EBF1_EBF_1 7 1 - 11.101886618431443 12.414345023720267 AATCCCCGGGGACC chr12 68298064 68298065 chr12:68298065:T:A rs6581809 T A A EBF1_EBF_1 30 0 + 0 0 . chr12 68317520 68317521 chr12:68317521:T:C rs17224817 T C T EBF1_EBF_1 0 1 - 8.51271491297899 6.620431043414157 ATTCCCTAGAGATG chr12 68334295 68334296 chr12:68334296:G:A rs12305659 G A G EBF1_EBF_1 -16 0 - 0 0 . chr12 68345835 68345836 chr12:68345836:T:C rs1904542 T C T EBF1_EBF_1 -7 0 + 0 0 . chr12 68352289 68352290 chr12:68352290:T:A rs150502363 T A T EBF1_EBF_1 12 1 + 9.593137882570616 10.649585851542792 ATTCCCAGGAGATT chr12 68410124 68410125 chr12:68410125:G:T rs76833280 G T G EBF1_EBF_1 14 0 - 0 0 . chr12 68423519 68423520 chr12:68423520:T:C rs7298737 T C T EBF1_EBF_1 17 0 - 0 0 . chr12 68423539 68423540 chr12:68423540:T:C rs61923337 T C T EBF1_EBF_1 -3 0 - 0 0 . chr12 68423542 68423543 chr12:68423543:G:A rs7313354 G A A EBF1_EBF_1 -6 0 - 0 0 . chr12 68451179 68451180 chr12:68451180:G:A rs78825778 G A G EBF1_EBF_1 -19 0 - 0 0 . chr12 68454361 68454362 chr12:68454362:G:A rs11177235 G A G EBF1_EBF_1 31 0 + 0 0 . chr12 68479349 68479350 chr12:68479350:A:G rs10878837 A G A EBF1_EBF_1 17 0 - 0 0 . chr12 68610409 68610410 chr12:68610410:C:A rs192889633 C A C EBF1_EBF_1 12 1 - 6.042795739181001 6.405165112308302 CTCCCCGAGGGAGC chr12 68706627 68706628 chr12:68706628:C:T rs2546522 C T C EBF1_EBF_1 13 1 + 4.566628772295818 5.411122886881336 CTTCCCTGGAGGCC chr12 68886738 68886739 chr12:68886739:G:A rs56105830 G A G EBF1_EBF_1 1 1 + 9.22259638929547 8.961897557374169 AGCCCCTTGGGAGC chr12 68981090 68981091 chr12:68981091:A:G rs73148679 A G A EBF1_EBF_1 33 0 + 0 0 . chr12 69036675 69036676 chr12:69036676:T:G rs74101035 T G T EBF1_EBF_1 18 0 - 0 0 . chr12 69107362 69107363 chr12:69107363:C:T rs73133821 C T C EBF1_EBF_1 8 1 - 5.875039317338909 1.6487492284526482 GTTCCCACGAGATC chr12 69107375 69107376 chr12:69107376:C:T rs10878914 C T C EBF1_EBF_1 -5 0 - 0 0 . chr12 69130244 69130245 chr12:69130245:C:G rs10784763 C G C EBF1_EBF_1 1 1 + 7.268656039372465 7.458427693941682 TCCCCCTGGGGAAA chr12 69154786 69154787 chr12:69154787:G:C rs189422725 G C G EBF1_EBF_1 3 1 - 4.937866131742353 -0.8898983163728641 TGTCCCCTGGAAAC chr12 69239510 69239511 chr12:69239511:G:T rs375356862 G T G EBF1_EBF_1 -14 0 + 0 0 . chr12 69331094 69331095 chr12:69331095:G:A rs317686 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 69331128 69331129 chr12:69331129:T:A rs317687 T A A EBF1_EBF_1 -12 0 - 0 0 . chr12 69417744 69417745 chr12:69417745:G:A rs75576153 G A A EBF1_EBF_1 31 0 - 0 0 . chr12 69617017 69617018 chr12:69617018:G:A rs710748 G A G EBF1_EBF_1 22 0 + 0 0 . chr12 69624222 69624223 chr12:69624223:G:A rs141702111 G A G EBF1_EBF_1 -16 0 - 0 0 . chr12 69639481 69639482 chr12:69639482:A:T rs76068512 A T T EBF1_EBF_1 17 0 + 0 0 . chr12 69644727 69644728 chr12:69644728:G:A rs796538 G A A EBF1_EBF_1 -16 0 - 0 0 . chr12 69679392 69679393 chr12:69679393:C:T rs76680279 C T C EBF1_EBF_1 23 0 - 0 0 . chr12 69720073 69720074 chr12:69720074:A:T rs710706 A T T EBF1_EBF_1 -14 0 + 0 0 . chr12 69738634 69738635 chr12:69738635:T:G rs563559414 T G T EBF1_EBF_1 -14 0 + 0 0 . chr12 69880701 69880702 chr12:69880702:A:G rs188763135 A G A EBF1_EBF_1 17 0 - 0 0 . chr12 69880722 69880723 chr12:69880723:T:G rs1240289 T G G EBF1_EBF_1 -4 0 - 0 0 . chr12 69889022 69889023 chr12:69889023:G:A rs17813810 G A G EBF1_EBF_1 -20 0 + 0 0 . chr12 69929025 69929026 chr12:69929026:T:A rs1380146 T A T EBF1_EBF_1 32 0 - 0 0 . chr12 69933808 69933809 chr12:69933809:G:A rs17107299 G A G EBF1_EBF_1 28 0 + 0 0 . chr12 69966658 69966659 chr12:69966659:A:G rs78110751 A G A EBF1_EBF_1 -11 0 + 0 0 . chr12 69973850 69973851 chr12:69973851:C:T rs1870419 C T T EBF1_EBF_1 -16 0 - 0 0 . chr12 69984472 69984473 chr12:69984473:T:C rs11514295 T C T EBF1_EBF_1 7 1 + 6.323661654394399 4.506156908111775 ACTCCCATTGGACT chr12 69984472 69984473 chr12:69984473:T:G chr12:69984473:T:G T G T EBF1_EBF_1 7 1 + 6.323661654394399 5.487477489193131 ACTCCCATTGGACT chr12 69997262 69997263 chr12:69997263:C:G rs1152950 C G C EBF1_EBF_1 -8 0 - 0 0 . chr12 70240891 70240892 chr12:70240892:G:C rs11610894 G C G EBF1_EBF_1 5 1 - 4.910994897520841 -1.9938862551143266 CAACCCTTGGGAGC chr12 70243048 70243049 chr12:70243049:G:A rs78129473 G A G EBF1_EBF_1 -4 0 - 0 0 . chr12 70243092 70243093 chr12:70243093:C:T rs1476238525 C T C EBF1_EBF_1 3 1 + 4.649159374047391 -1.7562393675059262 GTCCCCCTCGGACT chr12 70243099 70243100 chr12:70243100:G:A chr12:70243100:G:A G A G EBF1_EBF_1 10 1 + 4.649159374047391 -0.6688690852195751 GTCCCCCTCGGACT chr12 70243140 70243141 chr12:70243141:G:T rs1030510292 G T G EBF1_EBF_1 8 1 + 4.547517345866234 -2.3439154431109612 AGCCCCAGGGAAAC chr12 70305362 70305363 chr12:70305363:G:A rs567584706 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 70305387 70305388 chr12:70305388:C:T rs77477371 C T c EBF1_EBF_1 6 1 - 5.3673483436837985 7.534142774958274 AACCCCGTGGGAGG chr12 70305402 70305403 chr12:70305403:G:C rs80336742 G C G EBF1_EBF_1 -9 0 - 0 0 . chr12 70365717 70365718 chr12:70365718:T:C rs538756300 T C T EBF1_EBF_1 2 1 + 4.61340257875118 2.7245791673090305 GCTCCCTTGGGCGC chr12 70365798 70365799 chr12:70365799:T:C rs116907586 T C T EBF1_EBF_1 -19 0 + 0 0 . chr12 70497174 70497175 chr12:70497175:A:G rs1813277 A G G EBF1_EBF_1 33 0 - 0 0 . chr12 70504778 70504779 chr12:70504779:C:T rs146301938 C T C EBF1_EBF_1 6 1 - 5.4749781105380455 7.6417725418125215 TTCCCCGTGGGAGA chr12 70774227 70774228 chr12:70774228:C:T rs78549223 C T C EBF1_EBF_1 -15 0 + 0 0 . chr12 70812670 70812671 chr12:70812671:C:T rs11178436 C T T EBF1_EBF_1 -19 0 - 0 0 . chr12 70910770 70910771 chr12:70910771:C:T rs71454301 C T C EBF1_EBF_1 17 0 - 0 0 . chr12 70921166 70921167 chr12:70921167:G:A rs1473609022 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 71125765 71125766 chr12:71125766:A:C rs1390206 A C C EBF1_EBF_1 -12 0 - 0 0 . chr12 71125767 71125768 chr12:71125768:G:A rs7312420 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 71162864 71162865 chr12:71162865:C:T rs73142454 C T C EBF1_EBF_1 -17 0 + 0 0 . chr12 71198712 71198713 chr12:71198713:C:G rs1798077 C G C EBF1_EBF_1 3 1 + 6.792795145986209 0.9650306978709935 CTTCCCAGAGGACT chr12 71208066 71208067 chr12:71208067:C:T rs1705255 C T T EBF1_EBF_1 -1 0 - 0 0 . chr12 71355056 71355057 chr12:71355057:G:A rs5006248 G A A EBF1_EBF_1 22 0 - 0 0 . chr12 71399657 71399658 chr12:71399658:G:A rs33951983 G A G EBF1_EBF_1 6 1 + 7.768819685054539 9.935614116329013 AGTCCCGTGAGAAC chr12 71449080 71449081 chr12:71449081:G:A rs1350223201 G A G EBF1_EBF_1 6 1 - 9.995195759088014 10.296481887949772 TATCCCCTGGGAAC chr12 71461269 71461270 chr12:71461270:T:G rs12811973 T G T EBF1_EBF_1 -3 0 - 0 0 . chr12 71574404 71574405 chr12:71574405:C:T rs74101179 C T C EBF1_EBF_1 5 1 + 3.8121835095981327 -1.5096037059425378 CTTCCCCTGTGACC chr12 71720181 71720182 chr12:71720182:A:C rs78945749 A C A EBF1_EBF_1 -6 0 - 0 0 . chr12 71748681 71748682 chr12:71748682:A:G rs12425567 A G A EBF1_EBF_1 -6 0 - 0 0 . chr12 71865432 71865433 chr12:71865433:G:A rs74930305 G A G EBF1_EBF_1 24 0 - 0 0 . chr12 72135367 72135368 chr12:72135368:T:C rs190751329 T C T EBF1_EBF_1 24 0 + 0 0 . chr12 72209307 72209308 chr12:72209308:C:G rs111467591 C G C EBF1_EBF_1 -18 0 + 0 0 . chr12 72273275 72273276 chr12:72273276:G:A rs9651989 G A G EBF1_EBF_1 1 1 - 4.804726423705237 5.700159864103982 CCTCCCCGGAGAAG chr12 72338733 72338734 chr12:72338734:G:A rs10506653 G A A EBF1_EBF_1 24 0 - 0 0 . chr12 72432508 72432509 chr12:72432509:G:A rs11179202 G A A EBF1_EBF_1 -19 0 + 0 0 . chr12 72432551 72432552 chr12:72432552:C:T rs191495528 C T C EBF1_EBF_1 24 0 + 0 0 . chr12 72780223 72780224 chr12:72780224:G:C rs113914176 G C G EBF1_EBF_1 5 1 - 8.144798624716767 1.2399174720815984 GCACCCAAGGGAAT chr12 72838511 72838512 chr12:72838512:C:T rs12422432 C T C EBF1_EBF_1 -1 0 - 0 0 . chr12 72838855 72838856 chr12:72838856:T:G rs11179399 T G G EBF1_EBF_1 32 0 + 0 0 . chr12 73009408 73009409 chr12:73009409:C:T rs12297904 C T T EBF1_EBF_1 -12 0 - 0 0 . chr12 73153463 73153464 chr12:73153464:A:G rs10785009 A G G EBF1_EBF_1 -10 0 - 0 0 . chr12 73157950 73157951 chr12:73157951:G:A rs1878126 G A A EBF1_EBF_1 -14 0 + 0 0 . chr12 73321629 73321630 chr12:73321630:C:T rs73160108 C T C EBF1_EBF_1 5 1 + 6.233211219834554 0.9114240042938824 CTTCCCCTGGGCCC chr12 73424211 73424212 chr12:73424212:T:C rs1589327 T C T EBF1_EBF_1 31 0 + 0 0 . chr12 73514986 73514987 chr12:73514987:A:G rs10879624 A G A EBF1_EBF_1 15 0 + 0 0 . chr12 73629468 73629469 chr12:73629469:G:A rs17814881 G A G EBF1_EBF_1 -8 0 + 0 0 . chr12 73664798 73664799 chr12:73664799:T:C rs2730529 T C C EBF1_EBF_1 7 1 - 5.582212328788266 4.269753923499441 TCTCCTAAGGGAAC chr12 73682622 73682623 chr12:73682623:A:T rs1036943 A T T EBF1_EBF_1 14 0 + 0 0 . chr12 73702642 73702643 chr12:73702643:G:A rs139180832 G A G EBF1_EBF_1 29 0 - 0 0 . chr12 74162445 74162446 chr12:74162446:G:C rs67355516 G C C EBF1_EBF_1 -5 0 + 0 0 . chr12 74292466 74292467 chr12:74292467:T:C rs531462354 T C t EBF1_EBF_1 -13 0 - 0 0 . chr12 74438180 74438181 chr12:74438181:T:C rs2365913 T C T EBF1_EBF_1 -16 0 - 0 0 . chr12 74450199 74450200 chr12:74450200:A:G rs778930456 A G a EBF1_EBF_1 -16 0 + 0 0 . chr12 74514426 74514427 chr12:74514427:C:T rs7962722 C T C EBF1_EBF_1 28 0 - 0 0 . chr12 74554476 74554477 chr12:74554477:C:A rs2613905 C A a EBF1_EBF_1 9 1 - 8.360204554792187 1.5232151467033774 ATTCCTTAGGGACC chr12 74619392 74619393 chr12:74619393:C:A rs2605353 C A A EBF1_EBF_1 -6 0 + 0 0 . chr12 74899212 74899213 chr12:74899213:G:T rs1380397913 G T G EBF1_EBF_1 -7 0 - 0 0 . chr12 74985872 74985873 chr12:74985873:G:A rs7295528 G A G EBF1_EBF_1 -8 0 - 0 0 . chr12 75108823 75108824 chr12:75108824:G:C rs1379967 G C G EBF1_EBF_1 -9 0 - 0 0 . chr12 75116255 75116256 chr12:75116256:C:T rs2446333 C T T EBF1_EBF_1 9 1 - 5.99477479976578 3.3398355343181763 GTTCCCTTCGGACC chr12 75623787 75623788 chr12:75623788:C:G rs61933418 C G C EBF1_EBF_1 -11 0 + 0 0 . chr12 75689434 75689435 chr12:75689435:C:T rs17115480 C T T EBF1_EBF_1 18 0 + 0 0 . chr12 75759239 75759240 chr12:75759240:T:G rs4143661 T G T EBF1_EBF_1 -6 0 + 0 0 . chr12 75759240 75759241 chr12:75759241:C:G rs4143660 C G C EBF1_EBF_1 -5 0 + 0 0 . chr12 75855238 75855239 chr12:75855239:C:T rs12810244 C T C EBF1_EBF_1 10 1 - 5.893752637039661 0.5757241777726951 TCTCACAAGGGACT chr12 75855241 75855242 chr12:75855242:T:C rs1275610 T C T EBF1_EBF_1 7 1 - 5.893752637039661 4.581294231750836 TCTCACAAGGGACT chr12 75878179 75878180 chr12:75878180:A:G rs140170152 A G A EBF1_EBF_1 -9 0 - 0 0 . chr12 75878841 75878842 chr12:75878842:G:C rs7305281 G C G EBF1_EBF_1 12 1 - 6.335740010636135 4.9471040669579835 CTTCCCCAGGGCCA chr12 75891336 75891337 chr12:75891337:T:C rs28445155 T C T EBF1_EBF_1 17 0 + 0 0 . chr12 75907626 75907627 chr12:75907627:G:C rs34764572 G C C EBF1_EBF_1 -13 0 + 0 0 . chr12 75929700 75929701 chr12:75929701:G:A rs1347225 G A g EBF1_EBF_1 31 0 - 0 0 . chr12 75945038 75945039 chr12:75945039:C:T rs73373808 C T C EBF1_EBF_1 27 0 - 0 0 . chr12 75983908 75983909 chr12:75983909:G:C rs7302597 G C C EBF1_EBF_1 4 1 - 6.009149407995885 0.38338430684634106 CATCCCAGGAGAGT chr12 76028696 76028697 chr12:76028697:G:A rs7313559 G A G EBF1_EBF_1 10 1 + 5.630358101370411 0.31232964210344455 AGCCCCAAGAGGAC chr12 76080975 76080976 chr12:76080976:T:C rs10748298 T C C EBF1_EBF_1 -1 0 + 0 0 . chr12 76084848 76084849 chr12:76084849:A:G rs963328985 A G A EBF1_EBF_1 17 0 + 0 0 . chr12 76084858 76084859 chr12:76084859:C:T rs866649868 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 76234981 76234982 chr12:76234982:C:A rs185921299 C A C EBF1_EBF_1 19 0 + 0 0 . chr12 76238556 76238557 chr12:76238557:A:G rs10777058 A G G EBF1_EBF_1 -18 0 - 0 0 . chr12 76251297 76251298 chr12:76251298:A:G rs73129491 A G A EBF1_EBF_1 -16 0 - 0 0 . chr12 76265399 76265400 chr12:76265400:A:C rs10777239 A C a EBF1_EBF_1 17 0 - 0 0 . chr12 76267095 76267096 chr12:76267096:C:G rs1006186 C G g EBF1_EBF_1 14 0 - 0 0 . chr12 76348240 76348241 chr12:76348241:T:A rs202228478 T A T EBF1_EBF_1 -17 0 + 0 0 . chr12 76376086 76376087 chr12:76376087:A:G rs78990360 A G A EBF1_EBF_1 -16 0 + 0 0 . chr12 76410387 76410388 chr12:76410388:G:A rs35236201 G A A EBF1_EBF_1 -18 0 + 0 0 . chr12 76522505 76522506 chr12:76522506:G:A rs2887451 G A G EBF1_EBF_1 23 0 - 0 0 . chr12 76582768 76582769 chr12:76582769:C:G rs2669021 C G C EBF1_EBF_1 8 1 - 4.904711032510301 -0.41394782840438427 ATCTCCCAGGGATT chr12 76631084 76631085 chr12:76631085:G:C rs55735033 G C G EBF1_EBF_1 0 1 + 5.437934711413772 5.0749600972820055 GCTCACTTGGGACT chr12 76680663 76680664 chr12:76680664:G:A rs6539575 G A A EBF1_EBF_1 9 1 + 4.895853790002749 2.240914524555145 CTCCCCTAGGGTGT chr12 76693702 76693703 chr12:76693703:G:T rs149145622 G T G EBF1_EBF_1 17 0 - 0 0 . chr12 76746847 76746848 chr12:76746848:T:C rs7956544 T C T EBF1_EBF_1 16 0 + 0 0 . chr12 76763884 76763885 chr12:76763885:T:A rs1412766084 T A T EBF1_EBF_1 -4 0 + 0 0 . chr12 76763905 76763906 chr12:76763906:T:C rs532239287 T C T EBF1_EBF_1 17 0 + 0 0 . chr12 76763910 76763911 chr12:76763911:C:G chr12:76763911:C:G C G C EBF1_EBF_1 22 0 + 0 0 . chr12 76763916 76763917 chr12:76763917:G:A rs117024722 G A G EBF1_EBF_1 28 0 + 0 0 . chr12 76884021 76884022 chr12:76884022:C:T rs11116000 C T C EBF1_EBF_1 5 1 + 6.109261785589611 0.7874745700489424 AGTCCCAGAGGAGC chr12 76892448 76892449 chr12:76892449:T:G rs67791331 T G T EBF1_EBF_1 11 1 - 5.7853217627864355 1.3693600649340465 TCTCCCCAGGTAGT chr12 77058225 77058226 chr12:77058226:A:T rs36098467 A T T EBF1_EBF_1 20 0 + 0 0 . chr12 77061746 77061747 chr12:77061747:T:C rs2369465 T C T EBF1_EBF_1 -1 0 + 0 0 . chr12 77061773 77061774 chr12:77061774:T:A rs12370766 T A A EBF1_EBF_1 26 0 + 0 0 . chr12 77130374 77130375 chr12:77130375:A:G chr12:77130375:A:G A G A EBF1_EBF_1 14 0 + 0 0 . chr12 77167979 77167980 chr12:77167980:C:T rs11103990 C T C EBF1_EBF_1 -7 0 + 0 0 . chr12 77172041 77172042 chr12:77172042:C:G rs7969018 C G G EBF1_EBF_1 10 1 - 8.963384983059369 2.0725825957298936 ATTCCCCAGAGAGA chr12 77202949 77202950 chr12:77202950:G:C rs114002073 G C G EBF1_EBF_1 -9 0 + 0 0 . chr12 77202975 77202976 chr12:77202976:T:G rs10745438 T G T EBF1_EBF_1 17 0 + 0 0 . chr12 77202990 77202991 chr12:77202991:A:C rs7956407 A C C EBF1_EBF_1 32 0 + 0 0 . chr12 77382453 77382454 chr12:77382454:C:G rs7957582 C G C EBF1_EBF_1 30 0 - 0 0 . chr12 77455102 77455103 chr12:77455103:G:A rs35033879 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 77524346 77524347 chr12:77524347:G:A rs66776282 G A G EBF1_EBF_1 -10 0 - 0 0 . chr12 77559558 77559559 chr12:77559559:G:A rs3847777 G A G EBF1_EBF_1 4 1 - 5.855499581156082 1.4861126362111583 CTCCCCATGGGGCA chr12 77580397 77580398 chr12:77580398:G:A rs56193056 G A G EBF1_EBF_1 21 0 - 0 0 . chr12 77667487 77667488 chr12:77667488:C:A rs11106193 C A C EBF1_EBF_1 -2 0 + 0 0 . chr12 77721800 77721801 chr12:77721801:G:A rs770538 G A A EBF1_EBF_1 28 0 + 0 0 . chr12 77774932 77774933 chr12:77774933:G:A rs11106659 G A g EBF1_EBF_1 1 1 + 4.7876487890284025 4.5269499571071 CGTCCCATGAGGAC chr12 77849012 77849013 chr12:77849013:T:C rs3920423 T C T EBF1_EBF_1 -19 0 - 0 0 . chr12 77858125 77858126 chr12:77858126:G:T rs7131741 G T T EBF1_EBF_1 -19 0 - 0 0 . chr12 77924106 77924107 chr12:77924107:C:T rs138827021 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 78239774 78239775 chr12:78239775:G:A rs1510894 G A G EBF1_EBF_1 -14 0 + 0 0 . chr12 78241500 78241501 chr12:78241501:T:C rs74482041 T C T EBF1_EBF_1 22 0 + 0 0 . chr12 78249709 78249710 chr12:78249710:G:A rs952367 G A G EBF1_EBF_1 16 0 + 0 0 . chr12 78507235 78507236 chr12:78507236:T:C rs2684411 T C c EBF1_EBF_1 29 0 + 0 0 . chr12 78530599 78530600 chr12:78530600:A:G rs113066070 A G A EBF1_EBF_1 9 1 + 8.430320915787926 11.08526018123553 ACTCCCCAGAGATA chr12 78683859 78683860 chr12:78683860:C:T rs149615367 C T C EBF1_EBF_1 5 1 + 5.917033642000851 0.595246426460181 GTTCCCCAGGTAGC chr12 78759402 78759403 chr12:78759403:G:A rs142463076 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 78917196 78917197 chr12:78917197:A:G rs61929179 A G A EBF1_EBF_1 -3 0 - 0 0 . chr12 79167823 79167824 chr12:79167824:C:A rs10861629 C A C EBF1_EBF_1 -5 0 - 0 0 . chr12 79690011 79690012 chr12:79690012:G:C rs8176805 G C G EBF1_EBF_1 33 0 + 0 0 . chr12 79726173 79726174 chr12:79726174:G:A rs143816695 G A G EBF1_EBF_1 15 0 + 0 0 . chr12 79782116 79782117 chr12:79782117:T:C rs10746143 T C T EBF1_EBF_1 26 0 + 0 0 . chr12 79818837 79818838 chr12:79818838:A:C rs11114251 A C A EBF1_EBF_1 -6 0 + 0 0 . chr12 79984125 79984126 chr12:79984126:G:C rs1919569 G C G EBF1_EBF_1 15 0 + 0 0 . chr12 80120857 80120858 chr12:80120858:T:C rs11114324 T C C EBF1_EBF_1 -19 0 + 0 0 . chr12 80196688 80196689 chr12:80196689:G:A rs59401108 G A G EBF1_EBF_1 -5 0 + 0 0 . chr12 80402833 80402834 chr12:80402834:C:T rs10862105 C T C EBF1_EBF_1 23 0 + 0 0 . chr12 80474039 80474040 chr12:80474040:G:A rs61530056 G A G EBF1_EBF_1 -12 0 - 0 0 . chr12 80485360 80485361 chr12:80485361:T:A rs6539521 T A A EBF1_EBF_1 27 0 + 0 0 . chr12 80922942 80922943 chr12:80922943:G:A rs34613244 G A G EBF1_EBF_1 11 1 + 5.554788624755736 8.447495468359747 AGCCCCCGGGGGTC chr12 80922962 80922963 chr12:80922963:G:T rs73144951 G T G EBF1_EBF_1 31 0 + 0 0 . chr12 80937586 80937587 chr12:80937587:A:G rs966380691 A G G EBF1_EBF_1 -13 0 - 0 0 . chr12 81039417 81039418 chr12:81039418:G:T rs6539545 G T G EBF1_EBF_1 -1 0 + 0 0 . chr12 81162644 81162645 chr12:81162645:C:A rs1020825424 C A C EBF1_EBF_1 17 0 + 0 0 . chr12 81223785 81223786 chr12:81223786:A:G rs11114795 A G A EBF1_EBF_1 16 0 - 0 0 . chr12 81295178 81295179 chr12:81295179:C:G rs1882188 C G G EBF1_EBF_1 13 1 + 6.191470636736547 4.703399615381132 TTTCCCTAGAGGAC chr12 81295190 81295191 chr12:81295191:A:G rs1882187 A G G EBF1_EBF_1 25 0 + 0 0 . chr12 81329036 81329037 chr12:81329037:C:T rs117592834 C T C EBF1_EBF_1 8 1 - 4.7994269338780216 0.5731368449917598 GATCCCTTGAGGCC chr12 81570161 81570162 chr12:81570162:A:G rs7307570 A G A EBF1_EBF_1 15 0 - 0 0 . chr12 81865769 81865770 chr12:81865770:G:C rs112766506 G C G EBF1_EBF_1 33 0 + 0 0 . chr12 81865950 81865951 chr12:81865951:G:A rs4423264 G A G EBF1_EBF_1 14 0 + 0 0 . chr12 81874289 81874290 chr12:81874290:G:C rs4384447 G C C EBF1_EBF_1 19 0 - 0 0 . chr12 81901733 81901734 chr12:81901734:T:C rs34811350 T C t EBF1_EBF_1 -10 0 - 0 0 . chr12 82097929 82097930 chr12:82097930:T:C rs113419414 T C C EBF1_EBF_1 -15 0 - 0 0 . chr12 82098350 82098351 chr12:82098351:A:T rs186000417 A T A EBF1_EBF_1 11 1 + 10.11427488134743 6.016360625248707 CTTCCCTGGGGACC chr12 82359459 82359460 chr12:82359460:C:T rs7316500 C T T EBF1_EBF_1 16 0 - 0 0 . chr12 82384266 82384267 chr12:82384267:C:G rs73151445 C G C EBF1_EBF_1 15 0 - 0 0 . chr12 82685725 82685726 chr12:82685726:A:G rs535314190 A G A EBF1_EBF_1 -13 0 + 0 0 . chr12 82688384 82688385 chr12:82688385:G:A rs55783449 G A G EBF1_EBF_1 17 0 + 0 0 . chr12 82955235 82955236 chr12:82955236:G:C rs1682594 G C C EBF1_EBF_1 30 0 - 0 0 . chr12 82955258 82955259 chr12:82955259:C:T rs1796189 C T C EBF1_EBF_1 7 1 - 5.919395071257147 7.231853476545973 CACCCCAGGGGATA chr12 82962200 82962201 chr12:82962201:G:A rs10862530 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 83031612 83031613 chr12:83031613:G:T rs71450919 G T G EBF1_EBF_1 -9 0 - 0 0 . chr12 83042955 83042956 chr12:83042956:C:T rs10862576 C T C EBF1_EBF_1 -13 0 - 0 0 . chr12 83122372 83122373 chr12:83122373:A:G rs11115602 A G A EBF1_EBF_1 -15 0 + 0 0 . chr12 83136690 83136691 chr12:83136691:A:G rs7297393 A G A EBF1_EBF_1 19 0 - 0 0 . chr12 83323990 83323991 chr12:83323991:C:T rs11115730 C T C EBF1_EBF_1 23 0 - 0 0 . chr12 83324020 83324021 chr12:83324021:T:C rs73145530 T C C EBF1_EBF_1 -7 0 - 0 0 . chr12 83361571 83361572 chr12:83361572:T:C rs12828323 T C T EBF1_EBF_1 26 0 - 0 0 . chr12 83361611 83361612 chr12:83361612:A:G chr12:83361612:A:G A G A EBF1_EBF_1 -14 0 - 0 0 . chr12 83387011 83387012 chr12:83387012:A:T rs10778991 A T T EBF1_EBF_1 21 0 - 0 0 . chr12 83455695 83455696 chr12:83455696:A:G rs7964484 A G G EBF1_EBF_1 11 1 + 8.398048571902677 5.505341728298667 CTTCCCTAGAGACA chr12 83470811 83470812 chr12:83470812:C:T rs78285776 C T C EBF1_EBF_1 12 1 + 6.587618956756797 5.5613523862059475 CATCCCCAGGGCCT chr12 83826557 83826558 chr12:83826558:A:C rs1599259 A C C EBF1_EBF_1 16 0 + 0 0 . chr12 84202255 84202256 chr12:84202256:G:A rs11116246 G A G EBF1_EBF_1 -11 0 - 0 0 . chr12 84355932 84355933 chr12:84355933:G:A rs61929326 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 84505616 84505617 chr12:84505617:A:G rs898298 A G G EBF1_EBF_1 -11 0 + 0 0 . chr12 84508081 84508082 chr12:84508082:G:A rs7955870 G A G EBF1_EBF_1 33 0 - 0 0 . chr12 84513629 84513630 chr12:84513630:G:A rs10862877 G A G EBF1_EBF_1 13 1 - 5.2757011739655795 6.1201952885510975 GATCCCTAGAGGCC chr12 84550262 84550263 chr12:84550263:A:G rs188312579 A G A EBF1_EBF_1 7 1 + 11.820607998322782 10.508149593033956 ACTCCCAAGGGATC chr12 84691717 84691718 chr12:84691718:C:T rs73171352 C T C EBF1_EBF_1 -19 0 - 0 0 . chr12 84712900 84712901 chr12:84712901:G:C rs4334063 G C G EBF1_EBF_1 -2 0 + 0 0 . chr12 85254031 85254032 chr12:85254032:C:T rs7488598 C T T EBF1_EBF_1 13 1 + 6.214791719592787 7.059285834178305 TCTCCCATGGTACC chr12 85325652 85325653 chr12:85325653:A:G rs73165977 A G A EBF1_EBF_1 19 0 - 0 0 . chr12 85325667 85325668 chr12:85325668:G:A rs11116790 G A G EBF1_EBF_1 4 1 - 9.339865724150926 4.970478779206004 AATCCCCAGGGGTT chr12 85469072 85469073 chr12:85469073:G:A rs73167822 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 85653413 85653414 chr12:85653414:G:C rs2219708 G C G EBF1_EBF_1 25 0 - 0 0 . chr12 85740522 85740523 chr12:85740523:G:A rs74960892 G A G EBF1_EBF_1 4 1 - 5.07591765165243 0.7065307067075071 ACTCCCTTGGCAAA chr12 85841381 85841382 chr12:85841382:T:C rs11117054 T C T EBF1_EBF_1 13 1 + 7.130519849408678 6.28602573482316 TGTCCCCAGGGCCT chr12 86053435 86053436 chr12:86053436:G:A rs7955173 G A G EBF1_EBF_1 -12 0 - 0 0 . chr12 86091901 86091902 chr12:86091902:A:G rs116910766 A G A EBF1_EBF_1 -3 0 + 0 0 . chr12 86118169 86118170 chr12:86118170:T:A rs61931158 T A T EBF1_EBF_1 -18 0 - 0 0 . chr12 86199213 86199214 chr12:86199214:T:G rs61948992 T G T EBF1_EBF_1 -15 0 + 0 0 . chr12 86331240 86331241 chr12:86331241:G:T rs76799973 G T G EBF1_EBF_1 33 0 - 0 0 . chr12 86513980 86513981 chr12:86513981:T:C rs75722296 T C t EBF1_EBF_1 13 1 + 10.935367400141267 10.090873285555748 AATCCCTAGAGAAT chr12 86837231 86837232 chr12:86837232:C:G rs11104120 C G C EBF1_EBF_1 -15 0 - 0 0 . chr12 86989091 86989092 chr12:86989092:T:C rs12820554 T C T EBF1_EBF_1 28 0 + 0 0 . chr12 86989342 86989343 chr12:86989343:G:A rs148214461 G A G EBF1_EBF_1 -8 0 + 0 0 . chr12 87232051 87232052 chr12:87232052:A:G rs11104358 A G A EBF1_EBF_1 27 0 - 0 0 . chr12 87661983 87661984 chr12:87661984:T:G chr12:87661984:T:G T G T EBF1_EBF_1 -11 0 - 0 0 . chr12 87784445 87784446 chr12:87784446:T:C rs117264043 T C . EBF1_EBF_1 6 1 + 7.319247194352328 7.01796106549057 GCCCCCTGGGGACA chr12 88208311 88208312 chr12:88208312:C:T rs77440419 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 88222850 88222851 chr12:88222851:C:T rs149509712 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 88300609 88300610 chr12:88300610:C:T rs10858704 C T C EBF1_EBF_1 -14 0 + 0 0 . chr12 88310257 88310258 chr12:88310258:C:T rs12369673 C T C EBF1_EBF_1 -13 0 - 0 0 . chr12 88310832 88310833 chr12:88310833:G:A rs11104812 G A G EBF1_EBF_1 15 0 - 0 0 . chr12 88383319 88383320 chr12:88383320:C:T rs115959592 C T C EBF1_EBF_1 -3 0 - 0 0 . chr12 88628852 88628853 chr12:88628853:A:G rs11104974 A G A EBF1_EBF_1 -1 0 + 0 0 . chr12 88629201 88629202 chr12:88629202:T:C rs7314583 T C C EBF1_EBF_1 23 0 - 0 0 . chr12 88816720 88816721 chr12:88816721:T:C rs61924869 T C T EBF1_EBF_1 27 0 - 0 0 . chr12 88844639 88844640 chr12:88844640:C:T rs10858793 C T c EBF1_EBF_1 7 1 - 4.724032290705456 6.036490695994281 GCTCCCCGGGTACA chr12 88893945 88893946 chr12:88893946:C:T rs186713481 C T C EBF1_EBF_1 21 0 - 0 0 . chr12 89038841 89038842 chr12:89038842:T:G rs150606791 T G T EBF1_EBF_1 -15 0 - 0 0 . chr12 89064442 89064443 chr12:89064443:G:A rs61561845 G A G EBF1_EBF_1 14 0 - 0 0 . chr12 89084967 89084968 chr12:89084968:C:T rs548253826 C T C EBF1_EBF_1 5 1 + 4.334526916570202 -0.9872602989704664 CATCACCAGGGACC chr12 89323665 89323666 chr12:89323666:G:A rs11105258 G A G EBF1_EBF_1 7 1 + 12.207079564938264 13.51953797022709 AGTCCCCGGGGACT chr12 89328900 89328901 chr12:89328901:T:C rs113412296 T C T EBF1_EBF_1 6 1 + 7.932813739799742 7.631527610937984 ACTCCCTGGGGCAT chr12 89343250 89343251 chr12:89343251:C:A rs3847928 C A C EBF1_EBF_1 -13 0 - 0 0 . chr12 89351215 89351216 chr12:89351216:G:A rs180927263 G A G EBF1_EBF_1 8 1 + 4.634430014647537 0.40813992576127506 CCTCGCCAGGGAAC chr12 89351238 89351239 chr12:89351239:C:T rs78469369 C T C EBF1_EBF_1 31 0 + 0 0 . chr12 89352282 89352283 chr12:89352283:A:G rs985712229 A G A EBF1_EBF_1 -12 0 + 0 0 . chr12 89352303 89352304 chr12:89352304:T:G rs1176535533 T G T EBF1_EBF_1 9 1 + 4.26769982229379 11.104689230382599 CCTCCCCAGTGAAT chr12 89526406 89526407 chr12:89526407:C:T rs10858896 C T C EBF1_EBF_1 6 1 + 5.0017887309029465 5.3030748597647035 AATCCCCTGAGGGC chr12 89827723 89827724 chr12:89827724:G:A rs139642466 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 89857982 89857983 chr12:89857983:T:C rs11105439 T C T EBF1_EBF_1 1 1 + 6.17775984874437 5.282326408345626 ATTCCCAAAGTACT chr12 89880659 89880660 chr12:89880660:G:A rs10858937 G A G EBF1_EBF_1 -8 0 + 0 0 . chr12 90064874 90064875 chr12:90064875:G:A rs149861874 G A G EBF1_EBF_1 7 1 + 5.972453252998276 7.2849116582871005 GCTCCCCGGGTAAT chr12 90153696 90153697 chr12:90153697:C:T rs73194649 C T C EBF1_EBF_1 24 0 + 0 0 . chr12 90234613 90234614 chr12:90234614:T:G rs80207256 T G T EBF1_EBF_1 21 0 + 0 0 . chr12 90253842 90253843 chr12:90253843:A:G chr12:90253843:A:G A G A EBF1_EBF_1 -3 0 + 0 0 . chr12 90260117 90260118 chr12:90260118:G:A rs4511343 G A A EBF1_EBF_1 8 1 - 8.906540895141932 7.333766967079424 ATTCCCCACGGACT chr12 90260140 90260141 chr12:90260141:C:T rs2408221 C T C EBF1_EBF_1 -15 0 - 0 0 . chr12 90275284 90275285 chr12:90275285:C:T rs74700062 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 90275306 90275307 chr12:90275307:G:A rs73359587 G A G EBF1_EBF_1 -2 0 - 0 0 . chr12 90317585 90317586 chr12:90317586:A:C rs3849229 A C A EBF1_EBF_1 2 1 - 6.75849636095011 0.010170670749642285 TTTCCCTTCGGACT chr12 90355499 90355500 chr12:90355500:A:G rs1653580 A G G EBF1_EBF_1 -12 0 + 0 0 . chr12 90368198 90368199 chr12:90368199:C:G rs826211 C G G EBF1_EBF_1 18 0 - 0 0 . chr12 90611375 90611376 chr12:90611376:C:T rs2520511 C T C EBF1_EBF_1 -6 0 + 0 0 . chr12 90631794 90631795 chr12:90631795:C:T rs6538242 C T C EBF1_EBF_1 -19 0 - 0 0 . chr12 90758122 90758123 chr12:90758123:G:A rs76130676 G A A EBF1_EBF_1 33 0 + 0 0 . chr12 90955355 90955356 chr12:90955356:G:A rs74550334 G A G EBF1_EBF_1 -12 0 - 0 0 . chr12 90984783 90984784 chr12:90984784:A:G rs143569948 A G A EBF1_EBF_1 16 0 - 0 0 . chr12 91092622 91092623 chr12:91092623:G:A rs11105984 G A G EBF1_EBF_1 -19 0 - 0 0 . chr12 91156187 91156188 chr12:91156188:T:G rs113009730 T G T EBF1_EBF_1 -13 0 - 0 0 . chr12 91216214 91216215 chr12:91216215:A:G rs75055490 A G A EBF1_EBF_1 -4 0 - 0 0 . chr12 91544315 91544316 chr12:91544316:C:G rs1602184 C G C EBF1_EBF_1 -9 0 + 0 0 . chr12 91810652 91810653 chr12:91810653:C:T rs10859209 C T T EBF1_EBF_1 33 0 - 0 0 . chr12 91810699 91810700 chr12:91810700:C:A rs7297831 C A A EBF1_EBF_1 -14 0 - 0 0 . chr12 91810699 91810700 chr12:91810700:C:T chr12:91810700:C:T C T A EBF1_EBF_1 -14 0 - 0 0 . chr12 91818810 91818811 chr12:91818811:A:G rs56242540 A G A EBF1_EBF_1 6 1 + 6.087310206625947 3.920515775351472 CCACCCATGGGAAA chr12 91935611 91935612 chr12:91935612:G:C rs73214563 G C G EBF1_EBF_1 5 1 - 5.388214613297572 -1.5166665393375953 TCTCCCTGGAGAAG chr12 91984530 91984531 chr12:91984531:T:C rs10777372 T C T EBF1_EBF_1 -19 0 - 0 0 . chr12 92035119 92035120 chr12:92035120:C:T rs79987683 C T G EBF1_EBF_1 16 0 - 0 0 . chr12 92035128 92035129 chr12:92035129:T:C rs12230395 T C T EBF1_EBF_1 7 1 - 9.859670247472305 8.54721184218348 TCCCCCAAGGGAAT chr12 92035144 92035145 chr12:92035145:G:T rs73213918 G T G EBF1_EBF_1 -9 0 - 0 0 . chr12 92129562 92129563 chr12:92129563:A:G rs61936079 A G A EBF1_EBF_1 7 1 + 5.9561703004206334 4.643711895131807 CTTCCCCAGAGATG chr12 92218540 92218541 chr12:92218541:T:G rs184118653 T G T EBF1_EBF_1 -1 0 + 0 0 . chr12 92232193 92232194 chr12:92232194:A:T rs117566616 A T A EBF1_EBF_1 -16 0 + 0 0 . chr12 92303884 92303885 chr12:92303885:G:A rs61936148 G A G EBF1_EBF_1 9 1 + 5.6584744715646815 3.003535206117078 GCTCCCTTGGGCAA chr12 92325835 92325836 chr12:92325836:T:A rs430709 T A T EBF1_EBF_1 -17 0 + 0 0 . chr12 92325836 92325837 chr12:92325837:C:T rs373627 C T C EBF1_EBF_1 -16 0 + 0 0 . chr12 92357653 92357654 chr12:92357654:C:T rs764615628 C T C EBF1_EBF_1 -9 0 + 0 0 . chr12 92363394 92363395 chr12:92363395:T:G chr12:92363395:T:G T G T EBF1_EBF_1 22 0 + 0 0 . chr12 92406653 92406654 chr12:92406654:G:A rs188515755 G A G EBF1_EBF_1 17 0 - 0 0 . chr12 92433239 92433240 chr12:92433240:C:T rs12578375 C T C EBF1_EBF_1 10 1 - 5.388654622044775 0.07062616277780898 CATCCCCAAGGAGT chr12 92437061 92437062 chr12:92437062:G:A rs170910 G A G EBF1_EBF_1 13 1 - 4.721246403098959 5.565740517684477 ACTCCCTGGAGTCC chr12 92439198 92439199 chr12:92439199:C:T rs79407438 C T C EBF1_EBF_1 -10 0 + 0 0 . chr12 92445703 92445704 chr12:92445704:G:A rs7962196 G A G EBF1_EBF_1 4 1 - 5.725597400985704 1.3562104560407797 TCCCCCTAGAGAGT chr12 92506110 92506111 chr12:92506111:G:T rs148866013 G T g EBF1_EBF_1 16 0 + 0 0 . chr12 92506117 92506118 chr12:92506118:C:T chr12:92506118:C:T C T c EBF1_EBF_1 23 0 + 0 0 . chr12 92506118 92506119 chr12:92506119:G:A rs145449185 G A g EBF1_EBF_1 24 0 + 0 0 . chr12 92540189 92540190 chr12:92540190:T:C rs61936625 T C T EBF1_EBF_1 14 0 + 0 0 . chr12 92540432 92540433 chr12:92540433:T:C rs1828958 T C T EBF1_EBF_1 -9 0 + 0 0 . chr12 92554454 92554455 chr12:92554455:C:A rs61935361 C A C EBF1_EBF_1 27 0 - 0 0 . chr12 92572061 92572062 chr12:92572062:C:T rs145242559 C T C EBF1_EBF_1 13 1 + 3.8813392915642533 4.725833406149772 AGCCCACAGGGACC chr12 92572211 92572212 chr12:92572212:A:G rs149177194 A G A EBF1_EBF_1 27 0 + 0 0 . chr12 92757083 92757084 chr12:92757084:G:A rs563878309 G A G EBF1_EBF_1 25 0 + 0 0 . chr12 92928720 92928721 chr12:92928721:C:T rs1449228816 C T C EBF1_EBF_1 28 0 + 0 0 . chr12 92949696 92949697 chr12:92949697:A:C rs7971529 A C a EBF1_EBF_1 30 0 + 0 0 . chr12 93016747 93016748 chr12:93016748:T:C rs4760409 T C C EBF1_EBF_1 2 1 + 7.541524492447945 5.652701081005795 ATTCCCAAGGTGAT chr12 93029882 93029883 chr12:93029883:G:A rs11613452 G A G EBF1_EBF_1 10 1 + 6.104740495743706 0.7867120364767404 GATCCCCAGAGAGA chr12 93088016 93088017 chr12:93088017:G:A rs7397089 G A G EBF1_EBF_1 -11 0 + 0 0 . chr12 93120640 93120641 chr12:93120641:G:A rs11106862 G A G EBF1_EBF_1 1 1 + 5.5997346142252304 5.339035782303928 AGCCCCCCGGGGCC chr12 93125199 93125200 chr12:93125200:C:T rs79038319 C T c EBF1_EBF_1 -17 0 - 0 0 . chr12 93184042 93184043 chr12:93184043:C:G rs75014475 C G G EBF1_EBF_1 -1 0 + 0 0 . chr12 93238127 93238128 chr12:93238128:G:C rs7306971 G C C EBF1_EBF_1 -3 0 - 0 0 . chr12 93280143 93280144 chr12:93280144:G:A rs35586356 G A G EBF1_EBF_1 -20 0 - 0 0 . chr12 93379269 93379270 chr12:93379270:T:C chr12:93379270:T:C T C T EBF1_EBF_1 -18 0 - 0 0 . chr12 93490423 93490424 chr12:93490424:G:A rs973601 G A A EBF1_EBF_1 -1 0 + 0 0 . chr12 93532630 93532631 chr12:93532631:G:T rs11107076 G T T EBF1_EBF_1 -3 0 + 0 0 . chr12 93573242 93573243 chr12:93573243:C:A rs7959620 C A C EBF1_EBF_1 -15 0 - 0 0 . chr12 93589355 93589356 chr12:93589356:T:A rs11107119 T A T EBF1_EBF_1 -7 0 + 0 0 . chr12 93710247 93710248 chr12:93710248:A:G rs9971690 A G G EBF1_EBF_1 -6 0 + 0 0 . chr12 93733634 93733635 chr12:93733635:T:C rs995847212 T C T EBF1_EBF_1 7 1 - 5.756479372694307 4.444020967405482 CCTCCCCAGGAACT chr12 93759529 93759530 chr12:93759530:A:C rs61929002 A C A EBF1_EBF_1 22 0 + 0 0 . chr12 93773364 93773365 chr12:93773365:C:T rs75346198 C T c EBF1_EBF_1 6 1 - 6.4301496997325955 8.59694413100707 GATCCCGTGAGACT chr12 93773365 93773366 chr12:93773366:G:A rs776410958 G A G EBF1_EBF_1 5 1 - 6.4301496997325955 1.1083624841919262 GATCCCGTGAGACT chr12 93829214 93829215 chr12:93829215:G:A rs117489926 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 93858632 93858633 chr12:93858633:C:G rs114155649 C G C EBF1_EBF_1 -3 0 + 0 0 . chr12 93858641 93858642 chr12:93858642:C:T rs10859602 C T C EBF1_EBF_1 6 1 + 5.144806087533078 5.4460922163948355 TCTCCCCGGAGATA chr12 93884105 93884106 chr12:93884106:A:G rs1005890 A G a EBF1_EBF_1 28 0 - 0 0 . chr12 93895009 93895010 chr12:93895010:G:A rs567679490 G A g EBF1_EBF_1 12 1 - 4.878449198976881 3.8521826284260308 TTTCCCAAGGCACC chr12 93934447 93934448 chr12:93934448:A:G rs7310697 A G A EBF1_EBF_1 22 0 + 0 0 . chr12 93946721 93946722 chr12:93946722:A:C chr12:93946722:A:C A C A EBF1_EBF_1 -9 0 - 0 0 . chr12 93965160 93965161 chr12:93965161:G:A rs34808005 G A G EBF1_EBF_1 26 0 - 0 0 . chr12 93991425 93991426 chr12:93991426:C:T rs111310627 C T C EBF1_EBF_1 32 0 + 0 0 . chr12 94148082 94148083 chr12:94148083:T:C rs7299099 T C C EBF1_EBF_1 -13 0 + 0 0 . chr12 94150875 94150876 chr12:94150876:T:C rs3847798 T C C EBF1_EBF_1 -6 0 + 0 0 . chr12 94254391 94254392 chr12:94254392:G:A rs185628099 G A G EBF1_EBF_1 4 1 - 6.1494109472843475 1.7800240023394251 CATCCCTGGAGAAA chr12 94263074 94263075 chr12:94263075:G:A rs547556060 G A G EBF1_EBF_1 5 1 - 6.343916902728338 1.022129687187668 CTCCCCCAGGGGCC chr12 94263076 94263077 chr12:94263077:G:T rs1997201 G T G EBF1_EBF_1 3 1 - 6.343916902728338 -0.5622571267374685 CTCCCCCAGGGGCC chr12 94275991 94275992 chr12:94275992:A:G rs12423384 A G A EBF1_EBF_1 -18 0 - 0 0 . chr12 94280309 94280310 chr12:94280310:C:T rs7956505 C T C EBF1_EBF_1 16 0 + 0 0 . chr12 94287383 94287384 chr12:94287384:A:G rs55836966 A G G EBF1_EBF_1 -3 0 + 0 0 . chr12 94309160 94309161 chr12:94309161:A:T rs61927167 A T A EBF1_EBF_1 11 1 + 7.012004188924999 2.9140899328262746 ATTCACCAGGGAGT chr12 94351642 94351643 chr12:94351643:A:G rs139219017 A G A EBF1_EBF_1 13 1 - 9.87565767956867 9.031163564983151 AAACCCTAGGGACT chr12 94459751 94459752 chr12:94459752:G:A rs926469359 G A g EBF1_EBF_1 -15 0 + 0 0 . chr12 94460173 94460174 chr12:94460174:C:T rs186952201 C T C EBF1_EBF_1 12 1 + 8.347293385356458 7.321026814805609 AGCCCCAGGGGACG chr12 94460286 94460287 chr12:94460287:C:T rs76979817 C T C EBF1_EBF_1 -7 0 - 0 0 . chr12 94533888 94533889 chr12:94533889:G:C rs7135412 G C G EBF1_EBF_1 -18 0 + 0 0 . chr12 94535783 94535784 chr12:94535784:A:G rs6538505 A G A EBF1_EBF_1 -19 0 - 0 0 . chr12 94535856 94535857 chr12:94535857:G:A rs116224499 G A G EBF1_EBF_1 13 1 - 8.924117973055914 9.768612087641433 GGCCCCCAGGGAAC chr12 94585761 94585762 chr12:94585762:A:G rs4019547 A G G EBF1_EBF_1 -1 0 - 0 0 . chr12 94617225 94617226 chr12:94617226:G:A rs2651969 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 94618670 94618671 chr12:94618671:T:G rs7978852 T G T EBF1_EBF_1 33 0 + 0 0 . chr12 94643754 94643755 chr12:94643755:A:G chr12:94643755:A:G A G A EBF1_EBF_1 11 1 + 4.234644770445026 1.3419379268410145 CTTCCCCAGGCACC chr12 94833132 94833133 chr12:94833133:G:C rs6538554 G C C EBF1_EBF_1 17 0 + 0 0 . chr12 94872403 94872404 chr12:94872404:C:A rs1549991 C A A EBF1_EBF_1 27 0 - 0 0 . chr12 94872409 94872410 chr12:94872410:G:A rs1549992 G A A EBF1_EBF_1 21 0 - 0 0 . chr12 94916043 94916044 chr12:94916044:A:G rs6538574 A G G EBF1_EBF_1 -4 0 + 0 0 . chr12 94922776 94922777 chr12:94922777:C:T rs57140437 C T C EBF1_EBF_1 29 0 - 0 0 . chr12 94922783 94922784 chr12:94922784:T:C rs1863554 T C C EBF1_EBF_1 22 0 - 0 0 . chr12 94941524 94941525 chr12:94941525:C:A rs1648429 C A A EBF1_EBF_1 8 1 - 4.4643085527705955 -2.4271242362066 AGTCCCCAGGAGCC chr12 95100620 95100621 chr12:95100621:T:C rs4762184 T C C EBF1_EBF_1 7 1 + 7.215052133136595 5.397547386853971 CCACCCTTGGGACT chr12 95217770 95217771 chr12:95217771:G:A rs562357741 G A G EBF1_EBF_1 -2 0 - 0 0 . chr12 95404899 95404900 chr12:95404900:G:A rs7974846 G A A EBF1_EBF_1 3 1 - 5.611692534025447 -0.7937062075278694 TCCCCCTTGAGATT chr12 95412647 95412648 chr12:95412648:T:C rs11835647 T C T EBF1_EBF_1 25 0 - 0 0 . chr12 95413042 95413043 chr12:95413043:G:A rs80303967 G A g EBF1_EBF_1 29 0 - 0 0 . chr12 95413066 95413067 chr12:95413067:G:A rs12809883 G A G EBF1_EBF_1 5 1 - 12.716041438721525 7.39425422318086 ATTCCCAAGGGATC chr12 95415409 95415410 chr12:95415410:C:T rs7968488 C T C EBF1_EBF_1 14 0 - 0 0 . chr12 95424409 95424410 chr12:95424410:A:G rs10777694 A G G EBF1_EBF_1 -19 0 + 0 0 . chr12 95424456 95424457 chr12:95424457:C:T rs10777695 C T T EBF1_EBF_1 28 0 + 0 0 . chr12 95523066 95523067 chr12:95523067:A:G rs188523 A G G EBF1_EBF_1 -2 0 + 0 0 . chr12 95548692 95548693 chr12:95548693:G:A rs11108102 G A G EBF1_EBF_1 2 1 - 3.569729319702793 5.458552731144942 AGCCCCCGGGGCCG chr12 95548705 95548706 chr12:95548706:G:A rs898979240 G A G EBF1_EBF_1 -11 0 - 0 0 . chr12 95591378 95591379 chr12:95591379:G:T rs73214247 G T G EBF1_EBF_1 -3 0 - 0 0 . chr12 95602129 95602130 chr12:95602130:T:C rs10859907 T C T EBF1_EBF_1 17 0 + 0 0 . chr12 95623635 95623636 chr12:95623636:G:A rs142334157 G A G EBF1_EBF_1 5 1 - 5.043610560142935 -0.2781766553977349 GCACCCCTGGGAGC chr12 95700454 95700455 chr12:95700455:T:C rs79678587 T C T EBF1_EBF_1 32 0 - 0 0 . chr12 95740875 95740876 chr12:95740876:C:T rs11108230 C T T EBF1_EBF_1 18 0 + 0 0 . chr12 95789299 95789300 chr12:95789300:C:T rs7979484 C T C EBF1_EBF_1 -20 0 - 0 0 . chr12 95790103 95790104 chr12:95790104:C:G rs188553553 C G C EBF1_EBF_1 7 1 - 4.652962544813013 3.6716419637316577 TTTCCCCGGGGCTC chr12 95791963 95791964 chr12:95791964:A:G rs2117915 A G A EBF1_EBF_1 27 0 - 0 0 . chr12 95923445 95923446 chr12:95923446:A:G rs7965888 A G G EBF1_EBF_1 -9 0 - 0 0 . chr12 95988792 95988793 chr12:95988793:C:T rs1165859171 C T C EBF1_EBF_1 8 1 - 6.529899606591616 2.303609517705355 GCCCCCTCGGGATT chr12 96034892 96034893 chr12:96034893:C:T rs56222611 C T C EBF1_EBF_1 10 1 - 5.924165904680802 0.6061374454138356 AGCCCCCTGGGCAC chr12 96035050 96035051 chr12:96035051:C:T rs141465140 C T C EBF1_EBF_1 -12 0 + 0 0 . chr12 96044774 96044775 chr12:96044775:G:A rs2660845 G A A EBF1_EBF_1 7 1 - 3.7945425507520314 5.612047297034656 AGCCCCGCGGGGCC chr12 96061239 96061240 chr12:96061240:G:C rs2540468 G C G EBF1_EBF_1 9 1 - 4.218999818375861 9.294688964721324 GGTCCCCAGCGACG chr12 96074065 96074066 chr12:96074066:G:A rs112518887 G A G EBF1_EBF_1 0 1 - 5.833744773052326 6.115946833782904 CGCCCCTAGAGAAA chr12 96152459 96152460 chr12:96152460:G:A rs75491651 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 96165966 96165967 chr12:96165967:G:C rs60734523 G C C EBF1_EBF_1 -19 0 + 0 0 . chr12 96170089 96170090 chr12:96170090:C:T rs144192846 C T C EBF1_EBF_1 -8 0 + 0 0 . chr12 96240426 96240427 chr12:96240427:A:G rs11835157 A G A EBF1_EBF_1 -17 0 + 0 0 . chr12 96298003 96298004 chr12:96298004:A:C rs7314551 A C A EBF1_EBF_1 -18 0 - 0 0 . chr12 96399611 96399612 chr12:96399612:G:A rs7136208 G A G EBF1_EBF_1 5 1 - 7.3525801545378116 2.0307929389971413 AGTCCCCCGAGACA chr12 96407438 96407439 chr12:96407439:C:T rs55682322 C T C EBF1_EBF_1 14 0 - 0 0 . chr12 96417885 96417886 chr12:96417886:C:G rs7958659 C G G EBF1_EBF_1 11 1 - 6.183971985613301 4.6607171313649225 AACCCCAAGAGGCT chr12 96478211 96478212 chr12:96478212:T:C rs7135889 T C C EBF1_EBF_1 -1 0 - 0 0 . chr12 96484069 96484070 chr12:96484070:T:G rs116032167 T G T EBF1_EBF_1 -16 0 - 0 0 . chr12 96502631 96502632 chr12:96502632:G:A rs77407708 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 96746069 96746070 chr12:96746070:G:A rs17327035 G A G EBF1_EBF_1 -20 0 - 0 0 . chr12 96822760 96822761 chr12:96822761:A:C rs79182804 A C a EBF1_EBF_1 -19 0 - 0 0 . chr12 96879693 96879694 chr12:96879694:G:T rs252191 G T G EBF1_EBF_1 30 0 - 0 0 . chr12 96879738 96879739 chr12:96879739:C:T rs252192 C T C EBF1_EBF_1 -15 0 - 0 0 . chr12 97075488 97075489 chr12:97075489:C:G rs78086053 C G T EBF1_EBF_1 24 0 + 0 0 . chr12 97075489 97075490 chr12:97075490:G:A rs17749843 G A G EBF1_EBF_1 25 0 + 0 0 . chr12 97151745 97151746 chr12:97151746:C:A rs34753218 C A C EBF1_EBF_1 11 1 - 6.700431038966605 5.4952236264718906 ATTCCCAGGAGGTT chr12 97301327 97301328 chr12:97301328:A:T rs10860177 A T A EBF1_EBF_1 11 1 - 7.872027016261293 11.969941272360018 CTTCCCCAGGGTCT chr12 97326477 97326478 chr12:97326478:A:G rs1558691 A G A EBF1_EBF_1 30 0 - 0 0 . chr12 97326541 97326542 chr12:97326542:A:G rs2160313 A G A EBF1_EBF_1 -12 0 + 0 0 . chr12 97343612 97343613 chr12:97343613:G:A rs79374567 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 97406130 97406131 chr12:97406131:G:A rs7978007 G A A EBF1_EBF_1 14 0 - 0 0 . chr12 97560992 97560993 chr12:97560993:G:T rs79874528 G T G EBF1_EBF_1 -19 0 + 0 0 . chr12 97595352 97595353 chr12:97595353:T:C rs572536254 T C T EBF1_EBF_1 0 1 + 7.965345670611293 7.683143609880714 TCCCCCCTGGGATT chr12 97640312 97640313 chr12:97640313:C:T rs35574468 C T C EBF1_EBF_1 24 0 - 0 0 . chr12 97898300 97898301 chr12:97898301:T:C rs11109268 T C T EBF1_EBF_1 10 1 - 5.233079878783742 10.551108338050707 ACTCCCCTGGAAAG chr12 97913083 97913084 chr12:97913084:G:T rs1349302 G T T EBF1_EBF_1 9 1 + 4.377121467574032 -2.459867940514778 CTGCCCCAGGGACC chr12 98261987 98261988 chr12:98261988:A:G rs7312468 A G G EBF1_EBF_1 -16 0 + 0 0 . chr12 98295659 98295660 chr12:98295660:A:G rs7306443 A G G EBF1_EBF_1 -9 0 + 0 0 . chr12 98352537 98352538 chr12:98352538:C:T rs7960536 C T C EBF1_EBF_1 5 1 + 6.132099461277029 0.810312245736359 CCTCCCCAGGGTCC chr12 98401943 98401944 chr12:98401944:C:A rs138720448 C A C EBF1_EBF_1 -13 0 + 0 0 . chr12 98420473 98420474 chr12:98420474:G:A rs55971807 G A G EBF1_EBF_1 13 1 - 4.127537213806557 4.972031328392075 CTCCCCTGGGGTCC chr12 98458553 98458554 chr12:98458554:G:C rs34051954 G C G EBF1_EBF_1 -6 0 - 0 0 . chr12 98503733 98503734 chr12:98503734:G:C rs75209638 G C G EBF1_EBF_1 -1 0 + 0 0 . chr12 98514794 98514795 chr12:98514795:T:G rs14443 T G T EBF1_EBF_1 -8 0 + 0 0 . chr12 98593706 98593707 chr12:98593707:C:G rs542602103 C G C EBF1_EBF_1 8 1 - 5.5343660076455 0.21570714673081426 ACTCCCTTGGAAAG chr12 98594375 98594376 chr12:98594376:T:C rs74933553 T C T EBF1_EBF_1 12 1 - 4.747174000835013 3.3283566587355353 CTTCCCTCGGGCAC chr12 98644554 98644555 chr12:98644555:C:T rs376525749 C T C EBF1_EBF_1 15 0 + 0 0 . chr12 98645213 98645214 chr12:98645214:G:T chr12:98645214:G:T G T G EBF1_EBF_1 -5 0 - 0 0 . chr12 99334789 99334790 chr12:99334790:G:A rs73149175 G A G EBF1_EBF_1 -18 0 + 0 0 . chr12 99522310 99522311 chr12:99522311:A:T rs10860455 A T t EBF1_EBF_1 8 1 - 4.985965036529474 7.651107736620409 ATTCCCATTGGAGC chr12 99649743 99649744 chr12:99649744:A:G rs11109970 A G A EBF1_EBF_1 11 1 + 6.769926697842335 3.8772198542383234 TCTCCCTAGAGAGC chr12 99772460 99772461 chr12:99772461:A:G rs7979049 A G A EBF1_EBF_1 -14 0 - 0 0 . chr12 99989183 99989184 chr12:99989184:T:A rs10860551 T A T EBF1_EBF_1 -19 0 + 0 0 . chr12 100138970 100138971 chr12:100138971:A:G rs10745885 A G G EBF1_EBF_1 6 1 + 6.08548031023136 3.918685878956885 ATTCCCATGTGAAA chr12 100155182 100155183 chr12:100155183:T:C rs61940499 T C T EBF1_EBF_1 -16 0 + 0 0 . chr12 100189852 100189853 chr12:100189853:G:A rs11614784 G A G EBF1_EBF_1 -4 0 + 0 0 . chr12 100199137 100199138 chr12:100199138:T:C rs554172861 T C T EBF1_EBF_1 33 0 - 0 0 . chr12 100588744 100588745 chr12:100588745:C:T rs113574417 C T C EBF1_EBF_1 -16 0 + 0 0 . chr12 100706475 100706476 chr12:100706476:C:T rs143244832 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 100776392 100776393 chr12:100776393:G:A rs12227467 G A G EBF1_EBF_1 -9 0 + 0 0 . chr12 100991421 100991422 chr12:100991422:T:C rs76497002 T C t EBF1_EBF_1 33 0 + 0 0 . chr12 101027213 101027214 chr12:101027214:G:A rs7979318 G A A EBF1_EBF_1 3 1 - 6.8987794732236765 0.4933807316703593 AGTCCCATGGAAAA chr12 101045734 101045735 chr12:101045735:C:G rs7952812 C G C EBF1_EBF_1 -18 0 + 0 0 . chr12 101045772 101045773 chr12:101045773:G:T rs12296227 G T T EBF1_EBF_1 20 0 + 0 0 . chr12 101052267 101052268 chr12:101052268:T:G rs12316210 T G T EBF1_EBF_1 23 0 + 0 0 . chr12 101062901 101062902 chr12:101062902:C:T rs585550 C T C EBF1_EBF_1 -13 0 - 0 0 . chr12 101186456 101186457 chr12:101186457:C:T rs1094742 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 101209979 101209980 chr12:101209980:C:T rs796381398 C T C EBF1_EBF_1 -13 0 - 0 0 . chr12 101222320 101222321 chr12:101222321:C:A rs145229697 C A C EBF1_EBF_1 22 0 + 0 0 . chr12 101230161 101230162 chr12:101230162:G:A rs2712628 G A G EBF1_EBF_1 -14 0 + 0 0 . chr12 101244103 101244104 chr12:101244104:T:C rs1514377 T C T EBF1_EBF_1 -15 0 - 0 0 . chr12 101254227 101254228 chr12:101254228:A:T rs7963363 A T T EBF1_EBF_1 -20 0 + 0 0 . chr12 101254229 101254230 chr12:101254230:T:C rs7978479 T C C EBF1_EBF_1 -18 0 + 0 0 . chr12 101408370 101408371 chr12:101408371:G:A rs73159760 G A G EBF1_EBF_1 2 1 - 5.2965452942189275 7.1853687056610775 ACCCTCGAGGGAAT chr12 101571382 101571383 chr12:101571383:G:T rs7314246 G T G EBF1_EBF_1 -6 0 - 0 0 . chr12 101642667 101642668 chr12:101642668:C:T rs11110905 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 101642689 101642690 chr12:101642690:G:A rs112869312 G A G EBF1_EBF_1 -2 0 - 0 0 . chr12 101697471 101697472 chr12:101697472:A:C rs1050284261 A C A EBF1_EBF_1 33 0 - 0 0 . chr12 101772748 101772749 chr12:101772749:C:A rs11111016 C A C EBF1_EBF_1 -20 0 + 0 0 . chr12 101813183 101813184 chr12:101813184:T:C rs7294980 T C C EBF1_EBF_1 15 0 + 0 0 . chr12 101831542 101831543 chr12:101831543:G:C rs4764826 G C C EBF1_EBF_1 -7 0 + 0 0 . chr12 101857270 101857271 chr12:101857271:C:T rs551442785 C T C EBF1_EBF_1 -17 0 + 0 0 . chr12 101857300 101857301 chr12:101857301:T:A rs571371891 T A T EBF1_EBF_1 13 1 + 6.203169788122029 4.984930224250535 AGCCCCTTAGGATT chr12 101875694 101875695 chr12:101875695:T:A chr12:101875695:T:A T A T EBF1_EBF_1 -13 0 + 0 0 . chr12 101877277 101877278 chr12:101877278:G:A rs77789561 G A G EBF1_EBF_1 8 1 + 7.243859072316524 3.0175689834302615 AATCCCCCGGGAGG chr12 101919586 101919587 chr12:101919587:G:A rs10860820 G A A EBF1_EBF_1 5 1 - 5.994372670733032 0.6725854551923631 CATCCCAAGGTAAA chr12 101930103 101930104 chr12:101930104:T:G rs73178516 T G T EBF1_EBF_1 2 1 + 5.498378043725389 -1.2499476464750792 CTTCCCAAAGGAGA chr12 101939943 101939944 chr12:101939944:A:G rs4764683 A G A EBF1_EBF_1 28 0 - 0 0 . chr12 101993976 101993977 chr12:101993977:A:T rs10778163 A T T EBF1_EBF_1 -12 0 - 0 0 . chr12 102010340 102010341 chr12:102010341:A:T rs79536630 A T A EBF1_EBF_1 2 1 - 13.036646223442848 9.352178635843972 AGTCCCAAGGGACC chr12 102120067 102120068 chr12:102120068:G:C rs990454659 G C G EBF1_EBF_1 31 0 - 0 0 . chr12 102120076 102120077 chr12:102120077:C:T rs575661450 C T C EBF1_EBF_1 22 0 - 0 0 . chr12 102120083 102120084 chr12:102120084:G:A rs187432483 G A G EBF1_EBF_1 15 0 - 0 0 . chr12 102120095 102120096 chr12:102120096:G:T rs900562730 G T G EBF1_EBF_1 3 1 - 5.102040732379073 -1.8041332970867336 AGCCCCCAAGGAAG chr12 102120405 102120406 chr12:102120406:A:G rs4764863 A G G EBF1_EBF_1 16 0 + 0 0 . chr12 102232875 102232876 chr12:102232876:C:G rs74689273 C G C EBF1_EBF_1 13 1 + 6.7352662879525855 5.247195266597171 TTTCCCCTAGGAAC chr12 102481790 102481791 chr12:102481791:A:G rs35767 A G A EBF1_EBF_1 18 0 - 0 0 . chr12 102577185 102577186 chr12:102577186:A:G rs10860881 A G A EBF1_EBF_1 1 1 - 4.213255628107806 3.31782218770906 ATCCCACAGGGACA chr12 102595002 102595003 chr12:102595003:C:G rs55963312 C G C EBF1_EBF_1 7 1 - 6.989954641551274 6.008634060469919 AATCCCAGGGAACT chr12 102597898 102597899 chr12:102597899:G:A rs10778187 G A G EBF1_EBF_1 20 0 + 0 0 . chr12 102642338 102642339 chr12:102642339:G:A rs10778188 G A A EBF1_EBF_1 25 0 + 0 0 . chr12 102682598 102682599 chr12:102682599:G:A rs10778189 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 102746619 102746620 chr12:102746620:G:A rs76298138 G A G EBF1_EBF_1 -11 0 - 0 0 . chr12 102757777 102757778 chr12:102757778:G:A chr12:102757778:G:A G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 102764294 102764295 chr12:102764295:A:G rs12425686 A G A EBF1_EBF_1 1 1 + 6.684926458703322 6.945625290624625 AATCCCCAGAGGTT chr12 102799338 102799339 chr12:102799339:T:C rs11111384 T C T EBF1_EBF_1 15 0 - 0 0 . chr12 102949240 102949241 chr12:102949241:C:A rs568205125 C A C EBF1_EBF_1 27 0 + 0 0 . chr12 102955820 102955821 chr12:102955821:G:A rs61941087 G A G EBF1_EBF_1 -12 0 - 0 0 . chr12 103129230 103129231 chr12:103129231:G:A rs4764940 G A A EBF1_EBF_1 4 1 - 8.437530377717925 4.068143432773002 ACTCCCCTGGGCCT chr12 103181624 103181625 chr12:103181625:C:A chr12:103181625:C:A C A C EBF1_EBF_1 0 1 - 7.853558592194963 7.772786038793774 GCTCCCATGAGAAC chr12 103591760 103591761 chr12:103591761:C:T rs115656569 C T C EBF1_EBF_1 10 1 - 6.202034711919083 0.8840062526521173 AATCCCAAGAGGTC chr12 103751767 103751768 chr12:103751768:C:T rs2374247 C T T EBF1_EBF_1 -20 0 + 0 0 . chr12 103771608 103771609 chr12:103771609:A:G rs10861095 A G A EBF1_EBF_1 16 0 - 0 0 . chr12 103771632 103771633 chr12:103771633:G:A rs147404621 G A G EBF1_EBF_1 -8 0 - 0 0 . chr12 103775129 103775130 chr12:103775130:C:T rs4964830 C T C EBF1_EBF_1 -10 0 - 0 0 . chr12 103825059 103825060 chr12:103825060:C:G rs61512578 C G C EBF1_EBF_1 -15 0 + 0 0 . chr12 103832856 103832857 chr12:103832857:T:G rs10861117 T G G EBF1_EBF_1 2 1 + 6.560136371565727 -0.1881893186347417 ATTCCCCTGGAATC chr12 103832881 103832882 chr12:103832882:T:C rs988656519 T C T EBF1_EBF_1 27 0 + 0 0 . chr12 103861153 103861154 chr12:103861154:G:A rs11111817 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 103930820 103930821 chr12:103930821:G:T rs539380581 G T G EBF1_EBF_1 -19 0 + 0 0 . chr12 103930844 103930845 chr12:103930845:C:T rs1269888449 C T C EBF1_EBF_1 5 1 + 6.910573401459189 1.5887861859185184 GCTCCCCCGGGAGC chr12 103930858 103930859 chr12:103930859:G:A rs114365355 G A G EBF1_EBF_1 19 0 + 0 0 . chr12 103930861 103930862 chr12:103930862:T:C rs1007652 T C T EBF1_EBF_1 22 0 + 0 0 . chr12 103931946 103931947 chr12:103931947:A:G rs10778306 A G A EBF1_EBF_1 27 0 - 0 0 . chr12 103935762 103935763 chr12:103935763:C:A rs3794240 C A A EBF1_EBF_1 -5 0 + 0 0 . chr12 103965861 103965862 chr12:103965862:G:T rs1165569349 G T G EBF1_EBF_1 6 1 - 4.280939499549431 4.642541867350708 GTCCCCCACGGACC chr12 103965868 103965869 chr12:103965869:G:A rs4135038 G A G EBF1_EBF_1 -1 0 - 0 0 . chr12 104064510 104064511 chr12:104064511:G:A rs1444006823 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 104137711 104137712 chr12:104137712:C:G rs571224022 C G C EBF1_EBF_1 24 0 + 0 0 . chr12 104141821 104141822 chr12:104141822:G:C rs11111903 G C G EBF1_EBF_1 5 1 - 7.895931040798669 0.9910498881635015 CATCCCAAGAGAAC chr12 104258619 104258620 chr12:104258620:A:G rs4964270 A G G EBF1_EBF_1 18 0 + 0 0 . chr12 104345445 104345446 chr12:104345446:A:G rs111382878 A G A EBF1_EBF_1 15 0 - 0 0 . chr12 104363429 104363430 chr12:104363430:T:G rs73181926 T G T EBF1_EBF_1 -12 0 - 0 0 . chr12 104377569 104377570 chr12:104377570:G:A rs11112018 G A G EBF1_EBF_1 28 0 - 0 0 . chr12 104378253 104378254 chr12:104378254:C:T rs3935021 C T C EBF1_EBF_1 -3 0 + 0 0 . chr12 104378258 104378259 chr12:104378259:T:G rs4340127 T G G EBF1_EBF_1 2 1 + 5.284598788842108 -1.4637269013583591 GATCCCTGAGGACC chr12 104378844 104378845 chr12:104378845:C:A rs7310814 C A C EBF1_EBF_1 -7 0 - 0 0 . chr12 104402248 104402249 chr12:104402249:G:A rs79595923 G A G EBF1_EBF_1 3 1 - 4.94700105891694 -1.4583976826363767 AGACCCCAGAGAGC chr12 104408040 104408041 chr12:104408041:T:C rs10861216 T C C EBF1_EBF_1 14 0 - 0 0 . chr12 104459544 104459545 chr12:104459545:A:G rs78057832 A G A EBF1_EBF_1 24 0 + 0 0 . chr12 104465458 104465459 chr12:104465459:G:A rs17035629 G A G EBF1_EBF_1 27 0 + 0 0 . chr12 104479907 104479908 chr12:104479908:C:T rs7313791 C T C EBF1_EBF_1 -8 0 + 0 0 . chr12 104497373 104497374 chr12:104497374:G:A rs10861227 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 104516245 104516246 chr12:104516246:G:A rs184457383 G A G EBF1_EBF_1 11 1 + 6.6344321964358866 9.527139040039897 GTCCCCTAGGGGCA chr12 104520920 104520921 chr12:104520921:C:T rs77046947 C T T EBF1_EBF_1 17 0 - 0 0 . chr12 104565728 104565729 chr12:104565729:T:C rs1650128 T C T EBF1_EBF_1 -3 0 - 0 0 . chr12 104596110 104596111 chr12:104596111:C:T rs4964824 C T C EBF1_EBF_1 30 0 + 0 0 . chr12 104596903 104596904 chr12:104596904:G:A rs144689983 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 104596932 104596933 chr12:104596933:T:C chr12:104596933:T:C T C T EBF1_EBF_1 28 0 + 0 0 . chr12 104602458 104602459 chr12:104602459:A:G rs6539163 A G A EBF1_EBF_1 33 0 + 0 0 . chr12 104613533 104613534 chr12:104613534:C:T rs7298542 C T C EBF1_EBF_1 10 1 - 10.64127590215854 5.323247442891576 ATTCCCATGAGAAC chr12 104653935 104653936 chr12:104653936:A:G rs115684283 A G A EBF1_EBF_1 -5 0 + 0 0 . chr12 104663084 104663085 chr12:104663085:G:C rs4462423 G C G EBF1_EBF_1 3 1 - 7.1553998733218585 1.3276354252066427 AATCCCTCGGGGCA chr12 104680769 104680770 chr12:104680770:G:A rs77665034 G A G EBF1_EBF_1 8 1 + 5.067312388052598 0.8410222991663379 ACTCCCCCGGGTTC chr12 104684167 104684168 chr12:104684168:G:A rs2695995 G A A EBF1_EBF_1 -16 0 + 0 0 . chr12 104694960 104694961 chr12:104694961:G:A rs11112171 G A G EBF1_EBF_1 9 1 + 4.237586292422677 1.5826470269750728 GTTCCCACCGGACC chr12 104696081 104696082 chr12:104696082:G:T chr12:104696082:G:T G T G EBF1_EBF_1 10 1 + 8.186029631112422 4.003277444885157 AACCCCAGGGGAGC chr12 104715680 104715681 chr12:104715681:T:C rs7964746 T C T EBF1_EBF_1 -2 0 + 0 0 . chr12 104723150 104723151 chr12:104723151:G:A rs312149 G A G EBF1_EBF_1 24 0 + 0 0 . chr12 104723156 104723157 chr12:104723157:A:G rs73191457 A G A EBF1_EBF_1 30 0 + 0 0 . chr12 104751195 104751196 chr12:104751196:C:A rs2463442 C A C EBF1_EBF_1 13 1 - 9.537638058686703 11.870203194627637 AATCCCCAGGGAGG chr12 104790617 104790618 chr12:104790618:A:G rs78837831 A G A EBF1_EBF_1 14 0 + 0 0 . chr12 104905752 104905753 chr12:104905753:C:T rs370037033 C T C EBF1_EBF_1 -17 0 + 0 0 . chr12 104985895 104985896 chr12:104985896:G:A rs12306443 G A G EBF1_EBF_1 17 0 - 0 0 . chr12 105021015 105021016 chr12:105021016:G:T rs16891 G T G EBF1_EBF_1 25 0 + 0 0 . chr12 105033101 105033102 chr12:105033102:G:A rs7311995 G A A EBF1_EBF_1 -15 0 + 0 0 . chr12 105050631 105050632 chr12:105050632:C:T rs118150892 C T C EBF1_EBF_1 0 1 - 5.05943025197093 6.9517141215357645 GGCCCCAAAGGAAC chr12 105057431 105057432 chr12:105057432:A:G rs74481628 A G A EBF1_EBF_1 19 0 + 0 0 . chr12 105070257 105070258 chr12:105070258:C:T rs7954946 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 105076315 105076316 chr12:105076316:G:A rs144521492 G A G EBF1_EBF_1 -4 0 - 0 0 . chr12 105107664 105107665 chr12:105107665:G:T rs955299574 G T G EBF1_EBF_1 27 0 + 0 0 . chr12 105108229 105108230 chr12:105108230:C:T chr12:105108230:C:T C T C EBF1_EBF_1 -3 0 - 0 0 . chr12 105223377 105223378 chr12:105223378:C:T rs881279 C T C EBF1_EBF_1 17 0 + 0 0 . chr12 105235955 105235956 chr12:105235956:T:G rs777236791 T G T EBF1_EBF_1 11 1 - 6.603468257972159 2.1875065601197683 AGCCCCCAGGTACC chr12 105237879 105237880 chr12:105237880:A:G rs1196788 A G a EBF1_EBF_1 -14 0 - 0 0 . chr12 105295787 105295788 chr12:105295788:T:C rs10861381 T C C EBF1_EBF_1 24 0 - 0 0 . chr12 105369202 105369203 chr12:105369203:G:A rs10778394 G A G EBF1_EBF_1 5 1 - 9.372097012473821 4.050309796933152 ACTCCCATGAGAAA chr12 105397767 105397768 chr12:105397768:A:G rs114869633 A G A EBF1_EBF_1 -10 0 - 0 0 . chr12 105479038 105479039 chr12:105479039:C:T rs61939106 C T c EBF1_EBF_1 29 0 + 0 0 . chr12 105493111 105493112 chr12:105493112:T:G rs11112556 T G T EBF1_EBF_1 29 0 + 0 0 . chr12 105513637 105513638 chr12:105513638:G:A rs1457903127 G A G EBF1_EBF_1 30 0 - 0 0 . chr12 105523407 105523408 chr12:105523408:C:T rs928653088 C T C EBF1_EBF_1 -14 0 - 0 0 . chr12 105555242 105555243 chr12:105555243:G:T rs10507193 G T G EBF1_EBF_1 21 0 + 0 0 . chr12 105581012 105581013 chr12:105581013:G:A rs73389449 G A G EBF1_EBF_1 26 0 + 0 0 . chr12 105613758 105613759 chr12:105613759:T:G rs6539223 T G G EBF1_EBF_1 22 0 - 0 0 . chr12 105634602 105634603 chr12:105634603:G:T rs11615993 G T G EBF1_EBF_1 -3 0 - 0 0 . chr12 105698277 105698278 chr12:105698278:G:C rs11112676 G C C EBF1_EBF_1 23 0 + 0 0 . chr12 105698281 105698282 chr12:105698282:C:G rs11112677 C G C EBF1_EBF_1 27 0 + 0 0 . chr12 105706831 105706832 chr12:105706832:G:C rs12308026 G C G EBF1_EBF_1 -6 0 - 0 0 . chr12 105741994 105741995 chr12:105741995:G:T rs78039799 G T G EBF1_EBF_1 4 1 - 10.603899872858182 4.779340249404718 AATCCCCAGAGACT chr12 105785314 105785315 chr12:105785315:C:T rs10861514 C T C EBF1_EBF_1 3 1 + 5.599852392908068 -0.8055463486452505 TTCCCCCAGGGGTC chr12 105793850 105793851 chr12:105793851:T:G rs1421446 T G G EBF1_EBF_1 -1 0 - 0 0 . chr12 105793862 105793863 chr12:105793863:A:G rs1421445 A G G EBF1_EBF_1 -13 0 - 0 0 . chr12 105805748 105805749 chr12:105805749:C:T rs75770986 C T T EBF1_EBF_1 -13 0 - 0 0 . chr12 105814550 105814551 chr12:105814551:G:A rs12312463 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 105842128 105842129 chr12:105842129:T:C rs75814600 T C T EBF1_EBF_1 -16 0 + 0 0 . chr12 105928079 105928080 chr12:105928080:C:T rs59992427 C T C EBF1_EBF_1 28 0 - 0 0 . chr12 105980962 105980963 chr12:105980963:C:T rs2544120 C T C EBF1_EBF_1 -6 0 - 0 0 . chr12 105987296 105987297 chr12:105987297:A:C rs540313963 A C A EBF1_EBF_1 7 1 + 5.322455282655152 3.028676296284972 AAGCCCCAGGGAAA chr12 106002141 106002142 chr12:106002142:A:C rs56344438 A C a EBF1_EBF_1 23 0 - 0 0 . chr12 106002147 106002148 chr12:106002148:C:T rs75464515 C T c EBF1_EBF_1 17 0 - 0 0 . chr12 106016753 106016754 chr12:106016754:T:C rs188484181 T C . EBF1_EBF_1 8 1 - 6.517072515350008 10.743362604236268 ATTCCCCCAGGAAA chr12 106091123 106091124 chr12:106091124:A:G rs146895205 A G A EBF1_EBF_1 32 0 + 0 0 . chr12 106101985 106101986 chr12:106101986:C:T rs762317897 C T C EBF1_EBF_1 -5 0 + 0 0 . chr12 106126689 106126690 chr12:106126690:A:G rs114172936 A G A EBF1_EBF_1 -6 0 + 0 0 . chr12 106149224 106149225 chr12:106149225:T:C rs73193896 T C C EBF1_EBF_1 29 0 - 0 0 . chr12 106149257 106149258 chr12:106149258:T:A rs142356979 T A T EBF1_EBF_1 -4 0 - 0 0 . chr12 106192535 106192536 chr12:106192536:A:G rs2059770 A G G EBF1_EBF_1 15 0 + 0 0 . chr12 106248611 106248612 chr12:106248612:C:A rs1148423 C A A EBF1_EBF_1 -8 0 - 0 0 . chr12 106302238 106302239 chr12:106302239:C:T rs576048528 C T C EBF1_EBF_1 9 1 - 5.448052760250158 2.7931134948025544 GATCCCCTGGGCTT chr12 106582082 106582083 chr12:106582083:A:T rs756858273 A T A EBF1_EBF_1 7 1 - 5.378553531944049 5.854827772031607 CTCCCCCTAGGACT chr12 106594908 106594909 chr12:106594909:C:A rs73188510 C A C EBF1_EBF_1 11 1 - 6.136052191995093 4.9308447795003785 CCTCCCTTGGGGTC chr12 106644884 106644885 chr12:106644885:G:A rs144379834 G A G EBF1_EBF_1 21 0 + 0 0 . chr12 106644957 106644958 chr12:106644958:T:C rs77067813 T C T EBF1_EBF_1 6 1 - 5.707556186062246 3.540761754787771 TGTCCCAGGAGAGC chr12 106673381 106673382 chr12:106673382:C:T rs1882543 C T C EBF1_EBF_1 -10 0 + 0 0 . chr12 106693593 106693594 chr12:106693594:T:C rs77242779 T C T EBF1_EBF_1 2 1 + 5.5689722190470645 3.6801488076049154 GATGCCCAGGGAAT chr12 106774815 106774816 chr12:106774816:A:G rs1264265773 A G A EBF1_EBF_1 2 1 - 6.195562077325585 4.306738665883435 AATCCCTCAGGACC chr12 106884767 106884768 chr12:106884768:A:G rs112278046 A G g EBF1_EBF_1 11 1 + 5.4490559874318665 2.5563491438278554 CTCCCCAGGAGATT chr12 106903871 106903872 chr12:106903872:C:A rs6539287 C A C EBF1_EBF_1 8 1 - 5.5378365846438 -1.3535962043333953 ACCCCCGAGGGTCT chr12 106954930 106954931 chr12:106954931:C:G rs3741889 C G C EBF1_EBF_1 1 1 - 7.7956086122056005 7.605836957636382 AGCCCCCTGGGATG chr12 106987017 106987018 chr12:106987018:C:G chr12:106987018:C:G C G C EBF1_EBF_1 17 0 + 0 0 . chr12 107093850 107093851 chr12:107093851:C:T rs139474744 C T C EBF1_EBF_1 -1 0 + 0 0 . chr12 107093851 107093852 chr12:107093852:G:A rs11113185 G A G EBF1_EBF_1 0 1 + 4.276060140728283 6.168344010293117 GCCCCCAAGGGCCA chr12 107094046 107094047 chr12:107094047:G:A rs562968963 G A A EBF1_EBF_1 20 0 + 0 0 . chr12 107110510 107110511 chr12:107110511:C:T chr12:107110511:C:T C T C EBF1_EBF_1 -18 0 - 0 0 . chr12 107179586 107179587 chr12:107179587:A:G rs11113211 A G A EBF1_EBF_1 11 1 - 6.025737538375 5.707690096621335 CCTCCCAGGGGTCT chr12 107329011 107329012 chr12:107329012:G:A rs191177885 G A G EBF1_EBF_1 30 0 - 0 0 . chr12 107352788 107352789 chr12:107352789:A:G rs11113278 A G A EBF1_EBF_1 23 0 - 0 0 . chr12 107373809 107373810 chr12:107373810:C:T rs143440116 C T C EBF1_EBF_1 29 0 + 0 0 . chr12 107419105 107419106 chr12:107419106:C:G rs734544 C G C EBF1_EBF_1 1 1 + 9.101450923050026 9.29122257761924 ACCCCCAGGGGAGT chr12 107419116 107419117 chr12:107419117:G:C rs190518870 G C G EBF1_EBF_1 12 1 + 9.101450923050026 10.490086866728175 ACCCCCAGGGGAGT chr12 107477090 107477091 chr12:107477091:C:T rs1426461 C T C EBF1_EBF_1 28 0 + 0 0 . chr12 107538138 107538139 chr12:107538139:A:G rs12423233 A G G EBF1_EBF_1 7 1 + 6.516825973076888 5.204367567788061 AAACCCTAGGGATG chr12 107555229 107555230 chr12:107555230:T:C rs10861754 T C C EBF1_EBF_1 -7 0 + 0 0 . chr12 107586654 107586655 chr12:107586655:T:A rs145128401 T A T EBF1_EBF_1 -1 0 - 0 0 . chr12 107645762 107645763 chr12:107645763:A:G rs543126103 A G a EBF1_EBF_1 -12 0 + 0 0 . chr12 107652395 107652396 chr12:107652396:G:A rs2374709 G A A EBF1_EBF_1 -15 0 - 0 0 . chr12 107766154 107766155 chr12:107766155:G:A rs61938578 G A G EBF1_EBF_1 27 0 - 0 0 . chr12 107806648 107806649 chr12:107806649:G:T rs1108437 G T g EBF1_EBF_1 5 1 - 7.469489100642675 0.5646079480075065 CTTCCCATGGGCAT chr12 107827685 107827686 chr12:107827686:G:A rs9919719 G A A EBF1_EBF_1 -15 0 + 0 0 . chr12 107869989 107869990 chr12:107869990:C:T rs1895953 C T C EBF1_EBF_1 2 1 + 6.370258746347033 8.259082157789182 AGCCCCCAGGGCCC chr12 107869990 107869991 chr12:107869991:C:T rs1895952 C T C EBF1_EBF_1 3 1 + 6.370258746347033 -0.035139995206285066 AGCCCCCAGGGCCC chr12 107874898 107874899 chr12:107874899:C:T rs73191671 C T C EBF1_EBF_1 32 0 - 0 0 . chr12 107874911 107874912 chr12:107874912:T:C rs1895951 T C T EBF1_EBF_1 19 0 - 0 0 . chr12 107881476 107881477 chr12:107881477:G:A rs111843194 G A g EBF1_EBF_1 22 0 + 0 0 . chr12 107938629 107938630 chr12:107938630:A:G rs547028638 A G A EBF1_EBF_1 12 1 + 5.6539365186508 4.235119176551323 GGCCCCTAGGGCAT chr12 107990538 107990539 chr12:107990539:C:G rs7311941 C G C EBF1_EBF_1 -2 0 + 0 0 . chr12 108013407 108013408 chr12:108013408:T:G rs11113639 T G T EBF1_EBF_1 14 0 + 0 0 . chr12 108071964 108071965 chr12:108071965:G:A rs7305634 G A g EBF1_EBF_1 27 0 + 0 0 . chr12 108130292 108130293 chr12:108130293:G:A rs74401555 G A G EBF1_EBF_1 23 0 - 0 0 . chr12 108130328 108130329 chr12:108130329:T:A rs41314129 T A T EBF1_EBF_1 -13 0 - 0 0 . chr12 108130833 108130834 chr12:108130834:A:G rs11113751 A G G EBF1_EBF_1 -8 0 + 0 0 . chr12 108131667 108131668 chr12:108131668:A:G rs11113754 A G G EBF1_EBF_1 -17 0 - 0 0 . chr12 108137269 108137270 chr12:108137270:T:C rs11113760 T C T EBF1_EBF_1 18 0 - 0 0 . chr12 108146333 108146334 chr12:108146334:C:T rs1109435 C T T EBF1_EBF_1 -20 0 + 0 0 . chr12 108152102 108152103 chr12:108152103:A:G rs10746117 A G G EBF1_EBF_1 11 1 + 8.85453919279412 5.961832349190108 ATCCCCCCGGGAAA chr12 108162434 108162435 chr12:108162435:T:G rs1544883 T G T EBF1_EBF_1 -2 0 + 0 0 . chr12 108169908 108169909 chr12:108169909:G:A rs73199526 G A G EBF1_EBF_1 27 0 - 0 0 . chr12 108188520 108188521 chr12:108188521:G:A rs17040284 G A G EBF1_EBF_1 9 1 + 5.928807010700705 3.273867745253103 CTTCCCTAGGGTTA chr12 108214682 108214683 chr12:108214683:G:A chr12:108214683:G:A G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 108215696 108215697 chr12:108215697:G:C rs1544885 G C G EBF1_EBF_1 25 0 - 0 0 . chr12 108242535 108242536 chr12:108242536:A:G rs73401827 A G G EBF1_EBF_1 6 1 - 5.168522930249184 4.867236801387426 CTCCTCTAGGGACC chr12 108245892 108245893 chr12:108245893:A:G rs12313592 A G G EBF1_EBF_1 23 0 - 0 0 . chr12 108257765 108257766 chr12:108257766:A:G chr12:108257766:A:G A G A EBF1_EBF_1 32 0 + 0 0 . chr12 108271397 108271398 chr12:108271398:A:C rs2374979 A C A EBF1_EBF_1 12 1 - 8.874617918775023 8.512248545647722 GTCCCCTAGGGATC chr12 108298761 108298762 chr12:108298762:T:A rs12813165 T A T EBF1_EBF_1 -5 0 - 0 0 . chr12 108310552 108310553 chr12:108310553:T:C rs78682440 T C T EBF1_EBF_1 -9 0 + 0 0 . chr12 108311124 108311125 chr12:108311125:C:G rs17040378 C G C EBF1_EBF_1 6 1 + 4.600841208837595 2.795649145364397 TTTCCCCAGTGAAC chr12 108316508 108316509 chr12:108316509:C:G rs11113813 C G C EBF1_EBF_1 -18 0 + 0 0 . chr12 108316545 108316546 chr12:108316546:C:A rs10861891 C A C EBF1_EBF_1 19 0 + 0 0 . chr12 108324151 108324152 chr12:108324152:C:T rs11113818 C T T EBF1_EBF_1 -14 0 - 0 0 . chr12 108324555 108324556 chr12:108324556:G:A rs55813925 G A G EBF1_EBF_1 -9 0 + 0 0 . chr12 108326798 108326799 chr12:108326799:C:T rs10778623 C T T EBF1_EBF_1 -2 0 + 0 0 . chr12 108327676 108327677 chr12:108327677:A:G rs10219473 A G A EBF1_EBF_1 -3 0 + 0 0 . chr12 108355718 108355719 chr12:108355719:C:T rs77869707 C T C EBF1_EBF_1 5 1 + 5.14412674208845 -0.17766047345221875 GTTCCCCAGGAATC chr12 108362450 108362451 chr12:108362451:G:T rs78202294 G T G EBF1_EBF_1 -14 0 + 0 0 . chr12 108364397 108364398 chr12:108364398:T:C rs803556 T C C EBF1_EBF_1 -15 0 + 0 0 . chr12 108410416 108410417 chr12:108410417:C:T rs7979506 C T C EBF1_EBF_1 21 0 + 0 0 . chr12 108508125 108508126 chr12:108508126:A:T rs73402726 A T A EBF1_EBF_1 -18 0 - 0 0 . chr12 108515389 108515390 chr12:108515390:G:T rs115668165 G T G EBF1_EBF_1 -2 0 - 0 0 . chr12 108517881 108517882 chr12:108517882:A:G rs77396711 A G A EBF1_EBF_1 -5 0 - 0 0 . chr12 108517940 108517941 chr12:108517941:G:A rs75790816 G A G EBF1_EBF_1 10 1 + 5.277541818989616 -0.040486640277350716 CGCCCCCAGGGTCT chr12 108525612 108525613 chr12:108525613:T:C rs7963063 T C T EBF1_EBF_1 -20 0 - 0 0 . chr12 108526945 108526946 chr12:108526946:T:C rs73402749 T C T EBF1_EBF_1 18 0 - 0 0 . chr12 108532668 108532669 chr12:108532669:G:A rs7976376 G A G EBF1_EBF_1 1 1 + 12.331479804776922 12.07078097285562 AGTCCCCAGGGAAA chr12 108598291 108598292 chr12:108598292:G:A rs185510982 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 108598305 108598306 chr12:108598306:G:A rs11114005 G A G EBF1_EBF_1 13 1 + 4.9089086652902605 6.023234237359699 GGTCCCCAGGGCAG chr12 108612201 108612202 chr12:108612202:C:T rs142354710 C T C EBF1_EBF_1 -19 0 + 0 0 . chr12 108612316 108612317 chr12:108612317:C:A rs186883556 C A C EBF1_EBF_1 4 1 + 4.531821233951238 -1.2927383895022306 TGCCCCCAGGGGGC chr12 108618504 108618505 chr12:108618505:C:T rs140867761 C T C EBF1_EBF_1 -19 0 - 0 0 . chr12 108634371 108634372 chr12:108634372:C:T rs8179107 C T T EBF1_EBF_1 29 0 - 0 0 . chr12 108730937 108730938 chr12:108730938:A:G rs11114042 A G G EBF1_EBF_1 31 0 - 0 0 . chr12 108750836 108750837 chr12:108750837:C:G rs75432546 C G C EBF1_EBF_1 3 1 + 7.016588819160081 1.188824371044864 CCCCCCAGGGGACA chr12 108828255 108828256 chr12:108828256:C:T rs17040988 C T C EBF1_EBF_1 -4 0 + 0 0 . chr12 108839262 108839263 chr12:108839263:G:A rs112367197 G A G EBF1_EBF_1 -2 0 + 0 0 . chr12 108839512 108839513 chr12:108839513:A:G rs73410926 A G A EBF1_EBF_1 -16 0 - 0 0 . chr12 108857886 108857887 chr12:108857887:G:T rs59680006 G T G EBF1_EBF_1 1 1 + 3.1641442251628833 3.869806010992411 CGCCCCAGGGGCCC chr12 108857917 108857918 chr12:108857918:G:A rs11114069 G A G EBF1_EBF_1 32 0 + 0 0 . chr12 108884763 108884764 chr12:108884764:C:T rs76305249 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 108887061 108887062 chr12:108887062:C:T rs6539458 C T C EBF1_EBF_1 -19 0 - 0 0 . chr12 108915265 108915266 chr12:108915266:G:T rs4964772 G T G EBF1_EBF_1 -14 0 + 0 0 . chr12 108954548 108954549 chr12:108954549:G:A rs74212763 G A g EBF1_EBF_1 -1 0 + 0 0 . chr12 108972630 108972631 chr12:108972631:C:T rs138886182 C T c EBF1_EBF_1 28 0 - 0 0 . chr12 109021012 109021013 chr12:109021013:G:T rs571367901 G T G EBF1_EBF_1 4 1 + 4.14928306662281 5.405661222827431 AGCCGCTGGGGACC chr12 109052508 109052509 chr12:109052509:G:A rs150679747 G A G EBF1_EBF_1 -16 0 + 0 0 . chr12 109094748 109094749 chr12:109094749:G:T rs1654891 G T G EBF1_EBF_1 -11 0 - 0 0 . chr12 109097492 109097493 chr12:109097493:G:C rs527980794 G C G EBF1_EBF_1 9 1 + 4.319054152943184 -0.7566349934022802 CCTCCCAGGGGCGT chr12 109102295 109102296 chr12:109102296:C:G rs55740597 C G G EBF1_EBF_1 0 1 - 9.910261402452166 9.5472867883204 GCCCCCAAGGGACT chr12 109116725 109116726 chr12:109116726:C:T rs76714272 C T C EBF1_EBF_1 6 1 + 4.819641055988205 5.120927184849963 CCTCCCCGGGGTCC chr12 109116834 109116835 chr12:109116835:C:T rs75878773 C T C EBF1_EBF_1 -9 0 - 0 0 . chr12 109134322 109134323 chr12:109134323:T:C rs75397988 T C T EBF1_EBF_1 29 0 + 0 0 . chr12 109171907 109171908 chr12:109171908:C:T rs147020981 C T C EBF1_EBF_1 17 0 + 0 0 . chr12 109264539 109264540 chr12:109264540:C:A chr12:109264540:C:A C A C EBF1_EBF_1 25 0 + 0 0 . chr12 109264598 109264599 chr12:109264599:C:T rs17848844 C T C EBF1_EBF_1 -18 0 + 0 0 . chr12 109289215 109289216 chr12:109289216:G:A rs73190617 G A G EBF1_EBF_1 2 1 - 4.72793856258423 6.61676197402638 AACGCCCAGGGAAC chr12 109289230 109289231 chr12:109289231:T:C rs12370035 T C T EBF1_EBF_1 -13 0 - 0 0 . chr12 109304643 109304644 chr12:109304644:C:T rs978554675 C T C EBF1_EBF_1 33 0 + 0 0 . chr12 109331647 109331648 chr12:109331648:C:A rs140418391 C A C EBF1_EBF_1 23 0 + 0 0 . chr12 109389446 109389447 chr12:109389447:C:T rs79271543 C T C EBF1_EBF_1 -20 0 + 0 0 . chr12 109421294 109421295 chr12:109421295:A:G rs2075440 A G G EBF1_EBF_1 13 1 - 7.073780073189758 6.22928595860424 AGCCCCACGAGAAT chr12 109423648 109423649 chr12:109423649:G:C rs10850134 G C C EBF1_EBF_1 0 1 + 5.531497544380951 5.168522930249184 GTCCTCTAGGGACC chr12 109433906 109433907 chr12:109433907:T:C rs6606716 T C T EBF1_EBF_1 -11 0 + 0 0 . chr12 109463962 109463963 chr12:109463963:T:C rs532980666 T C T EBF1_EBF_1 29 0 - 0 0 . chr12 109466156 109466157 chr12:109466157:G:C rs143164208 G C G EBF1_EBF_1 4 1 - 8.443713565525218 2.8179484643756734 ACCCCCAAGGGATG chr12 109473650 109473651 chr12:109473651:A:G rs35422737 A G A EBF1_EBF_1 -3 0 - 0 0 . chr12 109473736 109473737 chr12:109473737:A:T rs36094325 A T A EBF1_EBF_1 14 0 - 0 0 . chr12 109532694 109532695 chr12:109532695:G:T rs73414043 G T G EBF1_EBF_1 31 0 - 0 0 . chr12 109549112 109549113 chr12:109549113:C:G rs888194 C G C EBF1_EBF_1 10 1 - 5.434209821908735 -1.45659256542074 CCTCCCGTGGGATG chr12 109550408 109550409 chr12:109550409:A:G rs11067211 A G G EBF1_EBF_1 25 0 - 0 0 . chr12 109557382 109557383 chr12:109557383:C:T rs12828717 C T C EBF1_EBF_1 -9 0 + 0 0 . chr12 109561015 109561016 chr12:109561016:T:C rs78599682 T C T EBF1_EBF_1 14 0 + 0 0 . chr12 109563783 109563784 chr12:109563784:A:C rs888191 A C C EBF1_EBF_1 -11 0 + 0 0 . chr12 109563810 109563811 chr12:109563811:G:A rs34899324 G A G EBF1_EBF_1 16 0 + 0 0 . chr12 109573060 109573061 chr12:109573061:A:G rs12314392 A G G EBF1_EBF_1 2 1 - 4.781991757391446 2.893168345949295 ATTCCCCAGGTCCC chr12 109592944 109592945 chr12:109592945:G:A rs113903795 G A G EBF1_EBF_1 14 0 - 0 0 . chr12 109645772 109645773 chr12:109645773:T:A rs12321021 T A T EBF1_EBF_1 22 0 + 0 0 . chr12 109645779 109645780 chr12:109645780:C:A rs10850534 C A A EBF1_EBF_1 29 0 + 0 0 . chr12 109646104 109646105 chr12:109646105:A:C rs1558825 A C C EBF1_EBF_1 12 1 + 4.351861871801514 4.321680473380188 TGTCCCCTGGGCAG chr12 109646110 109646111 chr12:109646111:G:C rs564529315 G C G EBF1_EBF_1 18 0 + 0 0 . chr12 109651031 109651032 chr12:109651032:G:A rs10850544 G A G EBF1_EBF_1 -13 0 + 0 0 . chr12 109658740 109658741 chr12:109658741:A:G rs73202421 A G A EBF1_EBF_1 19 0 - 0 0 . chr12 109679004 109679005 chr12:109679005:T:C rs61306166 T C T EBF1_EBF_1 18 0 + 0 0 . chr12 109701294 109701295 chr12:109701295:T:C rs61941838 T C T EBF1_EBF_1 25 0 - 0 0 . chr12 109701302 109701303 chr12:109701303:G:A rs61941839 G A G EBF1_EBF_1 17 0 - 0 0 . chr12 109701328 109701329 chr12:109701329:G:A rs545168137 G A G EBF1_EBF_1 -9 0 - 0 0 . chr12 109720194 109720195 chr12:109720195:G:A rs771408225 G A G EBF1_EBF_1 5 1 - 4.4779748767821985 -0.8438123387584717 GGCCCCCAGGGCCC chr12 109735229 109735230 chr12:109735230:G:T rs78458681 G T G EBF1_EBF_1 2 1 - 7.76746792108045 5.971823744923724 GGCCCCAAGGGACG chr12 109739670 109739671 chr12:109739671:A:G rs117843794 A G A EBF1_EBF_1 -6 0 - 0 0 . chr12 109742345 109742346 chr12:109742346:A:G rs4766627 A G G EBF1_EBF_1 -6 0 + 0 0 . chr12 109753385 109753386 chr12:109753386:C:T rs76916203 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 109769726 109769727 chr12:109769727:C:T rs115059906 C T C EBF1_EBF_1 33 0 + 0 0 . chr12 109777365 109777366 chr12:109777366:C:T rs2193536 C T T EBF1_EBF_1 18 0 + 0 0 . chr12 109831710 109831711 chr12:109831711:C:A rs77877120 C A A EBF1_EBF_1 -16 0 - 0 0 . chr12 109861046 109861047 chr12:109861047:T:C rs73202469 T C T EBF1_EBF_1 27 0 - 0 0 . chr12 109861052 109861053 chr12:109861053:T:C rs4766638 T C C EBF1_EBF_1 21 0 - 0 0 . chr12 109927720 109927721 chr12:109927721:C:T rs76366891 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 109979184 109979185 chr12:109979185:A:G rs115520526 A G A EBF1_EBF_1 -17 0 + 0 0 . chr12 109996558 109996559 chr12:109996559:G:C rs1471604382 G C G EBF1_EBF_1 4 1 - 6.284648275494346 0.658883174344802 CTTCCCCTGGTATT chr12 110037731 110037732 chr12:110037732:C:T rs2287175 C T C EBF1_EBF_1 9 1 - 5.156910186098726 2.501970920651122 CCACCCCAGGGAGC chr12 110114967 110114968 chr12:110114968:G:A rs1426374 G A G EBF1_EBF_1 -8 0 - 0 0 . chr12 110124713 110124714 chr12:110124714:G:A rs139761366 G A G EBF1_EBF_1 -15 0 + 0 0 . chr12 110124753 110124754 chr12:110124754:C:G rs1019158179 C G C EBF1_EBF_1 25 0 + 0 0 . chr12 110171908 110171909 chr12:110171909:C:T rs11065287 C T C EBF1_EBF_1 -8 0 + 0 0 . chr12 110252763 110252764 chr12:110252764:T:A rs3026427 T A A EBF1_EBF_1 -9 0 + 0 0 . chr12 110341228 110341229 chr12:110341229:A:G rs3026479 A G a EBF1_EBF_1 15 0 + 0 0 . chr12 110403664 110403665 chr12:110403665:G:A rs199792027 G A G EBF1_EBF_1 33 0 - 0 0 . chr12 110431502 110431503 chr12:110431503:A:G rs575635308 A G a EBF1_EBF_1 -8 0 - 0 0 . chr12 110468063 110468064 chr12:110468064:G:A rs7958683 G A A EBF1_EBF_1 -20 0 + 0 0 . chr12 110508315 110508316 chr12:110508316:G:A rs73191828 G A G EBF1_EBF_1 6 1 - 5.493897213354805 5.795183342216563 CTCCCCCTGGGGCA chr12 110561948 110561949 chr12:110561949:C:A rs779856008 C A C EBF1_EBF_1 -19 0 + 0 0 . chr12 110583427 110583428 chr12:110583428:C:T rs769640806 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 110614151 110614152 chr12:110614152:T:G rs768249685 T G T EBF1_EBF_1 32 0 - 0 0 . chr12 110632994 110632995 chr12:110632995:C:T rs191238538 C T C EBF1_EBF_1 -6 0 + 0 0 . chr12 110647951 110647952 chr12:110647952:G:A chr12:110647952:G:A G A G EBF1_EBF_1 22 0 - 0 0 . chr12 110689891 110689892 chr12:110689892:G:A rs73194018 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 110726100 110726101 chr12:110726101:T:C rs75419636 T C T EBF1_EBF_1 26 0 - 0 0 . chr12 110742585 110742586 chr12:110742586:G:A rs552499167 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 110763840 110763841 chr12:110763841:C:T rs881961 C T C EBF1_EBF_1 -3 0 + 0 0 . chr12 110826801 110826802 chr12:110826802:C:T rs143784544 C T C EBF1_EBF_1 22 0 - 0 0 . chr12 110827848 110827849 chr12:110827849:C:G chr12:110827849:C:G C G C EBF1_EBF_1 -3 0 - 0 0 . chr12 110904917 110904918 chr12:110904918:T:C rs11065762 T C C EBF1_EBF_1 28 0 - 0 0 . chr12 110928945 110928946 chr12:110928946:C:A rs876312 C A C EBF1_EBF_1 29 0 - 0 0 . chr12 111035271 111035272 chr12:111035272:A:C chr12:111035272:A:C A C A EBF1_EBF_1 21 0 + 0 0 . chr12 111035272 111035273 chr12:111035273:A:G chr12:111035273:A:G A G A EBF1_EBF_1 22 0 + 0 0 . chr12 111170886 111170887 chr12:111170887:T:C rs572748028 T C T EBF1_EBF_1 30 0 - 0 0 . chr12 111204064 111204065 chr12:111204065:C:T rs117720052 C T C EBF1_EBF_1 -20 0 + 0 0 . chr12 111221472 111221473 chr12:111221473:A:T rs73197975 A T G EBF1_EBF_1 19 0 - 0 0 . chr12 111224773 111224774 chr12:111224774:G:A rs10744770 G A A EBF1_EBF_1 -1 0 - 0 0 . chr12 111227716 111227717 chr12:111227717:A:G rs56119815 A G A EBF1_EBF_1 -11 0 + 0 0 . chr12 111268864 111268865 chr12:111268865:T:C rs7135620 T C T EBF1_EBF_1 -19 0 + 0 0 . chr12 111268871 111268872 chr12:111268872:A:C rs76721774 A C A EBF1_EBF_1 -12 0 + 0 0 . chr12 111268911 111268912 chr12:111268912:C:T chr12:111268912:C:T C T C EBF1_EBF_1 28 0 + 0 0 . chr12 111325559 111325560 chr12:111325560:G:A rs75467739 G A c EBF1_EBF_1 15 0 + 0 0 . chr12 111331487 111331488 chr12:111331488:T:C rs6490056 T C C EBF1_EBF_1 1 1 - 7.282379574990103 7.543078406911406 CATCCCCAGGGAGG chr12 111359598 111359599 chr12:111359599:A:G rs115232908 A G A EBF1_EBF_1 29 0 + 0 0 . chr12 111361876 111361877 chr12:111361877:T:C rs874286 T C C EBF1_EBF_1 21 0 + 0 0 . chr12 111406219 111406220 chr12:111406220:A:G rs184426525 A G G EBF1_EBF_1 17 0 + 0 0 . chr12 111406226 111406227 chr12:111406227:G:A chr12:111406227:G:A G A G EBF1_EBF_1 24 0 + 0 0 . chr12 111409563 111409564 chr12:111409564:C:T rs369785065 C T C EBF1_EBF_1 -1 0 + 0 0 . chr12 111412374 111412375 chr12:111412375:A:T rs567358138 A T A EBF1_EBF_1 -7 0 + 0 0 . chr12 111412377 111412378 chr12:111412378:C:T rs538108201 C T C EBF1_EBF_1 -4 0 + 0 0 . chr12 111425784 111425785 chr12:111425785:C:T rs12301866 C T T EBF1_EBF_1 -13 0 + 0 0 . chr12 111426879 111426880 chr12:111426880:T:C rs10849947 T C C EBF1_EBF_1 -4 0 + 0 0 . chr12 111435858 111435859 chr12:111435859:G:T rs75062686 G T G EBF1_EBF_1 14 0 - 0 0 . chr12 111453052 111453053 chr12:111453053:A:G rs137965236 A G A EBF1_EBF_1 -2 0 + 0 0 . chr12 111492835 111492836 chr12:111492836:G:C rs77070824 G C G EBF1_EBF_1 -15 0 + 0 0 . chr12 111598625 111598626 chr12:111598626:G:A rs528373061 G A G EBF1_EBF_1 33 0 + 0 0 . chr12 111692903 111692904 chr12:111692904:A:G rs7132509 A G A EBF1_EBF_1 -18 0 - 0 0 . chr12 111708750 111708751 chr12:111708751:C:A rs627308 C A A EBF1_EBF_1 12 1 - 5.741883905730527 6.104253278857828 TGTCCCCAGGGCGT chr12 111765896 111765897 chr12:111765897:C:T rs945689865 C T C EBF1_EBF_1 16 0 + 0 0 . chr12 111788314 111788315 chr12:111788315:A:G rs188385150 A G A EBF1_EBF_1 33 0 + 0 0 . chr12 111842494 111842495 chr12:111842495:A:C rs910444769 A C A EBF1_EBF_1 -9 0 + 0 0 . chr12 111842495 111842496 chr12:111842496:C:T rs75241782 C T C EBF1_EBF_1 -8 0 + 0 0 . chr12 111842522 111842523 chr12:111842523:C:T rs551575055 C T C EBF1_EBF_1 19 0 + 0 0 . chr12 111842968 111842969 chr12:111842969:G:T rs3213628 G T T EBF1_EBF_1 18 0 - 0 0 . chr12 112012814 112012815 chr12:112012815:C:A chr12:112012815:C:A C A C EBF1_EBF_1 27 0 - 0 0 . chr12 112170662 112170663 chr12:112170663:G:C rs79313730 G C G EBF1_EBF_1 33 0 + 0 0 . chr12 112180113 112180114 chr12:112180114:G:A rs74187049 G A G EBF1_EBF_1 14 0 + 0 0 . chr12 112230538 112230539 chr12:112230539:T:C rs4767522 T C C EBF1_EBF_1 -7 0 + 0 0 . chr12 112401181 112401182 chr12:112401182:G:A rs7971231 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 112419145 112419146 chr12:112419146:C:A rs571349888 C A C EBF1_EBF_1 14 0 + 0 0 . chr12 112419149 112419150 chr12:112419150:G:C rs7972574 G C C EBF1_EBF_1 18 0 + 0 0 . chr12 112501285 112501286 chr12:112501286:G:A rs114140299 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 112524827 112524828 chr12:112524828:A:G rs116652145 A G A EBF1_EBF_1 17 0 - 0 0 . chr12 112545766 112545767 chr12:112545767:G:T rs190994398 G T G EBF1_EBF_1 14 0 + 0 0 . chr12 112575388 112575389 chr12:112575389:G:T rs925359523 G T g EBF1_EBF_1 22 0 - 0 0 . chr12 112575533 112575534 chr12:112575534:C:T rs557265231 C T C EBF1_EBF_1 10 1 - 7.149645282798462 1.831616823531495 AATCCCAAAGGATA chr12 112618115 112618116 chr12:112618116:C:T rs233710 C T t EBF1_EBF_1 26 0 + 0 0 . chr12 112843232 112843233 chr12:112843233:C:T rs73198793 C T C EBF1_EBF_1 3 1 + 6.182137983462237 -0.22326075809108076 TGTCCCCTGAGAGC chr12 112922662 112922663 chr12:112922663:C:T rs10850093 C T T EBF1_EBF_1 25 0 - 0 0 . chr12 112926905 112926906 chr12:112926906:T:A rs143842561 T A T EBF1_EBF_1 18 0 + 0 0 . chr12 112930089 112930090 chr12:112930090:A:G rs6489874 A G G EBF1_EBF_1 0 1 + 6.241707558792292 4.34942368922746 ATTCTCATGAGACC chr12 112930799 112930800 chr12:112930800:T:C rs7132404 T C C EBF1_EBF_1 26 0 - 0 0 . chr12 112975122 112975123 chr12:112975123:C:T rs7306146 C T C EBF1_EBF_1 -12 0 - 0 0 . chr12 112998648 112998649 chr12:112998649:C:T rs34941832 C T C EBF1_EBF_1 -8 0 - 0 0 . chr12 113031469 113031470 chr12:113031470:A:G rs3825201 A G A EBF1_EBF_1 7 1 + 4.535300497659064 3.2228420923702377 CTTCCCTATGGACC chr12 113057195 113057196 chr12:113057196:C:G rs117737558 C G c EBF1_EBF_1 10 1 - 5.938961327800309 -0.9518410595291671 CTTCCCCCGGGGCC chr12 113063322 113063323 chr12:113063323:G:C rs34859653 G C G EBF1_EBF_1 5 1 - 9.138559166696115 2.233678014060948 ACCCCCCAGGGAAG chr12 113082094 113082095 chr12:113082095:G:A rs80010427 G A G EBF1_EBF_1 -19 0 + 0 0 . chr12 113089700 113089701 chr12:113089701:T:A rs142936190 T A T EBF1_EBF_1 0 1 - 6.142957776848477 4.169901353882454 AGTCCCGAGGTAGT chr12 113093532 113093533 chr12:113093533:C:G rs7954586 C G C EBF1_EBF_1 3 1 + 8.011184020371857 2.183419572256639 AACCCCCAGGGATG chr12 113130611 113130612 chr12:113130612:G:A rs114554819 G A G EBF1_EBF_1 1 1 + 5.654254995469257 5.393556163547953 CGCCCCCAGGGAGG chr12 113149785 113149786 chr12:113149786:A:G rs58588418 A G A EBF1_EBF_1 0 1 + 7.272101470764913 5.37981760120008 AACCCCCAGGGTCT chr12 113149817 113149818 chr12:113149818:T:C chr12:113149818:T:C T C T EBF1_EBF_1 32 0 + 0 0 . chr12 113160266 113160267 chr12:113160267:C:T chr12:113160267:C:T C T C EBF1_EBF_1 -11 0 - 0 0 . chr12 113162613 113162614 chr12:113162614:T:C rs60351670 T C - EBF1_EBF_1 -16 0 + 0 0 . chr12 113185999 113186000 chr12:113186000:G:C rs548186802 G C G EBF1_EBF_1 -6 0 - 0 0 . chr12 113208630 113208631 chr12:113208631:G:A rs188076863 G A G EBF1_EBF_1 30 0 - 0 0 . chr12 113222557 113222558 chr12:113222558:A:C rs138515444 A C A EBF1_EBF_1 -2 0 - 0 0 . chr12 113224314 113224315 chr12:113224315:T:A rs61943582 T A T EBF1_EBF_1 -2 0 - 0 0 . chr12 113246324 113246325 chr12:113246325:G:T chr12:113246325:G:T G T G EBF1_EBF_1 -3 0 + 0 0 . chr12 113259742 113259743 chr12:113259743:C:T rs575497275 C T C EBF1_EBF_1 -15 0 - 0 0 . chr12 113259746 113259747 chr12:113259747:G:A rs75057362 G A G EBF1_EBF_1 -19 0 - 0 0 . chr12 113272251 113272252 chr12:113272252:C:T rs117113696 C T T EBF1_EBF_1 15 0 - 0 0 . chr12 113272252 113272253 chr12:113272253:G:A rs548261580 G A G EBF1_EBF_1 14 0 - 0 0 . chr12 113287802 113287803 chr12:113287803:C:T rs61943639 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 113287821 113287822 chr12:113287822:C:T rs190132597 C T C EBF1_EBF_1 6 1 + 4.894749626787633 5.1960357556493895 GCTCCCCGGGGCAC chr12 113297936 113297937 chr12:113297937:G:C rs2288365 G C C EBF1_EBF_1 -11 0 + 0 0 . chr12 113387873 113387874 chr12:113387874:C:T rs12425042 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 113422615 113422616 chr12:113422616:T:G rs7298872 T G G EBF1_EBF_1 19 0 - 0 0 . chr12 113422828 113422829 chr12:113422829:T:C rs7299137 T C t EBF1_EBF_1 -6 0 - 0 0 . chr12 113471738 113471739 chr12:113471739:C:T rs145928738 C T C EBF1_EBF_1 -16 0 + 0 0 . chr12 113476630 113476631 chr12:113476631:G:T rs113173820 G T G EBF1_EBF_1 1 1 - 5.833870330781907 5.7629431534298226 ACCCCCAAGGGTTC chr12 113476637 113476638 chr12:113476638:T:G rs34339174 T G G EBF1_EBF_1 -6 0 - 0 0 . chr12 113528946 113528947 chr12:113528947:C:T rs142575796 C T C EBF1_EBF_1 6 1 + 4.2511068216567525 4.5523929505185095 CGTCCCCTCGGACA chr12 113547305 113547306 chr12:113547306:G:A rs4767096 G A A EBF1_EBF_1 31 0 - 0 0 . chr12 113593359 113593360 chr12:113593360:G:A rs10850169 G A G EBF1_EBF_1 19 0 - 0 0 . chr12 113609769 113609770 chr12:113609770:T:C rs11066638 T C T EBF1_EBF_1 28 0 + 0 0 . chr12 113611060 113611061 chr12:113611061:C:G rs2891469 C G c EBF1_EBF_1 13 1 + 4.778895277057445 3.29082425570203 CATCCCCGGGGTAC chr12 113647280 113647281 chr12:113647281:C:T rs79357459 C T C EBF1_EBF_1 5 1 + 5.193887709831147 -0.1278995057095238 AACCCCAGGGGGCG chr12 113647295 113647296 chr12:113647296:A:C rs11832202 A C A EBF1_EBF_1 20 0 + 0 0 . chr12 113649264 113649265 chr12:113649265:C:G rs648446 C G C EBF1_EBF_1 -5 0 + 0 0 . chr12 113677443 113677444 chr12:113677444:T:C rs249055 T C C EBF1_EBF_1 7 1 + 9.010696309293321 7.193191563010699 CCCCCCTTGGGACT chr12 113703024 113703025 chr12:113703025:C:T rs111541148 C T C EBF1_EBF_1 17 0 + 0 0 . chr12 113703035 113703036 chr12:113703036:T:C rs994943 T C C EBF1_EBF_1 28 0 + 0 0 . chr12 113769372 113769373 chr12:113769373:A:C rs733222 A C C EBF1_EBF_1 -17 0 + 0 0 . chr12 113862635 113862636 chr12:113862636:G:C rs10850228 G C C EBF1_EBF_1 -9 0 - 0 0 . chr12 113890742 113890743 chr12:113890743:T:C rs1005444 T C C EBF1_EBF_1 2 1 + 8.359480554001344 6.4706571425591966 TCTCCCAAGGGATG chr12 113900063 113900064 chr12:113900064:A:G chr12:113900064:A:G A G A EBF1_EBF_1 22 0 + 0 0 . chr12 113900070 113900071 chr12:113900071:G:A rs114482858 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 113918551 113918552 chr12:113918552:T:C rs10774721 T C T EBF1_EBF_1 15 0 - 0 0 . chr12 113926875 113926876 chr12:113926876:C:T rs16943412 C T c EBF1_EBF_1 -19 0 + 0 0 . chr12 113936576 113936577 chr12:113936577:C:T rs11066829 C T C EBF1_EBF_1 4 1 + 6.001229731030577 1.6318427860856526 GGCCCCCAGGGGCC chr12 113966615 113966616 chr12:113966616:A:G chr12:113966616:A:G A G A EBF1_EBF_1 0 1 + 9.38424009395852 7.491956224393686 ACTCCCCTGAGAAC chr12 113966618 113966619 chr12:113966619:C:G rs2290801 C G G EBF1_EBF_1 3 1 + 9.38424009395852 3.556475645843303 ACTCCCCTGAGAAC chr12 114025873 114025874 chr12:114025874:A:G rs898080 A G G EBF1_EBF_1 17 0 - 0 0 . chr12 114057652 114057653 chr12:114057653:T:C rs10850270 T C C EBF1_EBF_1 28 0 + 0 0 . chr12 114115975 114115976 chr12:114115976:T:A rs12297105 T A A EBF1_EBF_1 30 0 + 0 0 . chr12 114125672 114125673 chr12:114125673:T:A rs10850277 T A T EBF1_EBF_1 -1 0 + 0 0 . chr12 114125698 114125699 chr12:114125699:G:A rs61930838 G A G EBF1_EBF_1 25 0 + 0 0 . chr12 114200103 114200104 chr12:114200104:A:G rs4767235 A G A EBF1_EBF_1 27 0 - 0 0 . chr12 114209928 114209929 chr12:114209929:A:G rs10850287 A G G EBF1_EBF_1 -7 0 + 0 0 . chr12 114222175 114222176 chr12:114222176:C:T rs11066995 C T C EBF1_EBF_1 22 0 - 0 0 . chr12 114226707 114226708 chr12:114226708:A:T rs1920564 A T T EBF1_EBF_1 33 0 - 0 0 . chr12 114284678 114284679 chr12:114284679:G:A rs7315118 G A G EBF1_EBF_1 16 0 + 0 0 . chr12 114353167 114353168 chr12:114353168:A:G rs17662087 A G A EBF1_EBF_1 -3 0 - 0 0 . chr12 114408422 114408423 chr12:114408423:G:C rs79465718 G C G EBF1_EBF_1 -3 0 - 0 0 . chr12 114443783 114443784 chr12:114443784:C:T rs1248035 C T C EBF1_EBF_1 22 0 - 0 0 . chr12 114451214 114451215 chr12:114451215:T:C rs7971453 T C C EBF1_EBF_1 -9 0 + 0 0 . chr12 114451243 114451244 chr12:114451244:G:T rs16944314 G T G EBF1_EBF_1 20 0 + 0 0 . chr12 114529051 114529052 chr12:114529052:G:A rs4459355 G A A EBF1_EBF_1 26 0 + 0 0 . chr12 114530002 114530003 chr12:114530003:T:A rs2384476 T A A EBF1_EBF_1 22 0 + 0 0 . chr12 114554362 114554363 chr12:114554363:G:A rs857748 G A g EBF1_EBF_1 33 0 - 0 0 . chr12 114562896 114562897 chr12:114562897:G:A rs55941139 G A g EBF1_EBF_1 15 0 - 0 0 . chr12 114600028 114600029 chr12:114600029:A:T rs7316625 A T A EBF1_EBF_1 27 0 + 0 0 . chr12 114636070 114636071 chr12:114636071:C:G rs142588152 C G C EBF1_EBF_1 8 1 - 7.583073650532037 2.2644147896173514 CGTCCCCAGAGATT chr12 114671093 114671094 chr12:114671094:C:T rs3741695 C T C EBF1_EBF_1 -2 0 + 0 0 . chr12 114671101 114671102 chr12:114671102:T:C rs8853 T C T EBF1_EBF_1 6 1 + 5.583365900765252 5.282079771903494 CCTCCCTTGGGTCA chr12 114671708 114671709 chr12:114671709:C:T rs79564336 C T C EBF1_EBF_1 -2 0 - 0 0 . chr12 114686425 114686426 chr12:114686426:A:G rs11067235 A G a EBF1_EBF_1 -18 0 - 0 0 . chr12 114700509 114700510 chr12:114700510:G:A rs150129282 G A G EBF1_EBF_1 15 0 + 0 0 . chr12 114760714 114760715 chr12:114760715:G:A rs11832790 G A G EBF1_EBF_1 20 0 - 0 0 . chr12 114781273 114781274 chr12:114781274:G:A rs11608897 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 114825225 114825226 chr12:114825226:G:A rs143724932 G A G EBF1_EBF_1 8 1 + 4.210242162139628 -0.016047926746632912 CTTCCCTGGGGCCG chr12 114835271 114835272 chr12:114835272:C:T rs2992629 C T C EBF1_EBF_1 -10 0 - 0 0 . chr12 114838732 114838733 chr12:114838733:G:A rs4133044 G A G EBF1_EBF_1 -19 0 + 0 0 . chr12 114843823 114843824 chr12:114843824:A:T rs116104528 A T A EBF1_EBF_1 -16 0 + 0 0 . chr12 114903644 114903645 chr12:114903645:G:C rs2384546 G C G EBF1_EBF_1 -2 0 + 0 0 . chr12 114914103 114914104 chr12:114914104:A:C rs10850401 A C A EBF1_EBF_1 -20 0 - 0 0 . chr12 114932541 114932542 chr12:114932542:A:G rs7959016 A G A EBF1_EBF_1 1 1 - 6.470358921206362 5.574925480807616 CTTCCCCAGGGGGA chr12 115000276 115000277 chr12:115000277:T:C rs11067362 T C C EBF1_EBF_1 -19 0 + 0 0 . chr12 115013670 115013671 chr12:115013671:A:G rs114492612 A G A EBF1_EBF_1 -18 0 + 0 0 . chr12 115016131 115016132 chr12:115016132:C:G rs10492258 C G G EBF1_EBF_1 8 1 - 7.740986190205664 2.4223273292909786 ATACCCTTGAGAAT chr12 115103871 115103872 chr12:115103872:T:A rs35448 T A A EBF1_EBF_1 -8 0 + 0 0 . chr12 115155993 115155994 chr12:115155994:C:T rs35372 C T C EBF1_EBF_1 26 0 + 0 0 . chr12 115231757 115231758 chr12:115231758:C:G rs17691551 C G C EBF1_EBF_1 5 1 + 7.5709795102925135 0.6660983576573449 ATTGCCAAGGGAAA chr12 115244448 115244449 chr12:115244449:G:A rs58918373 G A G EBF1_EBF_1 33 0 - 0 0 . chr12 115282993 115282994 chr12:115282994:G:A rs77328745 G A G EBF1_EBF_1 20 0 + 0 0 . chr12 115284184 115284185 chr12:115284185:A:G rs79800368 A G A EBF1_EBF_1 -18 0 + 0 0 . chr12 115379288 115379289 chr12:115379289:C:T rs1566308 C T T EBF1_EBF_1 22 0 + 0 0 . chr12 115384076 115384077 chr12:115384077:A:G rs16945463 A G A EBF1_EBF_1 23 0 - 0 0 . chr12 115384282 115384283 chr12:115384283:T:C rs7979536 T C T EBF1_EBF_1 7 1 - 5.741765881611938 4.429307476323113 CATCCCCAGAGAGA chr12 115407953 115407954 chr12:115407954:T:C rs1874895 T C C EBF1_EBF_1 17 0 - 0 0 . chr12 115423858 115423859 chr12:115423859:C:T rs12819052 C T T EBF1_EBF_1 6 1 + 6.384736733612497 6.686022862474256 TCCCCCCTGGGAGA chr12 115491689 115491690 chr12:115491690:G:A rs4767330 G A A EBF1_EBF_1 29 0 - 0 0 . chr12 115599720 115599721 chr12:115599721:C:T rs73400216 C T C EBF1_EBF_1 -6 0 - 0 0 . chr12 115802028 115802029 chr12:115802029:C:T rs708812 C T T EBF1_EBF_1 -16 0 - 0 0 . chr12 115812224 115812225 chr12:115812225:C:T rs697862 C T T EBF1_EBF_1 -11 0 + 0 0 . chr12 115840429 115840430 chr12:115840430:C:T rs9989022 C T C EBF1_EBF_1 24 0 - 0 0 . chr12 115853351 115853352 chr12:115853352:C:T rs1715594 C T C EBF1_EBF_1 30 0 - 0 0 . chr12 115895969 115895970 chr12:115895970:T:C rs376090622 T C T EBF1_EBF_1 7 1 + 5.2029784598912086 3.3854737136085844 CTCCCCATGGGGTC chr12 115912883 115912884 chr12:115912884:A:C rs12301999 A C a EBF1_EBF_1 -13 0 + 0 0 . chr12 115953686 115953687 chr12:115953687:G:A rs10850590 G A G EBF1_EBF_1 33 0 + 0 0 . chr12 116302483 116302484 chr12:116302484:G:C rs61937392 G C G EBF1_EBF_1 22 0 - 0 0 . chr12 116361371 116361372 chr12:116361372:A:T rs556379131 A T A EBF1_EBF_1 -7 0 - 0 0 . chr12 116367280 116367281 chr12:116367281:A:G rs11068008 A G G EBF1_EBF_1 33 0 + 0 0 . chr12 116377619 116377620 chr12:116377620:C:T rs1151899 C T C EBF1_EBF_1 0 1 + 5.701056775605505 5.983258836336082 CTTCCCAGGGAACT chr12 116401869 116401870 chr12:116401870:C:G rs7312886 C G C EBF1_EBF_1 -5 0 + 0 0 . chr12 116406694 116406695 chr12:116406695:G:A rs191523733 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 116406732 116406733 chr12:116406733:T:C rs17615178 T C T EBF1_EBF_1 -7 0 - 0 0 . chr12 116418743 116418744 chr12:116418744:C:A rs9300332 C A C EBF1_EBF_1 23 0 - 0 0 . chr12 116418779 116418780 chr12:116418780:C:T rs73204049 C T C EBF1_EBF_1 -13 0 - 0 0 . chr12 116495365 116495366 chr12:116495366:C:T rs187736087 C T c EBF1_EBF_1 7 1 + 5.174026204997442 6.991530951280066 ATTCCCACAGGGCT chr12 116495375 116495376 chr12:116495376:G:A rs2047956 G A g EBF1_EBF_1 17 0 + 0 0 . chr12 116537580 116537581 chr12:116537581:A:G rs11609872 A G A EBF1_EBF_1 -18 0 + 0 0 . chr12 116539137 116539138 chr12:116539138:A:G rs74328486 A G A EBF1_EBF_1 25 0 - 0 0 . chr12 116539991 116539992 chr12:116539992:C:T rs12298771 C T C EBF1_EBF_1 6 1 + 5.215254598667953 5.516540727529711 CTTCCCCGGGGCTT chr12 116594540 116594541 chr12:116594541:A:G rs10774876 A G G EBF1_EBF_1 12 1 + 5.746056708373462 4.327239366273985 TTTCCCCAGGAAAA chr12 116598238 116598239 chr12:116598239:G:A rs148357685 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 116631978 116631979 chr12:116631979:A:G rs116530729 A G A EBF1_EBF_1 17 0 - 0 0 . chr12 116640636 116640637 chr12:116640637:A:G rs1874437 A G A EBF1_EBF_1 32 0 - 0 0 . chr12 116674030 116674031 chr12:116674031:C:T rs78086056 C T C EBF1_EBF_1 4 1 + 5.964653944775814 1.5952669998308913 TTTCCCAAGGGCGC chr12 116693953 116693954 chr12:116693954:T:C rs774270512 T C T EBF1_EBF_1 -12 0 - 0 0 . chr12 116695541 116695542 chr12:116695542:G:C rs78071580 G C G EBF1_EBF_1 31 0 + 0 0 . chr12 116707291 116707292 chr12:116707292:T:C rs1566017 T C T EBF1_EBF_1 1 1 + 7.214257660711894 6.318824220313149 ATCCCCTGGGGGCA chr12 116738124 116738125 chr12:116738125:T:C rs11068167 T C T EBF1_EBF_1 -11 0 + 0 0 . chr12 116738148 116738149 chr12:116738149:C:T rs73220423 C T C EBF1_EBF_1 13 1 + 6.887937424473561 7.732431539059079 GGTCCCTAAGGAAC chr12 116738159 116738160 chr12:116738160:T:G rs1044224404 T G T EBF1_EBF_1 24 0 + 0 0 . chr12 116744699 116744700 chr12:116744700:C:G rs140160330 C G C EBF1_EBF_1 4 1 + 5.573063919646524 -0.05270118150302061 TCCCCCTAAGGAAT chr12 116779912 116779913 chr12:116779913:G:A rs12309982 G A G EBF1_EBF_1 -19 0 - 0 0 . chr12 116853785 116853786 chr12:116853786:C:A rs903771 C A A EBF1_EBF_1 -4 0 + 0 0 . chr12 116856001 116856002 chr12:116856002:G:A rs16947080 G A G EBF1_EBF_1 25 0 - 0 0 . chr12 116881233 116881234 chr12:116881234:A:G rs1418987656 A G A EBF1_EBF_1 0 1 + 4.75967526036641 2.8673913908015756 AGCCCCAGGCGACC chr12 116933960 116933961 chr12:116933961:T:C rs16947131 T C T EBF1_EBF_1 6 1 - 5.885145004192074 3.718350572917597 AATCCCAAGGCACC chr12 116946603 116946604 chr12:116946604:G:C rs4077519 G C G EBF1_EBF_1 -16 0 - 0 0 . chr12 117021053 117021054 chr12:117021054:G:A rs7308734 G A A EBF1_EBF_1 8 1 + 8.372443312690663 4.1461532238044025 ACTCCCCAGAGAAG chr12 117027718 117027719 chr12:117027719:G:A rs61178788 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 117045619 117045620 chr12:117045620:C:T rs11068302 C T C EBF1_EBF_1 11 1 - 5.546711728343413 8.439418571947424 TGCCCCCAGGGGCA chr12 117045646 117045647 chr12:117045647:C:T rs76299892 C T C EBF1_EBF_1 -16 0 - 0 0 . chr12 117052803 117052804 chr12:117052804:C:G rs12313807 C G C EBF1_EBF_1 14 0 + 0 0 . chr12 117104785 117104786 chr12:117104786:A:T rs12228670 A T A EBF1_EBF_1 6 1 + 7.441961594855951 7.381645355916431 GTTCCCAAAGGATT chr12 117119882 117119883 chr12:117119883:C:T rs141880333 C T C EBF1_EBF_1 18 0 + 0 0 . chr12 117136318 117136319 chr12:117136319:G:A rs76258435 G A G EBF1_EBF_1 -10 0 + 0 0 . chr12 117141636 117141637 chr12:117141637:C:G rs73206032 C G C EBF1_EBF_1 12 1 + 4.72738106174431 3.338745118066159 CGTCCCCACGGACA chr12 117143204 117143205 chr12:117143205:G:A rs1297008086 G A G EBF1_EBF_1 13 1 + 5.832708316069047 6.947033888138486 GACCCCCGGGGACG chr12 117148955 117148956 chr12:117148956:A:T rs141460690 A T A EBF1_EBF_1 -9 0 - 0 0 . chr12 117153702 117153703 chr12:117153703:A:G rs11068372 A G G EBF1_EBF_1 -12 0 - 0 0 . chr12 117155581 117155582 chr12:117155582:G:A rs79478437 G A G EBF1_EBF_1 -4 0 - 0 0 . chr12 117155585 117155586 chr12:117155586:A:G rs4767507 A G G EBF1_EBF_1 -8 0 - 0 0 . chr12 117236323 117236324 chr12:117236324:C:G rs10774909 C G G EBF1_EBF_1 28 0 + 0 0 . chr12 117281016 117281017 chr12:117281017:C:T rs2293050 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 117289950 117289951 chr12:117289951:C:G rs9658330 C G C EBF1_EBF_1 20 0 + 0 0 . chr12 117309589 117309590 chr12:117309590:T:G rs7299154 T G G EBF1_EBF_1 27 0 - 0 0 . chr12 117309635 117309636 chr12:117309636:G:C rs10850809 G C G EBF1_EBF_1 -19 0 - 0 0 . chr12 117360303 117360304 chr12:117360304:G:A rs117506057 G A G EBF1_EBF_1 6 1 + 6.8262765830426195 8.993071014317094 AGTCCCGAGAGAGC chr12 117361072 117361073 chr12:117361073:C:T rs3825107 C T C EBF1_EBF_1 17 0 - 0 0 . chr12 117364804 117364805 chr12:117364805:G:A rs559577332 G A G EBF1_EBF_1 1 1 - 5.895954688384769 6.791388128783515 ACACCCAAGGGGCA chr12 117404842 117404843 chr12:117404843:G:A rs28699696 G A G EBF1_EBF_1 11 1 + 5.486257660167488 8.378964503771499 TTTCCCCAGAGGCA chr12 117427255 117427256 chr12:117427256:A:G rs10850824 A G A EBF1_EBF_1 -10 0 - 0 0 . chr12 117439679 117439680 chr12:117439680:C:T rs41279104 C T C EBF1_EBF_1 24 0 + 0 0 . chr12 117487587 117487588 chr12:117487588:G:T rs7133582 G T T EBF1_EBF_1 -3 0 + 0 0 . chr12 117494375 117494376 chr12:117494376:A:G rs56005605 A G G EBF1_EBF_1 2 1 - 7.3378793988740165 5.4490559874318665 CTTCCCAGGAGATT chr12 117565034 117565035 chr12:117565035:G:A rs7308761 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 117577720 117577721 chr12:117577721:G:A rs816198 G A A EBF1_EBF_1 11 1 + 5.70423728740306 8.59694413100707 GATCCCATGAGGCT chr12 117599369 117599370 chr12:117599370:T:A rs11068566 T A A EBF1_EBF_1 -14 0 - 0 0 . chr12 117662158 117662159 chr12:117662159:A:G rs7311197 A G A EBF1_EBF_1 26 0 - 0 0 . chr12 117675197 117675198 chr12:117675198:T:A rs488999 T A T EBF1_EBF_1 6 1 + 5.121948177341718 5.182264416281238 CTCCCCTAGGGCCC chr12 117685539 117685540 chr12:117685540:T:C rs16947883 T C T EBF1_EBF_1 -5 0 + 0 0 . chr12 117692905 117692906 chr12:117692906:T:C rs582002 T C T EBF1_EBF_1 -18 0 - 0 0 . chr12 117705484 117705485 chr12:117705485:C:G rs925629589 C G c EBF1_EBF_1 1 1 + 8.692021838580672 8.881793493149889 GCCCCCAAGGGACA chr12 117705490 117705491 chr12:117705491:A:C rs542429 A C T EBF1_EBF_1 7 1 + 8.692021838580672 6.398242852210491 GCCCCCAAGGGACA chr12 117709896 117709897 chr12:117709897:A:G rs73213832 A G A EBF1_EBF_1 -7 0 - 0 0 . chr12 117819139 117819140 chr12:117819140:G:C rs11068688 G C G EBF1_EBF_1 31 0 - 0 0 . chr12 117836883 117836884 chr12:117836884:C:T rs77337670 C T C EBF1_EBF_1 -7 0 + 0 0 . chr12 117929003 117929004 chr12:117929004:G:A rs714325 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 117963964 117963965 chr12:117963965:G:C rs7963278 G C G EBF1_EBF_1 -20 0 + 0 0 . chr12 117972054 117972055 chr12:117972055:C:T rs79853344 C T C EBF1_EBF_1 17 0 + 0 0 . chr12 117994718 117994719 chr12:117994719:C:T rs10850947 C T C EBF1_EBF_1 3 1 + 7.872027016261293 1.466628274707976 CTTCCCCAGGGTCT chr12 118025477 118025478 chr12:118025478:A:G rs5745859 A G A EBF1_EBF_1 14 0 + 0 0 . chr12 118038161 118038162 chr12:118038162:T:C rs11068779 T C C EBF1_EBF_1 31 0 + 0 0 . chr12 118059925 118059926 chr12:118059926:A:G rs1334944294 A G A EBF1_EBF_1 26 0 + 0 0 . chr12 118060670 118060671 chr12:118060671:G:C rs996490782 G C G EBF1_EBF_1 30 0 - 0 0 . chr12 118062114 118062115 chr12:118062115:G:C rs779543876 G C G EBF1_EBF_1 -10 0 + 0 0 . chr12 118062150 118062151 chr12:118062151:G:A rs77531942 G A G EBF1_EBF_1 26 0 + 0 0 . chr12 118136711 118136712 chr12:118136712:G:A rs141422659 G A G EBF1_EBF_1 -11 0 + 0 0 . chr12 118136743 118136744 chr12:118136744:T:G rs79672660 T G T EBF1_EBF_1 21 0 + 0 0 . chr12 118147892 118147893 chr12:118147893:G:T rs7974375 G T T EBF1_EBF_1 -5 0 + 0 0 . chr12 118308338 118308339 chr12:118308339:G:A rs943258825 G A G EBF1_EBF_1 8 1 + 6.183529896889446 1.9572398080031845 AACCCCCCGGGGCT chr12 118371547 118371548 chr12:118371548:G:A rs561510449 G A G EBF1_EBF_1 9 1 + 4.11516511847631 1.4602258530287056 AGTCCCGGGGGCTC chr12 118371788 118371789 chr12:118371789:G:A rs76842574 G A A EBF1_EBF_1 -9 0 + 0 0 . chr12 118372735 118372736 chr12:118372736:T:G rs116554134 T G t EBF1_EBF_1 14 0 - 0 0 . chr12 118372736 118372737 chr12:118372737:G:T chr12:118372737:G:T G T g EBF1_EBF_1 13 1 - 4.583840122011907 4.210094672725931 ATCCGCCGGGGAAC chr12 118432296 118432297 chr12:118432297:G:A rs572918816 G A G EBF1_EBF_1 -2 0 + 0 0 . chr12 118513901 118513902 chr12:118513902:A:G rs112713695 A G a EBF1_EBF_1 18 0 - 0 0 . chr12 118536543 118536544 chr12:118536544:G:T rs4767685 G T T EBF1_EBF_1 -10 0 + 0 0 . chr12 118536578 118536579 chr12:118536579:G:A rs143688134 G A G EBF1_EBF_1 25 0 + 0 0 . chr12 118537776 118537777 chr12:118537777:C:T rs144505083 C T C EBF1_EBF_1 32 0 - 0 0 . chr12 118582982 118582983 chr12:118582983:A:G rs2730581 A G A EBF1_EBF_1 22 0 - 0 0 . chr12 118692751 118692752 chr12:118692752:T:G rs2649937 T G G EBF1_EBF_1 15 0 - 0 0 . chr12 118704696 118704697 chr12:118704697:C:G rs2730556 C G G EBF1_EBF_1 -2 0 + 0 0 . chr12 118712714 118712715 chr12:118712715:G:A rs12303035 G A G EBF1_EBF_1 33 0 + 0 0 . chr12 118734273 118734274 chr12:118734274:C:T rs2682746 C T c EBF1_EBF_1 -4 0 + 0 0 . chr12 118742719 118742720 chr12:118742720:C:T rs7303575 C T C EBF1_EBF_1 -6 0 - 0 0 . chr12 118880964 118880965 chr12:118880965:A:C rs2730435 A C C EBF1_EBF_1 19 0 + 0 0 . chr12 118925605 118925606 chr12:118925606:G:T rs9668696 G T G EBF1_EBF_1 -18 0 + 0 0 . chr12 118925650 118925651 chr12:118925651:A:G rs138112589 A G A EBF1_EBF_1 27 0 + 0 0 . chr12 118975721 118975722 chr12:118975722:G:A rs4767750 G A A EBF1_EBF_1 11 1 + 5.666302482487588 8.5590093260916 AGTCCCTAGAGGGA chr12 118978644 118978645 chr12:118978645:G:C rs58952192 G C G EBF1_EBF_1 -7 0 - 0 0 . chr12 119011456 119011457 chr12:119011457:G:T rs10775013 G T T EBF1_EBF_1 22 0 - 0 0 . chr12 119011492 119011493 chr12:119011493:G:A rs12578696 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 119069329 119069330 chr12:119069330:G:T rs118163610 G T G EBF1_EBF_1 9 1 + 4.878863376697934 -1.9581260313908735 ATCCCCAAGGGTGG chr12 119091364 119091365 chr12:119091365:G:A rs61938014 G A G EBF1_EBF_1 29 0 - 0 0 . chr12 119093540 119093541 chr12:119093541:A:G rs11064659 A G A EBF1_EBF_1 -16 0 + 0 0 . chr12 119156394 119156395 chr12:119156395:G:A rs36005387 G A A EBF1_EBF_1 -17 0 + 0 0 . chr12 119205215 119205216 chr12:119205216:C:T chr12:119205216:C:T C T C EBF1_EBF_1 -16 0 - 0 0 . chr12 119207322 119207323 chr12:119207323:C:T rs79098694 C T C EBF1_EBF_1 14 0 - 0 0 . chr12 119224979 119224980 chr12:119224980:A:T rs2555287 A T A EBF1_EBF_1 20 0 + 0 0 . chr12 119285860 119285861 chr12:119285861:G:A rs2255905 G A G EBF1_EBF_1 -1 0 - 0 0 . chr12 119303380 119303381 chr12:119303381:A:C rs2433344 A C C EBF1_EBF_1 -7 0 - 0 0 . chr12 119435524 119435525 chr12:119435525:C:T rs118122650 C T C EBF1_EBF_1 -10 0 + 0 0 . chr12 119557091 119557092 chr12:119557092:A:T rs535196 A T T EBF1_EBF_1 -14 0 - 0 0 . chr12 119557097 119557098 chr12:119557098:G:A rs533359 G A A EBF1_EBF_1 -20 0 - 0 0 . chr12 119750063 119750064 chr12:119750064:G:A rs278131 G A G EBF1_EBF_1 24 0 + 0 0 . chr12 119940497 119940498 chr12:119940498:T:C rs11614631 T C T EBF1_EBF_1 -8 0 + 0 0 . chr12 119940511 119940512 chr12:119940512:C:T rs11612544 C T C EBF1_EBF_1 6 1 + 6.440106311359927 6.741392440221683 ATTCCCCTGCGAGC chr12 119975120 119975121 chr12:119975121:T:C rs7977281 T C C EBF1_EBF_1 -2 0 + 0 0 . chr12 120006950 120006951 chr12:120006951:G:A rs11064990 G A G EBF1_EBF_1 -1 0 + 0 0 . chr12 120087341 120087342 chr12:120087342:C:T rs562107009 C T C EBF1_EBF_1 -11 0 - 0 0 . chr12 120101804 120101805 chr12:120101805:C:G rs73410857 C G C EBF1_EBF_1 18 0 + 0 0 . chr12 120140265 120140266 chr12:120140266:A:T rs2240315 A T T EBF1_EBF_1 -16 0 + 0 0 . chr12 120154147 120154148 chr12:120154148:T:C rs2269944 T C C EBF1_EBF_1 -6 0 + 0 0 . chr12 120184068 120184069 chr12:120184069:G:C rs74513482 G C G EBF1_EBF_1 24 0 - 0 0 . chr12 120195222 120195223 chr12:120195223:G:A chr12:120195223:G:A G A G EBF1_EBF_1 20 0 - 0 0 . chr12 120195222 120195223 chr12:120195223:G:C rs118183952 G C G EBF1_EBF_1 20 0 - 0 0 . chr12 120230730 120230731 chr12:120230731:G:A rs116904997 G A G EBF1_EBF_1 -3 0 + 0 0 . chr12 120230734 120230735 chr12:120230735:G:A rs1297300672 G A G EBF1_EBF_1 1 1 + 4.842677804468342 4.581978972547039 AGTCCCCAGGAATG chr12 120232575 120232576 chr12:120232576:A:C rs11065056 A C A EBF1_EBF_1 15 0 + 0 0 . chr12 120263314 120263315 chr12:120263315:G:A rs542885391 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 120292094 120292095 chr12:120292095:G:C rs1172397138 G C G EBF1_EBF_1 17 0 - 0 0 . chr12 120326101 120326102 chr12:120326102:C:T rs558731791 C T C EBF1_EBF_1 16 0 + 0 0 . chr12 120335738 120335739 chr12:120335739:G:T rs59768240 G T G EBF1_EBF_1 22 0 - 0 0 . chr12 120358512 120358513 chr12:120358513:A:G rs116188194 A G G EBF1_EBF_1 2 1 - 7.278091279783441 5.389267868341291 AGTCCCTGGGGCAC chr12 120360412 120360413 chr12:120360413:C:A rs35842297 C A C EBF1_EBF_1 32 0 - 0 0 . chr12 120363424 120363425 chr12:120363425:G:C rs772360651 G C G EBF1_EBF_1 31 0 + 0 0 . chr12 120369368 120369369 chr12:120369369:T:C rs1179451 T C C EBF1_EBF_1 12 1 - 5.229359771421954 3.810542429322477 AGCCCCGCGGGAAG chr12 120438399 120438400 chr12:120438400:C:T rs148139529 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 120459772 120459773 chr12:120459773:G:A rs1408709331 G A g EBF1_EBF_1 -3 0 + 0 0 . chr12 120461337 120461338 chr12:120461338:T:C rs137880290 T C T EBF1_EBF_1 -4 0 - 0 0 . chr12 120496161 120496162 chr12:120496162:T:A chr12:120496162:T:A T A T EBF1_EBF_1 -12 0 + 0 0 . chr12 120496173 120496174 chr12:120496174:T:C rs580016 T C T EBF1_EBF_1 0 1 + 5.017597063729031 4.735395002998453 TCCCCCAGGAGACC chr12 120584764 120584765 chr12:120584765:T:C chr12:120584765:T:C T C T EBF1_EBF_1 2 1 + 5.397027054633285 3.508203643191134 CTTCCCCGGGGCCC chr12 120600938 120600939 chr12:120600939:C:T rs140065258 C T C EBF1_EBF_1 28 0 + 0 0 . chr12 120647033 120647034 chr12:120647034:G:A rs7965013 G A G EBF1_EBF_1 24 0 + 0 0 . chr12 120650565 120650566 chr12:120650566:G:A rs73222784 G A G EBF1_EBF_1 -11 0 + 0 0 . chr12 120667724 120667725 chr12:120667725:G:T rs7313642 G T T EBF1_EBF_1 4 1 - 6.665867206931183 0.841307583477716 GATCCCTTGAGATC chr12 120669477 120669478 chr12:120669478:C:T rs12229164 C T C EBF1_EBF_1 12 1 + 5.094446535646481 4.068179965095632 AGCCCCCAGGAACA chr12 120685234 120685235 chr12:120685235:T:C rs74240075 T C T EBF1_EBF_1 -13 0 + 0 0 . chr12 120686589 120686590 chr12:120686590:T:C rs987866971 T C T EBF1_EBF_1 6 1 + 7.8223102300315945 7.521024101169838 GTTCCCTGGAGACC chr12 120713707 120713708 chr12:120713708:G:C rs7972583 G C g EBF1_EBF_1 2 1 - 5.558856615969164 0.6993543372108478 AGCCGCCTGGGAAT chr12 120733999 120734000 chr12:120734000:C:G rs558314 C G G EBF1_EBF_1 14 0 - 0 0 . chr12 120906711 120906712 chr12:120906712:T:C rs73415720 T C T EBF1_EBF_1 -5 0 + 0 0 . chr12 120942740 120942741 chr12:120942741:T:C rs2649999 T C C EBF1_EBF_1 18 0 - 0 0 . chr12 120994313 120994314 chr12:120994314:G:C rs56348580 G C g EBF1_EBF_1 -2 0 + 0 0 . chr12 121033412 121033413 chr12:121033413:C:G rs3213546 C G C EBF1_EBF_1 8 1 - 5.508961940231886 0.19030307931720114 CACCCCAGGAGACT chr12 121038732 121038733 chr12:121038733:G:A rs74873526 G A G EBF1_EBF_1 28 0 + 0 0 . chr12 121050807 121050808 chr12:121050808:T:C rs112008215 T C T EBF1_EBF_1 7 1 - 5.0481038354348104 3.7356454301459863 AATCCCCAGGAGCT chr12 121054153 121054154 chr12:121054154:G:A rs12366831 G A G EBF1_EBF_1 10 1 + 5.529436321313604 0.21140786204663758 GGTCCCAAAGGAGC chr12 121059658 121059659 chr12:121059659:G:A rs11612328 G A G EBF1_EBF_1 -15 0 - 0 0 . chr12 121124495 121124496 chr12:121124496:T:C rs75618867 T C T EBF1_EBF_1 -9 0 - 0 0 . chr12 121128066 121128067 chr12:121128067:C:T rs9805004 C T C EBF1_EBF_1 17 0 - 0 0 . chr12 121133399 121133400 chr12:121133400:C:T chr12:121133400:C:T C T C EBF1_EBF_1 7 1 - 8.192574870397838 9.505033275686662 CCTCCCTGGGGATC chr12 121133417 121133418 chr12:121133418:C:T rs3900976 C T C EBF1_EBF_1 -11 0 - 0 0 . chr12 121142306 121142307 chr12:121142307:A:G rs139431200 A G A EBF1_EBF_1 19 0 + 0 0 . chr12 121168063 121168064 chr12:121168064:C:T rs56896451 C T C EBF1_EBF_1 8 1 - 4.995138716956671 0.7688486280704098 ATTCCCCCGAGGGT chr12 121209990 121209991 chr12:121209991:C:T rs1393739167 C T C EBF1_EBF_1 -17 0 + 0 0 . chr12 121218166 121218167 chr12:121218167:A:T rs1182945 A T A EBF1_EBF_1 11 1 + 5.251113755595569 1.1531994994968442 GGTCCCCAGAGAGG chr12 121218757 121218758 chr12:121218758:A:G rs55837222 A G A EBF1_EBF_1 19 0 + 0 0 . chr12 121224803 121224804 chr12:121224804:C:T rs115985300 C T C EBF1_EBF_1 8 1 - 3.838301657815457 -0.3879884310708042 GCTCCCCGGGGCTC chr12 121227530 121227531 chr12:121227531:C:T rs2668235 C T T EBF1_EBF_1 1 1 + 6.876285634652987 7.771719075051733 TCTCCCTGGAGAAC chr12 121227549 121227550 chr12:121227550:G:A rs3850520 G A G EBF1_EBF_1 20 0 + 0 0 . chr12 121230405 121230406 chr12:121230406:C:G rs1473546161 C G C EBF1_EBF_1 -16 0 - 0 0 . chr12 121233466 121233467 chr12:121233467:C:T rs28360474 C T C EBF1_EBF_1 -14 0 + 0 0 . chr12 121244657 121244658 chr12:121244658:C:G rs61953429 C G C EBF1_EBF_1 9 1 - 6.785749265857989 1.7100601195125247 GATCCCAAGGGTCC chr12 121245445 121245446 chr12:121245446:A:G rs56110810 A G A EBF1_EBF_1 28 0 + 0 0 . chr12 121249211 121249212 chr12:121249212:T:C rs3794204 T C C EBF1_EBF_1 30 0 + 0 0 . chr12 121399516 121399517 chr12:121399517:C:A rs77730756 C A A EBF1_EBF_1 9 1 - 7.33371912311831 0.49672971502949953 AATCCCAAGGGCTC chr12 121437116 121437117 chr12:121437117:A:G rs61955132 A G G EBF1_EBF_1 25 0 - 0 0 . chr12 121439269 121439270 chr12:121439270:G:A rs77476877 G A G EBF1_EBF_1 8 1 + 7.146066309187693 2.91977622030143 ACCCCCTCGGGAAG chr12 121443352 121443353 chr12:121443353:C:T rs534051291 C T C EBF1_EBF_1 -1 0 - 0 0 . chr12 121445514 121445515 chr12:121445515:C:A rs10774596 C A C EBF1_EBF_1 12 1 + 5.490168051839679 5.520349450261005 CTCCCCTTGGGCCT chr12 121445838 121445839 chr12:121445839:C:T rs10744763 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 121491303 121491304 chr12:121491304:G:C rs149336898 G C G EBF1_EBF_1 12 1 - 6.307623291022123 4.918987347343972 CTTCCCATGAGGCT chr12 121518629 121518630 chr12:121518630:C:G rs28620034 C G G EBF1_EBF_1 -5 0 + 0 0 . chr12 121537685 121537686 chr12:121537686:G:A chr12:121537686:G:A G A G EBF1_EBF_1 20 0 - 0 0 . chr12 121578087 121578088 chr12:121578088:C:T rs74911677 C T C EBF1_EBF_1 5 1 + 5.332906266183615 0.011119050642944606 ACTGCCAGGGGACA chr12 121590359 121590360 chr12:121590360:G:A rs971774690 G A G EBF1_EBF_1 -4 0 + 0 0 . chr12 121626523 121626524 chr12:121626524:C:A rs7138600 C A - EBF1_EBF_1 -8 0 - 0 0 . chr12 121626841 121626842 chr12:121626842:A:C rs781829024 A C a EBF1_EBF_1 -13 0 + 0 0 . chr12 121626878 121626879 chr12:121626879:A:G rs554617167 A G a EBF1_EBF_1 24 0 + 0 0 . chr12 121713658 121713659 chr12:121713659:C:T rs143958465 C T C EBF1_EBF_1 5 1 + 5.88916508757745 0.5673778720367799 GGTCCCCTGAGAGA chr12 121748738 121748739 chr12:121748739:C:T chr12:121748739:C:T C T c EBF1_EBF_1 17 0 + 0 0 . chr12 121758205 121758206 chr12:121758206:A:G rs79416091 A G G EBF1_EBF_1 23 0 - 0 0 . chr12 121768571 121768572 chr12:121768572:G:T rs73411785 G T G EBF1_EBF_1 10 1 + 9.837565128902613 5.654812942675348 AGTCCCAAGAGAGT chr12 121768574 121768575 chr12:121768575:T:A rs908109428 T A T EBF1_EBF_1 13 1 + 9.837565128902613 8.619325565031119 AGTCCCAAGAGAGT chr12 121771141 121771142 chr12:121771142:C:T rs114979626 C T C EBF1_EBF_1 21 0 - 0 0 . chr12 121778129 121778130 chr12:121778130:A:G rs1052202 A G G EBF1_EBF_1 -9 0 + 0 0 . chr12 121792760 121792761 chr12:121792761:A:T chr12:121792761:A:T A T A EBF1_EBF_1 23 0 - 0 0 . chr12 121803897 121803898 chr12:121803898:C:G rs566097534 C G C EBF1_EBF_1 25 0 - 0 0 . chr12 121825541 121825542 chr12:121825542:G:A rs1004390 G A A EBF1_EBF_1 -9 0 + 0 0 . chr12 121889046 121889047 chr12:121889047:G:A chr12:121889047:G:A G A G EBF1_EBF_1 6 1 - 8.648448298892909 8.949734427754665 GTACCCCAGGGACT chr12 121974925 121974926 chr12:121974926:A:G rs830116 A G A EBF1_EBF_1 -14 0 + 0 0 . chr12 121975289 121975290 chr12:121975290:T:C rs77422261 T C T EBF1_EBF_1 -16 0 - 0 0 . chr12 121989254 121989255 chr12:121989255:C:A rs830121 C A C EBF1_EBF_1 -14 0 - 0 0 . chr12 122030780 122030781 chr12:122030781:C:T rs2272132 C T C EBF1_EBF_1 30 0 - 0 0 . chr12 122035241 122035242 chr12:122035242:A:G rs884785 A G A EBF1_EBF_1 -12 0 + 0 0 . chr12 122035275 122035276 chr12:122035276:G:A rs884786 G A G EBF1_EBF_1 22 0 + 0 0 . chr12 122070021 122070022 chr12:122070022:G:A rs34047484 G A - EBF1_EBF_1 -19 0 - 0 0 . chr12 122081992 122081993 chr12:122081993:A:T chr12:122081993:A:T A T A EBF1_EBF_1 16 0 - 0 0 . chr12 122087236 122087237 chr12:122087237:C:T rs36169251 C T C EBF1_EBF_1 19 0 - 0 0 . chr12 122127093 122127094 chr12:122127094:C:T rs78324047 C T T EBF1_EBF_1 -10 0 + 0 0 . chr12 122127777 122127778 chr12:122127778:G:A rs7488393 G A G EBF1_EBF_1 -13 0 - 0 0 . chr12 122128077 122128078 chr12:122128078:C:G rs10847611 C G G EBF1_EBF_1 32 0 - 0 0 . chr12 122128087 122128088 chr12:122128088:G:A rs6489238 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 122128316 122128317 chr12:122128317:G:A rs191677901 G A G EBF1_EBF_1 -2 0 - 0 0 . chr12 122131393 122131394 chr12:122131394:C:T rs114359351 C T C EBF1_EBF_1 5 1 + 6.225900842803027 0.9041136272623571 ATCCCCCTGGGCCA chr12 122131416 122131417 chr12:122131417:G:T rs11061602 G T G EBF1_EBF_1 28 0 + 0 0 . chr12 122146105 122146106 chr12:122146106:G:C rs78930926 G C G EBF1_EBF_1 -11 0 - 0 0 . chr12 122183069 122183070 chr12:122183070:C:A rs566370534 C A C EBF1_EBF_1 1 1 - 6.664407906895552 7.370069692725081 TGCCCCGAGGGAAA chr12 122183083 122183084 chr12:122183084:T:G rs77165791 T G T EBF1_EBF_1 -13 0 - 0 0 . chr12 122197738 122197739 chr12:122197739:G:A rs11060556 G A G EBF1_EBF_1 33 0 + 0 0 . chr12 122204217 122204218 chr12:122204218:C:T rs544417235 C T C EBF1_EBF_1 15 0 - 0 0 . chr12 122225646 122225647 chr12:122225647:C:T rs114187204 C T C EBF1_EBF_1 -12 0 + 0 0 . chr12 122303629 122303630 chr12:122303630:T:C rs950619143 T C T EBF1_EBF_1 1 1 + 5.459857541051807 4.564424100653063 ATTCCCACAGGAGA chr12 122333757 122333758 chr12:122333758:C:T rs73404065 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 122376717 122376718 chr12:122376718:C:T rs11057801 C T C EBF1_EBF_1 5 1 + 5.140109040674697 -0.18167817486597104 ACCCACCTGGGACT chr12 122405267 122405268 chr12:122405268:C:T rs11058014 C T C EBF1_EBF_1 12 1 + 6.429677364463496 5.403410793912646 ATTCACAAGGGACG chr12 122452079 122452080 chr12:122452080:G:A rs117849902 G A g EBF1_EBF_1 8 1 + 6.539658490012967 2.3133684011267066 TTTCCCTAGAGATG chr12 122455527 122455528 chr12:122455528:A:G rs11058233 A G g EBF1_EBF_1 6 1 + 6.83126416911871 4.664469737844235 ACTCCCAGGAGGCT chr12 122474138 122474139 chr12:122474139:C:A rs371400716 C A C EBF1_EBF_1 21 0 - 0 0 . chr12 122501029 122501030 chr12:122501030:T:C rs1378865757 T C T EBF1_EBF_1 27 0 + 0 0 . chr12 122556437 122556438 chr12:122556438:T:C rs10847179 T C C EBF1_EBF_1 -8 0 + 0 0 . chr12 122559216 122559217 chr12:122559217:A:G rs11058721 A G G EBF1_EBF_1 -18 0 + 0 0 . chr12 122559261 122559262 chr12:122559262:C:T rs79897940 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 122643377 122643378 chr12:122643378:G:A rs10083096 G A g EBF1_EBF_1 17 0 - 0 0 . chr12 122703528 122703529 chr12:122703529:G:T rs545234 G T g EBF1_EBF_1 13 1 + 6.064219462846234 8.396784598787168 AATCCCCTGGGCAG chr12 122716960 122716961 chr12:122716961:A:G rs2256572 A G A EBF1_EBF_1 -5 0 + 0 0 . chr12 122716991 122716992 chr12:122716992:C:G rs28710048 C G A EBF1_EBF_1 26 0 + 0 0 . chr12 122726257 122726258 chr12:122726258:G:A rs529171914 G A G EBF1_EBF_1 24 0 + 0 0 . chr12 122784292 122784293 chr12:122784293:C:T rs2454699 C T C EBF1_EBF_1 -7 0 - 0 0 . chr12 122844641 122844642 chr12:122844642:C:T rs7303024 C T T EBF1_EBF_1 10 1 - 5.033199140971208 -0.28482931829575886 GCTCCCAAGAGGCA chr12 122853544 122853545 chr12:122853545:T:C rs4993320 T C t EBF1_EBF_1 14 0 - 0 0 . chr12 122856952 122856953 chr12:122856953:G:A rs150935874 G A G EBF1_EBF_1 23 0 + 0 0 . chr12 122860748 122860749 chr12:122860749:G:A rs141813189 G A G EBF1_EBF_1 14 0 + 0 0 . chr12 122863154 122863155 chr12:122863155:C:G rs4759438 C G G EBF1_EBF_1 8 1 - 5.703245378938358 0.38458651802367244 AATCCCCCGAGAGA chr12 122863156 122863157 chr12:122863157:G:T rs535373962 G T G EBF1_EBF_1 6 1 - 5.703245378938358 6.064847746739635 AATCCCCCGAGAGA chr12 122947633 122947634 chr12:122947634:C:T rs1238373190 C T C EBF1_EBF_1 -11 0 - 0 0 . chr12 122975156 122975157 chr12:122975157:G:C rs539896496 G C G EBF1_EBF_1 -4 0 - 0 0 . chr12 122980754 122980755 chr12:122980755:G:A rs1265517048 G A G EBF1_EBF_1 -4 0 + 0 0 . chr12 122980764 122980765 chr12:122980765:G:C chr12:122980765:G:C G C G EBF1_EBF_1 6 1 + 3.2526082775850087 5.057800341058208 AGCCCCGGGGGCCC chr12 122981320 122981321 chr12:122981321:G:A rs1033278781 G A G EBF1_EBF_1 29 0 - 0 0 . chr12 122981329 122981330 chr12:122981330:C:T rs7966050 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 122985099 122985100 chr12:122985100:G:T rs3741530 G T G EBF1_EBF_1 3 1 - 4.085331984663594 -2.820842044802214 GGTCCCGTGGGCCC chr12 123013705 123013706 chr12:123013706:G:T rs7305511 G T G EBF1_EBF_1 5 1 - 6.7870024115766965 -0.11787874105847067 TAACCCCAGGGAAC chr12 123019251 123019252 chr12:123019252:G:A rs61377358 G A g EBF1_EBF_1 6 1 + 5.6612190147748125 7.828013446049287 AATCCCGTGGGTCA chr12 123035194 123035195 chr12:123035195:C:A rs60809882 C A A EBF1_EBF_1 -18 0 - 0 0 . chr12 123044508 123044509 chr12:123044509:A:G rs11608811 A G A EBF1_EBF_1 29 0 + 0 0 . chr12 123094525 123094526 chr12:123094526:G:A rs150069490 G A G EBF1_EBF_1 19 0 - 0 0 . chr12 123132065 123132066 chr12:123132066:A:C chr12:123132066:A:C A C A EBF1_EBF_1 19 0 + 0 0 . chr12 123151191 123151192 chr12:123151192:C:G rs886317529 C G c EBF1_EBF_1 5 1 - 4.092320027081772 10.997201179716939 ACTCCGCAGGGACG chr12 123233268 123233269 chr12:123233269:A:G rs536961973 A G A EBF1_EBF_1 12 1 - 7.117013915132831 8.14328048568368 ACTCCCCCGGGGTT chr12 123270631 123270632 chr12:123270632:A:G rs11057224 A G G EBF1_EBF_1 -11 0 + 0 0 . chr12 123270670 123270671 chr12:123270671:T:C rs147866681 T C T EBF1_EBF_1 28 0 + 0 0 . chr12 123364724 123364725 chr12:123364725:C:G rs60754073 C G G EBF1_EBF_1 23 0 + 0 0 . chr12 123382635 123382636 chr12:123382636:T:C rs116904769 T C T EBF1_EBF_1 -6 0 - 0 0 . chr12 123415588 123415589 chr12:123415589:G:A rs1662 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 123436553 123436554 chr12:123436554:C:T rs907827506 C T C EBF1_EBF_1 9 1 - 4.78214154580638 2.127202280358775 ATCCCCCCGGGCCC chr12 123458392 123458393 chr12:123458393:G:C rs9803125 G C c EBF1_EBF_1 -14 0 + 0 0 . chr12 123458394 123458395 chr12:123458395:C:T rs74598566 C T c EBF1_EBF_1 -12 0 + 0 0 . chr12 123462264 123462265 chr12:123462265:C:G rs9777830 C G G EBF1_EBF_1 -3 0 + 0 0 . chr12 123467484 123467485 chr12:123467485:A:T rs35370271 A T A EBF1_EBF_1 13 1 - 5.098075593046559 3.879836029175065 GCACCCCAGAGACT chr12 123479428 123479429 chr12:123479429:C:T rs75449541 C T C EBF1_EBF_1 17 0 + 0 0 . chr12 123517203 123517204 chr12:123517204:G:A rs13303217 G A G EBF1_EBF_1 -3 0 + 0 0 . chr12 123523214 123523215 chr12:123523215:C:T rs28712858 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 123531987 123531988 chr12:123531988:G:A rs78878823 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 123602188 123602189 chr12:123602189:T:G rs141195308 T G T EBF1_EBF_1 -10 0 - 0 0 . chr12 123671423 123671424 chr12:123671424:C:T rs188213471 C T C EBF1_EBF_1 29 0 + 0 0 . chr12 123739663 123739664 chr12:123739664:A:G rs34247974 A G A EBF1_EBF_1 11 1 + 9.569162881951165 6.676456038347154 ATTCCCTAGAGAAG chr12 123795773 123795774 chr12:123795774:C:A rs1114629 C A C EBF1_EBF_1 5 1 + 4.620696961935545 -2.284184190699623 ACCCCCACGAGAGC chr12 123888908 123888909 chr12:123888909:C:A rs1882488 C A C EBF1_EBF_1 -5 0 + 0 0 . chr12 123892002 123892003 chr12:123892003:C:T rs147366602 C T C EBF1_EBF_1 17 0 - 0 0 . chr12 123901414 123901415 chr12:123901415:T:C rs7970597 T C C EBF1_EBF_1 16 0 + 0 0 . chr12 123901431 123901432 chr12:123901432:A:T rs553846653 A T A EBF1_EBF_1 33 0 + 0 0 . chr12 123907848 123907849 chr12:123907849:C:T rs138464750 C T T EBF1_EBF_1 19 0 - 0 0 . chr12 123938664 123938665 chr12:123938665:T:C rs77377529 T C T EBF1_EBF_1 -4 0 - 0 0 . chr12 123942976 123942977 chr12:123942977:G:C rs35825716 G C G EBF1_EBF_1 -3 0 - 0 0 . chr12 123943614 123943615 chr12:123943615:T:A rs4930725 T A G EBF1_EBF_1 32 0 + 0 0 . chr12 124027041 124027042 chr12:124027042:C:T rs765879055 C T C EBF1_EBF_1 14 0 - 0 0 . chr12 124027865 124027866 chr12:124027866:G:A rs190391502 G A G EBF1_EBF_1 13 1 - 4.691265223200668 5.535759337786186 CCTCCCCGAGGACC chr12 124030440 124030441 chr12:124030441:C:T rs113524321 C T C EBF1_EBF_1 -12 0 - 0 0 . chr12 124037000 124037001 chr12:124037001:A:C rs79066139 A C C EBF1_EBF_1 2 1 + 8.11048557353534 9.906129749692068 CTACCCTTGGGACT chr12 124052081 124052082 chr12:124052082:G:A rs80080406 G A G EBF1_EBF_1 21 0 - 0 0 . chr12 124073247 124073248 chr12:124073248:C:G rs1387669 C G c EBF1_EBF_1 -14 0 + 0 0 . chr12 124121135 124121136 chr12:124121136:C:T rs57541850 C T G EBF1_EBF_1 8 1 - 4.93773141469332 0.7114413258070593 CCTCCCCCGAGAAA chr12 124148662 124148663 chr12:124148663:C:T rs61953638 C T C EBF1_EBF_1 14 0 + 0 0 . chr12 124150333 124150334 chr12:124150334:A:C rs11057465 A C A EBF1_EBF_1 -20 0 + 0 0 . chr12 124188782 124188783 chr12:124188783:G:T rs111571288 G T G EBF1_EBF_1 -8 0 - 0 0 . chr12 124210333 124210334 chr12:124210334:G:A rs117137159 G A G EBF1_EBF_1 5 1 - 4.559813595484948 -0.761973620055723 AGCCCCCAGAGCCT chr12 124210872 124210873 chr12:124210873:G:A rs75305118 G A G EBF1_EBF_1 -14 0 + 0 0 . chr12 124228352 124228353 chr12:124228353:T:C rs192286468 T C T EBF1_EBF_1 -9 0 - 0 0 . chr12 124262372 124262373 chr12:124262373:A:G rs12370703 A G G EBF1_EBF_1 14 0 + 0 0 . chr12 124263927 124263928 chr12:124263928:G:A rs11057551 G A A EBF1_EBF_1 24 0 - 0 0 . chr12 124291950 124291951 chr12:124291951:A:G rs7306812 A G A EBF1_EBF_1 -8 0 - 0 0 . chr12 124293367 124293368 chr12:124293368:C:T rs73223549 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 124294463 124294464 chr12:124294464:G:C rs34630941 G C G EBF1_EBF_1 18 0 - 0 0 . chr12 124296561 124296562 chr12:124296562:T:C rs751535272 T C T EBF1_EBF_1 20 0 - 0 0 . chr12 124317361 124317362 chr12:124317362:C:T rs11835624 C T C EBF1_EBF_1 13 1 + 9.985880961267858 10.830375075853377 GTTCCCCTGGGATC chr12 124321504 124321505 chr12:124321505:A:G rs4765142 A G G EBF1_EBF_1 -4 0 - 0 0 . chr12 124322540 124322541 chr12:124322541:T:C rs567339911 T C T EBF1_EBF_1 0 1 - 5.230397443055603 3.33811357349077 AGTCCCCAGAGCCA chr12 124324293 124324294 chr12:124324294:A:C rs7294442 A C A EBF1_EBF_1 17 0 - 0 0 . chr12 124335937 124335938 chr12:124335938:C:T rs60959640 C T C EBF1_EBF_1 33 0 - 0 0 . chr12 124359792 124359793 chr12:124359793:G:A rs560251733 G A G EBF1_EBF_1 6 1 + 7.088744511161391 9.255538942435864 GGCCCCGAGGGACC chr12 124370356 124370357 chr12:124370357:G:A rs3782257 G A G EBF1_EBF_1 29 0 - 0 0 . chr12 124371030 124371031 chr12:124371031:C:G rs78717929 C G C EBF1_EBF_1 3 1 - 4.322792438420305 10.150556886535519 AGTGCCCTGGGGCT chr12 124381791 124381792 chr12:124381792:G:A rs12369386 G A G EBF1_EBF_1 -15 0 + 0 0 . chr12 124383938 124383939 chr12:124383939:G:A rs12370388 G A G EBF1_EBF_1 -20 0 - 0 0 . chr12 124392910 124392911 chr12:124392911:C:G rs116737437 C G . EBF1_EBF_1 -16 0 - 0 0 . chr12 124392987 124392988 chr12:124392988:C:G chr12:124392988:C:G C G . EBF1_EBF_1 -9 0 - 0 0 . chr12 124399133 124399134 chr12:124399134:G:A rs3782260 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 124402573 124402574 chr12:124402574:G:A rs150416784 G A G EBF1_EBF_1 6 1 - 4.670745199530317 4.972031328392075 CTCCCCCGGGGTCT chr12 124410795 124410796 chr12:124410796:C:T rs569809719 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 124412606 124412607 chr12:124412607:C:T rs3782267 C T C EBF1_EBF_1 30 0 - 0 0 . chr12 124422229 124422230 chr12:124422230:C:G rs80271182 C G C EBF1_EBF_1 21 0 - 0 0 . chr12 124422253 124422254 chr12:124422254:G:A rs58817407 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 124444744 124444745 chr12:124444745:T:G rs1702320 T G T EBF1_EBF_1 -17 0 - 0 0 . chr12 124451832 124451833 chr12:124451833:A:G rs117868195 A G A EBF1_EBF_1 -19 0 + 0 0 . chr12 124454563 124454564 chr12:124454564:C:G rs563700183 C G C EBF1_EBF_1 3 1 + 7.581478169345763 1.753713721230546 GGCCCCCGGGGACC chr12 124454564 124454565 chr12:124454565:C:T rs531115371 C T C EBF1_EBF_1 4 1 + 7.581478169345763 3.2120912244008384 GGCCCCCGGGGACC chr12 124457479 124457480 chr12:124457480:G:A rs116978434 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 124458168 124458169 chr12:124458169:T:G rs545479941 T G T EBF1_EBF_1 -6 0 + 0 0 . chr12 124466376 124466377 chr12:124466377:C:G rs111602731 C G C EBF1_EBF_1 32 0 + 0 0 . chr12 124466450 124466451 chr12:124466451:G:A rs143671161 G A G EBF1_EBF_1 22 0 + 0 0 . chr12 124481049 124481050 chr12:124481050:G:A rs7138486 G A G EBF1_EBF_1 15 0 - 0 0 . chr12 124481076 124481077 chr12:124481077:A:T rs1243731 A T A EBF1_EBF_1 -12 0 - 0 0 . chr12 124483075 124483076 chr12:124483076:G:A rs11057633 G A G EBF1_EBF_1 26 0 - 0 0 . chr12 124485876 124485877 chr12:124485877:C:T rs73225444 C T C EBF1_EBF_1 10 1 - 6.354891336240983 1.0368628769740167 ATTCACTTGGGATC chr12 124490727 124490728 chr12:124490728:A:G rs12580511 A G A EBF1_EBF_1 6 1 - 6.168958840753542 5.867672711891784 AGACCCTGGAGACT chr12 124491201 124491202 chr12:124491202:A:G rs80132760 A G A EBF1_EBF_1 18 0 - 0 0 . chr12 124491205 124491206 chr12:124491206:T:C rs74722593 T C T EBF1_EBF_1 14 0 - 0 0 . chr12 124492152 124492153 chr12:124492153:C:T rs117144926 C T C EBF1_EBF_1 32 0 - 0 0 . chr12 124494004 124494005 chr12:124494005:G:A rs114963020 G A g EBF1_EBF_1 18 0 + 0 0 . chr12 124501489 124501490 chr12:124501490:G:A rs530892271 G A G EBF1_EBF_1 3 1 - 5.238574335147808 -1.1668244064055104 AGCCCCCAGAGGCC chr12 124513026 124513027 chr12:124513027:G:A rs73225452 G A G EBF1_EBF_1 3 1 - 4.381246444776802 -2.0241522967765153 CCTCCCGAGAGAGC chr12 124517424 124517425 chr12:124517425:G:A rs144401832 G A G EBF1_EBF_1 28 0 - 0 0 . chr12 124517688 124517689 chr12:124517689:C:T rs574590746 C T C EBF1_EBF_1 3 1 + 6.853119284578189 0.4477205430248721 CCCCCCCAGGGACG chr12 124518394 124518395 chr12:124518395:G:A rs191774110 G A g EBF1_EBF_1 28 0 + 0 0 . chr12 124539551 124539552 chr12:124539552:G:A rs11615887 G A G EBF1_EBF_1 27 0 - 0 0 . chr12 124545423 124545424 chr12:124545424:C:T rs958894469 C T C EBF1_EBF_1 -12 0 + 0 0 . chr12 124551881 124551882 chr12:124551882:G:A rs189376102 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 124563211 124563212 chr12:124563212:A:G rs7972214 A G G EBF1_EBF_1 17 0 - 0 0 . chr12 124564661 124564662 chr12:124564662:G:C rs1292988100 G C G EBF1_EBF_1 10 1 + 5.057623838199461 -1.8331785491300134 GACCCCAGGAGAAC chr12 124589108 124589109 chr12:124589109:G:A rs75498733 G A G EBF1_EBF_1 15 0 + 0 0 . chr12 124608627 124608628 chr12:124608628:G:A rs701044 G A G EBF1_EBF_1 10 1 + 6.709485459922111 1.3914570006551448 CTTCCCCAGGGCCC chr12 124608633 124608634 chr12:124608634:G:C rs10846692 G C G EBF1_EBF_1 16 0 + 0 0 . chr12 124608640 124608641 chr12:124608641:T:C rs576188580 T C T EBF1_EBF_1 23 0 + 0 0 . chr12 124621231 124621232 chr12:124621232:C:T chr12:124621232:C:T C T C EBF1_EBF_1 19 0 + 0 0 . chr12 124624881 124624882 chr12:124624882:C:T rs1147281 C T C EBF1_EBF_1 3 1 + 7.664922685527534 1.2595239439742154 TTTCCCCTGGGGCA chr12 124631853 124631854 chr12:124631854:G:A rs12426133 G A G EBF1_EBF_1 32 0 + 0 0 . chr12 124633428 124633429 chr12:124633429:G:A rs57398293 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 124646159 124646160 chr12:124646160:C:G rs56717661 C G C EBF1_EBF_1 0 1 - 6.17967795095932 5.816703336827553 GCCCCCCTGGGGCT chr12 124646453 124646454 chr12:124646454:G:A rs181464902 G A G EBF1_EBF_1 1 1 + 4.734189327429777 4.473490495508475 AGTCTCCAGGGCCT chr12 124669095 124669096 chr12:124669096:G:A rs1726237 G A G EBF1_EBF_1 0 1 - 6.908138827396964 7.190340888127542 CTTCCCAGGGGGCA chr12 124669098 124669099 chr12:124669099:G:A rs1672876 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 124675631 124675632 chr12:124675632:C:G rs10846705 C G G EBF1_EBF_1 23 0 - 0 0 . chr12 124678928 124678929 chr12:124678929:T:C rs11057756 T C T EBF1_EBF_1 23 0 + 0 0 . chr12 124681792 124681793 chr12:124681793:G:A rs111518114 G A G EBF1_EBF_1 14 0 + 0 0 . chr12 124688027 124688028 chr12:124688028:C:T rs80042761 C T C EBF1_EBF_1 -18 0 + 0 0 . chr12 124688073 124688074 chr12:124688074:G:T rs568276437 G T G EBF1_EBF_1 28 0 + 0 0 . chr12 124689892 124689893 chr12:124689893:A:G rs701095 A G G EBF1_EBF_1 11 1 + 5.68431948439927 2.7916126407952593 TTCCCCAAAGGAAC chr12 124693163 124693164 chr12:124693164:C:T rs571827229 C T C EBF1_EBF_1 8 1 - 8.586003577719508 4.35971348883325 ATTCCCACGGGGAC chr12 124699637 124699638 chr12:124699638:A:G rs11057760 A G A EBF1_EBF_1 7 1 - 5.512048318435391 3.6945435721527673 ATCCCTCTGGGATT chr12 124727706 124727707 chr12:124727707:G:A rs141663903 G A G EBF1_EBF_1 23 0 + 0 0 . chr12 124732561 124732562 chr12:124732562:C:T rs77008843 C T c EBF1_EBF_1 -16 0 - 0 0 . chr12 124732809 124732810 chr12:124732810:C:G rs145251194 C G C EBF1_EBF_1 -10 0 - 0 0 . chr12 124740784 124740785 chr12:124740785:A:G rs921921 A G A EBF1_EBF_1 33 0 - 0 0 . chr12 124742406 124742407 chr12:124742407:T:G rs1672902 T G T EBF1_EBF_1 -6 0 + 0 0 . chr12 124746325 124746326 chr12:124746326:A:T rs189063949 A T A EBF1_EBF_1 6 1 + 7.0508308714438135 6.990514632504294 TCCCCCATGGGAAG chr12 124755115 124755116 chr12:124755116:C:T rs12367055 C T C EBF1_EBF_1 -17 0 - 0 0 . chr12 124758415 124758416 chr12:124758416:A:G rs730280 A G G EBF1_EBF_1 -17 0 + 0 0 . chr12 124765201 124765202 chr12:124765202:G:A chr12:124765202:G:A G A G EBF1_EBF_1 23 0 - 0 0 . chr12 124765221 124765222 chr12:124765222:G:T rs559945765 G T G EBF1_EBF_1 3 1 - 11.665433910120147 4.759259880654341 ACTCCCCTGGGAAA chr12 124765230 124765231 chr12:124765231:T:G chr12:124765231:T:G T G T EBF1_EBF_1 -6 0 - 0 0 . chr12 124767616 124767617 chr12:124767617:G:T rs551463204 G T G EBF1_EBF_1 11 1 - 4.728976943735975 9.144938641588364 CACCCCCAGGGCAT chr12 124773509 124773510 chr12:124773510:A:G rs74241432 A G A EBF1_EBF_1 -20 0 + 0 0 . chr12 124852409 124852410 chr12:124852410:C:T rs7296737 C T C EBF1_EBF_1 32 0 + 0 0 . chr12 124862092 124862093 chr12:124862093:C:T rs4371014 C T C EBF1_EBF_1 21 0 + 0 0 . chr12 124863554 124863555 chr12:124863555:C:T rs201717369 C T C EBF1_EBF_1 -15 0 + 0 0 . chr12 124866602 124866603 chr12:124866603:G:A rs4435094 G A G EBF1_EBF_1 6 1 + 5.759111553300744 7.925905984575218 GCTCCCGAGAGACA chr12 124869263 124869264 chr12:124869264:T:A rs67053123 T A T EBF1_EBF_1 18 0 - 0 0 . chr12 124884910 124884911 chr12:124884911:C:T rs995278411 C T C EBF1_EBF_1 16 0 - 0 0 . chr12 124898319 124898320 chr12:124898320:A:G rs7302049 A G G EBF1_EBF_1 24 0 + 0 0 . chr12 124898325 124898326 chr12:124898326:A:G rs7302053 A G A EBF1_EBF_1 30 0 + 0 0 . chr12 124900536 124900537 chr12:124900537:T:C rs4499094 T C C EBF1_EBF_1 28 0 + 0 0 . chr12 124927820 124927821 chr12:124927821:G:A rs12306809 G A A EBF1_EBF_1 5 1 - 4.879975786306931 -0.44181142923373834 CCCCCCGGGGGAAA chr12 124927829 124927830 chr12:124927830:G:A rs10846772 G A G EBF1_EBF_1 -4 0 - 0 0 . chr12 124927840 124927841 chr12:124927841:A:G rs56211891 A G A EBF1_EBF_1 -15 0 - 0 0 . chr12 124940393 124940394 chr12:124940394:C:A rs35881078 C A C EBF1_EBF_1 -13 0 + 0 0 . chr12 124940417 124940418 chr12:124940418:A:G rs138378792 A G A EBF1_EBF_1 11 1 + 4.7344923750792995 1.8417855314752882 CGTCCCCTGGTATC chr12 124943339 124943340 chr12:124943340:C:T rs11057925 C T C EBF1_EBF_1 14 0 + 0 0 . chr12 124952689 124952690 chr12:124952690:A:G rs6488960 A G G EBF1_EBF_1 -3 0 + 0 0 . chr12 124952747 124952748 chr12:124952748:G:A rs7960694 G A G EBF1_EBF_1 -18 0 + 0 0 . chr12 124952753 124952754 chr12:124952754:C:G rs75655987 C G C EBF1_EBF_1 -12 0 + 0 0 . chr12 124960116 124960117 chr12:124960117:T:C rs4765006 T C C EBF1_EBF_1 0 1 - 5.335610984079666 3.4433271145148323 ACACCCAGGGGATG chr12 124982440 124982441 chr12:124982441:C:T rs11057949 C T C EBF1_EBF_1 -14 0 + 0 0 . chr12 124994602 124994603 chr12:124994603:G:C rs73417988 G C G EBF1_EBF_1 32 0 - 0 0 . chr12 124994666 124994667 chr12:124994667:T:C rs116343372 T C T EBF1_EBF_1 -11 0 - 0 0 . chr12 124994827 124994828 chr12:124994828:C:T rs7139310 C T T EBF1_EBF_1 -18 0 - 0 0 . chr12 125049418 125049419 chr12:125049419:C:T rs61943929 C T c EBF1_EBF_1 -19 0 + 0 0 . chr12 125049424 125049425 chr12:125049425:C:T rs968811233 C T c EBF1_EBF_1 -13 0 + 0 0 . chr12 125049900 125049901 chr12:125049901:C:T rs576541952 C T c EBF1_EBF_1 19 0 - 0 0 . chr12 125068033 125068034 chr12:125068034:C:T rs71458891 C T C EBF1_EBF_1 -10 0 - 0 0 . chr12 125080180 125080181 chr12:125080181:G:A rs117611326 G A G EBF1_EBF_1 33 0 + 0 0 . chr12 125121880 125121881 chr12:125121881:G:C rs10846832 G C C EBF1_EBF_1 5 1 - 9.207812845952054 2.3029316933168844 ACCCCCCAGGGAGC chr12 125121881 125121882 chr12:125121882:G:T rs58416336 G T T EBF1_EBF_1 4 1 - 9.207812845952054 3.383253222498585 ACCCCCCAGGGAGC chr12 125134761 125134762 chr12:125134762:C:T rs2291248 C T C EBF1_EBF_1 -19 0 - 0 0 . chr12 125143485 125143486 chr12:125143486:T:C rs73231688 T C T EBF1_EBF_1 7 1 + 6.368728122156489 4.5512233758738665 ATTCCCTTGTGACC chr12 125194466 125194467 chr12:125194467:C:T rs79050480 C T C EBF1_EBF_1 7 1 + 6.620025631435302 8.437530377717925 ACTCCCCCGGGCCT chr12 125345041 125345042 chr12:125345042:C:T rs79202534 C T C EBF1_EBF_1 7 1 + 5.098982405400457 6.91648715168308 ATTCCCGCGGTACC chr12 125415705 125415706 chr12:125415706:C:T rs141421615 C T T EBF1_EBF_1 16 0 + 0 0 . chr12 125432888 125432889 chr12:125432889:A:T rs10846886 A T T EBF1_EBF_1 11 1 + 8.287968431035543 4.190054174936816 CTTCCCAAGAGAGT chr12 125474893 125474894 chr12:125474894:T:C rs10846900 T C T EBF1_EBF_1 -8 0 + 0 0 . chr12 125509470 125509471 chr12:125509471:A:G rs111279407 A G G EBF1_EBF_1 2 1 - 5.788201019943032 3.899377608500882 AGTCCAAAGGGAAA chr12 125605292 125605293 chr12:125605293:A:T rs929327 A T T EBF1_EBF_1 -4 0 + 0 0 . chr12 125609083 125609084 chr12:125609084:G:A rs11058263 G A G EBF1_EBF_1 1 1 + 6.018937519747006 5.7582386878257035 AGTCTCCTGAGACT chr12 125652868 125652869 chr12:125652869:T:C rs904457762 T C t EBF1_EBF_1 7 1 + 6.3336408245998 4.516136078317176 TTTCCCCTGGGCTT chr12 125688936 125688937 chr12:125688937:G:A rs12426355 G A G EBF1_EBF_1 -14 0 + 0 0 . chr12 125756884 125756885 chr12:125756885:G:T rs1029608 G T T EBF1_EBF_1 5 1 - 6.654245609321121 -0.2506355433140479 TGTCCCCTGGGCCT chr12 125861253 125861254 chr12:125861254:C:T rs733363 C T C EBF1_EBF_1 -10 0 + 0 0 . chr12 125983126 125983127 chr12:125983127:T:C rs17448455 T C C EBF1_EBF_1 31 0 - 0 0 . chr12 125983259 125983260 chr12:125983260:T:C rs7300524 T C C EBF1_EBF_1 15 0 - 0 0 . chr12 125983329 125983330 chr12:125983330:G:C rs73418359 G C G EBF1_EBF_1 14 0 + 0 0 . chr12 125983787 125983788 chr12:125983788:T:C rs1203085837 T C T EBF1_EBF_1 33 0 - 0 0 . chr12 125983803 125983804 chr12:125983804:C:G rs144811722 C G C EBF1_EBF_1 17 0 - 0 0 . chr12 125983827 125983828 chr12:125983828:G:C rs148137681 G C G EBF1_EBF_1 -7 0 - 0 0 . chr12 126079952 126079953 chr12:126079953:G:A rs720392 G A A EBF1_EBF_1 0 1 + 5.8482461261338985 7.740529995698733 GGTCCCTTGGGCAA chr12 126079960 126079961 chr12:126079961:G:A rs929485 G A A EBF1_EBF_1 8 1 + 5.8482461261338985 1.6219560372476383 GGTCCCTTGGGCAA chr12 126079966 126079967 chr12:126079967:C:T rs7297382 C T C EBF1_EBF_1 14 0 + 0 0 . chr12 126172412 126172413 chr12:126172413:G:A rs12423724 G A A EBF1_EBF_1 23 0 - 0 0 . chr12 126172455 126172456 chr12:126172456:G:A rs12423726 G A G EBF1_EBF_1 -20 0 - 0 0 . chr12 126173573 126173574 chr12:126173574:C:A rs7137587 C A C EBF1_EBF_1 12 1 + 7.929366442697901 7.959547841119228 ACCCCCAAGAGACA chr12 126244254 126244255 chr12:126244255:C:G chr12:126244255:C:G C G C EBF1_EBF_1 11 1 + 6.010348471813582 7.53360332606196 GCTCCCTGGGGCCT chr12 126258467 126258468 chr12:126258468:A:G rs11837481 A G A EBF1_EBF_1 -5 0 - 0 0 . chr12 126268396 126268397 chr12:126268397:T:G rs7132426 T G G EBF1_EBF_1 -18 0 - 0 0 . chr12 126270187 126270188 chr12:126270188:C:A rs73226986 C A A EBF1_EBF_1 26 0 + 0 0 . chr12 126337808 126337809 chr12:126337809:G:A rs182281990 G A G EBF1_EBF_1 -17 0 - 0 0 . chr12 126355655 126355656 chr12:126355656:T:C rs2068852 T C C EBF1_EBF_1 2 1 + 5.017523415208906 3.1287000037667565 AGTCCCAGGGAAGC chr12 126396771 126396772 chr12:126396772:T:C rs7972835 T C C EBF1_EBF_1 20 0 - 0 0 . chr12 126397542 126397543 chr12:126397543:G:A rs78995211 G A G EBF1_EBF_1 19 0 - 0 0 . chr12 126419803 126419804 chr12:126419804:C:A rs12818641 C A A EBF1_EBF_1 9 1 - 5.0347669898317235 -1.8022224182570858 TTCCTCATGGGACC chr12 126425502 126425503 chr12:126425503:G:A rs2191182 G A A EBF1_EBF_1 -12 0 - 0 0 . chr12 126663975 126663976 chr12:126663976:T:C rs1512025 T C t EBF1_EBF_1 -3 0 - 0 0 . chr12 126784729 126784730 chr12:126784730:C:T rs61941665 C T c EBF1_EBF_1 19 0 + 0 0 . chr12 126811537 126811538 chr12:126811538:G:A rs4432075 G A G EBF1_EBF_1 15 0 + 0 0 . chr12 126881201 126881202 chr12:126881202:A:T rs80298628 A T A EBF1_EBF_1 2 1 - 5.1552434188155 1.470775831216622 GATCCCAGGGGCCC chr12 126895009 126895010 chr12:126895010:A:G rs76761355 A G A EBF1_EBF_1 30 0 - 0 0 . chr12 126901083 126901084 chr12:126901084:A:C chr12:126901084:A:C A C a EBF1_EBF_1 -8 0 - 0 0 . chr12 126955716 126955717 chr12:126955717:T:C rs75574421 T C T EBF1_EBF_1 18 0 - 0 0 . chr12 126958766 126958767 chr12:126958767:T:C rs61941758 T C T EBF1_EBF_1 28 0 - 0 0 . chr12 126960941 126960942 chr12:126960942:G:A rs1456159 G A G EBF1_EBF_1 -10 0 - 0 0 . chr12 127035618 127035619 chr12:127035619:T:C rs1420778 T C T EBF1_EBF_1 -5 0 + 0 0 . chr12 127088996 127088997 chr12:127088997:A:G rs4435095 A G A EBF1_EBF_1 25 0 + 0 0 . chr12 127131278 127131279 chr12:127131279:G:T rs11058997 G T g EBF1_EBF_1 25 0 - 0 0 . chr12 127152117 127152118 chr12:127152118:A:G rs117185939 A G A EBF1_EBF_1 15 0 - 0 0 . chr12 127170565 127170566 chr12:127170566:C:T rs1509044 C T C EBF1_EBF_1 -18 0 - 0 0 . chr12 127230781 127230782 chr12:127230782:C:T rs61935194 C T C EBF1_EBF_1 -7 0 - 0 0 . chr12 127235990 127235991 chr12:127235991:G:C rs55712055 G C G EBF1_EBF_1 -2 0 + 0 0 . chr12 127236011 127236012 chr12:127236012:C:T rs55741862 C T C EBF1_EBF_1 19 0 + 0 0 . chr12 127245559 127245560 chr12:127245560:A:C rs117846067 A C A EBF1_EBF_1 17 0 - 0 0 . chr12 127262740 127262741 chr12:127262741:G:A rs60270594 G A G EBF1_EBF_1 16 0 - 0 0 . chr12 127262757 127262758 chr12:127262758:G:T rs116825753 G T G EBF1_EBF_1 -1 0 - 0 0 . chr12 127285227 127285228 chr12:127285228:A:G rs10847313 A G G EBF1_EBF_1 0 1 + 4.921415963286225 3.0291320937213904 AGCCCCAAGAGCCT chr12 127287753 127287754 chr12:127287754:C:T rs10847314 C T C EBF1_EBF_1 -10 0 - 0 0 . chr12 127411990 127411991 chr12:127411991:G:C rs7960035 G C G EBF1_EBF_1 -2 0 - 0 0 . chr12 127431723 127431724 chr12:127431724:C:A rs11059119 C A C EBF1_EBF_1 26 0 - 0 0 . chr12 127438651 127438652 chr12:127438652:A:G rs7962770 A G A EBF1_EBF_1 15 0 - 0 0 . chr12 127479897 127479898 chr12:127479898:C:T rs10847364 C T T EBF1_EBF_1 28 0 + 0 0 . chr12 127582289 127582290 chr12:127582290:G:A chr12:127582290:G:A G A G EBF1_EBF_1 3 1 - 7.150920268856408 0.7455215273030915 ATCCCCATAGGACC chr12 127650969 127650970 chr12:127650970:T:A rs11059297 T A C EBF1_EBF_1 25 0 + 0 0 . chr12 127650976 127650977 chr12:127650977:T:C rs75248076 T C T EBF1_EBF_1 32 0 + 0 0 . chr12 127761302 127761303 chr12:127761303:C:A rs73234764 C A C EBF1_EBF_1 29 0 - 0 0 . chr12 127772360 127772361 chr12:127772361:C:T rs76141091 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 127802482 127802483 chr12:127802483:G:A rs68021801 G A G EBF1_EBF_1 24 0 - 0 0 . chr12 127928593 127928594 chr12:127928594:C:T rs10744334 C T T EBF1_EBF_1 -12 0 + 0 0 . chr12 127928607 127928608 chr12:127928608:C:T rs2701818 C T T EBF1_EBF_1 2 1 + 4.797548962349034 6.686372373791186 AGCCCCCAAGGAGA chr12 127957766 127957767 chr12:127957767:T:C rs10744346 T C t EBF1_EBF_1 6 1 - 6.474486672007576 4.307692240733101 TGTCCCATGAGAAG chr12 128025143 128025144 chr12:128025144:C:T rs117820835 C T C EBF1_EBF_1 24 0 + 0 0 . chr12 128025810 128025811 chr12:128025811:G:A rs1259763838 G A G EBF1_EBF_1 24 0 + 0 0 . chr12 128047958 128047959 chr12:128047959:C:A rs6489225 C A C EBF1_EBF_1 27 0 + 0 0 . chr12 128055907 128055908 chr12:128055908:A:G rs6489230 A G G EBF1_EBF_1 30 0 - 0 0 . chr12 128077695 128077696 chr12:128077696:C:T rs61939099 C T C EBF1_EBF_1 18 0 - 0 0 . chr12 128089255 128089256 chr12:128089256:A:G rs34020142 A G A EBF1_EBF_1 22 0 - 0 0 . chr12 128091248 128091249 chr12:128091249:T:A rs61939137 T A T EBF1_EBF_1 18 0 + 0 0 . chr12 128091259 128091260 chr12:128091260:G:A rs73144768 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 128102487 128102488 chr12:128102488:A:G rs11059509 A G A EBF1_EBF_1 8 1 + 7.492022171606867 11.718312260493127 TCTCCCAAAGGACT chr12 128153741 128153742 chr12:128153742:T:C rs7954489 T C C EBF1_EBF_1 -5 0 + 0 0 . chr12 128156510 128156511 chr12:128156511:C:T rs10847550 C T C EBF1_EBF_1 25 0 - 0 0 . chr12 128160671 128160672 chr12:128160672:T:C rs4268573 T C t EBF1_EBF_1 -13 0 + 0 0 . chr12 128160702 128160703 chr12:128160703:G:A rs7970616 G A G EBF1_EBF_1 18 0 + 0 0 . chr12 128191336 128191337 chr12:128191337:C:T rs117072935 C T C EBF1_EBF_1 26 0 - 0 0 . chr12 128191361 128191362 chr12:128191362:C:T rs3751176 C T T EBF1_EBF_1 1 1 - 6.918602102444905 6.657903270523604 AGTCCCCGCGGAAT chr12 128287242 128287243 chr12:128287243:T:C rs11059624 T C T EBF1_EBF_1 -2 0 - 0 0 . chr12 128291972 128291973 chr12:128291973:G:A rs12426761 G A G EBF1_EBF_1 -20 0 + 0 0 . chr12 128313187 128313188 chr12:128313188:C:T rs35742488 C T C EBF1_EBF_1 -2 0 + 0 0 . chr12 128313200 128313201 chr12:128313201:A:T rs872083 A T A EBF1_EBF_1 11 1 + 7.018691508224497 2.920777252125771 AATCTCCAGGGATC chr12 128339324 128339325 chr12:128339325:G:A rs549989346 G A G EBF1_EBF_1 -5 0 - 0 0 . chr12 128339583 128339584 chr12:128339584:C:G rs1618333 C G G EBF1_EBF_1 -15 0 - 0 0 . chr12 128351730 128351731 chr12:128351731:C:T rs146325138 C T C EBF1_EBF_1 5 1 + 8.42354214507084 3.1017549295301707 ACTCCCGTGAGAAT chr12 128364419 128364420 chr12:128364420:G:A rs79228035 G A G EBF1_EBF_1 5 1 - 5.33012928183557 0.008342066294899642 TCCCCCGAGGGACG chr12 128370451 128370452 chr12:128370452:C:T rs1905942 C T T EBF1_EBF_1 21 0 - 0 0 . chr12 128375962 128375963 chr12:128375963:C:T rs1683691 C T C EBF1_EBF_1 23 0 - 0 0 . chr12 128379766 128379767 chr12:128379767:C:T rs4882749 C T C EBF1_EBF_1 16 0 + 0 0 . chr12 128383421 128383422 chr12:128383422:C:T rs73436622 C T C EBF1_EBF_1 28 0 - 0 0 . chr12 128388025 128388026 chr12:128388026:C:T rs115894675 C T C EBF1_EBF_1 -18 0 + 0 0 . chr12 128388082 128388083 chr12:128388083:C:T rs10773536 C T C EBF1_EBF_1 13 1 + 4.850625582469058 5.695119697054576 TCACCCAGGGGATC chr12 128388285 128388286 chr12:128388286:C:G rs12578533 C G C EBF1_EBF_1 16 0 + 0 0 . chr12 128388511 128388512 chr12:128388512:T:C rs11059674 T C C EBF1_EBF_1 -13 0 + 0 0 . chr12 128480501 128480502 chr12:128480502:C:A rs11837691 C A c EBF1_EBF_1 7 1 - 5.031717765921648 5.867901931122916 CTTCGCCGGGGACT chr12 128480743 128480744 chr12:128480744:C:T rs78782025 C T T EBF1_EBF_1 4 1 + 3.970642359106427 -0.3987445858384977 CTCCCCCTGGGCCA chr12 128514089 128514090 chr12:128514090:C:T rs4882768 C T C EBF1_EBF_1 5 1 + 5.928915350339279 0.6071281347986096 GCTCCCCGAGGAAT chr12 128590234 128590235 chr12:128590235:T:C rs7316314 T C C EBF1_EBF_1 32 0 + 0 0 . chr12 128600884 128600885 chr12:128600885:A:G rs1873158 A G G EBF1_EBF_1 -3 0 + 0 0 . chr12 128621140 128621141 chr12:128621141:C:A rs73161924 C A C EBF1_EBF_1 -20 0 - 0 0 . chr12 128664819 128664820 chr12:128664820:C:T rs7968473 C T t EBF1_EBF_1 -11 0 - 0 0 . chr12 128677910 128677911 chr12:128677911:G:A rs10773563 G A A EBF1_EBF_1 26 0 + 0 0 . chr12 128688041 128688042 chr12:128688042:C:T rs117541207 C T C EBF1_EBF_1 9 1 - 8.599175386424935 5.944236120977336 ATCCCCCAGGGGCC chr12 128722405 128722406 chr12:128722406:G:T rs11059853 G T G EBF1_EBF_1 15 0 + 0 0 . chr12 128747574 128747575 chr12:128747575:A:G rs871686 A G G EBF1_EBF_1 14 0 + 0 0 . chr12 128748340 128748341 chr12:128748341:T:C rs11608464 T C T EBF1_EBF_1 16 0 + 0 0 . chr12 128761726 128761727 chr12:128761727:T:C rs900985 T C C EBF1_EBF_1 13 1 - 6.216039950980827 5.101714378911388 AATCACCAGGGACA chr12 128763877 128763878 chr12:128763878:G:A rs11059889 G A G EBF1_EBF_1 -8 0 + 0 0 . chr12 128763915 128763916 chr12:128763916:G:C rs11059890 G C G EBF1_EBF_1 30 0 + 0 0 . chr12 128767681 128767682 chr12:128767682:C:T rs7311753 C T T EBF1_EBF_1 2 1 + 3.7228453822263754 5.611668793668526 CGCCCCCCGGGATG chr12 128797144 128797145 chr12:128797145:C:T rs36003513 C T C EBF1_EBF_1 23 0 + 0 0 . chr12 128807521 128807522 chr12:128807522:G:A rs74337121 G A G EBF1_EBF_1 7 1 - 4.894632030943676 6.712136777226299 CTCCCCCCGGGGCT chr12 128962601 128962602 chr12:128962602:A:G rs470651 A G a EBF1_EBF_1 22 0 + 0 0 . chr12 128993903 128993904 chr12:128993904:A:G rs470429 A G A EBF1_EBF_1 24 0 + 0 0 . chr12 129024116 129024117 chr12:129024117:T:C rs11060075 T C T EBF1_EBF_1 -16 0 - 0 0 . chr12 129031764 129031765 chr12:129031765:C:T rs9651791 C T C EBF1_EBF_1 -10 0 - 0 0 . chr12 129070028 129070029 chr12:129070029:T:C rs9645724 T C C EBF1_EBF_1 31 0 - 0 0 . chr12 129083406 129083407 chr12:129083407:A:G rs58003804 A G A EBF1_EBF_1 28 0 - 0 0 . chr12 129083860 129083861 chr12:129083861:C:T rs7303836 C T T EBF1_EBF_1 26 0 - 0 0 . chr12 129182875 129182876 chr12:129182876:C:T rs4964860 C T T EBF1_EBF_1 28 0 - 0 0 . chr12 129187157 129187158 chr12:129187158:A:G rs1386209 A G G EBF1_EBF_1 21 0 - 0 0 . chr12 129216576 129216577 chr12:129216577:C:T rs10847801 C T C EBF1_EBF_1 11 1 + 5.200326437921783 5.518373879675447 CTTCCCTAGAGCCT chr12 129226405 129226406 chr12:129226406:G:A rs9805018 G A A EBF1_EBF_1 -2 0 + 0 0 . chr12 129242039 129242040 chr12:129242040:C:T rs58784471 C T C EBF1_EBF_1 -1 0 - 0 0 . chr12 129367499 129367500 chr12:129367500:G:A rs11060275 G A G EBF1_EBF_1 25 0 - 0 0 . chr12 129372952 129372953 chr12:129372953:G:A rs2128284 G A G EBF1_EBF_1 13 1 - 7.3570153963746066 8.201509510960125 AGTCCCCAGGAACC chr12 129372976 129372977 chr12:129372977:G:A rs78279607 G A G EBF1_EBF_1 -11 0 - 0 0 . chr12 129393867 129393868 chr12:129393868:C:T rs117438223 C T C EBF1_EBF_1 -7 0 + 0 0 . chr12 129409318 129409319 chr12:129409319:G:C rs11831479 G C G EBF1_EBF_1 21 0 + 0 0 . chr12 129443062 129443063 chr12:129443063:G:A rs7487660 G A G EBF1_EBF_1 -19 0 + 0 0 . chr12 129532209 129532210 chr12:129532210:G:A rs11060404 G A G EBF1_EBF_1 16 0 - 0 0 . chr12 129532767 129532768 chr12:129532768:A:G rs10847896 A G G EBF1_EBF_1 1 1 + 5.23379156476681 5.494490396688113 AATCCCCAGTGAAA chr12 129536140 129536141 chr12:129536141:A:C rs56151921 A C A EBF1_EBF_1 15 0 + 0 0 . chr12 129551400 129551401 chr12:129551401:G:C rs7309565 G C G EBF1_EBF_1 16 0 - 0 0 . chr12 129553025 129553026 chr12:129553026:C:T rs7961507 C T T EBF1_EBF_1 -14 0 - 0 0 . chr12 129559896 129559897 chr12:129559897:C:T rs155711 C T C EBF1_EBF_1 2 1 + 9.705683321325912 11.594506732768062 AACCCCCTGGGAAA chr12 129621347 129621348 chr12:129621348:C:T rs11609887 C T C EBF1_EBF_1 23 0 - 0 0 . chr12 129714126 129714127 chr12:129714127:G:A rs264483 G A G EBF1_EBF_1 9 1 + 3.929238032677703 1.274298767230099 TGCCCCCGGGGCCT chr12 129726345 129726346 chr12:129726346:G:A rs4760001 G A A EBF1_EBF_1 -15 0 - 0 0 . chr12 129777082 129777083 chr12:129777083:T:G rs148744138 T G T EBF1_EBF_1 -16 0 + 0 0 . chr12 129777119 129777120 chr12:129777120:C:T rs142303375 C T C EBF1_EBF_1 21 0 + 0 0 . chr12 129777123 129777124 chr12:129777124:T:A rs79908384 T A t EBF1_EBF_1 25 0 + 0 0 . chr12 129780612 129780613 chr12:129780613:C:T rs10847946 C T T EBF1_EBF_1 23 0 - 0 0 . chr12 129829481 129829482 chr12:129829482:T:C rs73160250 T C T EBF1_EBF_1 6 1 + 6.186295299314691 5.885009170452933 CTCCCCTAAGGAAT chr12 129904504 129904505 chr12:129904505:C:G rs79244856 C G C EBF1_EBF_1 -9 0 - 0 0 . chr12 129996966 129996967 chr12:129996967:T:A rs78954540 T A T EBF1_EBF_1 7 1 + 4.800650542179824 5.276924782267382 CCTGCCCTGGGAAT chr12 129996974 129996975 chr12:129996975:G:A rs1675867 G A A EBF1_EBF_1 15 0 + 0 0 . chr12 130012520 130012521 chr12:130012521:C:G rs2650039 C G C EBF1_EBF_1 18 0 - 0 0 . chr12 130012549 130012550 chr12:130012550:G:A rs113857818 G A G EBF1_EBF_1 -11 0 - 0 0 . chr12 130016354 130016355 chr12:130016355:G:T rs61939274 G T G EBF1_EBF_1 10 1 + 4.639476422359973 0.4567242361327074 ACTGCCCAGGGGAT chr12 130016359 130016360 chr12:130016360:G:C rs1697684 G C C EBF1_EBF_1 15 0 + 0 0 . chr12 130036128 130036129 chr12:130036129:C:T rs619302 C T T EBF1_EBF_1 28 0 - 0 0 . chr12 130036171 130036172 chr12:130036172:C:T rs619722 C T C EBF1_EBF_1 -15 0 - 0 0 . chr12 130042708 130042709 chr12:130042709:T:G rs7971838 T G G EBF1_EBF_1 31 0 + 0 0 . chr12 130044395 130044396 chr12:130044396:C:T rs665229 C T T EBF1_EBF_1 5 1 + 6.433270025042545 1.1114828095018754 ATCCCCGAGGGTCT chr12 130068609 130068610 chr12:130068610:T:C rs6486519 T C T EBF1_EBF_1 30 0 + 0 0 . chr12 130084291 130084292 chr12:130084292:C:G rs76455735 C G c EBF1_EBF_1 -9 0 - 0 0 . chr12 130117882 130117883 chr12:130117883:T:C rs4760065 T C C EBF1_EBF_1 -13 0 - 0 0 . chr12 130127881 130127882 chr12:130127882:T:C rs7133511 T C T EBF1_EBF_1 -2 0 - 0 0 . chr12 130128656 130128657 chr12:130128657:T:A rs1316938 T A T EBF1_EBF_1 18 0 + 0 0 . chr12 130133091 130133092 chr12:130133092:T:C rs55899363 T C T EBF1_EBF_1 -20 0 + 0 0 . chr12 130152544 130152545 chr12:130152545:C:T rs7970615 C T C EBF1_EBF_1 6 1 - 4.344652659898162 6.511447091172637 TTCCCCGTGGGGCC chr12 130156387 130156388 chr12:130156388:G:A rs117395267 G A G EBF1_EBF_1 6 1 - 7.1884110173138565 7.489697146175614 CTCCCCCAGGGGCT chr12 130191545 130191546 chr12:130191546:G:A rs10848031 G A G EBF1_EBF_1 -18 0 - 0 0 . chr12 130198617 130198618 chr12:130198618:G:A rs10848033 G A G EBF1_EBF_1 9 1 + 6.45400274122148 3.7990634757738757 TCACCCCAGGGACA chr12 130200385 130200386 chr12:130200386:T:C rs36051106 T C T EBF1_EBF_1 -19 0 - 0 0 . chr12 130201233 130201234 chr12:130201234:T:C rs4760094 T C C EBF1_EBF_1 -12 0 + 0 0 . chr12 130206145 130206146 chr12:130206146:G:A rs7973368 G A G EBF1_EBF_1 8 1 + 4.895571571938552 0.6692814830522908 TCACCCACGGGACC chr12 130216414 130216415 chr12:130216415:G:A rs5017592 G A G EBF1_EBF_1 -10 0 + 0 0 . chr12 130251536 130251537 chr12:130251537:G:A rs11060793 G A G EBF1_EBF_1 12 1 - 3.7663402616171986 2.740073691066349 TCCCCCCTGGGGCG chr12 130308209 130308210 chr12:130308210:G:A rs11060821 G A G EBF1_EBF_1 8 1 + 5.707788630395086 1.481498541508824 GCTCCCTCGGGGCC chr12 130333475 130333476 chr12:130333476:G:A rs1466771 G A G EBF1_EBF_1 29 0 - 0 0 . chr12 130337998 130337999 chr12:130337999:G:A rs182955466 G A G EBF1_EBF_1 3 1 - 5.639654499307314 -0.7657442422460036 GTTCCCGAGAGAGC chr12 130354619 130354620 chr12:130354620:G:A rs10848087 G A G EBF1_EBF_1 -5 0 - 0 0 . chr12 130391486 130391487 chr12:130391487:G:A rs4237815 G A A EBF1_EBF_1 -19 0 + 0 0 . chr12 130424646 130424647 chr12:130424647:C:T rs897221087 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 130427247 130427248 chr12:130427248:G:A rs73150893 G A G EBF1_EBF_1 7 1 - 8.632495015994675 10.449999762277297 AATCCCCCGGGACG chr12 130429955 130429956 chr12:130429956:T:C rs34687040 T C T EBF1_EBF_1 -4 0 - 0 0 . chr12 130430304 130430305 chr12:130430305:T:C rs113798800 T C T EBF1_EBF_1 -4 0 + 0 0 . chr12 130430331 130430332 chr12:130430332:C:G rs1877990 C G C EBF1_EBF_1 23 0 + 0 0 . chr12 130449343 130449344 chr12:130449344:C:T rs12305875 C T C EBF1_EBF_1 -16 0 - 0 0 . chr12 130453013 130453014 chr12:130453014:G:A rs12298211 G A G EBF1_EBF_1 17 0 + 0 0 . chr12 130460794 130460795 chr12:130460795:G:A rs4759686 G A G EBF1_EBF_1 33 0 + 0 0 . chr12 130507624 130507625 chr12:130507625:A:G rs73152997 A G A EBF1_EBF_1 26 0 + 0 0 . chr12 130513923 130513924 chr12:130513924:T:G rs4759708 T G G EBF1_EBF_1 -14 0 - 0 0 . chr12 130538835 130538836 chr12:130538836:T:C rs10773792 T C T EBF1_EBF_1 -12 0 + 0 0 . chr12 130589885 130589886 chr12:130589886:A:T rs11061012 A T A EBF1_EBF_1 -7 0 - 0 0 . chr12 130599534 130599535 chr12:130599535:G:A rs2129662 G A G EBF1_EBF_1 0 1 - 5.1329518642712095 5.415153925001787 CTTCCCCAGTGACT chr12 130604021 130604022 chr12:130604022:G:A rs2398523 G A G EBF1_EBF_1 -8 0 + 0 0 . chr12 130604060 130604061 chr12:130604061:A:G rs2171421 A G G EBF1_EBF_1 31 0 + 0 0 . chr12 130631762 130631763 chr12:130631763:T:C rs10082766 T C T EBF1_EBF_1 14 0 - 0 0 . chr12 130640198 130640199 chr12:130640199:C:T rs551399164 C T C EBF1_EBF_1 -15 0 + 0 0 . chr12 130649394 130649395 chr12:130649395:C:T rs147420276 C T a EBF1_EBF_1 23 0 + 0 0 . chr12 130650239 130650240 chr12:130650240:T:G rs11061055 T G G EBF1_EBF_1 3 1 - 3.8800464147336147 10.78622044419942 AGCACCCAGGGACC chr12 130656223 130656224 chr12:130656224:G:A rs11610246 G A G EBF1_EBF_1 -6 0 + 0 0 . chr12 130669315 130669316 chr12:130669316:C:T rs7295736 C T C EBF1_EBF_1 -11 0 + 0 0 . chr12 130692280 130692281 chr12:130692281:C:T rs2076925 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 130700381 130700382 chr12:130700382:C:G rs7299881 C G C EBF1_EBF_1 7 1 + 6.627944693864609 7.609265274945964 TGTCCCCCGAGAAT chr12 130703678 130703679 chr12:130703679:C:T rs2632602 C T C EBF1_EBF_1 5 1 + 5.834766063133335 0.5129788475926653 CCTCCCCTGGGGTC chr12 130703755 130703756 chr12:130703756:C:T rs2632603 C T C EBF1_EBF_1 18 0 - 0 0 . chr12 130703760 130703761 chr12:130703761:T:C rs12311865 T C T EBF1_EBF_1 13 1 - 5.466087398267864 4.351761826198425 TCTCCCCTAGGAAA chr12 130705108 130705109 chr12:130705109:G:A rs4759758 G A G EBF1_EBF_1 2 1 - 4.864828885861832 6.753652297303981 AGCCCCCAGAGGCA chr12 130705119 130705120 chr12:130705120:T:C rs2632606 T C C EBF1_EBF_1 -9 0 - 0 0 . chr12 130707110 130707111 chr12:130707111:G:A rs2632609 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 130707112 130707113 chr12:130707113:G:A rs76517719 G A G EBF1_EBF_1 29 0 - 0 0 . chr12 130712503 130712504 chr12:130712504:C:T rs77234486 C T c EBF1_EBF_1 31 0 - 0 0 . chr12 130712514 130712515 chr12:130712515:G:A rs2895139 G A G EBF1_EBF_1 20 0 - 0 0 . chr12 130715373 130715374 chr12:130715374:C:T rs12822588 C T C EBF1_EBF_1 -18 0 + 0 0 . chr12 130760778 130760779 chr12:130760779:T:C rs7297827 T C C EBF1_EBF_1 -12 0 + 0 0 . chr12 130763142 130763143 chr12:130763143:T:C rs2450546 T C C EBF1_EBF_1 -2 0 + 0 0 . chr12 130768564 130768565 chr12:130768565:T:C rs73454982 T C C EBF1_EBF_1 -5 0 + 0 0 . chr12 130787300 130787301 chr12:130787301:T:C rs2632670 T C T EBF1_EBF_1 -13 0 + 0 0 . chr12 130787343 130787344 chr12:130787344:G:A rs2632671 G A A EBF1_EBF_1 30 0 + 0 0 . chr12 130816354 130816355 chr12:130816355:G:A rs4759795 G A c EBF1_EBF_1 29 0 + 0 0 . chr12 130818747 130818748 chr12:130818748:A:G rs150934166 A G A EBF1_EBF_1 -20 0 - 0 0 . chr12 130870765 130870766 chr12:130870766:C:A rs575464939 C A C EBF1_EBF_1 -13 0 - 0 0 . chr12 130916161 130916162 chr12:130916162:G:A rs7133973 G A G EBF1_EBF_1 21 0 - 0 0 . chr12 130929924 130929925 chr12:130929925:C:A rs4759806 C A A EBF1_EBF_1 10 1 - 5.205997604915297 1.0232454186880333 CATGCCCAGGGAAT chr12 130933690 130933691 chr12:130933691:A:G rs12821928 A G A EBF1_EBF_1 -1 0 - 0 0 . chr12 130948606 130948607 chr12:130948607:G:A rs78215237 G A G EBF1_EBF_1 7 1 + 7.2356465748672365 8.548104980156063 AAACCCCGGGGATT chr12 130977325 130977326 chr12:130977326:G:A rs4759818 G A A EBF1_EBF_1 -5 0 - 0 0 . chr12 130987670 130987671 chr12:130987671:T:A rs12810260 T A T EBF1_EBF_1 24 0 - 0 0 . chr12 130987714 130987715 chr12:130987715:A:T rs12828967 A T A EBF1_EBF_1 -20 0 - 0 0 . chr12 130988997 130988998 chr12:130988998:T:G rs10732590 T G G EBF1_EBF_1 20 0 + 0 0 . chr12 131007516 131007517 chr12:131007517:C:T rs186880827 C T C EBF1_EBF_1 -4 0 + 0 0 . chr12 131011553 131011554 chr12:131011554:C:T rs117663666 C T C EBF1_EBF_1 27 0 - 0 0 . chr12 131011565 131011566 chr12:131011566:C:T rs77293678 C T C EBF1_EBF_1 15 0 - 0 0 . chr12 131034329 131034330 chr12:131034330:C:T rs11061291 C T C EBF1_EBF_1 7 1 + 4.733753915305595 6.551258661588218 CTTCCCCCGGGTCC chr12 131035445 131035446 chr12:131035446:G:A rs187445464 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 131038557 131038558 chr12:131038558:A:G rs3893192 A G G EBF1_EBF_1 26 0 - 0 0 . chr12 131042298 131042299 chr12:131042299:G:A rs61936929 G A G EBF1_EBF_1 -12 0 + 0 0 . chr12 131044646 131044647 chr12:131044647:A:C rs7305267 A C C EBF1_EBF_1 12 1 + 7.128981610261919 7.098800211840593 CCTCCCCTGAGAAC chr12 131056773 131056774 chr12:131056774:C:T rs10773839 C T T EBF1_EBF_1 -12 0 - 0 0 . chr12 131092110 131092111 chr12:131092111:G:A rs34255965 G A G EBF1_EBF_1 -18 0 + 0 0 . chr12 131093030 131093031 chr12:131093031:C:T rs114054615 C T C EBF1_EBF_1 33 0 + 0 0 . chr12 131093614 131093615 chr12:131093615:G:A rs78116837 G A G EBF1_EBF_1 -10 0 - 0 0 . chr12 131103123 131103124 chr12:131103124:T:C rs10773846 T C T EBF1_EBF_1 0 1 - 4.927094170899291 3.0348103013344563 ATTTCCCAGGGGCT chr12 131108043 131108044 chr12:131108044:G:A rs10848285 G A G EBF1_EBF_1 27 0 + 0 0 . chr12 131108497 131108498 chr12:131108498:A:G rs149317249 A G A EBF1_EBF_1 22 0 - 0 0 . chr12 131117731 131117732 chr12:131117732:T:A rs117956270 T A T EBF1_EBF_1 1 1 + 7.219853761017113 6.253493143266283 GTTCCCTAAGGAAA chr12 131122206 131122207 chr12:131122207:C:G rs1211609 C G G EBF1_EBF_1 -3 0 - 0 0 . chr12 131122487 131122488 chr12:131122488:G:T rs1195936 G T T EBF1_EBF_1 27 0 - 0 0 . chr12 131122508 131122509 chr12:131122509:G:T rs1211610 G T G EBF1_EBF_1 6 1 - 4.589662533587531 4.951264901388809 GACCCCCAGAGAGC chr12 131122531 131122532 chr12:131122532:T:C rs7967018 T C T EBF1_EBF_1 -17 0 - 0 0 . chr12 131133589 131133590 chr12:131133590:T:C rs941089 T C C EBF1_EBF_1 33 0 - 0 0 . chr12 131137216 131137217 chr12:131137217:A:G rs885389 A G G EBF1_EBF_1 -14 0 + 0 0 . chr12 131137243 131137244 chr12:131137244:T:G rs867411 T G G EBF1_EBF_1 13 1 + 7.720779749238505 5.388214613297572 TCTCCCTGGAGAAT chr12 131156906 131156907 chr12:131156907:G:A rs10848297 G A G EBF1_EBF_1 -19 0 + 0 0 . chr12 131156907 131156908 chr12:131156908:T:C rs10848298 T C C EBF1_EBF_1 -18 0 + 0 0 . chr12 131157809 131157810 chr12:131157810:C:T rs61936993 C T C EBF1_EBF_1 30 0 - 0 0 . chr12 131165865 131165866 chr12:131165866:T:A rs3923193 T A T EBF1_EBF_1 1 1 + 5.589922767724795 4.623562149973965 GTCCCCTGGAGAAA chr12 131167118 131167119 chr12:131167119:C:T rs10773852 C T C EBF1_EBF_1 25 0 + 0 0 . chr12 131172765 131172766 chr12:131172766:G:A rs7300298 G A A EBF1_EBF_1 -13 0 + 0 0 . chr12 131203736 131203737 chr12:131203737:G:A rs73149990 G A A EBF1_EBF_1 20 0 - 0 0 . chr12 131204339 131204340 chr12:131204340:G:A rs7315966 G A G EBF1_EBF_1 -17 0 + 0 0 . chr12 131210873 131210874 chr12:131210874:C:T rs145694946 C T C EBF1_EBF_1 -18 0 - 0 0 . chr12 131218492 131218493 chr12:131218493:G:A rs117092465 G A G EBF1_EBF_1 31 0 - 0 0 . chr12 131218504 131218505 chr12:131218505:C:T rs140367715 C T C EBF1_EBF_1 19 0 - 0 0 . chr12 131221333 131221334 chr12:131221334:C:T rs57150054 C T C EBF1_EBF_1 1 1 - 6.65333864312933 6.392639811208027 CGTCCCTAGGGTAC chr12 131221942 131221943 chr12:131221943:G:A rs10744499 G A G EBF1_EBF_1 17 0 - 0 0 . chr12 131222456 131222457 chr12:131222457:C:T rs75053809 C T C EBF1_EBF_1 4 1 + 5.389267868341291 1.0198809233963673 AGCCCCTGGGGCAC chr12 131222482 131222483 chr12:131222483:G:A rs138534964 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 131247594 131247595 chr12:131247595:G:A rs7297549 G A A EBF1_EBF_1 27 0 + 0 0 . chr12 131250179 131250180 chr12:131250180:C:T rs11061407 C T C EBF1_EBF_1 30 0 - 0 0 . chr12 131266665 131266666 chr12:131266666:G:A rs11061421 G A G EBF1_EBF_1 -5 0 - 0 0 . chr12 131270157 131270158 chr12:131270158:T:C rs5021505 T C T EBF1_EBF_1 7 1 + 10.527306414521629 8.709801668239008 CATCCCCTGGGACT chr12 131270179 131270180 chr12:131270180:G:A rs5021507 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 131274274 131274275 chr12:131274275:G:A rs143811993 G A A EBF1_EBF_1 -8 0 + 0 0 . chr12 131274426 131274427 chr12:131274427:C:T rs10848333 C T C EBF1_EBF_1 21 0 + 0 0 . chr12 131279763 131279764 chr12:131279764:C:G rs12581467 C G G EBF1_EBF_1 -13 0 + 0 0 . chr12 131288744 131288745 chr12:131288745:G:A rs139106829 G A - EBF1_EBF_1 24 0 - 0 0 . chr12 131290036 131290037 chr12:131290037:G:A rs11061431 G A - EBF1_EBF_1 -5 0 + 0 0 . chr12 131295221 131295222 chr12:131295222:A:G rs146292827 A G - EBF1_EBF_1 33 0 - 0 0 . chr12 131295243 131295244 chr12:131295244:C:G rs61937168 C G - EBF1_EBF_1 11 1 - 7.62756142154549 6.104306567297112 ACCCCCAGGGGGAT chr12 131299191 131299192 chr12:131299192:C:G rs201714810 C G - EBF1_EBF_1 -4 0 + 0 0 . chr12 131332830 131332831 chr12:131332831:G:A rs11061449 G A g EBF1_EBF_1 24 0 - 0 0 . chr12 131336976 131336977 chr12:131336977:A:G rs58012402 A G a EBF1_EBF_1 -20 0 + 0 0 . chr12 131346735 131346736 chr12:131346736:G:T rs569994215 G T G EBF1_EBF_1 18 0 - 0 0 . chr12 131346846 131346847 chr12:131346847:C:T rs34465083 C T c EBF1_EBF_1 28 0 - 0 0 . chr12 131379375 131379376 chr12:131379376:C:T rs574890507 C T C EBF1_EBF_1 0 1 - 5.616695849724674 7.508979719289507 GCCCCCGGGGGAAC chr12 131381744 131381745 chr12:131381745:C:T rs6486658 C T c EBF1_EBF_1 -5 0 - 0 0 . chr12 131385952 131385953 chr12:131385953:T:C rs66691022 T C C EBF1_EBF_1 14 0 + 0 0 . chr12 131387433 131387434 chr12:131387434:C:T rs79017890 C T C EBF1_EBF_1 -13 0 + 0 0 . chr12 131391936 131391937 chr12:131391937:C:T rs139082155 C T C EBF1_EBF_1 33 0 - 0 0 . chr12 131391973 131391974 chr12:131391974:C:T rs1291050998 C T C EBF1_EBF_1 -4 0 - 0 0 . chr12 131411655 131411656 chr12:131411656:G:A rs7977727 G A g EBF1_EBF_1 26 0 - 0 0 . chr12 131411663 131411664 chr12:131411664:G:T rs7977732 G T g EBF1_EBF_1 18 0 - 0 0 . chr12 131422797 131422798 chr12:131422798:G:A rs6486680 G A g EBF1_EBF_1 -13 0 - 0 0 . chr12 131437509 131437510 chr12:131437510:C:T rs11061530 C T N EBF1_EBF_1 14 0 + 0 0 . chr12 131438570 131438571 chr12:131438571:A:G rs10848380 A G a EBF1_EBF_1 7 1 + 5.423372527247693 4.110914121958867 GACCCCAAGGGCCT chr12 131442315 131442316 chr12:131442316:G:A rs10773896 G A g EBF1_EBF_1 14 0 + 0 0 . chr12 131442823 131442824 chr12:131442824:C:A rs74439648 C A c EBF1_EBF_1 9 1 - 6.32467870767326 -0.5123107004155499 GTTCCCTGGGTAAC chr12 131443428 131443429 chr12:131443429:C:T rs1474464 C T N EBF1_EBF_1 -6 0 + 0 0 . chr12 131454680 131454681 chr12:131454681:G:T rs6486681 G T g EBF1_EBF_1 -6 0 + 0 0 . chr12 131454693 131454694 chr12:131454694:G:C rs12580393 G C N EBF1_EBF_1 7 1 + 4.721546667225083 3.7402260861437275 CGTCCCCGGGGCAC chr12 131454697 131454698 chr12:131454698:C:G rs10466867 C G N EBF1_EBF_1 11 1 + 4.721546667225083 6.244801521473462 CGTCCCCGGGGCAC chr12 131455625 131455626 chr12:131455626:T:C rs11061542 T C t EBF1_EBF_1 28 0 + 0 0 . chr12 131459149 131459150 chr12:131459150:A:G rs11830539 A G a EBF1_EBF_1 23 0 - 0 0 . chr12 131481991 131481992 chr12:131481992:T:C rs7305192 T C C EBF1_EBF_1 -6 0 + 0 0 . chr12 131481996 131481997 chr12:131481997:A:T rs7301829 A T A EBF1_EBF_1 -1 0 + 0 0 . chr12 131485640 131485641 chr12:131485641:C:G rs4907444 C G C EBF1_EBF_1 24 0 - 0 0 . chr12 131488390 131488391 chr12:131488391:T:C rs4907418 T C T EBF1_EBF_1 24 0 - 0 0 . chr12 131495534 131495535 chr12:131495535:C:T rs4907440 C T C EBF1_EBF_1 5 1 + 7.471655544152679 2.149868328612009 ATTCACATGGGAAC chr12 131498855 131498856 chr12:131498856:T:C rs1527021 T C T EBF1_EBF_1 1 1 + 5.298632401744038 4.403198961345295 ATTCCCTGGAGCCC chr12 131506451 131506452 chr12:131506452:A:G rs11610786 A G A EBF1_EBF_1 -7 0 + 0 0 . chr12 131507421 131507422 chr12:131507422:G:C rs7294651 G C G EBF1_EBF_1 -8 0 + 0 0 . chr12 131507809 131507810 chr12:131507810:C:G rs6486684 C G C EBF1_EBF_1 20 0 + 0 0 . chr12 131508316 131508317 chr12:131508317:A:G rs7979646 A G A EBF1_EBF_1 33 0 + 0 0 . chr12 131511978 131511979 chr12:131511979:C:T rs73156166 C T C EBF1_EBF_1 15 0 - 0 0 . chr12 131555331 131555332 chr12:131555332:A:C rs7977258 A C A EBF1_EBF_1 29 0 + 0 0 . chr12 131561061 131561062 chr12:131561062:C:T rs118147078 C T C EBF1_EBF_1 -6 0 - 0 0 . chr12 131564900 131564901 chr12:131564901:A:G chr12:131564901:A:G A G A EBF1_EBF_1 -12 0 + 0 0 . chr12 131564941 131564942 chr12:131564942:G:A rs78796475 G A G EBF1_EBF_1 29 0 + 0 0 . chr12 131578612 131578613 chr12:131578613:G:A rs12825202 G A A EBF1_EBF_1 0 1 + 5.726967602596406 7.619251472161238 GCCCCCATGGGGAC chr12 131578739 131578740 chr12:131578740:G:A rs12367691 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 131609889 131609890 chr12:131609890:C:A rs11246976 C A C EBF1_EBF_1 1 1 - 4.874400579115928 5.580062364945456 GGTCCCCAGAGTCT chr12 131620694 131620695 chr12:131620695:C:T rs73158971 C T C EBF1_EBF_1 -13 0 - 0 0 . chr12 131621577 131621578 chr12:131621578:C:T chr12:131621578:C:T C T C EBF1_EBF_1 9 1 - 6.612398699493918 3.9574594340463145 AACCCCCTGGGGGT chr12 131622479 131622480 chr12:131622480:T:C rs567301140 T C T EBF1_EBF_1 11 1 - 8.210962416785966 5.318255573181954 GTCCCCCAGGGAGC chr12 131622905 131622906 chr12:131622906:G:C rs117387197 G C G EBF1_EBF_1 12 1 - 6.581239481864551 5.1926035381863995 ACTCCCCAGGGCCG chr12 131628372 131628373 chr12:131628373:C:A rs35121039 C A C EBF1_EBF_1 15 0 - 0 0 . chr12 131630151 131630152 chr12:131630152:G:A rs78787511 G A G EBF1_EBF_1 23 0 - 0 0 . chr12 131630156 131630157 chr12:131630157:A:G rs11247041 A G G EBF1_EBF_1 18 0 - 0 0 . chr12 131633412 131633413 chr12:131633413:C:G rs4964962 C G c EBF1_EBF_1 -12 0 - 0 0 . chr12 131633521 131633522 chr12:131633522:C:T rs12831516 C T - EBF1_EBF_1 11 1 - 4.697857404601859 7.590564248205871 AGACCCCAGGGGTA chr12 131634177 131634178 chr12:131634178:G:C rs75958187 G C g EBF1_EBF_1 3 1 - 7.420515666867383 1.5927512187521662 CCCCCCAGGGGAAC chr12 131639480 131639481 chr12:131639481:G:A rs35951166 G A G EBF1_EBF_1 8 1 + 7.634599570917619 3.408309482031358 CATCCCCTGGGGCT chr12 131644149 131644150 chr12:131644150:T:C rs73159001 T C t EBF1_EBF_1 25 0 - 0 0 . chr12 131661609 131661610 chr12:131661610:C:G rs113103853 C G C EBF1_EBF_1 8 1 - 6.569099310676193 1.250440449761506 CTCCCCCCGGGACA chr12 131675381 131675382 chr12:131675382:G:A rs61934943 G A G EBF1_EBF_1 -2 0 + 0 0 . chr12 131675456 131675457 chr12:131675457:C:T rs77078213 C T c EBF1_EBF_1 -5 0 + 0 0 . chr12 131675483 131675484 chr12:131675484:G:A rs61934944 G A g EBF1_EBF_1 -17 0 + 0 0 . chr12 131693346 131693347 chr12:131693347:C:G rs10902432 C G G EBF1_EBF_1 -14 0 + 0 0 . chr12 131719179 131719180 chr12:131719180:C:T rs148569994 C T C EBF1_EBF_1 15 0 - 0 0 . chr12 131737624 131737625 chr12:131737625:T:G rs983207667 T G T EBF1_EBF_1 11 1 - 5.269152384073271 0.8531906862208813 CCTCCCACGAGACA chr12 131737628 131737629 chr12:131737629:G:A rs148899578 G A G EBF1_EBF_1 7 1 - 5.269152384073271 7.086657130355895 CCTCCCACGAGACA chr12 131785100 131785101 chr12:131785101:G:A rs182193148 G A G EBF1_EBF_1 20 0 + 0 0 . chr12 131825544 131825545 chr12:131825545:A:G rs141453192 A G A EBF1_EBF_1 -19 0 + 0 0 . chr12 131828557 131828558 chr12:131828558:C:T rs12368817 C T C EBF1_EBF_1 29 0 + 0 0 . chr12 131834995 131834996 chr12:131834996:A:G rs58253860 A G A EBF1_EBF_1 21 0 + 0 0 . chr12 131841860 131841861 chr12:131841861:A:G rs113036794 A G A EBF1_EBF_1 -13 0 + 0 0 . chr12 131861902 131861903 chr12:131861903:T:C rs1365155602 T C T EBF1_EBF_1 18 0 + 0 0 . chr12 131901853 131901854 chr12:131901854:G:A rs61942427 G A G EBF1_EBF_1 -15 0 - 0 0 . chr12 131903012 131903013 chr12:131903013:C:T rs10902472 C T - EBF1_EBF_1 32 0 - 0 0 . chr12 131914519 131914520 chr12:131914520:T:G rs12303764 T G T EBF1_EBF_1 32 0 + 0 0 . chr12 131934962 131934963 chr12:131934963:A:T rs10794448 A T A EBF1_EBF_1 2 1 - 9.495794583604587 5.81132699600571 GATCCCCAGGGATC chr12 131950429 131950430 chr12:131950430:G:T rs1044824363 G T G EBF1_EBF_1 18 0 - 0 0 . chr12 131991384 131991385 chr12:131991385:A:T rs192631947 A T A EBF1_EBF_1 26 0 - 0 0 . chr12 132039520 132039521 chr12:132039521:G:T rs61944614 G T G EBF1_EBF_1 19 0 + 0 0 . chr12 132084932 132084933 chr12:132084933:A:C chr12:132084933:A:C A C A EBF1_EBF_1 15 0 + 0 0 . chr12 132084934 132084935 chr12:132084935:C:T chr12:132084935:C:T C T C EBF1_EBF_1 17 0 + 0 0 . chr12 132084947 132084948 chr12:132084948:G:T rs11246919 G T G EBF1_EBF_1 30 0 + 0 0 . chr12 132122340 132122341 chr12:132122341:C:T rs12423631 C T C EBF1_EBF_1 0 1 - 5.711124913002423 7.603408782567256 GAACCCAAGGGACG chr12 132140660 132140661 chr12:132140661:C:T rs137859936 C T C EBF1_EBF_1 -20 0 - 0 0 . chr12 132141097 132141098 chr12:132141098:C:T rs57037358 C T c EBF1_EBF_1 30 0 + 0 0 . chr12 132141467 132141468 chr12:132141468:A:G rs79476441 A G A EBF1_EBF_1 20 0 - 0 0 . chr12 132147309 132147310 chr12:132147310:C:T rs11543305 C T C EBF1_EBF_1 -20 0 + 0 0 . chr12 132147327 132147328 chr12:132147328:G:A rs80143642 G A G EBF1_EBF_1 -2 0 + 0 0 . chr12 132147430 132147431 chr12:132147431:G:A rs117108804 G A G EBF1_EBF_1 24 0 + 0 0 . chr12 132148286 132148287 chr12:132148287:G:T rs151060360 G T G EBF1_EBF_1 -3 0 + 0 0 . chr12 132170794 132170795 chr12:132170795:G:A rs78229921 G A - EBF1_EBF_1 28 0 + 0 0 . chr12 132171030 132171031 chr12:132171031:C:T rs7953287 C T - EBF1_EBF_1 -18 0 + 0 0 . chr12 132173240 132173241 chr12:132173241:C:T rs7485855 C T C EBF1_EBF_1 15 0 - 0 0 . chr12 132178621 132178622 chr12:132178622:G:A rs75407077 G A G EBF1_EBF_1 -5 0 - 0 0 . chr12 132180421 132180422 chr12:132180422:C:G rs80015914 C G C EBF1_EBF_1 31 0 - 0 0 . chr12 132194611 132194612 chr12:132194612:C:T rs11246981 C T C EBF1_EBF_1 7 1 + 8.088625003409446 9.906129749692068 CTCCCCTCGGGACT chr12 132198088 132198089 chr12:132198089:C:T rs149034291 C T c EBF1_EBF_1 6 1 + 3.745016703132637 4.046302831994395 CCACCCCGGGGACG chr12 132199144 132199145 chr12:132199145:G:A rs4074046 G A G EBF1_EBF_1 1 1 - 8.092065254126114 8.987498694524856 GCTCCCAAGGGGAC chr12 132199316 132199317 chr12:132199317:G:A rs6598205 G A A EBF1_EBF_1 12 1 - 3.6777833358726486 2.651516765321799 GCTCCCTGGGGCCG chr12 132200218 132200219 chr12:132200219:T:G rs12370221 T G T EBF1_EBF_1 21 0 + 0 0 . chr12 132201353 132201354 chr12:132201354:G:C rs11246989 G C C EBF1_EBF_1 -5 0 - 0 0 . chr12 132210163 132210164 chr12:132210164:C:T rs151201800 C T C EBF1_EBF_1 13 1 + 5.355626011797281 6.200120126382799 GGTCCCTGGGGCCC chr12 132216638 132216639 chr12:132216639:G:A rs11247009 G A G EBF1_EBF_1 22 0 - 0 0 . chr12 132219465 132219466 chr12:132219466:A:T rs11247013 A T - EBF1_EBF_1 -10 0 - 0 0 . chr12 132237023 132237024 chr12:132237024:C:T rs28733501 C T N EBF1_EBF_1 -5 0 - 0 0 . chr12 132243343 132243344 chr12:132243344:A:G rs74238920 A G G EBF1_EBF_1 -1 0 - 0 0 . chr12 132243783 132243784 chr12:132243784:T:C rs112569127 T C T EBF1_EBF_1 -2 0 + 0 0 . chr12 132250327 132250328 chr12:132250328:C:T rs76526720 C T C EBF1_EBF_1 6 1 - 4.092631997588909 6.259426428863384 CTCCCCGTGGGGAC chr12 132251684 132251685 chr12:132251685:T:G rs28575367 T G T EBF1_EBF_1 26 0 - 0 0 . chr12 132251710 132251711 chr12:132251711:T:C rs28432229 T C T EBF1_EBF_1 0 1 - 8.964743943618709 7.072460074053876 ATTCCCCAGGGCCC chr12 132260292 132260293 chr12:132260293:C:A rs56241115 C A . EBF1_EBF_1 -18 0 - 0 0 . chr12 132260623 132260624 chr12:132260624:A:G rs28412188 A G . EBF1_EBF_1 21 0 - 0 0 . chr12 132277792 132277793 chr12:132277793:G:A rs28709594 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 132281082 132281083 chr12:132281083:C:G rs28564301 C G C EBF1_EBF_1 -3 0 - 0 0 . chr12 132287836 132287837 chr12:132287837:G:A rs564857895 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 132291762 132291763 chr12:132291763:T:C rs66745632 T C T EBF1_EBF_1 17 0 + 0 0 . chr12 132295664 132295665 chr12:132295665:C:T rs79199927 C T C EBF1_EBF_1 4 1 + 6.415006319043196 2.0456193740982727 CTCCCCTGGAGACT chr12 132314666 132314667 chr12:132314667:C:T rs28392561 C T C EBF1_EBF_1 -15 0 + 0 0 . chr12 132314701 132314702 chr12:132314702:C:T rs78946986 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 132314702 132314703 chr12:132314703:A:G rs28397145 A G G EBF1_EBF_1 21 0 + 0 0 . chr12 132315853 132315854 chr12:132315854:A:G chr12:132315854:A:G A G A EBF1_EBF_1 -9 0 - 0 0 . chr12 132320731 132320732 chr12:132320732:C:T rs77433783 C T C EBF1_EBF_1 20 0 - 0 0 . chr12 132321984 132321985 chr12:132321985:A:C rs28410680 A C C EBF1_EBF_1 7 1 + 4.684989422907223 2.391210436537041 GCCCCCAAGGGGCG chr12 132325106 132325107 chr12:132325107:C:T rs61945705 C T C EBF1_EBF_1 25 0 - 0 0 . chr12 132329684 132329685 chr12:132329685:C:G rs183371521 C G C EBF1_EBF_1 22 0 + 0 0 . chr12 132329701 132329702 chr12:132329702:C:A rs574758388 C A C EBF1_EBF_1 -8 0 + 0 0 . chr12 132329739 132329740 chr12:132329740:G:A rs1375388198 G A G EBF1_EBF_1 30 0 + 0 0 . chr12 132334409 132334410 chr12:132334410:C:T rs28538747 C T C EBF1_EBF_1 27 0 + 0 0 . chr12 132341804 132341805 chr12:132341805:C:G rs61945711 C G C EBF1_EBF_1 1 1 - 9.43877296117291 9.249001306603693 AGTCCCCAGGGGAA chr12 132359837 132359838 chr12:132359838:C:G rs551034468 C G C EBF1_EBF_1 9 1 - 5.1177101714418205 0.04202102509635619 AACCCCAAGGGGGG chr12 132360014 132360015 chr12:132360015:T:C rs922259506 T C T EBF1_EBF_1 -16 0 + 0 0 . chr12 132360209 132360210 chr12:132360210:G:A rs28368590 G A G EBF1_EBF_1 18 0 - 0 0 . chr12 132366849 132366850 chr12:132366850:C:T rs28483830 C T c EBF1_EBF_1 7 1 - 5.712522801074509 7.024981206363334 ACCCCCCGGGGCCT chr12 132367991 132367992 chr12:132367992:T:C rs28550850 T C C EBF1_EBF_1 -20 0 + 0 0 . chr12 132391796 132391797 chr12:132391797:C:T rs1376666397 C T C EBF1_EBF_1 20 0 + 0 0 . chr12 132391943 132391944 chr12:132391944:C:T rs1269119046 C T C EBF1_EBF_1 6 1 + 9.199757372817507 9.501043501679264 TCTCCCCGGGGACC chr12 132392001 132392002 chr12:132392002:T:C rs111545192 T C t EBF1_EBF_1 6 1 + 9.501043501679264 9.199757372817507 TCTCCCTGGGGACC chr12 132392078 132392079 chr12:132392079:C:T rs28970516 C T - EBF1_EBF_1 26 0 + 0 0 . chr12 132392192 132392193 chr12:132392193:C:T rs182509194 C T - EBF1_EBF_1 -3 0 + 0 0 . chr12 132392194 132392195 chr12:132392195:T:C rs186734383 T C - EBF1_EBF_1 -1 0 + 0 0 . chr12 132392516 132392517 chr12:132392517:G:A rs111856364 G A g EBF1_EBF_1 8 1 + 8.218436791736151 3.99214670284989 TCTCCCCCGGGACC chr12 132392573 132392574 chr12:132392574:G:A rs28686819 G A G EBF1_EBF_1 7 1 + 9.199757372817507 10.51221577810633 TCTCCCCGGGGACC chr12 132392953 132392954 chr12:132392954:T:C rs28493612 T C T EBF1_EBF_1 -17 0 + 0 0 . chr12 132393339 132393340 chr12:132393340:C:T rs140475904 C T C EBF1_EBF_1 17 0 + 0 0 . chr12 132394202 132394203 chr12:132394203:G:A rs112429721 G A - EBF1_EBF_1 -6 0 + 0 0 . chr12 132394221 132394222 chr12:132394222:A:T rs144906778 A T - EBF1_EBF_1 13 1 + 5.141544335932654 6.359783899804148 TCACCCCGGGGACA chr12 132394227 132394228 chr12:132394228:C:T rs143059780 C T - EBF1_EBF_1 19 0 + 0 0 . chr12 132395733 132395734 chr12:132395734:C:T rs572934925 C T C EBF1_EBF_1 33 0 + 0 0 . chr12 132397362 132397363 chr12:132397363:T:C rs34924889 T C - EBF1_EBF_1 -19 0 + 0 0 . chr12 132397378 132397379 chr12:132397379:C:T rs28485781 C T - EBF1_EBF_1 -3 0 + 0 0 . chr12 132397688 132397689 chr12:132397689:C:T rs116909271 C T - EBF1_EBF_1 6 1 + 4.50159361423454 4.802879743096298 CCTCCCCGGGGCCC chr12 132397741 132397742 chr12:132397742:G:A rs113482357 G A - EBF1_EBF_1 -2 0 + 0 0 . chr12 132404077 132404078 chr12:132404078:C:G rs28376483 C G C EBF1_EBF_1 -16 0 - 0 0 . chr12 132407213 132407214 chr12:132407214:A:G rs4073648 A G G EBF1_EBF_1 -1 0 + 0 0 . chr12 132407359 132407360 chr12:132407360:T:C rs4073649 T C - EBF1_EBF_1 21 0 + 0 0 . chr12 132408780 132408781 chr12:132408781:A:G rs28540076 A G G EBF1_EBF_1 15 0 - 0 0 . chr12 132414358 132414359 chr12:132414359:G:A rs28552629 G A G EBF1_EBF_1 33 0 + 0 0 . chr12 132414830 132414831 chr12:132414831:C:A rs28410448 C A C EBF1_EBF_1 4 1 + 5.156910186098726 -0.6676494373547409 CCACCCCAGGGAGC chr12 132414836 132414837 chr12:132414837:G:A rs28671223 G A G EBF1_EBF_1 10 1 + 5.156910186098726 -0.1611182731682405 CCACCCCAGGGAGC chr12 132414854 132414855 chr12:132414855:G:C rs28668595 G C C EBF1_EBF_1 28 0 + 0 0 . chr12 132415246 132415247 chr12:132415247:G:A rs28404514 G A A EBF1_EBF_1 14 0 + 0 0 . chr12 132416358 132416359 chr12:132416359:G:A rs74323607 G A G EBF1_EBF_1 -18 0 - 0 0 . chr12 132423320 132423321 chr12:132423321:G:A rs183797310 G A G EBF1_EBF_1 9 1 + 4.20558555487385 1.5506462894262458 GGACCCCAGGGGCC chr12 132423323 132423324 chr12:132423324:C:A rs77634752 C A C EBF1_EBF_1 12 1 + 4.20558555487385 4.235766953295176 GGACCCCAGGGGCC chr12 132428460 132428461 chr12:132428461:C:T rs115164857 C T C EBF1_EBF_1 0 1 + 4.115746683742198 4.397948744472775 CGTGCCCTGGGACC chr12 132434066 132434067 chr12:132434067:T:C rs1574252 T C C EBF1_EBF_1 -11 0 - 0 0 . chr12 132460713 132460714 chr12:132460714:C:T rs112180479 C T c EBF1_EBF_1 4 1 + 4.192834850320398 -0.17655209462452492 CCTTCCCTGGGACT chr12 132462123 132462124 chr12:132462124:G:A rs12828534 G A G EBF1_EBF_1 -19 0 + 0 0 . chr12 132466059 132466060 chr12:132466060:G:A rs74893594 G A g EBF1_EBF_1 -11 0 + 0 0 . chr12 132469652 132469653 chr12:132469653:C:A rs12319958 C A A EBF1_EBF_1 2 1 + 5.8704333757099825 4.074789199553256 AACCCCCAGGGGGA chr12 132469658 132469659 chr12:132469659:G:C chr12:132469659:G:C G C G EBF1_EBF_1 8 1 + 5.8704333757099825 0.5517745147952962 AACCCCCAGGGGGA chr12 132469676 132469677 chr12:132469677:G:T rs12228613 G T T EBF1_EBF_1 26 0 + 0 0 . chr12 132469727 132469728 chr12:132469728:G:A rs114122176 G A G EBF1_EBF_1 -4 0 + 0 0 . chr12 132469738 132469739 chr12:132469739:T:C rs4883590 T C T EBF1_EBF_1 7 1 + 6.131180450805823 4.313675704523201 ACCCCCATGGGGAG chr12 132469739 132469740 chr12:132469740:G:A rs74810194 G A G EBF1_EBF_1 8 1 + 6.131180450805823 1.9048903619195632 ACCCCCATGGGGAG chr12 132469746 132469747 chr12:132469747:T:C rs4883589 T C C EBF1_EBF_1 15 0 + 0 0 . chr12 132469790 132469791 chr12:132469791:A:G rs12367309 A G - EBF1_EBF_1 19 0 + 0 0 . chr12 132469828 132469829 chr12:132469829:G:A rs72488127 G A G EBF1_EBF_1 -3 0 - 0 0 . chr12 132476345 132476346 chr12:132476346:G:A rs7306524 G A G EBF1_EBF_1 -8 0 + 0 0 . chr12 132480337 132480338 chr12:132480338:C:T rs60519829 C T C EBF1_EBF_1 6 1 - 5.504542898933156 7.6713373302076295 TTTCCCGAGGGTCC chr12 132489433 132489434 chr12:132489434:G:T chr12:132489434:G:T G T G EBF1_EBF_1 -10 0 - 0 0 . chr12 132489529 132489530 chr12:132489530:G:A rs1921534 G A G EBF1_EBF_1 3 1 - 3.7886681057414604 -2.616730635811857 CGTCCCCGCGGACC chr12 132489536 132489537 chr12:132489537:G:C rs141970815 G C G EBF1_EBF_1 -4 0 - 0 0 . chr12 132503969 132503970 chr12:132503970:C:T rs185302852 C T c EBF1_EBF_1 -12 0 - 0 0 . chr12 132507117 132507118 chr12:132507118:C:G rs571019614 C G C EBF1_EBF_1 27 0 + 0 0 . chr12 132513857 132513858 chr12:132513858:G:A rs77640146 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 132521060 132521061 chr12:132521061:G:T rs77714465 G T T EBF1_EBF_1 14 0 + 0 0 . chr12 132531158 132531159 chr12:132531159:C:T rs116965356 C T C EBF1_EBF_1 10 1 - 10.30511349965869 4.987085040391726 CGTCCCATGGGACC chr12 132551080 132551081 chr12:132551081:G:A rs7137400 G A G EBF1_EBF_1 -14 0 - 0 0 . chr12 132553193 132553194 chr12:132553194:G:A rs149205382 G A G EBF1_EBF_1 12 1 - 7.345411485103248 6.319144914552399 GTTCCCCAGAGACG chr12 132558253 132558254 chr12:132558254:C:T rs78980086 C T C EBF1_EBF_1 13 1 - 10.551108338050707 11.665433910120147 ACTCCCCTGGGAAG chr12 132558282 132558283 chr12:132558283:T:C rs1050406897 T C T EBF1_EBF_1 -16 0 - 0 0 . chr12 132560893 132560894 chr12:132560894:G:C rs7973990 G C G EBF1_EBF_1 21 0 - 0 0 . chr12 132561342 132561343 chr12:132561343:C:G rs116175313 C G C EBF1_EBF_1 29 0 - 0 0 . chr12 132561386 132561387 chr12:132561387:G:C chr12:132561387:G:C G C G EBF1_EBF_1 -15 0 - 0 0 . chr12 132561916 132561917 chr12:132561917:A:G rs7301141 A G G EBF1_EBF_1 17 0 - 0 0 . chr12 132562569 132562570 chr12:132562570:G:T rs11829139 G T G EBF1_EBF_1 1 1 + 5.42285937905727 6.128521164886798 CGACCCCGGGGACC chr12 132568731 132568732 chr12:132568732:A:G rs12831027 A G G EBF1_EBF_1 -6 0 - 0 0 . chr12 132568965 132568966 chr12:132568966:A:G rs4883517 A G G EBF1_EBF_1 6 1 + 4.695219341039471 2.5284249097649956 GTCCCCATGGGCCA chr12 132568977 132568978 chr12:132568978:T:G rs4883550 T G T EBF1_EBF_1 18 0 + 0 0 . chr12 132572383 132572384 chr12:132572384:C:T rs34140384 C T C EBF1_EBF_1 33 0 + 0 0 . chr12 132575093 132575094 chr12:132575094:T:G rs12823302 T G . EBF1_EBF_1 -6 0 + 0 0 . chr12 132587883 132587884 chr12:132587884:G:A rs61952103 G A g EBF1_EBF_1 19 0 + 0 0 . chr12 132587997 132587998 chr12:132587998:T:C rs57470468 T C C EBF1_EBF_1 -16 0 + 0 0 . chr12 132600516 132600517 chr12:132600517:T:C rs4242910 T C C EBF1_EBF_1 7 1 - 4.897455666680875 3.5849972613920498 GCTCCTCAGGGACA chr12 132600516 132600517 chr12:132600517:T:G chr12:132600517:T:G T G C EBF1_EBF_1 7 1 - 4.897455666680875 2.6036766803106937 GCTCCTCAGGGACA chr12 132601377 132601378 chr12:132601378:C:T rs7314676 C T C EBF1_EBF_1 22 0 + 0 0 . chr12 132602702 132602703 chr12:132602703:C:T rs7955068 C T C EBF1_EBF_1 29 0 - 0 0 . chr12 132603961 132603962 chr12:132603962:G:A rs531645001 G A g EBF1_EBF_1 5 1 - 4.953290119425276 -0.36849709611539455 TCCCCCGTGGGAGC chr12 132644652 132644653 chr12:132644653:G:T rs5744929 G T G EBF1_EBF_1 4 1 - 7.1884110173138565 1.363851393860389 CTCCCCCAGGGGCT chr12 132645127 132645128 chr12:132645128:G:T rs5744918 G T g EBF1_EBF_1 -5 0 - 0 0 . chr12 132649572 132649573 chr12:132649573:T:C rs4883613 T C c EBF1_EBF_1 -18 0 + 0 0 . chr12 132649609 132649610 chr12:132649610:G:A rs4883537 G A G EBF1_EBF_1 19 0 + 0 0 . chr12 132651866 132651867 chr12:132651867:C:T rs6560896 C T C EBF1_EBF_1 19 0 + 0 0 . chr12 132669956 132669957 chr12:132669957:T:C rs5744791 T C c EBF1_EBF_1 15 0 + 0 0 . chr12 132695883 132695884 chr12:132695884:G:T rs74727297 G T G EBF1_EBF_1 18 0 + 0 0 . chr12 132702847 132702848 chr12:132702848:G:A rs74740007 G A G EBF1_EBF_1 -11 0 + 0 0 . chr12 132702882 132702883 chr12:132702883:G:A rs34504371 G A G EBF1_EBF_1 24 0 + 0 0 . chr12 132718766 132718767 chr12:132718767:C:T rs12423651 C T C EBF1_EBF_1 22 0 - 0 0 . chr12 132718914 132718915 chr12:132718915:T:C rs11147015 T C T EBF1_EBF_1 23 0 - 0 0 . chr12 132738340 132738341 chr12:132738341:C:T rs6560900 C T . EBF1_EBF_1 15 0 + 0 0 . chr12 132761369 132761370 chr12:132761370:C:T rs61951315 C T c EBF1_EBF_1 17 0 + 0 0 . chr12 132761373 132761374 chr12:132761374:G:A rs61951316 G A g EBF1_EBF_1 21 0 + 0 0 . chr12 132762286 132762287 chr12:132762287:G:T rs58567785 G T G EBF1_EBF_1 -17 0 + 0 0 . chr12 132762296 132762297 chr12:132762297:G:A rs1470351961 G A G EBF1_EBF_1 -7 0 + 0 0 . chr12 132762306 132762307 chr12:132762307:C:T rs60252840 C T T EBF1_EBF_1 3 1 + 5.145985466778036 -1.2594132747752809 ACTCCCCCGCGAAC chr12 132762330 132762331 chr12:132762331:C:A rs963325293 C A g EBF1_EBF_1 27 0 + 0 0 . chr12 132787573 132787574 chr12:132787574:C:A rs111430155 C A - EBF1_EBF_1 -16 0 + 0 0 . chr12 132816499 132816500 chr12:132816500:G:A rs113953669 G A G EBF1_EBF_1 -10 0 - 0 0 . chr12 132820214 132820215 chr12:132820215:G:A rs117342993 G A G EBF1_EBF_1 -11 0 + 0 0 . chr12 132904760 132904761 chr12:132904761:T:C rs11147157 T C T EBF1_EBF_1 25 0 - 0 0 . chr12 132943484 132943485 chr12:132943485:A:G rs7138807 A G G EBF1_EBF_1 29 0 + 0 0 . chr12 132956346 132956347 chr12:132956347:G:C rs997729833 G C G EBF1_EBF_1 6 1 - 7.0345518348951535 5.229359771421954 AGCCCCCCGGGAAG chr12 132956362 132956363 chr12:132956363:A:C chr12:132956363:A:C A C A EBF1_EBF_1 -10 0 - 0 0 . chr12 132964672 132964673 chr12:132964673:T:C rs11147184 T C T EBF1_EBF_1 -1 0 + 0 0 . chr12 132977238 132977239 chr12:132977239:A:T rs61951651 A T A EBF1_EBF_1 -20 0 - 0 0 . chr12 133080374 133080375 chr12:133080375:T:A rs3749827 T A T EBF1_EBF_1 28 0 - 0 0 . chr12 133080397 133080398 chr12:133080398:G:A rs551881406 G A G EBF1_EBF_1 5 1 - 5.30345124833905 -0.01833596720162034 ACTCCCAGGGTGCT chr12 133080408 133080409 chr12:133080409:T:G rs17840876 T G T EBF1_EBF_1 -6 0 - 0 0 . chr12 133080917 133080918 chr12:133080918:C:G rs878952927 C G C EBF1_EBF_1 -6 0 - 0 0 . chr12 133219931 133219932 chr12:133219932:C:T rs145201836 C T T EBF1_EBF_1 28 0 + 0 0 . chr13 18241809 18241810 chr13:18241810:C:T rs1209175494 C T . EBF1_EBF_1 -20 0 - 0 0 . chr13 18251795 18251796 chr13:18251796:T:C rs9805418 T C . EBF1_EBF_1 -4 0 + 0 0 . chr13 18251827 18251828 chr13:18251828:A:G rs1260028494 A G . EBF1_EBF_1 28 0 + 0 0 . chr13 18252910 18252911 chr13:18252911:G:A rs1298846795 G A . EBF1_EBF_1 6 1 + 4.410478861197581 6.577273292472056 ACCCCCGAGGGGCG chr13 18595372 18595373 chr13:18595373:C:T rs146327220 C T . EBF1_EBF_1 -4 0 + 0 0 . chr13 18609041 18609042 chr13:18609042:G:C rs9579778 G C . EBF1_EBF_1 5 1 - 5.344698451167078 -1.5601827014680907 ATCCCCGCGGGGCT chr13 18742966 18742967 chr13:18742967:A:G rs61958713 A G . EBF1_EBF_1 33 0 - 0 0 . chr13 18744701 18744702 chr13:18744702:G:A rs61958716 G A . EBF1_EBF_1 6 1 - 9.055456531371485 9.356742660233241 GTCCCCCAGGGAGT chr13 18944394 18944395 chr13:18944395:G:A rs186632162 G A . EBF1_EBF_1 31 0 - 0 0 . chr13 18950285 18950286 chr13:18950286:G:A rs7986084 G A . EBF1_EBF_1 32 0 + 0 0 . chr13 18953234 18953235 chr13:18953235:G:C rs118085140 G C . EBF1_EBF_1 5 1 - 4.188445853595982 -2.7164352990391847 ACTGCCTGGGGAAG chr13 18962371 18962372 chr13:18962372:C:T rs571565200 C T . EBF1_EBF_1 -11 0 - 0 0 . chr13 19012378 19012379 chr13:19012379:C:T rs4770582 C T C EBF1_EBF_1 30 0 - 0 0 . chr13 19057016 19057017 chr13:19057017:C:A rs7989524 C A A EBF1_EBF_1 -4 0 - 0 0 . chr13 19059620 19059621 chr13:19059621:C:T rs68055547 C T C EBF1_EBF_1 -6 0 - 0 0 . chr13 19091079 19091080 chr13:19091080:C:G rs61944547 C G G EBF1_EBF_1 18 0 + 0 0 . chr13 19117924 19117925 chr13:19117925:T:A rs34429491 T A A EBF1_EBF_1 -15 0 + 0 0 . chr13 19151856 19151857 chr13:19151857:C:G rs2611326 C G C EBF1_EBF_1 28 0 + 0 0 . chr13 19151978 19151979 chr13:19151979:G:A rs145309688 G A G EBF1_EBF_1 11 1 - 4.187810736651579 4.505858178405243 CATCCCTGGGGCGT chr13 19152000 19152001 chr13:19152001:T:G rs2774448 T G G EBF1_EBF_1 -11 0 - 0 0 . chr13 19152951 19152952 chr13:19152952:C:T rs73155758 C T C EBF1_EBF_1 12 1 + 6.9682276743474345 5.941961103796585 GGTCCCCTGGTACT chr13 19159449 19159450 chr13:19159450:A:G rs4769429 A G G EBF1_EBF_1 32 0 + 0 0 . chr13 19160881 19160882 chr13:19160882:G:A rs58701648 G A G EBF1_EBF_1 22 0 + 0 0 . chr13 19170556 19170557 chr13:19170557:A:G rs477488 A G A EBF1_EBF_1 -13 0 - 0 0 . chr13 19181471 19181472 chr13:19181472:C:T rs17076886 C T C EBF1_EBF_1 10 1 - 5.556023151338361 0.23799469207139495 GTCCCCCAGAGAGC chr13 19181489 19181490 chr13:19181490:G:A rs36214435 G A G EBF1_EBF_1 -8 0 - 0 0 . chr13 19345034 19345035 chr13:19345035:C:T rs569505698 C T c EBF1_EBF_1 10 1 - 5.707788630395086 0.3897601711281192 GCTCCCTCGGGGCC chr13 19345044 19345045 chr13:19345045:C:A rs114497242 C A c EBF1_EBF_1 0 1 - 5.707788630395086 5.627016076993898 GCTCCCTCGGGGCC chr13 19390782 19390783 chr13:19390783:T:A rs111665910 T A t EBF1_EBF_1 -12 0 + 0 0 . chr13 19564976 19564977 chr13:19564977:C:T rs113377628 C T C EBF1_EBF_1 10 1 - 8.317321353596617 2.9992928943296517 GTCCCCCGGGGAAC chr13 19564981 19564982 chr13:19564982:G:A rs188849997 G A G EBF1_EBF_1 5 1 - 8.317321353596617 2.9955341380559473 GTCCCCCGGGGAAC chr13 19817882 19817883 chr13:19817883:A:G rs11841972 A G G EBF1_EBF_1 -10 0 + 0 0 . chr13 19865322 19865323 chr13:19865323:C:T rs9508917 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 19957988 19957989 chr13:19957989:C:T rs573210796 C T C EBF1_EBF_1 8 1 - 5.351348465113354 1.1250583762270916 AACCCCGTGGGGCC chr13 20127678 20127679 chr13:20127679:C:G rs184140894 C G C EBF1_EBF_1 31 0 - 0 0 . chr13 20141661 20141662 chr13:20141662:C:A rs1886176 C A C EBF1_EBF_1 -9 0 + 0 0 . chr13 20161605 20161606 chr13:20161606:G:A rs146858419 G A g EBF1_EBF_1 7 1 + 4.586036966503972 5.898495371792798 AGTCCCCGCGGAAG chr13 20161608 20161609 chr13:20161609:G:A rs549875319 G A g EBF1_EBF_1 10 1 + 4.586036966503972 -0.7319914927629937 AGTCCCCGCGGAAG chr13 20172604 20172605 chr13:20172605:G:C rs9552093 G C C EBF1_EBF_1 30 0 + 0 0 . chr13 20175099 20175100 chr13:20175100:C:T rs966556 C T C EBF1_EBF_1 20 0 + 0 0 . chr13 20175774 20175775 chr13:20175775:T:C rs4769971 T C T EBF1_EBF_1 12 1 - 5.67691806124504 4.258100719145562 TCCCCCAAGGTAAT chr13 20177159 20177160 chr13:20177160:A:C rs73431528 A C A EBF1_EBF_1 23 0 + 0 0 . chr13 20220723 20220724 chr13:20220724:T:G rs9509097 T G G EBF1_EBF_1 27 0 - 0 0 . chr13 20220947 20220948 chr13:20220948:A:T rs61951970 A T A EBF1_EBF_1 -17 0 - 0 0 . chr13 20232683 20232684 chr13:20232684:C:A rs35215458 C A C EBF1_EBF_1 32 0 + 0 0 . chr13 20301372 20301373 chr13:20301373:G:C rs74036230 G C G EBF1_EBF_1 -4 0 - 0 0 . chr13 20331636 20331637 chr13:20331637:A:C rs1335870 A C C EBF1_EBF_1 14 0 - 0 0 . chr13 20331645 20331646 chr13:20331646:G:C rs1335869 G C G EBF1_EBF_1 5 1 - 6.319144914552399 -0.5857362380827695 GTTCCCCAGAGATG chr13 20341102 20341103 chr13:20341103:A:G rs6490550 A G A EBF1_EBF_1 2 1 - 4.20583387006473 2.3170104586225784 ACTCCCCAGGCAGC chr13 20341121 20341122 chr13:20341122:G:T rs7338153 G T G EBF1_EBF_1 -17 0 - 0 0 . chr13 20362068 20362069 chr13:20362069:G:A rs9552157 G A G EBF1_EBF_1 -20 0 + 0 0 . chr13 20366395 20366396 chr13:20366396:T:C rs7990247 T C C EBF1_EBF_1 -1 0 - 0 0 . chr13 20405820 20405821 chr13:20405821:G:A rs114665869 G A G EBF1_EBF_1 15 0 - 0 0 . chr13 20412878 20412879 chr13:20412879:G:T rs2149696 G T T EBF1_EBF_1 26 0 - 0 0 . chr13 20412912 20412913 chr13:20412913:T:C rs2149695 T C C EBF1_EBF_1 -8 0 - 0 0 . chr13 20414492 20414493 chr13:20414493:A:G rs68065363 A G G EBF1_EBF_1 7 1 - 4.049203870477899 2.231699124195275 TCCCCCCTGGGTCC chr13 20426109 20426110 chr13:20426110:C:T rs74436239 C T C EBF1_EBF_1 20 0 - 0 0 . chr13 20433202 20433203 chr13:20433203:G:A rs4770027 G A G EBF1_EBF_1 16 0 - 0 0 . chr13 20494745 20494746 chr13:20494746:G:A rs2872571 G A g EBF1_EBF_1 19 0 + 0 0 . chr13 20512233 20512234 chr13:20512234:A:G rs4414328 A G G EBF1_EBF_1 -6 0 + 0 0 . chr13 20518345 20518346 chr13:20518346:G:T rs61955515 G T G EBF1_EBF_1 28 0 + 0 0 . chr13 20526562 20526563 chr13:20526563:G:A rs17554278 G A A EBF1_EBF_1 24 0 - 0 0 . chr13 20703434 20703435 chr13:20703435:G:A rs12867345 G A G EBF1_EBF_1 -1 0 + 0 0 . chr13 20709063 20709064 chr13:20709064:C:G rs140755832 C G C EBF1_EBF_1 -14 0 - 0 0 . chr13 20715261 20715262 chr13:20715262:G:T rs41337546 G T G EBF1_EBF_1 5 1 - 5.433551407168585 -1.4713297454665832 CACCCCCAGAGATT chr13 20716177 20716178 chr13:20716178:A:G rs9509348 A G A EBF1_EBF_1 -18 0 + 0 0 . chr13 20722269 20722270 chr13:20722270:G:C rs1011957981 G C G EBF1_EBF_1 -12 0 - 0 0 . chr13 20722276 20722277 chr13:20722277:C:A rs41286997 C A C EBF1_EBF_1 -19 0 - 0 0 . chr13 20920547 20920548 chr13:20920548:C:G rs7990878 C G c EBF1_EBF_1 5 1 + 5.631166824105672 -1.2737143285294954 AGCCACTTGGGACT chr13 20946063 20946064 chr13:20946064:C:T rs9509465 C T C EBF1_EBF_1 8 1 - 6.1236239440894265 1.8973338552031649 ATCCCCCCGGGGTT chr13 20946444 20946445 chr13:20946445:T:C rs9550683 T C T EBF1_EBF_1 -3 0 + 0 0 . chr13 20946469 20946470 chr13:20946470:T:C rs17269472 T C T EBF1_EBF_1 22 0 + 0 0 . chr13 20961896 20961897 chr13:20961897:A:C rs9670229 A C A EBF1_EBF_1 11 1 + 5.809425776153633 1.3934640783012426 ACACCCATGGGAGG chr13 20965103 20965104 chr13:20965104:C:G rs192961444 C G C EBF1_EBF_1 10 1 - 7.333937984241339 0.44313559691186283 ATTCCTTAGGGATC chr13 20989575 20989576 chr13:20989576:C:T rs685167 C T T EBF1_EBF_1 -14 0 + 0 0 . chr13 21048081 21048082 chr13:21048082:T:C rs1928270 T C C EBF1_EBF_1 29 0 + 0 0 . chr13 21055723 21055724 chr13:21055724:C:T rs77492046 C T C EBF1_EBF_1 -3 0 - 0 0 . chr13 21055996 21055997 chr13:21055997:G:A rs35407892 G A G EBF1_EBF_1 2 1 - 7.189411616661985 9.078235028104135 ATCCCCAGAGGAAT chr13 21060909 21060910 chr13:21060910:T:G rs71423783 T G G EBF1_EBF_1 1 1 + 7.150739110006546 6.445077324177017 ATCCCCCGGAGACA chr13 21060925 21060926 chr13:21060926:G:A rs144411531 G A G EBF1_EBF_1 17 0 + 0 0 . chr13 21149141 21149142 chr13:21149142:G:A rs927911 G A G EBF1_EBF_1 24 0 - 0 0 . chr13 21176291 21176292 chr13:21176292:C:G rs537927249 C G . EBF1_EBF_1 5 1 + 8.833210019059536 1.928328866424367 GTTCCCGTGGGACA chr13 21176750 21176751 chr13:21176751:G:A rs9550716 G A . EBF1_EBF_1 1 1 - 8.269516593343 9.164950033741746 GCTCCCTAGAGAAC chr13 21176754 21176755 chr13:21176755:C:T chr13:21176755:C:T C T . EBF1_EBF_1 -3 0 - 0 0 . chr13 21259890 21259891 chr13:21259891:T:G rs17347629 T G t EBF1_EBF_1 28 0 - 0 0 . chr13 21321411 21321412 chr13:21321412:A:G rs188308087 A G A EBF1_EBF_1 -17 0 - 0 0 . chr13 21327394 21327395 chr13:21327395:C:T rs4770133 C T T EBF1_EBF_1 33 0 - 0 0 . chr13 21328348 21328349 chr13:21328349:G:A rs9509646 G A G EBF1_EBF_1 31 0 + 0 0 . chr13 21336721 21336722 chr13:21336722:T:G rs73153907 T G T EBF1_EBF_1 28 0 + 0 0 . chr13 21353013 21353014 chr13:21353014:C:A rs4770142 C A A EBF1_EBF_1 -6 0 + 0 0 . chr13 21356401 21356402 chr13:21356402:T:C rs9552410 T C T EBF1_EBF_1 13 1 - 4.882606545302934 3.7682809732334954 GCTCCCAGGGGCAA chr13 21379893 21379894 chr13:21379894:G:A rs61950006 G A A EBF1_EBF_1 -7 0 + 0 0 . chr13 21459022 21459023 chr13:21459023:C:G rs9509740 C G C EBF1_EBF_1 22 0 - 0 0 . chr13 21459029 21459030 chr13:21459030:G:A rs61950034 G A G EBF1_EBF_1 15 0 - 0 0 . chr13 21511825 21511826 chr13:21511826:T:C rs3886357 T C T EBF1_EBF_1 23 0 - 0 0 . chr13 21588486 21588487 chr13:21588487:G:A rs138669727 G A G EBF1_EBF_1 10 1 + 4.276060140728283 -1.041968318538683 GCCCCCAAGGGCCA chr13 21603717 21603718 chr13:21603718:G:A rs78838317 G A G EBF1_EBF_1 1 1 - 8.292644213185827 9.188077653584573 CCTCCCTTGGGAGA chr13 21664406 21664407 chr13:21664407:A:C rs9634328 A C A EBF1_EBF_1 7 1 + 10.845280048919921 8.551501062549741 ATTCCCTAGAGATT chr13 21675647 21675648 chr13:21675648:A:G rs191020687 A G A EBF1_EBF_1 -15 0 - 0 0 . chr13 21723948 21723949 chr13:21723949:G:T rs12585621 G T T EBF1_EBF_1 30 0 + 0 0 . chr13 21740646 21740647 chr13:21740647:A:G rs4770196 A G G EBF1_EBF_1 -13 0 - 0 0 . chr13 21744571 21744572 chr13:21744572:A:C rs628137 A C A EBF1_EBF_1 -15 0 - 0 0 . chr13 21812188 21812189 chr13:21812189:G:T rs3925886 G T G EBF1_EBF_1 10 1 + 4.6270828404717435 0.44433065424447776 CGCCCCTGGGGGCC chr13 21822524 21822525 chr13:21822525:A:G rs6490673 A G A EBF1_EBF_1 13 1 - 7.394502636593917 6.550008522008399 TGCCCCAAGAGAAT chr13 21884569 21884570 chr13:21884570:A:G rs77252514 A G A EBF1_EBF_1 32 0 - 0 0 . chr13 21909913 21909914 chr13:21909914:G:A rs11616940 G A A EBF1_EBF_1 -9 0 - 0 0 . chr13 21910320 21910321 chr13:21910321:A:G rs1961415 A G a EBF1_EBF_1 16 0 - 0 0 . chr13 21921579 21921580 chr13:21921580:G:A rs7333403 G A G EBF1_EBF_1 6 1 + 6.186166020850872 8.352960452125348 CCTCCCGTGGGAGA chr13 21949837 21949838 chr13:21949838:A:G rs9552555 A G G EBF1_EBF_1 -16 0 + 0 0 . chr13 21949851 21949852 chr13:21949852:G:A rs3117943 G A A EBF1_EBF_1 -2 0 + 0 0 . chr13 21965027 21965028 chr13:21965028:T:C rs3117969 T C T EBF1_EBF_1 28 0 - 0 0 . chr13 21965040 21965041 chr13:21965041:C:G rs7335039 C G G EBF1_EBF_1 15 0 - 0 0 . chr13 21969795 21969796 chr13:21969796:C:T rs9578416 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 21970378 21970379 chr13:21970379:G:A rs9509948 G A G EBF1_EBF_1 1 1 + 5.460972770536069 5.2002739386147665 GGTCCTCAGGGACC chr13 22018996 22018997 chr13:22018997:T:C rs3129622 T C C EBF1_EBF_1 13 1 + 5.787402072840656 4.942907958255138 CATCCCTAGAGGAT chr13 22031440 22031441 chr13:22031441:A:G rs3117915 A G G EBF1_EBF_1 2 1 + 6.103587426022982 3.039729323421392 ACACCCTAGAGAAA chr13 22061377 22061378 chr13:22061378:A:G rs149054922 A G A EBF1_EBF_1 0 1 + 7.329996496740218 5.437712627175384 ACCCCCCAGGGGCA chr13 22194308 22194309 chr13:22194309:A:T rs118121551 A T A EBF1_EBF_1 30 0 + 0 0 . chr13 22591610 22591611 chr13:22591611:T:C rs9580410 T C T EBF1_EBF_1 11 1 - 5.811474564904853 2.918767721300842 CTTCCCACAGGACT chr13 22594607 22594608 chr13:22594608:A:G rs545953998 A G A EBF1_EBF_1 13 1 + 5.479443902505534 4.365118330436095 TCTCCCCTGGTACA chr13 22642334 22642335 chr13:22642335:G:T rs17077287 G T G EBF1_EBF_1 -17 0 + 0 0 . chr13 22655256 22655257 chr13:22655257:T:A rs7317203 T A T EBF1_EBF_1 32 0 - 0 0 . chr13 22771188 22771189 chr13:22771189:C:T rs12875434 C T C EBF1_EBF_1 15 0 - 0 0 . chr13 22814113 22814114 chr13:22814114:C:G rs113635353 C G c EBF1_EBF_1 12 1 + 6.06487017200047 4.676234228322318 ATTGCCCAGGGACG chr13 22839811 22839812 chr13:22839812:A:G rs59418266 A G A EBF1_EBF_1 32 0 + 0 0 . chr13 22915918 22915919 chr13:22915919:G:A rs138275878 G A G EBF1_EBF_1 -4 0 + 0 0 . chr13 22926283 22926284 chr13:22926284:G:A rs189101034 G A G EBF1_EBF_1 -11 0 + 0 0 . chr13 22940148 22940149 chr13:22940149:T:A rs9510429 T A A EBF1_EBF_1 2 1 + 4.730818043147923 1.046350455549045 GCTCCCCAGGAAGT chr13 22944244 22944245 chr13:22944245:A:T rs73432368 A T A EBF1_EBF_1 -1 0 + 0 0 . chr13 22960481 22960482 chr13:22960482:T:C rs117773671 T C T EBF1_EBF_1 -20 0 - 0 0 . chr13 22964333 22964334 chr13:22964334:G:A rs9580483 G A G EBF1_EBF_1 21 0 - 0 0 . chr13 22964408 22964409 chr13:22964409:T:C rs574614805 T C T EBF1_EBF_1 15 0 + 0 0 . chr13 22964419 22964420 chr13:22964420:A:G rs142164710 A G A EBF1_EBF_1 26 0 + 0 0 . chr13 22965154 22965155 chr13:22965155:A:G rs111504065 A G A EBF1_EBF_1 -11 0 - 0 0 . chr13 22967899 22967900 chr13:22967900:G:A rs56015229 G A G EBF1_EBF_1 13 1 - 4.383546600690231 5.228040715275749 ACTCCCCAGGTGTC chr13 22967945 22967946 chr13:22967946:T:C rs73161066 T C T EBF1_EBF_1 2 1 + 3.8175726705665056 1.9287492591243545 GCTCACAGGGGACC chr13 22967970 22967971 chr13:22967971:C:A rs73161067 C A c EBF1_EBF_1 27 0 + 0 0 . chr13 22971352 22971353 chr13:22971353:C:T rs9506981 C T C EBF1_EBF_1 5 1 + 12.336376344614466 7.014589129073799 ATCCCCCAGGGACT chr13 22979831 22979832 chr13:22979832:C:T rs7336517 C T T EBF1_EBF_1 4 1 + 4.748094477173136 0.378707532228211 TCTCCCCTGGAAAC chr13 23007675 23007676 chr13:23007676:C:T rs9510523 C T C EBF1_EBF_1 4 1 + 5.253152193961752 0.883765249016828 GGTCCCAAGAGGAA chr13 23007703 23007704 chr13:23007704:C:T rs9580507 C T C EBF1_EBF_1 32 0 + 0 0 . chr13 23082193 23082194 chr13:23082194:G:A rs73164747 G A g EBF1_EBF_1 -19 0 - 0 0 . chr13 23127806 23127807 chr13:23127807:G:A rs141195014 G A g EBF1_EBF_1 6 1 + 5.577528561323758 7.744322992598233 CTTCCCGTGGGGCA chr13 23127819 23127820 chr13:23127820:C:T rs7400476 C T c EBF1_EBF_1 19 0 + 0 0 . chr13 23159461 23159462 chr13:23159462:G:A rs767360437 G A G EBF1_EBF_1 5 1 - 6.070846788988263 0.7490595734475931 AACCCCTTGGGTTT chr13 23159485 23159486 chr13:23159486:T:G chr13:23159486:T:G T G T EBF1_EBF_1 -19 0 - 0 0 . chr13 23212764 23212765 chr13:23212765:T:G rs888504507 T G T EBF1_EBF_1 18 0 + 0 0 . chr13 23285235 23285236 chr13:23285236:C:T rs9578573 C T C EBF1_EBF_1 8 1 - 4.236463799102099 0.010173710215837906 ATCTCCCTGGGACA chr13 23321085 23321086 chr13:23321086:C:T rs4770432 C T C EBF1_EBF_1 14 0 - 0 0 . chr13 23321090 23321091 chr13:23321091:C:T rs117038274 C T C EBF1_EBF_1 9 1 - 6.017019722625306 3.3620804571777025 CATCCCTAGGAAAT chr13 23411251 23411252 chr13:23411252:T:C rs17078720 T C T EBF1_EBF_1 6 1 - 5.377603674885007 3.210809243610532 TATCCCAGGAGAAG chr13 23411277 23411278 chr13:23411278:A:C rs17078721 A C A EBF1_EBF_1 -20 0 - 0 0 . chr13 23419988 23419989 chr13:23419989:G:T rs9580618 G T G EBF1_EBF_1 -10 0 + 0 0 . chr13 23427804 23427805 chr13:23427805:G:C rs6490794 G C C EBF1_EBF_1 30 0 - 0 0 . chr13 23486421 23486422 chr13:23486422:T:C rs78573817 T C C EBF1_EBF_1 -13 0 - 0 0 . chr13 23505328 23505329 chr13:23505329:A:G rs6490801 A G A EBF1_EBF_1 11 1 + 9.604846973211899 6.712140129607888 AACCCCAGGGGAAC chr13 23547545 23547546 chr13:23547546:T:C rs117973095 T C T EBF1_EBF_1 -5 0 - 0 0 . chr13 23632744 23632745 chr13:23632745:C:A rs75030556 C A C EBF1_EBF_1 -10 0 + 0 0 . chr13 23677884 23677885 chr13:23677885:G:C rs9580715 G C C EBF1_EBF_1 14 0 - 0 0 . chr13 23695996 23695997 chr13:23695997:C:T rs9510820 C T C EBF1_EBF_1 10 1 - 4.602091355834615 -0.7159371034323511 ACCCCCGGGGGAGG chr13 23701630 23701631 chr13:23701631:G:A rs148289083 G A G EBF1_EBF_1 13 1 - 6.330518721781077 7.175012836366595 ATTCCCCTGAGGTC chr13 23701767 23701768 chr13:23701768:T:G rs79770551 T G T EBF1_EBF_1 -10 0 + 0 0 . chr13 23701769 23701770 chr13:23701770:T:G rs138370395 T G T EBF1_EBF_1 -8 0 + 0 0 . chr13 23731909 23731910 chr13:23731910:A:G rs2861537 A G A EBF1_EBF_1 7 1 + 6.808206310185213 5.495747904896388 AGTCACTAGGGAAA chr13 23807977 23807978 chr13:23807978:T:C rs9553072 T C T EBF1_EBF_1 17 0 - 0 0 . chr13 23808008 23808009 chr13:23808009:G:C rs17338049 G C G EBF1_EBF_1 -14 0 - 0 0 . chr13 23824464 23824465 chr13:23824465:G:A rs9551011 G A G EBF1_EBF_1 0 1 - 4.21827547454378 4.500477535274357 CGTGCCCAGGGACA chr13 23848691 23848692 chr13:23848692:G:A rs9553079 G A G EBF1_EBF_1 32 0 - 0 0 . chr13 23865995 23865996 chr13:23865996:T:C rs9551016 T C T EBF1_EBF_1 13 1 + 8.921723680234791 8.077229565649272 TGTCCCCAGAGAAT chr13 23880599 23880600 chr13:23880600:C:T rs1281546537 C T T EBF1_EBF_1 3 1 + 6.60312353702008 0.19772479546676403 CTTCCCAGGGGCCT chr13 23903769 23903770 chr13:23903770:G:A chr13:23903770:G:A G A G EBF1_EBF_1 33 0 + 0 0 . chr13 23934681 23934682 chr13:23934682:C:G rs933440459 C G C EBF1_EBF_1 14 0 + 0 0 . chr13 23947477 23947478 chr13:23947478:T:C rs9553122 T C T EBF1_EBF_1 21 0 - 0 0 . chr13 23986191 23986192 chr13:23986192:G:A rs4770537 G A G EBF1_EBF_1 16 0 + 0 0 . chr13 23990727 23990728 chr13:23990728:C:G rs942879 C G C EBF1_EBF_1 24 0 + 0 0 . chr13 24001612 24001613 chr13:24001613:T:C rs9553133 T C C EBF1_EBF_1 15 0 + 0 0 . chr13 24012167 24012168 chr13:24012168:T:C rs7337840 T C T EBF1_EBF_1 22 0 - 0 0 . chr13 24059531 24059532 chr13:24059532:C:G rs9511024 C G C EBF1_EBF_1 4 1 + 4.7504875439104 -0.8752775572391435 ATCCCCAAAGGAGG chr13 24083215 24083216 chr13:24083216:C:A rs79630691 C A C EBF1_EBF_1 2 1 + 6.138209169774922 4.342564993618197 ACCCCCTAGGGCAA chr13 24107326 24107327 chr13:24107327:T:C rs9580869 T C C EBF1_EBF_1 -18 0 + 0 0 . chr13 24108750 24108751 chr13:24108751:G:A rs13378562 G A A EBF1_EBF_1 30 0 - 0 0 . chr13 24141247 24141248 chr13:24141248:A:C rs1923903 A C A EBF1_EBF_1 -9 0 + 0 0 . chr13 24152389 24152390 chr13:24152390:T:G rs117832149 T G T EBF1_EBF_1 -11 0 - 0 0 . chr13 24152393 24152394 chr13:24152394:C:A rs9507261 C A A EBF1_EBF_1 -15 0 - 0 0 . chr13 24161026 24161027 chr13:24161027:T:A rs150582894 T A T EBF1_EBF_1 -4 0 - 0 0 . chr13 24184083 24184084 chr13:24184084:C:T rs17362866 C T C EBF1_EBF_1 5 1 + 4.946312914599508 -0.3754743009411625 AGCGCCAAGGGACA chr13 24192239 24192240 chr13:24192240:C:G rs9507267 C G C EBF1_EBF_1 24 0 + 0 0 . chr13 24220080 24220081 chr13:24220081:T:C rs2031160 T C C EBF1_EBF_1 -10 0 + 0 0 . chr13 24223981 24223982 chr13:24223982:T:C rs1220547 T C C EBF1_EBF_1 27 0 + 0 0 . chr13 24224367 24224368 chr13:24224368:C:T rs41314440 C T C EBF1_EBF_1 13 1 + 3.7153194808994288 4.559813595484948 AGCCCCCAGAGCCC chr13 24233830 24233831 chr13:24233831:G:A rs74240464 G A G EBF1_EBF_1 28 0 + 0 0 . chr13 24266371 24266372 chr13:24266372:T:A rs2901980 T A A EBF1_EBF_1 19 0 - 0 0 . chr13 24289945 24289946 chr13:24289946:G:A rs75989747 G A G EBF1_EBF_1 -19 0 - 0 0 . chr13 24328467 24328468 chr13:24328468:G:T rs111295290 G T G EBF1_EBF_1 -4 0 + 0 0 . chr13 24419260 24419261 chr13:24419261:G:A rs9511242 G A . EBF1_EBF_1 9 1 - 3.5221138508178775 1.7608135890745316 GCCCCCAGGCGACT chr13 24461602 24461603 chr13:24461603:T:C rs750390 T C . EBF1_EBF_1 -13 0 - 0 0 . chr13 24529352 24529353 chr13:24529353:T:C rs9511328 T C t EBF1_EBF_1 -11 0 - 0 0 . chr13 24554003 24554004 chr13:24554004:G:A rs143590290 G A N EBF1_EBF_1 4 1 - 3.9926385592644653 -0.3767483856804592 CACCCCTGGGGGCA chr13 24554008 24554009 chr13:24554009:G:A rs147194064 G A g EBF1_EBF_1 -1 0 - 0 0 . chr13 24592082 24592083 chr13:24592083:G:T rs71429891 G T . EBF1_EBF_1 -6 0 - 0 0 . chr13 24616031 24616032 chr13:24616032:T:C rs11620102 T C T EBF1_EBF_1 -12 0 - 0 0 . chr13 24616373 24616374 chr13:24616374:G:A rs9507380 G A G EBF1_EBF_1 -15 0 - 0 0 . chr13 24627248 24627249 chr13:24627249:C:T rs751782 C T C EBF1_EBF_1 26 0 + 0 0 . chr13 24647510 24647511 chr13:24647511:C:T rs190329687 C T C EBF1_EBF_1 5 1 + 6.1067949168898545 0.7850077013491848 ATTCCCTTCAGAAT chr13 24681167 24681168 chr13:24681168:G:C chr13:24681168:G:C G C G EBF1_EBF_1 17 0 - 0 0 . chr13 24691765 24691766 chr13:24691766:C:G rs2071492 C G C EBF1_EBF_1 23 0 - 0 0 . chr13 24695268 24695269 chr13:24695269:G:A rs10219970 G A G EBF1_EBF_1 -11 0 - 0 0 . chr13 24696311 24696312 chr13:24696312:A:T rs8002339 A T T EBF1_EBF_1 7 1 + 6.347501908815696 5.871227668728137 TCTCCCAAGGTAAA chr13 24738672 24738673 chr13:24738673:G:T rs77875133 G T G EBF1_EBF_1 -20 0 - 0 0 . chr13 24741174 24741175 chr13:24741175:C:T rs9551143 C T C EBF1_EBF_1 -9 0 - 0 0 . chr13 24741369 24741370 chr13:24741370:G:A rs1391494319 G A G EBF1_EBF_1 -9 0 - 0 0 . chr13 24741579 24741580 chr13:24741580:G:A rs116545493 G A G EBF1_EBF_1 29 0 - 0 0 . chr13 24847624 24847625 chr13:24847625:G:A rs9634409 G A G EBF1_EBF_1 25 0 + 0 0 . chr13 24878591 24878592 chr13:24878592:T:G rs61947509 T G T EBF1_EBF_1 -15 0 - 0 0 . chr13 24986076 24986077 chr13:24986077:T:C rs2497583 T C C EBF1_EBF_1 -20 0 + 0 0 . chr13 24998302 24998303 chr13:24998303:G:A rs1855251 G A A EBF1_EBF_1 15 0 + 0 0 . chr13 25024238 25024239 chr13:25024239:T:C rs6491004 T C . EBF1_EBF_1 16 0 - 0 0 . chr13 25042455 25042456 chr13:25042456:C:T rs3002250 C T c EBF1_EBF_1 22 0 - 0 0 . chr13 25049021 25049022 chr13:25049022:C:A rs9511597 C A c EBF1_EBF_1 -19 0 - 0 0 . chr13 25118548 25118549 chr13:25118549:C:T rs9511646 C T C EBF1_EBF_1 -5 0 + 0 0 . chr13 25119052 25119053 chr13:25119053:A:G rs17408101 A G A EBF1_EBF_1 20 0 - 0 0 . chr13 25142728 25142729 chr13:25142729:T:G rs12869605 T G G EBF1_EBF_1 -7 0 + 0 0 . chr13 25152517 25152518 chr13:25152518:G:A rs76666968 G A G EBF1_EBF_1 -1 0 - 0 0 . chr13 25192475 25192476 chr13:25192476:G:C rs9507473 G C G EBF1_EBF_1 -18 0 + 0 0 . chr13 25203595 25203596 chr13:25203596:G:C rs4769412 G C G EBF1_EBF_1 33 0 + 0 0 . chr13 25287438 25287439 chr13:25287439:A:G rs3809335 A G A EBF1_EBF_1 -11 0 + 0 0 . chr13 25371911 25371912 chr13:25371912:G:A chr13:25371912:G:A G A G EBF1_EBF_1 -17 0 + 0 0 . chr13 25384850 25384851 chr13:25384851:C:G chr13:25384851:C:G C G C EBF1_EBF_1 32 0 - 0 0 . chr13 25717988 25717989 chr13:25717989:A:C rs3132352 A C A EBF1_EBF_1 26 0 - 0 0 . chr13 25718006 25718007 chr13:25718007:C:T rs9553649 C T C EBF1_EBF_1 8 1 - 8.563199274279844 4.336909185393583 AAACCCTGGGGACT chr13 25719250 25719251 chr13:25719251:G:A rs3117858 G A G EBF1_EBF_1 2 1 - 5.864813115979839 7.753636527421991 ATCCCCAAAGGAGA chr13 25800693 25800694 chr13:25800694:G:A rs1409268 G A G EBF1_EBF_1 2 1 - 5.1199759528211 7.008799364263251 ATCGCCCAGGGAGT chr13 25800703 25800704 chr13:25800704:A:G rs754154690 A G A EBF1_EBF_1 -8 0 - 0 0 . chr13 25810065 25810066 chr13:25810066:C:T rs76526432 C T c EBF1_EBF_1 -13 0 + 0 0 . chr13 25850924 25850925 chr13:25850925:T:A rs9511944 T A - EBF1_EBF_1 32 0 - 0 0 . chr13 25928072 25928073 chr13:25928073:C:G rs188137359 C G C EBF1_EBF_1 5 1 + 6.032660248670898 -0.8722209039642703 AAACCCTAGAGAAA chr13 25933673 25933674 chr13:25933674:G:A rs306408 G A A EBF1_EBF_1 33 0 + 0 0 . chr13 25942321 25942322 chr13:25942322:A:G rs1324408 A G G EBF1_EBF_1 27 0 + 0 0 . chr13 25943229 25943230 chr13:25943230:A:C rs74493061 A C A EBF1_EBF_1 -1 0 + 0 0 . chr13 25985110 25985111 chr13:25985111:A:G rs143418376 A G A EBF1_EBF_1 1 1 + 5.773306240592605 6.034005072513908 CATCCCCAGGGCAC chr13 26016409 26016410 chr13:26016410:A:G rs568735943 A G A EBF1_EBF_1 12 1 - 9.933569389124143 10.959835959674992 CCTCCCATGGGATT chr13 26016414 26016415 chr13:26016415:A:G rs537892777 A G G EBF1_EBF_1 7 1 - 9.933569389124143 8.11606464284152 CCTCCCATGGGATT chr13 26041723 26041724 chr13:26041724:C:A rs184688215 C A C EBF1_EBF_1 13 1 + 6.006300754498955 5.632555305212979 ATTCCCACGGGCTC chr13 26049792 26049793 chr13:26049793:G:A rs61944871 G A A EBF1_EBF_1 -11 0 + 0 0 . chr13 26051278 26051279 chr13:26051279:T:C rs4770913 T C C EBF1_EBF_1 -15 0 - 0 0 . chr13 26095931 26095932 chr13:26095932:A:G rs9512094 A G A EBF1_EBF_1 -5 0 + 0 0 . chr13 26112049 26112050 chr13:26112050:G:A rs75700225 G A G EBF1_EBF_1 -19 0 - 0 0 . chr13 26169081 26169082 chr13:26169082:G:C rs7987427 G C G EBF1_EBF_1 -3 0 - 0 0 . chr13 26241101 26241102 chr13:26241102:C:T rs573973 C T C EBF1_EBF_1 6 1 + 4.514370856485827 4.815656985347583 GTTCCCCGGGAAAA chr13 26254535 26254536 chr13:26254536:G:A rs965757731 G A G EBF1_EBF_1 25 0 - 0 0 . chr13 26266309 26266310 chr13:26266310:C:G rs7326961 C G G EBF1_EBF_1 -13 0 + 0 0 . chr13 26281336 26281337 chr13:26281337:A:G rs79125799 A G A EBF1_EBF_1 28 0 - 0 0 . chr13 26460843 26460844 chr13:26460844:G:A rs139055069 G A G EBF1_EBF_1 -5 0 + 0 0 . chr13 26464183 26464184 chr13:26464184:G:A rs1610372 G A G EBF1_EBF_1 -1 0 + 0 0 . chr13 26465069 26465070 chr13:26465070:C:T rs1610371 C T C EBF1_EBF_1 14 0 + 0 0 . chr13 26476948 26476949 chr13:26476949:C:A rs116815951 C A C EBF1_EBF_1 10 1 - 9.438836796557283 5.256084610330021 CTTCCCAAGGGGCT chr13 26479665 26479666 chr13:26479666:G:A rs9507722 G A g EBF1_EBF_1 9 1 + 5.5529727161551286 2.898033450707525 AGGCCCCAGGGACA chr13 26488926 26488927 chr13:26488927:C:G rs2420349 C G C EBF1_EBF_1 15 0 + 0 0 . chr13 26517503 26517504 chr13:26517504:G:A rs9512235 G A G EBF1_EBF_1 8 1 + 5.27960861325649 1.0533185243702277 AACCCCTCGGGTCT chr13 26548378 26548379 chr13:26548379:A:T rs144081762 A T A EBF1_EBF_1 24 0 + 0 0 . chr13 26558498 26558499 chr13:26558499:A:G rs116789543 A G A EBF1_EBF_1 -2 0 + 0 0 . chr13 26558506 26558507 chr13:26558507:C:T rs111605022 C T C EBF1_EBF_1 6 1 + 4.927654720579984 5.228940849441741 CATCCCCGGGGGTC chr13 26592612 26592613 chr13:26592613:C:A rs9512278 C A C EBF1_EBF_1 24 0 + 0 0 . chr13 26695758 26695759 chr13:26695759:G:A rs150245117 G A G EBF1_EBF_1 -3 0 - 0 0 . chr13 26695771 26695772 chr13:26695772:G:A rs9512337 G A G EBF1_EBF_1 -16 0 - 0 0 . chr13 26719058 26719059 chr13:26719059:T:C rs9581726 T C T EBF1_EBF_1 -5 0 - 0 0 . chr13 26721827 26721828 chr13:26721828:A:T rs35546739 A T A EBF1_EBF_1 22 0 - 0 0 . chr13 26738990 26738991 chr13:26738991:A:G rs7984746 A G A EBF1_EBF_1 12 1 - 5.12040184598524 6.14666841653609 GTCCCCATGGTATT chr13 26761848 26761849 chr13:26761849:A:G rs75641039 A G A EBF1_EBF_1 31 0 + 0 0 . chr13 26801386 26801387 chr13:26801387:A:G rs9512382 A G G EBF1_EBF_1 -20 0 - 0 0 . chr13 26814880 26814881 chr13:26814881:G:C rs9553900 G C G EBF1_EBF_1 29 0 - 0 0 . chr13 26838651 26838652 chr13:26838652:G:C rs73501005 G C C EBF1_EBF_1 23 0 - 0 0 . chr13 26840434 26840435 chr13:26840435:G:A rs729235 G A A EBF1_EBF_1 -7 0 + 0 0 . chr13 26855064 26855065 chr13:26855065:T:A rs17566649 T A T EBF1_EBF_1 -2 0 - 0 0 . chr13 26855535 26855536 chr13:26855536:G:A rs9512427 G A G EBF1_EBF_1 2 1 - 5.110645483528498 6.999468894970648 AGCCCCCCAGGACT chr13 26899472 26899473 chr13:26899473:C:T rs527898549 C T C EBF1_EBF_1 9 1 - 5.1957683713905 2.540829105942896 CTTCCCTAGGTGCT chr13 26899768 26899769 chr13:26899769:C:G rs73154005 C G C EBF1_EBF_1 4 1 + 4.059049246527003 -1.566715854622541 GACCCCCTGGGTCC chr13 26904294 26904295 chr13:26904295:G:A rs1536679 G A G EBF1_EBF_1 9 1 - 5.102807956945323 3.341507695201978 GATCCCCAGCGAAA chr13 26922917 26922918 chr13:26922918:G:C rs7988883 G C A EBF1_EBF_1 23 0 - 0 0 . chr13 26931408 26931409 chr13:26931409:G:A rs9512458 G A A EBF1_EBF_1 -17 0 + 0 0 . chr13 26969263 26969264 chr13:26969264:A:G rs17085021 A G A EBF1_EBF_1 15 0 + 0 0 . chr13 26969278 26969279 chr13:26969279:G:A rs558229279 G A G EBF1_EBF_1 30 0 + 0 0 . chr13 26977565 26977566 chr13:26977566:A:T rs1410437 A T T EBF1_EBF_1 -14 0 + 0 0 . chr13 26988204 26988205 chr13:26988205:C:T rs4771054 C T C EBF1_EBF_1 -10 0 - 0 0 . chr13 26992504 26992505 chr13:26992505:C:G rs17085056 C G C EBF1_EBF_1 10 1 - 4.534768874749916 -2.3560335125795593 ACCACCCAGGGACT chr13 26997669 26997670 chr13:26997670:A:G rs10507374 A G A EBF1_EBF_1 -1 0 + 0 0 . chr13 27006232 27006233 chr13:27006233:T:C rs61945035 T C T EBF1_EBF_1 23 0 - 0 0 . chr13 27006517 27006518 chr13:27006518:C:G rs1410426 C G G EBF1_EBF_1 22 0 + 0 0 . chr13 27015280 27015281 chr13:27015281:G:C rs7329290 G C C EBF1_EBF_1 -7 0 + 0 0 . chr13 27055538 27055539 chr13:27055539:G:A rs9319337 G A G EBF1_EBF_1 -19 0 - 0 0 . chr13 27055744 27055745 chr13:27055745:T:C rs4771065 T C C EBF1_EBF_1 26 0 + 0 0 . chr13 27201541 27201542 chr13:27201542:C:T rs78598600 C T C EBF1_EBF_1 5 1 + 4.128769841719501 -1.1930173738211691 GCTCCCGTGGTACC chr13 27280380 27280381 chr13:27280381:T:C rs12874945 T C T EBF1_EBF_1 -10 0 + 0 0 . chr13 27326396 27326397 chr13:27326397:G:A rs1333348 G A A EBF1_EBF_1 -1 0 + 0 0 . chr13 27353140 27353141 chr13:27353141:G:A rs915547923 G A G EBF1_EBF_1 19 0 - 0 0 . chr13 27355901 27355902 chr13:27355902:T:C rs17085484 T C T EBF1_EBF_1 -2 0 - 0 0 . chr13 27380771 27380772 chr13:27380772:T:A rs75813859 T A T EBF1_EBF_1 -9 0 - 0 0 . chr13 27424409 27424410 chr13:27424410:C:T rs534485968 C T C EBF1_EBF_1 23 0 - 0 0 . chr13 27424412 27424413 chr13:27424413:T:C rs142822543 T C T EBF1_EBF_1 20 0 - 0 0 . chr13 27450728 27450729 chr13:27450729:G:T chr13:27450729:G:T G T G EBF1_EBF_1 -16 0 - 0 0 . chr13 27465853 27465854 chr13:27465854:C:T rs9581861 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 27465855 27465856 chr13:27465856:T:G rs9581862 T G G EBF1_EBF_1 -6 0 + 0 0 . chr13 27523698 27523699 chr13:27523699:G:A rs1238897 G A G EBF1_EBF_1 10 1 + 6.307623291022123 0.9895948317551568 CTTCCCATGAGGCT chr13 27542421 27542422 chr13:27542422:T:A rs2057521 T A A EBF1_EBF_1 9 1 - 6.039833021289409 1.8577828786482034 TTTCCCAAGAGGTT chr13 27762452 27762453 chr13:27762453:C:T rs1231030 C T C EBF1_EBF_1 10 1 - 4.334078197764679 -0.9839502615022875 AGTCCCTAAGGCCC chr13 27819534 27819535 chr13:27819535:A:G rs1616483 A G A EBF1_EBF_1 14 0 + 0 0 . chr13 27819576 27819577 chr13:27819577:G:A rs9512882 G A G EBF1_EBF_1 -4 0 + 0 0 . chr13 27821159 27821160 chr13:27821160:C:T rs76750172 C T C EBF1_EBF_1 2 1 + 5.433551407168585 7.322374818610734 CACCCCCAGAGATT chr13 27826851 27826852 chr13:27826852:A:C rs58450739 A C A EBF1_EBF_1 29 0 + 0 0 . chr13 27826880 27826881 chr13:27826881:A:G rs139260099 A G A EBF1_EBF_1 25 0 - 0 0 . chr13 27852882 27852883 chr13:27852883:T:C rs2040090 T C C EBF1_EBF_1 17 0 - 0 0 . chr13 27852893 27852894 chr13:27852894:G:A rs2040091 G A G EBF1_EBF_1 6 1 - 4.667554203849873 4.96884033271163 ATCCCTCTGGGATC chr13 27869950 27869951 chr13:27869951:C:G rs1778793 C G C EBF1_EBF_1 -15 0 - 0 0 . chr13 27908656 27908657 chr13:27908657:T:C rs13378472 T C t EBF1_EBF_1 6 1 - 10.35925373657607 8.192459305301597 ATCCCCAGGGGATT chr13 27954166 27954167 chr13:27954167:C:T rs116249102 C T C EBF1_EBF_1 19 0 + 0 0 . chr13 27961480 27961481 chr13:27961481:T:C rs112550530 T C T EBF1_EBF_1 -9 0 - 0 0 . chr13 27963179 27963180 chr13:27963180:G:A rs1805107 G A g EBF1_EBF_1 21 0 + 0 0 . chr13 27968823 27968824 chr13:27968824:C:G rs1805106 C G C EBF1_EBF_1 7 1 - 6.572878303214381 5.591557722133025 AGTCCCCGGGGCCA chr13 27974407 27974408 chr13:27974408:G:A rs73171812 G A G EBF1_EBF_1 -8 0 - 0 0 . chr13 28037846 28037847 chr13:28037847:C:G rs9554224 C G C EBF1_EBF_1 13 1 + 5.833602990337783 4.345531968982368 ATTCCCACAGGAGC chr13 28039160 28039161 chr13:28039161:C:T rs2504236 C T C EBF1_EBF_1 12 1 - 4.946670228740071 6.365487570839548 GCTCCCATGAGAGG chr13 28041697 28041698 chr13:28041698:G:A rs7327579 G A G EBF1_EBF_1 10 1 + 6.369462565933902 1.0514341066669364 ATTCCAAGGGGACT chr13 28050676 28050677 chr13:28050677:T:C rs73154826 T C T EBF1_EBF_1 7 1 + 7.177641209155051 5.360136462872427 GTTCCCATAGGAAC chr13 28100655 28100656 chr13:28100656:G:A rs1011719864 G A G EBF1_EBF_1 -11 0 + 0 0 . chr13 28100659 28100660 chr13:28100660:G:A rs117145034 G A G EBF1_EBF_1 -7 0 + 0 0 . chr13 28100663 28100664 chr13:28100664:C:A rs192335006 C A C EBF1_EBF_1 -3 0 + 0 0 . chr13 28100664 28100665 chr13:28100665:C:G chr13:28100665:C:G C G C EBF1_EBF_1 -2 0 + 0 0 . chr13 28135780 28135781 chr13:28135781:T:C rs9551446 T C C EBF1_EBF_1 32 0 - 0 0 . chr13 28138128 28138129 chr13:28138129:C:A chr13:28138129:C:A C A C EBF1_EBF_1 14 0 + 0 0 . chr13 28138146 28138147 chr13:28138147:C:G rs540240063 C G C EBF1_EBF_1 32 0 + 0 0 . chr13 28138147 28138148 chr13:28138148:C:G rs990348581 C G C EBF1_EBF_1 33 0 + 0 0 . chr13 28205257 28205258 chr13:28205258:T:G rs1535799 T G T EBF1_EBF_1 30 0 - 0 0 . chr13 28247135 28247136 chr13:28247136:T:C rs9554291 T C T EBF1_EBF_1 31 0 - 0 0 . chr13 28338588 28338589 chr13:28338589:T:C rs7995100 T C C EBF1_EBF_1 1 1 + 6.629928583478789 5.734495143080044 ATTCCCCTAGGGCT chr13 28439156 28439157 chr13:28439157:C:G rs4771248 C G C EBF1_EBF_1 13 1 + 7.193666383953012 5.705595362597597 ACTCCTCAGGGAAC chr13 28442903 28442904 chr13:28442904:A:T rs73453298 A T A EBF1_EBF_1 -10 0 + 0 0 . chr13 28442919 28442920 chr13:28442920:G:A rs150255878 G A G EBF1_EBF_1 6 1 + 5.603770359339363 7.770564790613838 ACTCCCGTAGGACA chr13 28443103 28443104 chr13:28443104:T:A rs79452797 T A T EBF1_EBF_1 30 0 + 0 0 . chr13 28484117 28484118 chr13:28484118:C:G rs628930 C G G EBF1_EBF_1 32 0 - 0 0 . chr13 28493727 28493728 chr13:28493728:T:C rs4769602 T C T EBF1_EBF_1 31 0 - 0 0 . chr13 28495526 28495527 chr13:28495527:C:T rs117031451 C T C EBF1_EBF_1 14 0 - 0 0 . chr13 28495531 28495532 chr13:28495532:C:T rs111808050 C T C EBF1_EBF_1 9 1 - 4.042540942226215 1.3876016767786108 CGCCCCTAGGGCCA chr13 28495537 28495538 chr13:28495538:G:A rs17086747 G A G EBF1_EBF_1 3 1 - 4.042540942226215 -2.362857799327103 CGCCCCTAGGGCCA chr13 28495778 28495779 chr13:28495779:C:T rs61762359 C T C EBF1_EBF_1 25 0 - 0 0 . chr13 28495804 28495805 chr13:28495805:G:A rs111458691 G A G EBF1_EBF_1 -1 0 - 0 0 . chr13 28530077 28530078 chr13:28530078:G:C rs78066372 G C G EBF1_EBF_1 4 1 - 5.57195427123541 -0.05381082991413311 AACCCTCTGGGACT chr13 28532499 28532500 chr13:28532500:C:T rs558192047 C T C EBF1_EBF_1 2 1 + 4.83228534202987 6.721108753472019 AACCCCCGGGGGCG chr13 28534626 28534627 chr13:28534627:C:T rs60996610 C T C EBF1_EBF_1 15 0 - 0 0 . chr13 28540739 28540740 chr13:28540740:A:C rs188206834 A C A EBF1_EBF_1 27 0 - 0 0 . chr13 28540750 28540751 chr13:28540751:T:C rs7335486 T C C EBF1_EBF_1 16 0 - 0 0 . chr13 28581766 28581767 chr13:28581767:A:C rs12864419 A C A EBF1_EBF_1 -19 0 + 0 0 . chr13 28582760 28582761 chr13:28582761:G:A rs763292559 G A G EBF1_EBF_1 -7 0 + 0 0 . chr13 28597885 28597886 chr13:28597886:G:A rs11617290 G A G EBF1_EBF_1 -12 0 + 0 0 . chr13 28603563 28603564 chr13:28603564:A:G rs1980747 A G A EBF1_EBF_1 17 0 + 0 0 . chr13 28618510 28618511 chr13:28618511:A:G rs17086904 A G A EBF1_EBF_1 -17 0 - 0 0 . chr13 28637033 28637034 chr13:28637034:G:C rs9314915 G C G EBF1_EBF_1 -20 0 + 0 0 . chr13 28637876 28637877 chr13:28637877:T:A rs187915592 T A T EBF1_EBF_1 13 1 + 8.526221993548422 7.307982429676928 GGTCCCCTGAGAAT chr13 28719071 28719072 chr13:28719072:C:T rs913799 C T c EBF1_EBF_1 -11 0 + 0 0 . chr13 28755034 28755035 chr13:28755035:T:A rs555440705 T A T EBF1_EBF_1 17 0 - 0 0 . chr13 28796588 28796589 chr13:28796589:A:G rs9508154 A G G EBF1_EBF_1 -18 0 - 0 0 . chr13 28802734 28802735 chr13:28802735:T:C rs7334814 T C C EBF1_EBF_1 14 0 + 0 0 . chr13 28817546 28817547 chr13:28817547:A:C rs76444216 A C A EBF1_EBF_1 -1 0 - 0 0 . chr13 28984283 28984284 chr13:28984284:T:A rs1322378 T A T EBF1_EBF_1 11 1 - 8.932539864893421 4.8346256087946955 TTTCCCAAGAGATT chr13 29065920 29065921 chr13:29065921:C:G rs7996027 C G C EBF1_EBF_1 -14 0 + 0 0 . chr13 29211266 29211267 chr13:29211267:G:A rs55950831 G A - EBF1_EBF_1 -18 0 - 0 0 . chr13 29227431 29227432 chr13:29227432:C:T rs17072997 C T - EBF1_EBF_1 9 1 - 4.322067881306297 1.6671286158586929 AGCCCCTCGGGTCA chr13 29241504 29241505 chr13:29241505:C:A rs1029190 C A A EBF1_EBF_1 12 1 - 4.642944889805782 5.005314262933084 CTTCCCAGGAGAGG chr13 29270463 29270464 chr13:29270464:C:T rs12877613 C T C EBF1_EBF_1 18 0 - 0 0 . chr13 29319902 29319903 chr13:29319903:G:A rs9579313 G A G EBF1_EBF_1 3 1 - 4.911018057668176 -1.494380683885142 AGTCCCTGGAGTCC chr13 29324743 29324744 chr13:29324744:A:G rs928660 A G G EBF1_EBF_1 1 1 - 5.322717116597993 4.427283676199248 TTCCCCCAAGGAAC chr13 29324751 29324752 chr13:29324752:G:C rs928659 G C G EBF1_EBF_1 -7 0 - 0 0 . chr13 29384716 29384717 chr13:29384717:G:A rs4769734 G A A EBF1_EBF_1 25 0 + 0 0 . chr13 29435480 29435481 chr13:29435481:G:A rs9579374 G A G EBF1_EBF_1 33 0 - 0 0 . chr13 29437107 29437108 chr13:29437108:A:G rs61946431 A G A EBF1_EBF_1 12 1 + 7.282061098171648 5.863243756072171 GATCCCTAGGGCAT chr13 29437121 29437122 chr13:29437122:G:T rs9578099 G T G EBF1_EBF_1 26 0 + 0 0 . chr13 29440869 29440870 chr13:29440870:G:A rs7984403 G A G EBF1_EBF_1 -19 0 + 0 0 . chr13 29484389 29484390 chr13:29484390:T:C rs73154413 T C C EBF1_EBF_1 -3 0 - 0 0 . chr13 29489876 29489877 chr13:29489877:C:A rs9550471 C A C EBF1_EBF_1 -20 0 - 0 0 . chr13 29497107 29497108 chr13:29497108:C:A rs7329421 C A C EBF1_EBF_1 -4 0 + 0 0 . chr13 29497127 29497128 chr13:29497128:C:T rs71434730 C T C EBF1_EBF_1 16 0 + 0 0 . chr13 29497532 29497533 chr13:29497533:G:A rs144676663 G A G EBF1_EBF_1 28 0 + 0 0 . chr13 29508550 29508551 chr13:29508551:G:T rs2776978 G T T EBF1_EBF_1 -10 0 + 0 0 . chr13 29515616 29515617 chr13:29515617:C:T rs181397645 C T C EBF1_EBF_1 11 1 - 4.779535461488963 7.672242305092974 TCACCCCAGGGGCT chr13 29535801 29535802 chr13:29535802:G:A rs2274837 G A G EBF1_EBF_1 0 1 + 6.622018158390303 8.514302027955138 GGACCCCTGGGACC chr13 29543526 29543527 chr13:29543527:C:A rs10454589 C A C EBF1_EBF_1 4 1 + 6.269645114088255 0.44508549063478803 AGTTCCCAGGGACC chr13 29548820 29548821 chr13:29548821:G:A rs974326957 G A A EBF1_EBF_1 31 0 - 0 0 . chr13 29596073 29596074 chr13:29596074:C:T rs73454247 C T C EBF1_EBF_1 21 0 - 0 0 . chr13 29625274 29625275 chr13:29625275:G:A rs115432979 G A G EBF1_EBF_1 12 1 - 8.60096018866845 7.574693618117601 CTTCCCCTGGGGCT chr13 29711726 29711727 chr13:29711727:G:A rs9508540 G A A EBF1_EBF_1 -12 0 + 0 0 . chr13 29761868 29761869 chr13:29761869:G:T rs4769772 G T G EBF1_EBF_1 18 0 - 0 0 . chr13 29845463 29845464 chr13:29845464:T:G rs9579488 T G T EBF1_EBF_1 11 1 - 7.431074690190572 3.0151129923381825 AATGCCCAGGGACT chr13 29908089 29908090 chr13:29908090:C:T rs144341077 C T C EBF1_EBF_1 22 0 - 0 0 . chr13 29910411 29910412 chr13:29910412:A:C rs1375273452 A C A EBF1_EBF_1 -18 0 + 0 0 . chr13 29922424 29922425 chr13:29922425:C:T rs75870431 C T C EBF1_EBF_1 -14 0 + 0 0 . chr13 29922427 29922428 chr13:29922428:C:T rs7323424 C T T EBF1_EBF_1 -11 0 + 0 0 . chr13 29922460 29922461 chr13:29922461:C:T rs17503263 C T C EBF1_EBF_1 22 0 + 0 0 . chr13 29924347 29924348 chr13:29924348:A:G rs927731 A G G EBF1_EBF_1 0 1 - 4.472020901210994 4.189818840480417 TGCCCCCGGAGACA chr13 29956926 29956927 chr13:29956927:T:G rs4769787 T G T EBF1_EBF_1 11 1 - 4.674379918915154 0.2584182210627639 CATCCCTGGGAACT chr13 29961084 29961085 chr13:29961085:G:A rs643508 G A G EBF1_EBF_1 21 0 - 0 0 . chr13 29961199 29961200 chr13:29961200:G:T rs73159335 G T G EBF1_EBF_1 29 0 - 0 0 . chr13 29981171 29981172 chr13:29981172:T:C rs581162 T C C EBF1_EBF_1 -12 0 - 0 0 . chr13 29988754 29988755 chr13:29988755:G:A rs546438688 G A G EBF1_EBF_1 17 0 - 0 0 . chr13 30005195 30005196 chr13:30005196:G:A rs2779514 G A G EBF1_EBF_1 27 0 + 0 0 . chr13 30026200 30026201 chr13:30026201:C:T rs181445617 C T C EBF1_EBF_1 10 1 - 10.598233591873713 5.280205132606749 CCTCCCCTGGGACT chr13 30061338 30061339 chr13:30061339:A:G rs629324 A G A EBF1_EBF_1 12 1 + 9.194345824329458 7.775528482229982 CTCCCCTAGGGAAA chr13 30114771 30114772 chr13:30114772:C:T rs528020364 C T C EBF1_EBF_1 26 0 + 0 0 . chr13 30158584 30158585 chr13:30158585:C:T rs4769814 C T C EBF1_EBF_1 8 1 - 4.395665272805774 0.169375183919512 ACCCCCCAGGTGAT chr13 30158586 30158587 chr13:30158587:G:T rs4769815 G T G EBF1_EBF_1 6 1 - 4.395665272805774 4.757267640607051 ACCCCCCAGGTGAT chr13 30159251 30159252 chr13:30159252:G:A rs149499527 G A G EBF1_EBF_1 7 1 + 4.414927321464306 5.727385726753131 AGTCCCCGGAGGTA chr13 30165870 30165871 chr13:30165871:T:G rs74043035 T G T EBF1_EBF_1 -17 0 - 0 0 . chr13 30169231 30169232 chr13:30169232:T:C rs1073230 T C C EBF1_EBF_1 15 0 + 0 0 . chr13 30191810 30191811 chr13:30191811:T:C rs113647872 T C T EBF1_EBF_1 -12 0 + 0 0 . chr13 30307797 30307798 chr13:30307798:C:A rs80313958 C A C EBF1_EBF_1 -13 0 + 0 0 . chr13 30340040 30340041 chr13:30340041:G:C rs1397647005 G C G EBF1_EBF_1 33 0 + 0 0 . chr13 30389487 30389488 chr13:30389488:T:G rs114474401 T G T EBF1_EBF_1 28 0 - 0 0 . chr13 30395872 30395873 chr13:30395873:G:A rs41499344 G A G EBF1_EBF_1 -19 0 + 0 0 . chr13 30401493 30401494 chr13:30401494:A:G rs73447900 A G G EBF1_EBF_1 20 0 + 0 0 . chr13 30404407 30404408 chr13:30404408:A:C rs11617328 A C A EBF1_EBF_1 -16 0 + 0 0 . chr13 30409993 30409994 chr13:30409994:A:T rs115968776 A T A EBF1_EBF_1 2 1 - 6.684926458703322 3.0004588711044473 AATCCCCAGAGGTT chr13 30422141 30422142 chr13:30422142:G:C rs34790536 G C G EBF1_EBF_1 -5 0 - 0 0 . chr13 30443130 30443131 chr13:30443131:G:A rs12856169 G A A EBF1_EBF_1 29 0 - 0 0 . chr13 30443147 30443148 chr13:30443148:T:C rs7335843 T C C EBF1_EBF_1 12 1 - 6.020073555773239 4.601256213673762 TCTCCCAGGGGCAT chr13 30466051 30466052 chr13:30466052:A:T rs980035792 A T A EBF1_EBF_1 2 1 - 6.360844312653144 2.6763767250542676 TGTCCCCGGAGACA chr13 30466060 30466061 chr13:30466061:C:G rs545683405 C G C EBF1_EBF_1 -7 0 - 0 0 . chr13 30542384 30542385 chr13:30542385:T:C rs80111791 T C C EBF1_EBF_1 33 0 + 0 0 . chr13 30542860 30542861 chr13:30542861:A:G rs9579598 A G G EBF1_EBF_1 29 0 - 0 0 . chr13 30617935 30617936 chr13:30617936:C:G rs1347162410 C G C EBF1_EBF_1 31 0 - 0 0 . chr13 30617981 30617982 chr13:30617982:G:C rs966150466 G C G EBF1_EBF_1 -15 0 - 0 0 . chr13 30638174 30638175 chr13:30638175:T:C rs1853562 T C T EBF1_EBF_1 26 0 - 0 0 . chr13 30674299 30674300 chr13:30674300:C:T rs573316603 C T C EBF1_EBF_1 -18 0 - 0 0 . chr13 30674431 30674432 chr13:30674432:A:G chr13:30674432:A:G A G A EBF1_EBF_1 7 1 - 5.93802728192005 4.120522535637426 TCTCCCCTGGGTCC chr13 30674454 30674455 chr13:30674455:T:C rs61947825 T C C EBF1_EBF_1 -16 0 - 0 0 . chr13 30689559 30689560 chr13:30689560:C:T rs9743363 C T C EBF1_EBF_1 33 0 - 0 0 . chr13 30697097 30697098 chr13:30697098:G:A rs3000633 G A A EBF1_EBF_1 -12 0 + 0 0 . chr13 30716335 30716336 chr13:30716336:A:T rs9604617 A T T EBF1_EBF_1 6 1 + 10.828843238276464 10.768526999336945 ACTCCCAAGGGGAT chr13 30720398 30720399 chr13:30720399:G:A rs17222905 G A G EBF1_EBF_1 4 1 - 8.14795170310792 3.7785647581629975 TATCCCAAGAGACC chr13 30720414 30720415 chr13:30720415:G:A rs77829553 G A G EBF1_EBF_1 -12 0 - 0 0 . chr13 30734672 30734673 chr13:30734673:G:A rs79089754 G A A EBF1_EBF_1 33 0 - 0 0 . chr13 30735581 30735582 chr13:30735582:C:T rs17245407 C T C EBF1_EBF_1 12 1 - 5.0613421010263515 6.480159443125829 GCTCCCCAGAGAGG chr13 30741001 30741002 chr13:30741002:A:G rs12018461 A G G EBF1_EBF_1 -2 0 - 0 0 . chr13 30769726 30769727 chr13:30769727:C:T rs9578200 C T C EBF1_EBF_1 17 0 + 0 0 . chr13 30791668 30791669 chr13:30791669:G:A rs9550367 G A G EBF1_EBF_1 -11 0 - 0 0 . chr13 30796306 30796307 chr13:30796307:A:G rs9315066 A G A EBF1_EBF_1 -11 0 - 0 0 . chr13 30829311 30829312 chr13:30829312:C:A rs112179547 C A C EBF1_EBF_1 10 1 - 4.457423983166128 0.2746717969388629 CCTCCCGGGAGACC chr13 30833405 30833406 chr13:30833406:A:G rs9315079 A G G EBF1_EBF_1 13 1 + 6.141667831279156 5.027342259209717 TTTCCCCTGGGCCA chr13 30863031 30863032 chr13:30863032:G:A rs548248980 G A G EBF1_EBF_1 -20 0 - 0 0 . chr13 30871441 30871442 chr13:30871442:C:G rs71436415 C G C EBF1_EBF_1 1 1 - 7.4346747053700115 7.2449030508007946 CGCCCCAAGGGAAG chr13 30872481 30872482 chr13:30872482:G:A rs727120 G A G EBF1_EBF_1 14 0 - 0 0 . chr13 30872955 30872956 chr13:30872956:T:A rs73165037 T A T EBF1_EBF_1 27 0 + 0 0 . chr13 30884460 30884461 chr13:30884461:A:G rs213593 A G a EBF1_EBF_1 24 0 - 0 0 . chr13 30884498 30884499 chr13:30884499:G:A rs9539886 G A G EBF1_EBF_1 -14 0 - 0 0 . chr13 30906131 30906132 chr13:30906132:C:G rs9575919 C G G EBF1_EBF_1 -13 0 - 0 0 . chr13 30934586 30934587 chr13:30934587:A:G rs1331848 A G G EBF1_EBF_1 -12 0 - 0 0 . chr13 31023454 31023455 chr13:31023455:G:A rs7325798 G A G EBF1_EBF_1 -16 0 + 0 0 . chr13 31029239 31029240 chr13:31029240:G:T rs74421608 G T G EBF1_EBF_1 -10 0 + 0 0 . chr13 31040645 31040646 chr13:31040646:A:G rs9526601 A G a EBF1_EBF_1 25 0 - 0 0 . chr13 31050939 31050940 chr13:31050940:G:A rs74043826 G A G EBF1_EBF_1 29 0 + 0 0 . chr13 31052980 31052981 chr13:31052981:A:G rs61948606 A G A EBF1_EBF_1 17 0 - 0 0 . chr13 31053177 31053178 chr13:31053178:G:A rs74043829 G A G EBF1_EBF_1 -9 0 - 0 0 . chr13 31086807 31086808 chr13:31086808:C:G rs77508731 C G C EBF1_EBF_1 14 0 - 0 0 . chr13 31090537 31090538 chr13:31090538:C:T rs73169066 C T C EBF1_EBF_1 23 0 - 0 0 . chr13 31096755 31096756 chr13:31096756:C:T rs146337598 C T C EBF1_EBF_1 -6 0 - 0 0 . chr13 31102030 31102031 chr13:31102031:G:T rs7319693 G T T EBF1_EBF_1 17 0 - 0 0 . chr13 31104100 31104101 chr13:31104101:C:T rs140316994 C T c EBF1_EBF_1 7 1 - 4.806697283386227 6.119155688675052 ACCCCTCGGGGACT chr13 31131441 31131442 chr13:31131442:C:T rs912297 C T C EBF1_EBF_1 23 0 + 0 0 . chr13 31132146 31132147 chr13:31132147:G:A rs17694347 G A G EBF1_EBF_1 28 0 + 0 0 . chr13 31159975 31159976 chr13:31159976:G:T rs9563939 G T G EBF1_EBF_1 -13 0 - 0 0 . chr13 31161747 31161748 chr13:31161748:C:A rs17075608 C A C EBF1_EBF_1 -13 0 + 0 0 . chr13 31162060 31162061 chr13:31162061:C:G rs140359678 C G C EBF1_EBF_1 -5 0 + 0 0 . chr13 31203399 31203400 chr13:31203400:A:G rs9805861 A G G EBF1_EBF_1 -13 0 - 0 0 . chr13 31271203 31271204 chr13:31271204:G:T rs796966200 G T G EBF1_EBF_1 2 1 - 7.113672822193828 5.318028646037102 GGCCCCCAGAGAAT chr13 31310787 31310788 chr13:31310788:G:A rs866332923 G A G EBF1_EBF_1 16 0 + 0 0 . chr13 31446937 31446938 chr13:31446938:C:T rs9547674 C T T EBF1_EBF_1 -3 0 - 0 0 . chr13 31468483 31468484 chr13:31468484:G:A rs78631862 G A G EBF1_EBF_1 13 1 - 3.2358143212827857 4.080308435868305 CTACCCCGGGGGCC chr13 31588765 31588766 chr13:31588766:A:G rs202451 A G G EBF1_EBF_1 -13 0 - 0 0 . chr13 31604570 31604571 chr13:31604571:C:T rs584038 C T C EBF1_EBF_1 2 1 + 6.3431168823144395 8.231940293756589 AACCCCATGGGTAC chr13 31611719 31611720 chr13:31611720:G:C rs8002506 G C G EBF1_EBF_1 -13 0 - 0 0 . chr13 31625662 31625663 chr13:31625663:G:A rs9576576 G A G EBF1_EBF_1 25 0 - 0 0 . chr13 31638900 31638901 chr13:31638901:C:T rs9548440 C T C EBF1_EBF_1 3 1 + 5.532763863760964 -0.8726348777923528 ACCCCCAGGAGAAG chr13 31638901 31638902 chr13:31638902:C:A rs2208851 C A C EBF1_EBF_1 4 1 + 5.532763863760964 -0.2917957596925027 ACCCCCAGGAGAAG chr13 31756458 31756459 chr13:31756459:C:T rs17076586 C T C EBF1_EBF_1 18 0 - 0 0 . chr13 31846992 31846993 chr13:31846993:G:T rs623578 G T G EBF1_EBF_1 -19 0 - 0 0 . chr13 31848907 31848908 chr13:31848908:G:T rs9549299 G T G EBF1_EBF_1 9 1 - 7.176454186745133 9.597204067642993 TTTCCCCAGCGACT chr13 31852180 31852181 chr13:31852181:T:C rs617247 T C T EBF1_EBF_1 12 1 - 5.7229282246425806 4.304110882543103 CTTCCCATGGAAAC chr13 31910421 31910422 chr13:31910422:T:C rs657697 T C C EBF1_EBF_1 30 0 + 0 0 . chr13 31915658 31915659 chr13:31915659:C:G rs203415 C G G EBF1_EBF_1 23 0 - 0 0 . chr13 31927368 31927369 chr13:31927369:C:T rs381 C T C EBF1_EBF_1 29 0 - 0 0 . chr13 31927920 31927921 chr13:31927921:G:A rs9562328 G A G EBF1_EBF_1 -1 0 + 0 0 . chr13 31944008 31944009 chr13:31944009:T:G rs9532922 T G T EBF1_EBF_1 18 0 + 0 0 . chr13 32134506 32134507 chr13:32134507:C:T rs10220102 C T C EBF1_EBF_1 3 1 + 9.088008310796582 2.6826095692432657 CTTCCCTGGGGATC chr13 32134525 32134526 chr13:32134526:T:C rs41413449 T C T EBF1_EBF_1 22 0 + 0 0 . chr13 32136782 32136783 chr13:32136783:G:A rs1024375 G A G EBF1_EBF_1 12 1 + 5.136591463628046 6.555408805727524 GCCCCCGAGGGAGA chr13 32163420 32163421 chr13:32163421:A:T rs798972 A T T EBF1_EBF_1 6 1 + 6.766661109126883 6.706344870187364 TTTCCCATGGTAAA chr13 32264941 32264942 chr13:32264942:G:C rs9526028 G C G EBF1_EBF_1 9 1 + 8.679401293153385 3.6037121468079203 ATTCCCTTGGTAAA chr13 32409726 32409727 chr13:32409727:C:T rs115126346 C T C EBF1_EBF_1 10 1 - 6.170095014488281 0.8520665552213142 ATCCCTCAGGGACC chr13 32426443 32426444 chr13:32426444:G:C rs206336 G C G EBF1_EBF_1 21 0 + 0 0 . chr13 32427613 32427614 chr13:32427614:C:T rs781778287 C T C EBF1_EBF_1 -2 0 + 0 0 . chr13 32428569 32428570 chr13:32428570:C:T rs77988625 C T C EBF1_EBF_1 -14 0 + 0 0 . chr13 32807105 32807106 chr13:32807106:T:C rs4942925 T C T EBF1_EBF_1 19 0 - 0 0 . chr13 32846781 32846782 chr13:32846782:G:A rs9596376 G A A EBF1_EBF_1 18 0 - 0 0 . chr13 32872794 32872795 chr13:32872795:C:T rs4942947 C T C EBF1_EBF_1 -5 0 - 0 0 . chr13 32922760 32922761 chr13:32922761:G:C rs3848093 G C C EBF1_EBF_1 30 0 - 0 0 . chr13 32939123 32939124 chr13:32939124:C:T rs117567970 C T C EBF1_EBF_1 20 0 + 0 0 . chr13 33016765 33016766 chr13:33016766:T:G rs35239775 T G t EBF1_EBF_1 29 0 + 0 0 . chr13 33016766 33016767 chr13:33016767:G:C rs116810451 G C g EBF1_EBF_1 30 0 + 0 0 . chr13 33032531 33032532 chr13:33032532:C:A rs508394 C A C EBF1_EBF_1 -13 0 + 0 0 . chr13 33032541 33032542 chr13:33032542:A:G rs541053 A G A EBF1_EBF_1 -3 0 + 0 0 . chr13 33108599 33108600 chr13:33108600:C:T rs671937 C T C EBF1_EBF_1 9 1 - 5.849802033447153 3.19486276799955 TATGCCAAGGGAAT chr13 33129518 33129519 chr13:33129519:T:C rs495680 T C C EBF1_EBF_1 -5 0 - 0 0 . chr13 33129528 33129529 chr13:33129529:C:G rs34425674 C G C EBF1_EBF_1 -15 0 - 0 0 . chr13 33139485 33139486 chr13:33139486:T:C rs114280815 T C T EBF1_EBF_1 18 0 + 0 0 . chr13 33183881 33183882 chr13:33183882:C:T rs115585063 C T C EBF1_EBF_1 27 0 + 0 0 . chr13 33187127 33187128 chr13:33187128:G:T rs17695456 G T G EBF1_EBF_1 30 0 + 0 0 . chr13 33261932 33261933 chr13:33261933:A:G chr13:33261933:A:G A G A EBF1_EBF_1 25 0 - 0 0 . chr13 33261951 33261952 chr13:33261952:G:A rs17183941 G A G EBF1_EBF_1 6 1 - 5.320298097588149 5.621584226449907 GTTCACCAGGGAAA chr13 33278095 33278096 chr13:33278096:C:T rs9563287 C T C EBF1_EBF_1 4 1 + 11.144857721041616 6.775470776096693 GTTCCCCAGGGAAA chr13 33280309 33280310 chr13:33280310:G:A rs1052828809 G A G EBF1_EBF_1 -11 0 - 0 0 . chr13 33285821 33285822 chr13:33285822:G:A rs183412108 G A G EBF1_EBF_1 26 0 + 0 0 . chr13 33320337 33320338 chr13:33320338:C:T rs3848095 C T C EBF1_EBF_1 16 0 - 0 0 . chr13 33445108 33445109 chr13:33445109:C:G rs17079138 C G C EBF1_EBF_1 -14 0 - 0 0 . chr13 33452300 33452301 chr13:33452301:G:A rs1924905 G A G EBF1_EBF_1 -4 0 - 0 0 . chr13 33610463 33610464 chr13:33610464:C:G rs9315228 C G C EBF1_EBF_1 5 1 + 4.209552088029837 -2.6953290646053314 ACTCCCTGGGCACA chr13 33613502 33613503 chr13:33613503:G:A rs9569871 G A G EBF1_EBF_1 27 0 - 0 0 . chr13 33633885 33633886 chr13:33633886:C:T rs140347244 C T c EBF1_EBF_1 10 1 - 5.056034873856452 -0.26199358541051443 AGCCCCAAGAGGGT chr13 33634829 33634830 chr13:33634830:C:T rs7334150 C T c EBF1_EBF_1 -13 0 - 0 0 . chr13 33644356 33644357 chr13:33644357:A:G rs77358997 A G A EBF1_EBF_1 33 0 - 0 0 . chr13 33646571 33646572 chr13:33646572:C:T rs74045946 C T T EBF1_EBF_1 -7 0 - 0 0 . chr13 33776074 33776075 chr13:33776075:C:G rs7337520 C G C EBF1_EBF_1 8 1 - 5.136871837375089 -0.18178702353959797 GTACCCAAGAGACA chr13 33849349 33849350 chr13:33849350:A:G rs7319222 A G A EBF1_EBF_1 27 0 - 0 0 . chr13 33978865 33978866 chr13:33978866:G:A rs9570651 G A g EBF1_EBF_1 0 1 + 6.478312762516889 8.370596632081723 GCTCCCTAGGGCCC chr13 34183393 34183394 chr13:34183394:A:G rs183602744 A G A EBF1_EBF_1 -1 0 - 0 0 . chr13 34207663 34207664 chr13:34207664:T:C rs7321899 T C C EBF1_EBF_1 31 0 - 0 0 . chr13 34207690 34207691 chr13:34207691:C:T rs544746866 C T C EBF1_EBF_1 4 1 - 6.315365270830178 6.116570748526255 ACTCGCCAGGGAGT chr13 34217506 34217507 chr13:34217507:A:G rs7324308 A G A EBF1_EBF_1 9 1 + 4.909147071965915 7.564086337413518 TTTCCCAGGAGGCC chr13 34249688 34249689 chr13:34249689:A:C rs9528828 A C A EBF1_EBF_1 -3 0 - 0 0 . chr13 34263347 34263348 chr13:34263348:G:C rs6562392 G C G EBF1_EBF_1 -12 0 - 0 0 . chr13 34263836 34263837 chr13:34263837:A:C rs67605787 A C A EBF1_EBF_1 2 1 - 3.7744731634731004 -2.9738525267273683 CTTCCACTGGGAAC chr13 34290102 34290103 chr13:34290103:T:C rs2065982 T C T EBF1_EBF_1 13 1 + 7.043696579315656 6.199202464730138 CTTCCCAAGGAAAT chr13 34347956 34347957 chr13:34347957:T:A rs74048712 T A T EBF1_EBF_1 -8 0 - 0 0 . chr13 34361673 34361674 chr13:34361674:G:A rs28601841 G A G EBF1_EBF_1 9 1 + 4.670632425362735 2.01569315991513 ATTCCAGAGGGACC chr13 34363293 34363294 chr13:34363294:T:A rs582144 T A A EBF1_EBF_1 -8 0 + 0 0 . chr13 34363320 34363321 chr13:34363321:A:C rs581762 A C C EBF1_EBF_1 19 0 + 0 0 . chr13 34474356 34474357 chr13:34474357:T:C rs966028339 T C T EBF1_EBF_1 -3 0 + 0 0 . chr13 34474386 34474387 chr13:34474387:C:T rs79895074 C T C EBF1_EBF_1 27 0 + 0 0 . chr13 34474389 34474390 chr13:34474390:G:A rs12428409 G A A EBF1_EBF_1 30 0 + 0 0 . chr13 34511334 34511335 chr13:34511335:A:C rs4488327 A C C EBF1_EBF_1 -7 0 + 0 0 . chr13 34655028 34655029 chr13:34655029:G:A rs9529446 G A G EBF1_EBF_1 8 1 + 4.897418199808752 0.6711281109224913 CTCCTCCAGGGAAC chr13 34750230 34750231 chr13:34750231:C:A rs117778511 C A C EBF1_EBF_1 5 1 + 5.123578152820509 -1.7813029998146597 TTCCCCCTGGGGTC chr13 34854324 34854325 chr13:34854325:C:G rs4245374 C G G EBF1_EBF_1 26 0 - 0 0 . chr13 34913367 34913368 chr13:34913368:G:A rs371734294 G A G EBF1_EBF_1 8 1 + 4.971068566977344 0.744778478091082 CCTCCCTCGGGGCA chr13 35247016 35247017 chr13:35247017:G:A rs117621120 G A G EBF1_EBF_1 5 1 - 6.676402443417794 1.3546152278771249 ATCCTCCTGGGAAC chr13 35356524 35356525 chr13:35356525:C:T rs1197849 C T C EBF1_EBF_1 15 0 - 0 0 . chr13 35372177 35372178 chr13:35372178:G:T rs188823833 G T A EBF1_EBF_1 1 1 - 6.687689151378465 6.61676197402638 ACTGCCCAGGGAAC chr13 35448467 35448468 chr13:35448468:G:A rs9530603 G A G EBF1_EBF_1 15 0 - 0 0 . chr13 35448473 35448474 chr13:35448474:C:T rs74041744 C T T EBF1_EBF_1 9 1 - 5.328546977640605 2.6736077121930006 GTTCCCAGGGTATC chr13 35471008 35471009 chr13:35471009:A:C rs61949163 A C A EBF1_EBF_1 12 1 - 4.031533770507358 3.669164397380056 AGCCCCCGGGGCTC chr13 35478786 35478787 chr13:35478787:C:A rs6562982 C A C EBF1_EBF_1 -17 0 - 0 0 . chr13 35478786 35478787 chr13:35478787:C:T chr13:35478787:C:T C T C EBF1_EBF_1 -17 0 - 0 0 . chr13 35680783 35680784 chr13:35680784:G:A rs434872 G A G EBF1_EBF_1 5 1 - 5.660564842798328 0.3387776272576599 ACTCCCAGGGGCAG chr13 35694761 35694762 chr13:35694762:G:A rs2477460 G A A EBF1_EBF_1 -19 0 + 0 0 . chr13 35706536 35706537 chr13:35706537:C:A rs117620464 C A C EBF1_EBF_1 14 0 + 0 0 . chr13 35714572 35714573 chr13:35714573:T:C chr13:35714573:T:C T C T EBF1_EBF_1 22 0 + 0 0 . chr13 35721123 35721124 chr13:35721124:G:T rs1162669920 G T G EBF1_EBF_1 -17 0 - 0 0 . chr13 35746427 35746428 chr13:35746428:C:T rs7330084 C T C EBF1_EBF_1 -2 0 - 0 0 . chr13 35764365 35764366 chr13:35764366:C:T rs9565540 C T C EBF1_EBF_1 5 1 + 3.9575310056262283 -1.3642562099144417 GGCCCCGTGAGACC chr13 35784750 35784751 chr13:35784751:A:G rs11617417 A G G EBF1_EBF_1 13 1 + 9.015783578100748 7.9014580060313095 TGTCCCCGGGGACA chr13 35798272 35798273 chr13:35798273:C:T rs12855118 C T C EBF1_EBF_1 16 0 - 0 0 . chr13 35814920 35814921 chr13:35814921:C:T rs9574659 C T C EBF1_EBF_1 27 0 - 0 0 . chr13 35917775 35917776 chr13:35917776:T:G rs73511246 T G T EBF1_EBF_1 -2 0 - 0 0 . chr13 35923823 35923824 chr13:35923824:G:A rs1171055 G A G EBF1_EBF_1 3 1 - 4.35355103064923 -2.051847710904088 GACCCCCAGGGTTT chr13 35959753 35959754 chr13:35959754:G:A rs61948262 G A G EBF1_EBF_1 -13 0 - 0 0 . chr13 35985675 35985676 chr13:35985676:T:C rs144995522 T C T EBF1_EBF_1 0 1 - 8.246939076304482 6.3546552067396505 AGTCCCAAGGGCCA chr13 36074404 36074405 chr13:36074405:C:A rs566345440 C A C EBF1_EBF_1 16 0 - 0 0 . chr13 36098208 36098209 chr13:36098209:G:A rs6563341 G A A EBF1_EBF_1 19 0 + 0 0 . chr13 36155556 36155557 chr13:36155557:G:C rs1928018 G C C EBF1_EBF_1 -2 0 + 0 0 . chr13 36215683 36215684 chr13:36215684:G:A rs3762119 G A G EBF1_EBF_1 6 1 - 6.132388157491687 6.433674286353443 GTTCCCCGGAGAGC chr13 36222398 36222399 chr13:36222399:A:G rs943895 A G a EBF1_EBF_1 -5 0 + 0 0 . chr13 36259532 36259533 chr13:36259533:G:T rs9602594 G T G EBF1_EBF_1 -11 0 - 0 0 . chr13 36346185 36346186 chr13:36346186:C:A rs138503205 C A C EBF1_EBF_1 4 1 + 6.907148497578155 1.082588874124687 CCACCCAAGGGACC chr13 36346214 36346215 chr13:36346215:C:G rs548915423 C G C EBF1_EBF_1 33 0 + 0 0 . chr13 36353284 36353285 chr13:36353285:G:A rs191449014 G A G EBF1_EBF_1 3 1 - 6.094009314802423 -0.3113894267508938 TTTCCCTAAGGAGC chr13 36420710 36420711 chr13:36420711:G:A rs185577761 G A G EBF1_EBF_1 5 1 - 11.941130371979723 6.619343156439053 ACTCCCCAGGGAGT chr13 36512989 36512990 chr13:36512990:A:G rs73536125 A G A EBF1_EBF_1 0 1 - 6.509443292129947 6.227241231399369 TCCCCCACGGGATT chr13 36519289 36519290 chr13:36519290:A:G rs9603051 A G G EBF1_EBF_1 13 1 - 5.011316617818771 4.166822503233253 GTCCACAAGGGAAT chr13 36530082 36530083 chr13:36530083:T:A rs7986917 T A T EBF1_EBF_1 20 0 + 0 0 . chr13 36531131 36531132 chr13:36531132:T:C rs35213707 T C T EBF1_EBF_1 6 1 + 4.541921356737333 4.240635227875577 AATCCCTGTGGAAC chr13 36675330 36675331 chr13:36675331:T:C rs80199285 T C T EBF1_EBF_1 27 0 - 0 0 . chr13 36714521 36714522 chr13:36714522:G:T rs189832651 G T G EBF1_EBF_1 15 0 - 0 0 . chr13 36723114 36723115 chr13:36723115:C:T rs9576103 C T C EBF1_EBF_1 22 0 + 0 0 . chr13 36731503 36731504 chr13:36731504:T:C rs2025237 T C - EBF1_EBF_1 33 0 + 0 0 . chr13 36778568 36778569 chr13:36778569:C:A rs12867973 C A c EBF1_EBF_1 5 1 + 4.41067905129851 -2.494202101336659 GCCCCCAAGGGGGA chr13 36805743 36805744 chr13:36805744:T:C rs7320961 T C T EBF1_EBF_1 -19 0 + 0 0 . chr13 36847683 36847684 chr13:36847684:C:T rs117069357 C T C EBF1_EBF_1 -17 0 + 0 0 . chr13 36847705 36847706 chr13:36847706:C:T rs517297 C T C EBF1_EBF_1 5 1 + 8.364145969424866 3.042358753884197 CTTCCCAGGAGACT chr13 36908021 36908022 chr13:36908022:A:G rs9576135 A G G EBF1_EBF_1 17 0 + 0 0 . chr13 37001105 37001106 chr13:37001106:G:C rs143534080 G C G EBF1_EBF_1 16 0 + 0 0 . chr13 37049981 37049982 chr13:37049982:A:G rs73175079 A G A EBF1_EBF_1 14 0 - 0 0 . chr13 37069786 37069787 chr13:37069787:C:T rs183419566 C T C EBF1_EBF_1 9 1 - 7.017554558848156 4.362615293400552 GCCCCCAAGGGGCT chr13 37070175 37070176 chr13:37070176:C:T rs73543820 C T C EBF1_EBF_1 8 1 + 4.129791185792612 2.557017257730104 ACCCCCTCCGGACT chr13 37093870 37093871 chr13:37093871:G:C rs1199960 G C C EBF1_EBF_1 29 0 - 0 0 . chr13 37100988 37100989 chr13:37100989:C:A rs150764583 C A C EBF1_EBF_1 9 1 - 8.24209680790058 1.4051073998117702 AATCCCTAGGAACT chr13 37314087 37314088 chr13:37314088:A:T rs7322379 A T A EBF1_EBF_1 30 0 + 0 0 . chr13 37339542 37339543 chr13:37339543:A:G rs117561840 A G A EBF1_EBF_1 -7 0 - 0 0 . chr13 37339543 37339544 chr13:37339544:T:C rs17055354 T C T EBF1_EBF_1 -8 0 - 0 0 . chr13 37381777 37381778 chr13:37381778:C:T rs969858 C T C EBF1_EBF_1 -7 0 - 0 0 . chr13 37430033 37430034 chr13:37430034:G:A rs1407605 G A A EBF1_EBF_1 5 1 - 5.766118289295859 0.4443310737551897 CATCCCTGAGGACT chr13 37453467 37453468 chr13:37453468:T:C rs9547882 T C T EBF1_EBF_1 -17 0 - 0 0 . chr13 37492964 37492965 chr13:37492965:C:T rs17055929 C T C EBF1_EBF_1 8 1 - 5.790559800391757 1.5642697115054958 ATTGCCCAGGGAGA chr13 37527270 37527271 chr13:37527271:A:G rs58977136 A G A EBF1_EBF_1 14 0 + 0 0 . chr13 37769408 37769409 chr13:37769409:C:T rs2039432 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 37789312 37789313 chr13:37789313:A:G rs9548050 A G A EBF1_EBF_1 12 1 + 6.564678747117636 5.145861405018159 CTTCCCTTGGGCAC chr13 37871224 37871225 chr13:37871225:G:T rs113230746 G T G EBF1_EBF_1 9 1 + 7.024267530168585 0.1872781220797755 ATTCCCAAGGAATA chr13 38031779 38031780 chr13:38031780:G:A rs9603311 G A G EBF1_EBF_1 4 1 - 4.869633094251429 0.5002461493065062 AGTTCCCAGGGATA chr13 38044181 38044182 chr13:38044182:A:G rs73452116 A G A EBF1_EBF_1 15 0 - 0 0 . chr13 38105539 38105540 chr13:38105540:G:A rs9548193 G A G EBF1_EBF_1 9 1 + 6.507941571078252 3.853002305630648 ATTCCCAAGGCAAA chr13 38262542 38262543 chr13:38262543:G:A rs473755 G A A EBF1_EBF_1 18 0 + 0 0 . chr13 38264797 38264798 chr13:38264798:A:T rs7337189 A T A EBF1_EBF_1 21 0 - 0 0 . chr13 38264812 38264813 chr13:38264813:T:C rs7318940 T C t EBF1_EBF_1 6 1 - 5.449870658520421 3.283076227245946 CTTTCCATGGGACT chr13 38264977 38264978 chr13:38264978:T:G chr13:38264978:T:G T G T EBF1_EBF_1 -14 0 - 0 0 . chr13 38669250 38669251 chr13:38669251:C:A rs2442335 C A A EBF1_EBF_1 25 0 - 0 0 . chr13 38756276 38756277 chr13:38756277:G:A rs61949814 G A G EBF1_EBF_1 6 1 - 5.316448945853108 5.617735074714865 TTTCCCCTAGGAGC chr13 38758532 38758533 chr13:38758533:G:T rs9548447 G T G EBF1_EBF_1 26 0 - 0 0 . chr13 38831032 38831033 chr13:38831033:A:T rs7319670 A T A EBF1_EBF_1 25 0 + 0 0 . chr13 38887966 38887967 chr13:38887967:G:T rs7996155 G T G EBF1_EBF_1 -18 0 - 0 0 . chr13 38899777 38899778 chr13:38899778:C:A rs9548534 C A A EBF1_EBF_1 -8 0 - 0 0 . chr13 38910887 38910888 chr13:38910888:T:C rs9603466 T C T EBF1_EBF_1 27 0 - 0 0 . chr13 38957962 38957963 chr13:38957963:T:C rs61945541 T C T EBF1_EBF_1 23 0 - 0 0 . chr13 39096015 39096016 chr13:39096016:T:C rs4611329 T C C EBF1_EBF_1 30 0 + 0 0 . chr13 39099348 39099349 chr13:39099349:T:C rs1326402 T C C EBF1_EBF_1 18 0 + 0 0 . chr13 39120136 39120137 chr13:39120137:G:A rs545106927 G A G EBF1_EBF_1 -15 0 + 0 0 . chr13 39127283 39127284 chr13:39127284:T:C rs7337509 T C C EBF1_EBF_1 21 0 + 0 0 . chr13 39145156 39145157 chr13:39145157:T:C rs7339411 T C T EBF1_EBF_1 -13 0 + 0 0 . chr13 39145181 39145182 chr13:39145182:C:T rs1415025469 C T C EBF1_EBF_1 12 1 + 5.647473781392497 4.621207210841647 AATCCCAAGAAACT chr13 39226496 39226497 chr13:39226497:G:A rs77170960 G A G EBF1_EBF_1 -1 0 - 0 0 . chr13 39257827 39257828 chr13:39257828:T:C chr13:39257828:T:C T C T EBF1_EBF_1 -1 0 + 0 0 . chr13 39414575 39414576 chr13:39414576:T:C rs11147763 T C T EBF1_EBF_1 -4 0 - 0 0 . chr13 39444550 39444551 chr13:39444551:T:C rs7331811 T C T EBF1_EBF_1 6 1 + 8.222447196715603 7.921161067853845 ATTGCCTTGGGACT chr13 39452918 39452919 chr13:39452919:T:A rs113181195 T A T EBF1_EBF_1 -15 0 + 0 0 . chr13 39461983 39461984 chr13:39461984:C:T rs73175139 C T C EBF1_EBF_1 26 0 - 0 0 . chr13 39461993 39461994 chr13:39461994:G:C rs9603543 G C G EBF1_EBF_1 16 0 - 0 0 . chr13 39499410 39499411 chr13:39499411:A:G rs11618810 A G A EBF1_EBF_1 -19 0 + 0 0 . chr13 39536534 39536535 chr13:39536535:T:C rs9532393 T C C EBF1_EBF_1 16 0 + 0 0 . chr13 39563648 39563649 chr13:39563649:C:T rs7987557 C T C EBF1_EBF_1 -15 0 + 0 0 . chr13 39563684 39563685 chr13:39563685:C:A rs7987701 C A C EBF1_EBF_1 21 0 + 0 0 . chr13 39572331 39572332 chr13:39572332:C:A rs9548830 C A C EBF1_EBF_1 -1 0 + 0 0 . chr13 39598245 39598246 chr13:39598246:A:G rs117453801 A G A EBF1_EBF_1 29 0 - 0 0 . chr13 39603261 39603262 chr13:39603262:C:T rs886437318 C T C EBF1_EBF_1 16 0 - 0 0 . chr13 39603825 39603826 chr13:39603826:G:A rs73468870 G A G EBF1_EBF_1 -7 0 + 0 0 . chr13 39875604 39875605 chr13:39875605:G:A rs9603637 G A G EBF1_EBF_1 14 0 - 0 0 . chr13 39876538 39876539 chr13:39876539:C:T rs183390690 C T C EBF1_EBF_1 -4 0 - 0 0 . chr13 39951764 39951765 chr13:39951765:A:G rs7981367 A G A EBF1_EBF_1 28 0 - 0 0 . chr13 39958455 39958456 chr13:39958456:G:A rs77837523 G A A EBF1_EBF_1 12 1 - 5.200827409034109 4.174560838483259 TTCCCCTTGAGACG chr13 39999465 39999466 chr13:39999466:G:A rs1966246 G A G EBF1_EBF_1 -2 0 + 0 0 . chr13 40031668 40031669 chr13:40031669:T:C rs277269 T C T EBF1_EBF_1 -11 0 - 0 0 . chr13 40060498 40060499 chr13:40060499:C:G rs557705129 C G C EBF1_EBF_1 -2 0 + 0 0 . chr13 40060525 40060526 chr13:40060526:A:G rs138117497 A G A EBF1_EBF_1 25 0 + 0 0 . chr13 40082597 40082598 chr13:40082598:G:A rs150271306 G A G EBF1_EBF_1 17 0 - 0 0 . chr13 40116012 40116013 chr13:40116013:T:C rs147574401 T C T EBF1_EBF_1 -5 0 + 0 0 . chr13 40163773 40163774 chr13:40163774:C:T rs9603691 C T C EBF1_EBF_1 1 1 - 4.697151151667557 4.436452319746254 CGTCCCTTGAGGCC chr13 40234414 40234415 chr13:40234415:C:T rs9576960 C T C EBF1_EBF_1 -9 0 + 0 0 . chr13 40234992 40234993 chr13:40234993:G:T rs17834730 G T G EBF1_EBF_1 -15 0 + 0 0 . chr13 40235233 40235234 chr13:40235234:G:A rs115091601 G A g EBF1_EBF_1 -4 0 - 0 0 . chr13 40255430 40255431 chr13:40255431:T:C rs7318389 T C T EBF1_EBF_1 -7 0 - 0 0 . chr13 40283666 40283667 chr13:40283667:C:T rs9576978 C T C EBF1_EBF_1 -15 0 + 0 0 . chr13 40292888 40292889 chr13:40292889:G:A rs9315770 G A A EBF1_EBF_1 8 1 + 5.7457217309456174 1.519431642059356 GCCCCCACGGGATC chr13 40365954 40365955 chr13:40365955:C:T rs9549191 C T C EBF1_EBF_1 12 1 + 6.499032659830226 5.472766089279376 ATTTCCCTGGGACC chr13 40383551 40383552 chr13:40383552:C:T rs966495 C T T EBF1_EBF_1 9 1 - 6.03492267715118 3.379983411703577 TCTCCTCAGGGACT chr13 40386026 40386027 chr13:40386027:G:A rs35807305 G A g EBF1_EBF_1 -4 0 + 0 0 . chr13 40386050 40386051 chr13:40386051:G:A rs9635099 G A G EBF1_EBF_1 20 0 + 0 0 . chr13 40453707 40453708 chr13:40453708:T:A rs7324749 T A T EBF1_EBF_1 -3 0 - 0 0 . chr13 40457791 40457792 chr13:40457792:C:G rs9549214 C G G EBF1_EBF_1 17 0 + 0 0 . chr13 40458294 40458295 chr13:40458295:G:C rs17258297 G C G EBF1_EBF_1 5 1 - 4.047370101771476 -2.8575110508636934 TGTCCCCTGGGCGA chr13 40486474 40486475 chr13:40486475:G:A rs2721046 G A G EBF1_EBF_1 12 1 - 7.0350688539390624 6.008802283388213 ATTCCCATGGTGCT chr13 40490257 40490258 chr13:40490258:T:A rs75180603 T A t EBF1_EBF_1 7 1 - 9.622746755889668 9.14647251580211 ACTCCCCAGGGGAC chr13 40492475 40492476 chr13:40492476:C:T rs9603765 C T C EBF1_EBF_1 10 1 - 9.218841440948687 3.900812981681721 CCTCCCTGGGGACC chr13 40508348 40508349 chr13:40508349:T:C rs2701885 T C C EBF1_EBF_1 11 1 - 6.51516906137591 3.6224622177718984 AGTCCCAGGGTATC chr13 40508359 40508360 chr13:40508360:T:A rs2701884 T A A EBF1_EBF_1 0 1 - 6.51516906137591 4.542112638409887 AGTCCCAGGGTATC chr13 40508368 40508369 chr13:40508369:C:T rs183919645 C T C EBF1_EBF_1 -9 0 - 0 0 . chr13 40518763 40518764 chr13:40518764:G:A rs575692934 G A G EBF1_EBF_1 23 0 + 0 0 . chr13 40536890 40536891 chr13:40536891:T:G rs76120124 T G T EBF1_EBF_1 22 0 + 0 0 . chr13 40555594 40555595 chr13:40555595:A:G rs9577065 A G G EBF1_EBF_1 -3 0 - 0 0 . chr13 40588087 40588088 chr13:40588088:C:T rs2721044 C T C EBF1_EBF_1 26 0 - 0 0 . chr13 40614114 40614115 chr13:40614115:A:G rs67188841 A G A EBF1_EBF_1 -18 0 + 0 0 . chr13 40614157 40614158 chr13:40614158:A:G rs142996055 A G A EBF1_EBF_1 25 0 + 0 0 . chr13 40644313 40644314 chr13:40644314:C:T rs1413482 C T T EBF1_EBF_1 -17 0 + 0 0 . chr13 40661075 40661076 chr13:40661076:C:T rs9549255 C T T EBF1_EBF_1 10 1 - 5.594201149608307 0.27617269034134195 ACTCCCAAGAGCTT chr13 40787852 40787853 chr13:40787853:T:G rs7985419 T G . EBF1_EBF_1 -14 0 - 0 0 . chr13 41028325 41028326 chr13:41028326:T:C rs61300271 T C T EBF1_EBF_1 7 1 - 8.148499818483828 6.836041413195002 TGCCCCAAGGGATC chr13 41049733 41049734 chr13:41049734:T:A rs9594483 T A T EBF1_EBF_1 7 1 - 6.826697748464021 6.350423508376463 CTTCCCTAAGGACA chr13 41060209 41060210 chr13:41060210:G:A rs138989978 G A G EBF1_EBF_1 18 0 + 0 0 . chr13 41061284 41061285 chr13:41061285:G:A rs1389402314 G A G EBF1_EBF_1 23 0 - 0 0 . chr13 41061809 41061810 chr13:41061810:C:T rs149097782 C T C EBF1_EBF_1 13 1 + 4.867014242414387 5.7115083569999054 ACTCGCTCGGGACC chr13 41061857 41061858 chr13:41061858:C:A rs1026400747 C A C EBF1_EBF_1 22 0 - 0 0 . chr13 41254302 41254303 chr13:41254303:C:T rs2184037 C T C EBF1_EBF_1 33 0 - 0 0 . chr13 41268880 41268881 chr13:41268881:C:G chr13:41268881:C:G C G C EBF1_EBF_1 15 0 + 0 0 . chr13 41311078 41311079 chr13:41311079:G:A rs150211301 G A G EBF1_EBF_1 18 0 + 0 0 . chr13 41311328 41311329 chr13:41311329:C:T rs138819345 C T C EBF1_EBF_1 -6 0 - 0 0 . chr13 41382159 41382160 chr13:41382160:C:T rs9532798 C T C EBF1_EBF_1 -15 0 + 0 0 . chr13 41386945 41386946 chr13:41386946:G:A rs147204167 G A N EBF1_EBF_1 2 1 - 7.260687198269005 9.149510609711154 ATCCCCCAGCGACT chr13 41413630 41413631 chr13:41413631:G:A rs7489810 G A . EBF1_EBF_1 23 0 - 0 0 . chr13 41455843 41455844 chr13:41455844:G:A rs74052434 G A . EBF1_EBF_1 7 1 + 5.1981489461121315 6.510607351400957 GCTCCCAGCGGAAT chr13 41457062 41457063 chr13:41457063:G:A rs191212424 G A . EBF1_EBF_1 -20 0 + 0 0 . chr13 41464648 41464649 chr13:41464649:T:C rs77545682 T C . EBF1_EBF_1 7 1 + 10.456241694592789 8.638736948310164 ATACCCATGGGAAT chr13 41516491 41516492 chr13:41516492:T:C rs35767871 T C T EBF1_EBF_1 -15 0 - 0 0 . chr13 41520618 41520619 chr13:41520619:A:G rs7317493 A G A EBF1_EBF_1 19 0 - 0 0 . chr13 41520656 41520657 chr13:41520657:A:G rs12585663 A G G EBF1_EBF_1 -19 0 - 0 0 . chr13 41520657 41520658 chr13:41520658:T:C rs74054630 T C T EBF1_EBF_1 -20 0 - 0 0 . chr13 41521074 41521075 chr13:41521075:T:A rs9532867 T A T EBF1_EBF_1 -9 0 - 0 0 . chr13 41530432 41530433 chr13:41530433:C:G rs434890 C G G EBF1_EBF_1 -14 0 + 0 0 . chr13 41530442 41530443 chr13:41530443:C:G rs78048728 C G C EBF1_EBF_1 -4 0 + 0 0 . chr13 41533935 41533936 chr13:41533936:C:A rs2780656 C A C EBF1_EBF_1 7 1 + 5.96434106072664 8.258120047096822 CACCCCACGGGACA chr13 41545596 41545597 chr13:41545597:C:T rs564626478 C T C EBF1_EBF_1 22 0 - 0 0 . chr13 41549066 41549067 chr13:41549067:C:A rs9525528 C A A EBF1_EBF_1 11 1 + 4.489450532749841 8.90541223060223 CCTCCCAGGGGCCA chr13 41552345 41552346 chr13:41552346:G:A rs180881682 G A G EBF1_EBF_1 3 1 - 5.1330455076318655 -1.2723532339214525 TTCCCCCAGGGCAC chr13 41605742 41605743 chr13:41605743:C:G rs76561032 C G C EBF1_EBF_1 -18 0 - 0 0 . chr13 41740754 41740755 chr13:41740755:T:C rs2039282 T C T EBF1_EBF_1 6 1 - 5.287516323663661 3.120721892389187 TTTCCCAGGAGATG chr13 41740766 41740767 chr13:41740767:C:T rs2039281 C T T EBF1_EBF_1 -6 0 - 0 0 . chr13 41802337 41802338 chr13:41802338:G:T rs4942079 G T T EBF1_EBF_1 8 1 + 4.736570797151422 -2.1548619918257743 CATCCCAGGGGTCA chr13 41930685 41930686 chr13:41930686:T:A rs75659673 T A t EBF1_EBF_1 22 0 + 0 0 . chr13 41973443 41973444 chr13:41973444:A:G rs1815346 A G A EBF1_EBF_1 -9 0 + 0 0 . chr13 42008002 42008003 chr13:42008003:T:C rs73185376 T C C EBF1_EBF_1 0 1 - 7.286267977386098 5.3939841078212645 AATGCCTTGGGAAT chr13 42021641 42021642 chr13:42021642:T:C rs7325017 T C C EBF1_EBF_1 27 0 - 0 0 . chr13 42023143 42023144 chr13:42023144:G:A rs114026788 G A G EBF1_EBF_1 25 0 + 0 0 . chr13 42027244 42027245 chr13:42027245:C:G rs17062744 C G C EBF1_EBF_1 -17 0 + 0 0 . chr13 42040385 42040386 chr13:42040386:T:C rs138808588 T C c EBF1_EBF_1 -9 0 - 0 0 . chr13 42065360 42065361 chr13:42065361:T:A rs115757619 T A T EBF1_EBF_1 6 1 + 7.64238237332828 7.702698612267799 CTTCCCTTGGTAAT chr13 42181701 42181702 chr13:42181702:T:C rs9525589 T C T EBF1_EBF_1 24 0 + 0 0 . chr13 42355098 42355099 chr13:42355099:C:T rs78547483 C T C EBF1_EBF_1 3 1 + 5.87289049833739 -0.5325082432159276 CTTCCCCAAGGATC chr13 42452761 42452762 chr13:42452762:C:T rs56913245 C T C EBF1_EBF_1 -16 0 + 0 0 . chr13 42481718 42481719 chr13:42481719:C:A rs9533124 C A C EBF1_EBF_1 -8 0 + 0 0 . chr13 42487475 42487476 chr13:42487476:A:C rs149249840 A C A EBF1_EBF_1 7 1 - 5.826278219899124 4.990094054697857 CTTCCCTTGGGTTC chr13 42593337 42593338 chr13:42593338:A:T rs346591 A T T EBF1_EBF_1 -18 0 + 0 0 . chr13 42593376 42593377 chr13:42593377:A:G rs346592 A G A EBF1_EBF_1 21 0 + 0 0 . chr13 42652288 42652289 chr13:42652289:G:A rs9594787 G A G EBF1_EBF_1 -5 0 - 0 0 . chr13 42712976 42712977 chr13:42712977:G:A rs34801486 G A A EBF1_EBF_1 -15 0 + 0 0 . chr13 42713016 42713017 chr13:42713017:G:A rs34441019 G A A EBF1_EBF_1 25 0 + 0 0 . chr13 42747016 42747017 chr13:42747017:C:T rs4942153 C T C EBF1_EBF_1 22 0 - 0 0 . chr13 42820819 42820820 chr13:42820820:C:T rs1009822 C T C EBF1_EBF_1 -6 0 + 0 0 . chr13 42848437 42848438 chr13:42848438:T:C rs7986621 T C T EBF1_EBF_1 18 0 - 0 0 . chr13 42969655 42969656 chr13:42969656:T:C rs78159096 T C C EBF1_EBF_1 -14 0 - 0 0 . chr13 42969658 42969659 chr13:42969659:A:C rs75937919 A C A EBF1_EBF_1 -17 0 - 0 0 . chr13 42969694 42969695 chr13:42969695:A:T chr13:42969695:A:T A T A EBF1_EBF_1 30 0 - 0 0 . chr13 42969695 42969696 chr13:42969696:C:A chr13:42969696:C:A C A C EBF1_EBF_1 29 0 - 0 0 . chr13 42969699 42969700 chr13:42969700:T:G rs75378584 T G T EBF1_EBF_1 25 0 - 0 0 . chr13 42992000 42992001 chr13:42992001:G:A rs41288323 G A G EBF1_EBF_1 27 0 - 0 0 . chr13 43026448 43026449 chr13:43026449:G:A rs74060454 G A G EBF1_EBF_1 25 0 - 0 0 . chr13 43030679 43030680 chr13:43030680:C:T rs74063024 C T - EBF1_EBF_1 21 0 - 0 0 . chr13 43055414 43055415 chr13:43055415:C:T rs188464524 C T C EBF1_EBF_1 7 1 + 4.668219720110464 6.485724466393088 ACTCACTCGGGACC chr13 43057761 43057762 chr13:43057762:C:T rs56686332 C T C EBF1_EBF_1 28 0 - 0 0 . chr13 43061748 43061749 chr13:43061749:G:A rs9533371 G A A EBF1_EBF_1 -11 0 - 0 0 . chr13 43087679 43087680 chr13:43087680:C:T rs76262389 C T C EBF1_EBF_1 -1 0 + 0 0 . chr13 43119505 43119506 chr13:43119506:G:C rs9533397 G C C EBF1_EBF_1 12 1 + 5.198983013078646 6.587618956756797 CATCCCCAGGGCGT chr13 43126729 43126730 chr13:43126730:A:G rs1548062 A G A EBF1_EBF_1 -16 0 + 0 0 . chr13 43158182 43158183 chr13:43158183:C:A rs2762188 C A A EBF1_EBF_1 17 0 + 0 0 . chr13 43159438 43159439 chr13:43159439:C:T rs2296185 C T C EBF1_EBF_1 -3 0 - 0 0 . chr13 43201516 43201517 chr13:43201517:T:C rs2762158 T C t EBF1_EBF_1 -15 0 - 0 0 . chr13 43229740 43229741 chr13:43229741:G:A rs141023591 G A G EBF1_EBF_1 -2 0 + 0 0 . chr13 43271028 43271029 chr13:43271029:C:T rs12585585 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 43389873 43389874 chr13:43389874:T:G chr13:43389874:T:G T G T EBF1_EBF_1 -7 0 + 0 0 . chr13 43442013 43442014 chr13:43442014:G:A rs76124429 G A G EBF1_EBF_1 19 0 - 0 0 . chr13 43477927 43477928 chr13:43477928:A:T rs1983793 A T T EBF1_EBF_1 -6 0 + 0 0 . chr13 43493683 43493684 chr13:43493684:A:T rs9567201 A T A EBF1_EBF_1 0 1 - 5.576893126764739 7.549949549730761 TGCCCCCAGGGGAA chr13 43519755 43519756 chr13:43519756:T:G rs9567207 T G T EBF1_EBF_1 7 1 + 5.836115066736399 4.999930901535132 AATCCCCTGCGATC chr13 43521345 43521346 chr13:43521346:T:C rs9533518 T C T EBF1_EBF_1 -17 0 + 0 0 . chr13 43545721 43545722 chr13:43545722:A:C rs9567211 A C A EBF1_EBF_1 25 0 - 0 0 . chr13 43591882 43591883 chr13:43591883:T:C rs17460623 T C T EBF1_EBF_1 15 0 + 0 0 . chr13 43650554 43650555 chr13:43650555:T:C rs9533566 T C t EBF1_EBF_1 -17 0 - 0 0 . chr13 43785464 43785465 chr13:43785465:C:A chr13:43785465:C:A C A C EBF1_EBF_1 29 0 - 0 0 . chr13 43796134 43796135 chr13:43796135:G:T rs7335504 G T T EBF1_EBF_1 -7 0 + 0 0 . chr13 43805341 43805342 chr13:43805342:G:A rs1031688651 G A A EBF1_EBF_1 16 0 - 0 0 . chr13 43879098 43879099 chr13:43879099:C:G rs9567295 C G C EBF1_EBF_1 22 0 - 0 0 . chr13 43969538 43969539 chr13:43969539:G:A rs9525898 G A - EBF1_EBF_1 -20 0 + 0 0 . chr13 43970045 43970046 chr13:43970046:C:G rs3794427 C G - EBF1_EBF_1 33 0 + 0 0 . chr13 43970450 43970451 chr13:43970451:T:C rs3794432 T C - EBF1_EBF_1 -6 0 + 0 0 . chr13 44011246 44011247 chr13:44011247:A:C rs9525903 A C A EBF1_EBF_1 -3 0 + 0 0 . chr13 44016924 44016925 chr13:44016925:C:T rs147636553 C T C EBF1_EBF_1 18 0 + 0 0 . chr13 44021612 44021613 chr13:44021613:A:T rs77911739 A T A EBF1_EBF_1 13 1 + 6.543824247667824 7.762063811539318 AATCCTTTGGGACA chr13 44030572 44030573 chr13:44030573:C:G rs74064709 C G C EBF1_EBF_1 20 0 + 0 0 . chr13 44108016 44108017 chr13:44108017:A:G rs61961436 A G A EBF1_EBF_1 19 0 - 0 0 . chr13 44141534 44141535 chr13:44141535:G:T rs78027179 G T G EBF1_EBF_1 17 0 + 0 0 . chr13 44156403 44156404 chr13:44156404:C:T rs9316070 C T C EBF1_EBF_1 25 0 + 0 0 . chr13 44159438 44159439 chr13:44159439:A:G rs7319166 A G G EBF1_EBF_1 26 0 - 0 0 . chr13 44177836 44177837 chr13:44177837:T:C rs11618092 T C T EBF1_EBF_1 6 1 - 6.695243192401075 4.528448761126602 TTTCCCATGGGCTT chr13 44193944 44193945 chr13:44193945:C:T rs59972809 C T C EBF1_EBF_1 17 0 + 0 0 . chr13 44213358 44213359 chr13:44213359:G:A rs12865957 G A G EBF1_EBF_1 6 1 - 4.040091938897129 4.341378067758887 GCCCCCCGAGGAAT chr13 44224829 44224830 chr13:44224830:A:G rs566843399 A G A EBF1_EBF_1 6 1 - 8.64247643479536 8.341190305933603 CCCCCCTAGGGACC chr13 44304040 44304041 chr13:44304041:C:G rs9567407 C G C EBF1_EBF_1 -18 0 + 0 0 . chr13 44304613 44304614 chr13:44304614:C:T rs9525938 C T C EBF1_EBF_1 -20 0 - 0 0 . chr13 44363047 44363048 chr13:44363048:T:C rs9595111 T C C EBF1_EBF_1 32 0 + 0 0 . chr13 44373010 44373011 chr13:44373011:C:T rs116321970 C T C EBF1_EBF_1 4 1 + 6.266999435918888 1.8976124909739633 GACCCCTCGGGACA chr13 44388678 44388679 chr13:44388679:C:G rs1325326 C G G EBF1_EBF_1 31 0 + 0 0 . chr13 44390586 44390587 chr13:44390587:C:T rs2281848 C T C EBF1_EBF_1 24 0 - 0 0 . chr13 44397206 44397207 chr13:44397207:C:G rs2296272 C G C EBF1_EBF_1 -17 0 - 0 0 . chr13 44398194 44398195 chr13:44398195:T:C rs7998798 T C T EBF1_EBF_1 -13 0 - 0 0 . chr13 44410818 44410819 chr13:44410819:G:C rs9567428 G C G EBF1_EBF_1 25 0 - 0 0 . chr13 44561064 44561065 chr13:44561065:G:A rs952483102 G A G EBF1_EBF_1 15 0 + 0 0 . chr13 44707895 44707896 chr13:44707896:G:T rs79142375 G T G EBF1_EBF_1 -4 0 + 0 0 . chr13 44732926 44732927 chr13:44732927:C:T rs76586748 C T C EBF1_EBF_1 -2 0 - 0 0 . chr13 44789378 44789379 chr13:44789379:G:A rs9533970 G A g EBF1_EBF_1 31 0 - 0 0 . chr13 44807216 44807217 chr13:44807217:G:A rs9590858 G A G EBF1_EBF_1 9 1 + 4.552760461809725 1.8978211963621212 TTTCCCAGGGGCCG chr13 44905301 44905302 chr13:44905302:C:A rs77378098 C A C EBF1_EBF_1 28 0 - 0 0 . chr13 45063650 45063651 chr13:45063651:A:C chr13:45063651:A:C A C A EBF1_EBF_1 -7 0 + 0 0 . chr13 45121362 45121363 chr13:45121363:T:C rs1188019604 T C T EBF1_EBF_1 26 0 - 0 0 . chr13 45311372 45311373 chr13:45311373:G:A rs375615293 G A g EBF1_EBF_1 24 0 - 0 0 . chr13 45341510 45341511 chr13:45341511:C:T rs180684458 C T . EBF1_EBF_1 4 1 + 3.7275817271391816 -0.6418052178057418 TACCCCACGGGGCC chr13 45341841 45341842 chr13:45341842:A:G rs2234212 A G . EBF1_EBF_1 -17 0 - 0 0 . chr13 45349556 45349557 chr13:45349557:C:G rs114696903 C G . EBF1_EBF_1 11 1 - 7.5902038321886085 6.06694897794023 ATTCCCTAGAGGGT chr13 45372985 45372986 chr13:45372986:C:T rs767653440 C T . EBF1_EBF_1 -10 0 + 0 0 . chr13 45373152 45373153 chr13:45373153:C:A rs4942411 C A . EBF1_EBF_1 -13 0 - 0 0 . chr13 45373250 45373251 chr13:45373251:C:T rs4942412 C T . EBF1_EBF_1 14 0 - 0 0 . chr13 45439972 45439973 chr13:45439973:T:A rs61051699 T A . EBF1_EBF_1 17 0 - 0 0 . chr13 45439989 45439990 chr13:45439990:T:G rs6650369 T G . EBF1_EBF_1 0 1 - 4.727086242185724 2.471827758489124 ACCCCCAAGGTGCT chr13 45543089 45543090 chr13:45543090:C:T rs541230868 C T C EBF1_EBF_1 14 0 + 0 0 . chr13 45546273 45546274 chr13:45546274:C:T rs7998252 C T C EBF1_EBF_1 3 1 + 5.283205009745299 -1.122193731808018 AATCCCAAAGGGCA chr13 45563414 45563415 chr13:45563415:C:T rs7326220 C T C EBF1_EBF_1 18 0 - 0 0 . chr13 45615755 45615756 chr13:45615756:A:G rs912661554 A G A EBF1_EBF_1 7 1 - 7.221179111814048 5.403674365531424 GTTCCCATGGTAAC chr13 45615775 45615776 chr13:45615776:C:A rs572841249 C A C EBF1_EBF_1 -13 0 - 0 0 . chr13 45655115 45655116 chr13:45655116:G:C rs7324745 G C C EBF1_EBF_1 4 1 - 7.299254242142424 1.6734891409928778 CTCCCCTTGGGAGA chr13 45660268 45660269 chr13:45660269:T:G rs73466579 T G T EBF1_EBF_1 20 0 + 0 0 . chr13 45675093 45675094 chr13:45675094:T:C rs79098148 T C T EBF1_EBF_1 -5 0 - 0 0 . chr13 45748634 45748635 chr13:45748635:A:G chr13:45748635:A:G A G A EBF1_EBF_1 -9 0 + 0 0 . chr13 45778933 45778934 chr13:45778934:C:T rs7981349 C T C EBF1_EBF_1 -17 0 + 0 0 . chr13 45781635 45781636 chr13:45781636:A:G rs74072268 A G A EBF1_EBF_1 14 0 + 0 0 . chr13 45781650 45781651 chr13:45781651:A:G rs4942435 A G A EBF1_EBF_1 29 0 + 0 0 . chr13 45796337 45796338 chr13:45796338:G:A rs9595383 G A G EBF1_EBF_1 30 0 - 0 0 . chr13 45814324 45814325 chr13:45814325:C:T rs574481323 C T c EBF1_EBF_1 14 0 + 0 0 . chr13 45823192 45823193 chr13:45823193:A:T rs9562620 A T T EBF1_EBF_1 -12 0 + 0 0 . chr13 45823218 45823219 chr13:45823219:G:A rs76956948 G A G EBF1_EBF_1 14 0 + 0 0 . chr13 45832147 45832148 chr13:45832148:C:A rs56383712 C A c EBF1_EBF_1 17 0 + 0 0 . chr13 45872380 45872381 chr13:45872381:T:G rs2437359 T G T EBF1_EBF_1 23 0 + 0 0 . chr13 45879057 45879058 chr13:45879058:A:T rs1036400 A T T EBF1_EBF_1 -14 0 + 0 0 . chr13 45886839 45886840 chr13:45886840:G:A rs77612907 G A G EBF1_EBF_1 -17 0 - 0 0 . chr13 45886840 45886841 chr13:45886841:C:T rs74580235 C T c EBF1_EBF_1 -18 0 - 0 0 . chr13 45925990 45925991 chr13:45925991:G:T rs2060508 G T G EBF1_EBF_1 2 1 - 5.1559845335571985 3.3603403574004727 AACCCCTAGGTACG chr13 46183572 46183573 chr13:46183573:G:C rs3759486 G C G EBF1_EBF_1 17 0 + 0 0 . chr13 46354709 46354710 chr13:46354710:C:T rs4942515 C T C EBF1_EBF_1 7 1 + 4.435860132407409 6.253364878690033 AATCCCACGGCACT chr13 46355273 46355274 chr13:46355274:C:G rs2765628 C G C EBF1_EBF_1 -12 0 - 0 0 . chr13 46387369 46387370 chr13:46387370:C:T rs560617654 C T C EBF1_EBF_1 -13 0 - 0 0 . chr13 46537934 46537935 chr13:46537935:T:A rs61949275 T A T EBF1_EBF_1 -8 0 - 0 0 . chr13 46552455 46552456 chr13:46552456:G:A rs183511100 G A G EBF1_EBF_1 4 1 - 3.831987342530069 -0.5373996024148548 AGCGCCAAGGGACG chr13 46554761 46554762 chr13:46554762:G:A chr13:46554762:G:A G A G EBF1_EBF_1 4 1 - 7.847987802654199 3.478600857709274 CTCCCCCAGGGAGC chr13 46554902 46554903 chr13:46554903:C:T rs565443153 C T C EBF1_EBF_1 30 0 + 0 0 . chr13 46567425 46567426 chr13:46567426:G:A rs34271323 G A G EBF1_EBF_1 29 0 - 0 0 . chr13 46666975 46666976 chr13:46666976:G:A rs139322080 G A G EBF1_EBF_1 32 0 + 0 0 . chr13 46757115 46757116 chr13:46757116:G:A rs1027157380 G A G EBF1_EBF_1 -19 0 + 0 0 . chr13 46757154 46757155 chr13:46757155:G:A rs117725431 G A G EBF1_EBF_1 20 0 + 0 0 . chr13 46775988 46775989 chr13:46775989:C:A rs2794657 C A A EBF1_EBF_1 15 0 - 0 0 . chr13 46866400 46866401 chr13:46866401:G:C rs1424516703 G C G EBF1_EBF_1 32 0 - 0 0 . chr13 46866424 46866425 chr13:46866425:C:T rs2770296 C T T EBF1_EBF_1 8 1 - 4.48829542896716 0.2620053400808985 TCTCCCCTGAGGCC chr13 46866447 46866448 chr13:46866448:T:C rs76489282 T C T EBF1_EBF_1 -15 0 - 0 0 . chr13 46894358 46894359 chr13:46894359:G:A chr13:46894359:G:A G A G EBF1_EBF_1 0 1 - 5.256567087911945 5.5387691486425235 CTCCCCTAGGGGGC chr13 47168214 47168215 chr13:47168215:C:T chr13:47168215:C:T C T C EBF1_EBF_1 30 0 + 0 0 . chr13 47211986 47211987 chr13:47211987:C:T rs112813477 C T C EBF1_EBF_1 3 1 + 7.979403991615627 1.5740052500623103 AGACCCTGGGGACC chr13 47233895 47233896 chr13:47233896:A:G rs74412849 A G A EBF1_EBF_1 32 0 - 0 0 . chr13 47234328 47234329 chr13:47234329:C:T rs9526291 C T T EBF1_EBF_1 3 1 + 9.16092488220706 2.7555261406537457 AATCCCCAGGGTCT chr13 47252052 47252053 chr13:47252053:C:T rs73178170 C T C EBF1_EBF_1 12 1 - 4.993875381796951 6.412692723896428 AACCCCCAGAGAGG chr13 47257751 47257752 chr13:47257752:A:G rs7329613 A G G EBF1_EBF_1 17 0 - 0 0 . chr13 47502807 47502808 chr13:47502808:C:T rs7331318 C T C EBF1_EBF_1 -11 0 - 0 0 . chr13 47524799 47524800 chr13:47524800:T:C rs1359666 T C T EBF1_EBF_1 -17 0 - 0 0 . chr13 47544703 47544704 chr13:47544704:G:A rs1326488 G A G EBF1_EBF_1 15 0 + 0 0 . chr13 47553235 47553236 chr13:47553236:G:A rs9591076 G A G EBF1_EBF_1 7 1 + 4.903309347861544 6.215767753150369 TCTCCCTGGGGCTT chr13 47673081 47673082 chr13:47673082:A:G rs537455922 A G A EBF1_EBF_1 -11 0 - 0 0 . chr13 47774396 47774397 chr13:47774397:A:G rs767756082 A G A EBF1_EBF_1 -17 0 + 0 0 . chr13 47829236 47829237 chr13:47829237:T:C rs725772 T C C EBF1_EBF_1 -7 0 + 0 0 . chr13 47901429 47901430 chr13:47901430:T:C rs9591118 T C T EBF1_EBF_1 30 0 + 0 0 . chr13 47917000 47917001 chr13:47917001:C:T rs9534872 C T T EBF1_EBF_1 -2 0 + 0 0 . chr13 48037901 48037902 chr13:48037902:C:G rs149436418 C G C EBF1_EBF_1 24 0 + 0 0 . chr13 48072513 48072514 chr13:48072514:G:A rs149148476 G A G EBF1_EBF_1 23 0 - 0 0 . chr13 48094655 48094656 chr13:48094656:G:T rs7986400 G T G EBF1_EBF_1 -15 0 + 0 0 . chr13 48094677 48094678 chr13:48094678:A:G rs12584738 A G A EBF1_EBF_1 7 1 + 5.17129441163501 3.8588360063461846 AGCCCCCAAGGAGC chr13 48127378 48127379 chr13:48127379:G:C rs1199423293 G C G EBF1_EBF_1 6 1 - 7.880517906381872 6.075325842908672 GCTCCCCGGGGATA chr13 48127622 48127623 chr13:48127623:C:T rs142410757 C T C EBF1_EBF_1 23 0 - 0 0 . chr13 48127668 48127669 chr13:48127669:C:G rs78406187 C G C EBF1_EBF_1 -10 0 - 0 0 . chr13 48156578 48156579 chr13:48156579:A:C rs117633819 A C A EBF1_EBF_1 20 0 - 0 0 . chr13 48220051 48220052 chr13:48220052:C:T rs2406804 C T T EBF1_EBF_1 6 1 + 5.285871413591218 5.587157542452976 AATCCCCAGAAACT chr13 48221841 48221842 chr13:48221842:T:C rs115047481 T C T EBF1_EBF_1 -19 0 - 0 0 . chr13 48233118 48233119 chr13:48233119:G:A rs1466302447 G A G EBF1_EBF_1 31 0 - 0 0 . chr13 48233150 48233151 chr13:48233151:T:A chr13:48233151:T:A T A t EBF1_EBF_1 -1 0 - 0 0 . chr13 48304630 48304631 chr13:48304631:A:G chr13:48304631:A:G A G A EBF1_EBF_1 27 0 - 0 0 . chr13 48317699 48317700 chr13:48317700:G:A rs3825417 G A G EBF1_EBF_1 12 1 + 4.024225455917192 5.44304279801667 ATCCCCTTGGGCGG chr13 48477344 48477345 chr13:48477345:T:A rs3092904 T A t EBF1_EBF_1 -16 0 + 0 0 . chr13 48496667 48496668 chr13:48496668:C:T rs75695823 C T C EBF1_EBF_1 15 0 + 0 0 . chr13 48533656 48533657 chr13:48533657:T:C rs9591170 T C T EBF1_EBF_1 -8 0 - 0 0 . chr13 48542127 48542128 chr13:48542128:G:A rs9316399 G A A EBF1_EBF_1 24 0 - 0 0 . chr13 48546197 48546198 chr13:48546198:G:A rs9526479 G A A EBF1_EBF_1 10 1 + 7.122739206600927 1.8047107473339608 AATCCTCAGGGAAC chr13 48560201 48560202 chr13:48560202:C:T rs73489011 C T T EBF1_EBF_1 -3 0 - 0 0 . chr13 48601275 48601276 chr13:48601276:A:G rs542596301 A G A EBF1_EBF_1 14 0 - 0 0 . chr13 48634338 48634339 chr13:48634339:G:A rs8000783 G A A EBF1_EBF_1 9 1 + 5.193887709831147 2.538948444383543 AACCCCAGGGGGCG chr13 48641860 48641861 chr13:48641861:T:C rs34119347 T C T EBF1_EBF_1 24 0 - 0 0 . chr13 48641880 48641881 chr13:48641881:T:G rs12867110 T G G EBF1_EBF_1 4 1 - 6.446667777768309 12.271227401221774 CTTCACTAGGGACT chr13 48641885 48641886 chr13:48641886:G:C rs7331325 G C C EBF1_EBF_1 -1 0 - 0 0 . chr13 48726893 48726894 chr13:48726894:G:A rs148831479 G A G EBF1_EBF_1 25 0 - 0 0 . chr13 48726900 48726901 chr13:48726901:A:G rs12584964 A G A EBF1_EBF_1 18 0 - 0 0 . chr13 48726901 48726902 chr13:48726902:A:G rs188111665 A G A EBF1_EBF_1 17 0 - 0 0 . chr13 48727072 48727073 chr13:48727073:T:C rs775619354 T C T EBF1_EBF_1 7 1 - 5.293815948157865 3.9813575428690395 ACTCCCTAAGGGCA chr13 48732242 48732243 chr13:48732243:C:G rs536793829 C G C EBF1_EBF_1 21 0 - 0 0 . chr13 48732876 48732877 chr13:48732877:T:C rs9595982 T C T EBF1_EBF_1 -16 0 - 0 0 . chr13 48748678 48748679 chr13:48748679:A:T rs7327830 A T T EBF1_EBF_1 -9 0 + 0 0 . chr13 48748720 48748721 chr13:48748721:C:T rs17072179 C T C EBF1_EBF_1 33 0 + 0 0 . chr13 48840575 48840576 chr13:48840576:T:A rs9535090 T A T EBF1_EBF_1 -3 0 + 0 0 . chr13 48944373 48944374 chr13:48944374:G:A rs1025602121 G A G EBF1_EBF_1 4 1 - 7.745426535536277 3.376039590591355 ATCCCCTTGGGCCT chr13 48999086 48999087 chr13:48999087:G:A rs728075 G A G EBF1_EBF_1 20 0 - 0 0 . chr13 49300877 49300878 chr13:49300878:A:C rs143588803 A C A EBF1_EBF_1 -6 0 + 0 0 . chr13 49320137 49320138 chr13:49320138:A:G rs9596080 A G A EBF1_EBF_1 -4 0 - 0 0 . chr13 49370581 49370582 chr13:49370582:A:G rs55969417 A G A EBF1_EBF_1 -9 0 + 0 0 . chr13 49521306 49521307 chr13:49521307:A:G rs9526568 A G A EBF1_EBF_1 7 1 + 6.9413640895403335 5.628905684251506 ATTCCCTAAGGATG chr13 49561171 49561172 chr13:49561172:T:C rs9596148 T C C EBF1_EBF_1 23 0 + 0 0 . chr13 49628661 49628662 chr13:49628662:A:G rs115533989 A G A EBF1_EBF_1 -11 0 - 0 0 . chr13 49631182 49631183 chr13:49631183:G:T rs77404715 G T G EBF1_EBF_1 -7 0 + 0 0 . chr13 49678428 49678429 chr13:49678429:G:A rs9535297 G A G EBF1_EBF_1 33 0 - 0 0 . chr13 49678442 49678443 chr13:49678443:G:C rs56006419 G C G EBF1_EBF_1 19 0 - 0 0 . chr13 49683280 49683281 chr13:49683281:C:T rs61959935 C T C EBF1_EBF_1 9 1 - 4.360651169931275 1.7057119044836704 CCTCCCAAGGTGCT chr13 49827564 49827565 chr13:49827565:C:A rs61961506 C A A EBF1_EBF_1 28 0 - 0 0 . chr13 49859298 49859299 chr13:49859299:A:T rs2025225 A T A EBF1_EBF_1 14 0 + 0 0 . chr13 49937137 49937138 chr13:49937138:A:G rs900282283 A G A EBF1_EBF_1 33 0 - 0 0 . chr13 49952409 49952410 chr13:49952410:C:A rs74078721 C A C EBF1_EBF_1 3 1 + 6.295587063971048 -0.6105869654947591 TTCCCCCAGGGTAT chr13 49999313 49999314 chr13:49999314:A:G rs2803840 A G G EBF1_EBF_1 27 0 + 0 0 . chr13 50124016 50124017 chr13:50124017:A:C rs7981648 A C A EBF1_EBF_1 -19 0 - 0 0 . chr13 50125778 50125779 chr13:50125779:G:T rs947202953 G T G EBF1_EBF_1 -10 0 + 0 0 . chr13 50127101 50127102 chr13:50127102:G:A rs706619 G A G EBF1_EBF_1 -9 0 + 0 0 . chr13 50127693 50127694 chr13:50127694:A:G rs187386703 A G A EBF1_EBF_1 -18 0 - 0 0 . chr13 50129553 50129554 chr13:50129554:C:T rs2812203 C T C EBF1_EBF_1 20 0 - 0 0 . chr13 50129566 50129567 chr13:50129567:C:G rs117127134 C G C EBF1_EBF_1 7 1 - 9.835364406711872 8.854043825630518 AGCCCCAGGGGACC chr13 50209194 50209195 chr13:50209195:G:A rs937851983 G A G EBF1_EBF_1 16 0 + 0 0 . chr13 50229533 50229534 chr13:50229534:A:C rs2703079 A C C EBF1_EBF_1 -8 0 - 0 0 . chr13 50270735 50270736 chr13:50270736:T:C rs73495890 T C T EBF1_EBF_1 7 1 + 11.273406580883139 9.455901834600516 AATCCCATGGGATC chr13 50339043 50339044 chr13:50339044:T:G rs200717120 T G T EBF1_EBF_1 27 0 - 0 0 . chr13 50357428 50357429 chr13:50357429:G:T rs12871645 G T G EBF1_EBF_1 -18 0 + 0 0 . chr13 50357463 50357464 chr13:50357464:T:C rs2240810 T C T EBF1_EBF_1 17 0 + 0 0 . chr13 50358591 50358592 chr13:50358592:A:G rs530068990 A G A EBF1_EBF_1 -12 0 - 0 0 . chr13 50377140 50377141 chr13:50377141:C:T rs1014008429 C T C EBF1_EBF_1 -3 0 - 0 0 . chr13 50401304 50401305 chr13:50401305:C:T rs138048927 C T C EBF1_EBF_1 10 1 - 5.4578048835012645 0.1397764242342983 ATACCCAAAGGACA chr13 50436446 50436447 chr13:50436447:C:G rs1432772184 C G C EBF1_EBF_1 3 1 + 4.317113267272278 -1.5106511808429397 CCTCCCAAAGGGCT chr13 50474267 50474268 chr13:50474268:A:C rs60379059 A C a EBF1_EBF_1 13 1 + 8.075008317469337 8.448753766755312 AGTCCCCAAGGACA chr13 50486187 50486188 chr13:50486188:G:A rs74076633 G A G EBF1_EBF_1 -10 0 - 0 0 . chr13 50493097 50493098 chr13:50493098:T:G rs7991128 T G T EBF1_EBF_1 23 0 - 0 0 . chr13 50501599 50501600 chr13:50501600:T:C rs1753639 T C C EBF1_EBF_1 -10 0 - 0 0 . chr13 50578474 50578475 chr13:50578475:G:A rs1239704 G A A EBF1_EBF_1 14 0 - 0 0 . chr13 50677952 50677953 chr13:50677953:C:G rs553946891 C G C EBF1_EBF_1 4 1 + 6.4598179777194344 0.8340528765698902 CACCCCCAGAGACT chr13 50740192 50740193 chr13:50740193:G:A rs2706239 G A A EBF1_EBF_1 23 0 + 0 0 . chr13 50756288 50756289 chr13:50756289:C:T rs572849 C T C EBF1_EBF_1 15 0 + 0 0 . chr13 50788841 50788842 chr13:50788842:C:G rs56376116 C G C EBF1_EBF_1 -13 0 + 0 0 . chr13 50840417 50840418 chr13:50840418:A:G rs57753237 A G A EBF1_EBF_1 -17 0 + 0 0 . chr13 50843390 50843391 chr13:50843391:G:A chr13:50843391:G:A G A G EBF1_EBF_1 5 1 - 5.479736469086651 0.15794925354598188 ACTCCCCAGAGGAG chr13 50843398 50843399 chr13:50843399:G:A rs898861 G A G EBF1_EBF_1 -3 0 - 0 0 . chr13 50892393 50892394 chr13:50892394:T:G rs111792554 T G T EBF1_EBF_1 -14 0 + 0 0 . chr13 50895136 50895137 chr13:50895137:A:G rs76115524 A G A EBF1_EBF_1 1 1 + 6.846100578523177 7.106799410444479 CATCCCCTGAGATT chr13 50912294 50912295 chr13:50912295:T:C rs541576426 T C T EBF1_EBF_1 7 1 - 6.0666720944156065 4.754213689126782 GCTCCCCAGGTAAA chr13 50915049 50915050 chr13:50915050:G:A rs9526707 G A G EBF1_EBF_1 26 0 - 0 0 . chr13 50994024 50994025 chr13:50994025:A:G rs2147098 A G T EBF1_EBF_1 21 0 - 0 0 . chr13 51000140 51000141 chr13:51000141:G:A rs999513 G A G EBF1_EBF_1 11 1 + 6.492734575432561 9.385441419036573 AGCCCCAAGGGGGA chr13 51035970 51035971 chr13:51035971:T:C rs77580929 T C T EBF1_EBF_1 27 0 + 0 0 . chr13 51084219 51084220 chr13:51084220:G:A rs3803200 G A G EBF1_EBF_1 -13 0 - 0 0 . chr13 51104952 51104953 chr13:51104953:G:A rs73188235 G A G EBF1_EBF_1 -15 0 + 0 0 . chr13 51115926 51115927 chr13:51115927:C:A chr13:51115927:C:A C A C EBF1_EBF_1 32 0 - 0 0 . chr13 51131388 51131389 chr13:51131389:T:C rs7991731 T C T EBF1_EBF_1 -13 0 + 0 0 . chr13 51135330 51135331 chr13:51135331:G:A rs12869206 G A G EBF1_EBF_1 -12 0 - 0 0 . chr13 51167982 51167983 chr13:51167983:G:A rs9285176 G A A EBF1_EBF_1 -14 0 + 0 0 . chr13 51256336 51256337 chr13:51256337:C:T rs7999012 C T T EBF1_EBF_1 -10 0 + 0 0 . chr13 51259581 51259582 chr13:51259582:G:A rs2182539 G A G EBF1_EBF_1 10 1 + 4.5156894464825905 -0.8023390127843761 CCTCCCTGGAGGCT chr13 51262709 51262710 chr13:51262710:T:C rs4942986 T C C EBF1_EBF_1 11 1 - 11.724187818154022 8.83148097455001 AGTCCCAGGGGACC chr13 51579771 51579772 chr13:51579772:G:A rs79723969 G A G EBF1_EBF_1 -17 0 + 0 0 . chr13 51583865 51583866 chr13:51583866:A:C rs796576191 A C A EBF1_EBF_1 9 1 + 5.986770054533192 3.566020173635331 ACTCCCAGGAGGCC chr13 51583874 51583875 chr13:51583875:G:C rs188827183 G C G EBF1_EBF_1 18 0 + 0 0 . chr13 51584109 51584110 chr13:51584110:T:C rs529618983 T C T EBF1_EBF_1 22 0 - 0 0 . chr13 51645226 51645227 chr13:51645227:G:A rs748855857 G A G EBF1_EBF_1 -1 0 - 0 0 . chr13 51645367 51645368 chr13:51645368:A:G rs76580826 A G A EBF1_EBF_1 15 0 - 0 0 . chr13 51758920 51758921 chr13:51758921:C:T rs9535751 C T C EBF1_EBF_1 26 0 - 0 0 . chr13 51769557 51769558 chr13:51769558:A:G rs77580290 A G A EBF1_EBF_1 27 0 + 0 0 . chr13 51776404 51776405 chr13:51776405:G:C rs10467512 G C C EBF1_EBF_1 -15 0 + 0 0 . chr13 51803159 51803160 chr13:51803160:C:T rs2773268 C T C EBF1_EBF_1 -19 0 + 0 0 . chr13 51811334 51811335 chr13:51811335:C:A rs2408526 C A A EBF1_EBF_1 21 0 - 0 0 . chr13 51812107 51812108 chr13:51812108:A:G rs114497853 A G A EBF1_EBF_1 -4 0 + 0 0 . chr13 51812143 51812144 chr13:51812144:C:A rs12864768 C A C EBF1_EBF_1 32 0 + 0 0 . chr13 51830769 51830770 chr13:51830770:A:G rs117271340 A G A EBF1_EBF_1 21 0 - 0 0 . chr13 51832707 51832708 chr13:51832708:C:T rs2181890 C T C EBF1_EBF_1 -12 0 + 0 0 . chr13 51840562 51840563 chr13:51840563:C:T rs58680597 C T C EBF1_EBF_1 -2 0 + 0 0 . chr13 51845332 51845333 chr13:51845333:G:A rs76299119 G A G EBF1_EBF_1 -9 0 + 0 0 . chr13 51846119 51846120 chr13:51846120:C:G rs1886320 C G C EBF1_EBF_1 26 0 + 0 0 . chr13 51849786 51849787 chr13:51849787:A:G rs1371820676 A G A EBF1_EBF_1 -15 0 + 0 0 . chr13 51849831 51849832 chr13:51849832:A:G rs118026173 A G A EBF1_EBF_1 30 0 + 0 0 . chr13 51871956 51871957 chr13:51871957:T:C rs913900 T C T EBF1_EBF_1 14 0 + 0 0 . chr13 51876125 51876126 chr13:51876126:A:C rs7999573 A C a EBF1_EBF_1 -10 0 - 0 0 . chr13 51907637 51907638 chr13:51907638:C:T rs34038648 C T C EBF1_EBF_1 32 0 + 0 0 . chr13 51924441 51924442 chr13:51924442:G:C rs9535790 G C G EBF1_EBF_1 1 1 - 6.228846646928791 6.418618301498008 CCTCTCCTGGGACT chr13 51946298 51946299 chr13:51946299:C:T rs1801248 C T C EBF1_EBF_1 7 1 - 4.605771310418486 5.918229715707311 AGCCCCTGGAGATG chr13 51966953 51966954 chr13:51966954:T:C rs4941716 T C t EBF1_EBF_1 -1 0 - 0 0 . chr13 51982866 51982867 chr13:51982867:T:C rs2147360 T C T EBF1_EBF_1 27 0 + 0 0 . chr13 52011176 52011177 chr13:52011177:C:T rs61958788 C T C EBF1_EBF_1 -17 0 + 0 0 . chr13 52011654 52011655 chr13:52011655:A:C chr13:52011655:A:C A C A EBF1_EBF_1 25 0 + 0 0 . chr13 52052506 52052507 chr13:52052507:C:T rs2408547 C T T EBF1_EBF_1 0 1 - 6.3089955373526125 8.201279406917445 GTTCCCCTGAGGCT chr13 52159555 52159556 chr13:52159556:G:T rs116528644 G T G EBF1_EBF_1 10 1 + 4.737299606140211 0.5545474199129463 CTTCCCCGGCGACC chr13 52159572 52159573 chr13:52159573:T:C rs146509048 T C T EBF1_EBF_1 27 0 + 0 0 . chr13 52203640 52203641 chr13:52203641:C:T rs61958860 C T c EBF1_EBF_1 18 0 + 0 0 . chr13 52218683 52218684 chr13:52218684:A:C rs2478945 A C - EBF1_EBF_1 23 0 + 0 0 . chr13 52335638 52335639 chr13:52335639:A:C rs6561662 A C C EBF1_EBF_1 31 0 - 0 0 . chr13 52335639 52335640 chr13:52335640:G:A rs6561663 G A A EBF1_EBF_1 30 0 - 0 0 . chr13 52405886 52405887 chr13:52405887:T:G chr13:52405887:T:G T G T EBF1_EBF_1 22 0 + 0 0 . chr13 52448164 52448165 chr13:52448165:T:C rs61959673 T C C EBF1_EBF_1 -2 0 + 0 0 . chr13 52455984 52455985 chr13:52455985:G:T rs150469542 G T G EBF1_EBF_1 29 0 - 0 0 . chr13 52455990 52455991 chr13:52455991:C:T rs138324939 C T C EBF1_EBF_1 23 0 - 0 0 . chr13 52599903 52599904 chr13:52599904:T:C rs1207737190 T C t EBF1_EBF_1 -20 0 + 0 0 . chr13 52599952 52599953 chr13:52599953:C:G rs112900159 C G N EBF1_EBF_1 29 0 + 0 0 . chr13 52652550 52652551 chr13:52652551:T:A chr13:52652551:T:A T A T EBF1_EBF_1 -5 0 - 0 0 . chr13 52712214 52712215 chr13:52712215:T:G rs1219806044 T G T EBF1_EBF_1 -7 0 - 0 0 . chr13 52713988 52713989 chr13:52713989:C:T chr13:52713989:C:T C T C EBF1_EBF_1 4 1 + 5.418043748300143 1.04865680335522 AGCCCCAGGAGAGA chr13 52738976 52738977 chr13:52738977:C:T rs113584845 C T C EBF1_EBF_1 5 1 + 5.658226202635954 0.3364389870952827 TCCCCCTAGGGGCA chr13 52739241 52739242 chr13:52739242:G:A rs150057428 G A G EBF1_EBF_1 -12 0 + 0 0 . chr13 52739265 52739266 chr13:52739266:G:A rs192537657 G A G EBF1_EBF_1 12 1 + 10.24207861510679 11.660895957206266 AGCCCCCAGGGAGT chr13 52790227 52790228 chr13:52790228:C:T rs403759 C T C EBF1_EBF_1 -4 0 - 0 0 . chr13 52894339 52894340 chr13:52894340:T:C rs57176750 T C T EBF1_EBF_1 -11 0 - 0 0 . chr13 52936695 52936696 chr13:52936696:T:C rs9568779 T C C EBF1_EBF_1 29 0 - 0 0 . chr13 52937074 52937075 chr13:52937075:G:T rs61951878 G T G EBF1_EBF_1 -12 0 + 0 0 . chr13 52937081 52937082 chr13:52937082:A:G rs76486098 A G A EBF1_EBF_1 -5 0 + 0 0 . chr13 52938281 52938282 chr13:52938282:C:T rs1079015 C T C EBF1_EBF_1 5 1 + 6.898203373502382 1.576416157961713 ATTCCCAGGAGAGG chr13 52953032 52953033 chr13:52953033:G:A rs12867633 G A G EBF1_EBF_1 18 0 - 0 0 . chr13 52969745 52969746 chr13:52969746:C:T rs116952670 C T C EBF1_EBF_1 31 0 + 0 0 . chr13 53005733 53005734 chr13:53005734:A:T rs1475194 A T T EBF1_EBF_1 22 0 - 0 0 . chr13 53064947 53064948 chr13:53064948:A:G rs147957766 A G A EBF1_EBF_1 7 1 + 6.2376053558251865 4.92514695053636 TTTCCCAAGAGAGG chr13 53074565 53074566 chr13:53074566:A:G rs2806961 A G A EBF1_EBF_1 -19 0 + 0 0 . chr13 53075557 53075558 chr13:53075558:C:T rs35666947 C T C EBF1_EBF_1 -20 0 - 0 0 . chr13 53126757 53126758 chr13:53126758:A:C rs74737137 A C A EBF1_EBF_1 -20 0 + 0 0 . chr13 53138244 53138245 chr13:53138245:A:G rs6561706 A G A EBF1_EBF_1 6 1 - 5.950933258387284 5.649647129525528 GTTCCCTGGGTAAA chr13 53461070 53461071 chr13:53461071:T:C rs4884464 T C T EBF1_EBF_1 33 0 + 0 0 . chr13 53499648 53499649 chr13:53499649:T:G rs1072900 T G G EBF1_EBF_1 0 1 + 6.289309690087097 6.3700822434882864 TACCCCAAGAGAAC chr13 53551046 53551047 chr13:53551047:T:A rs2225203 T A A EBF1_EBF_1 14 0 - 0 0 . chr13 53601162 53601163 chr13:53601163:A:C rs1415160 A C A EBF1_EBF_1 15 0 - 0 0 . chr13 53601278 53601279 chr13:53601279:C:G rs9316633 C G G EBF1_EBF_1 14 0 - 0 0 . chr13 53601376 53601377 chr13:53601377:A:G rs7317926 A G A EBF1_EBF_1 18 0 + 0 0 . chr13 53621785 53621786 chr13:53621786:C:T rs9536485 C T T EBF1_EBF_1 17 0 + 0 0 . chr13 53634418 53634419 chr13:53634419:G:A rs9536493 G A G EBF1_EBF_1 20 0 - 0 0 . chr13 53695120 53695121 chr13:53695121:A:T rs2806725 A T T EBF1_EBF_1 18 0 - 0 0 . chr13 53788488 53788489 chr13:53788489:A:G rs9568925 A G A EBF1_EBF_1 -18 0 + 0 0 . chr13 53821278 53821279 chr13:53821279:A:C rs1379827 A C A EBF1_EBF_1 -10 0 + 0 0 . chr13 54092948 54092949 chr13:54092949:C:G rs915444 C G G EBF1_EBF_1 -13 0 - 0 0 . chr13 54093094 54093095 chr13:54093095:T:G rs1889821 T G G EBF1_EBF_1 11 1 - 12.697978712415743 8.282017014563355 ATCCCCAAGGGACT chr13 54183275 54183276 chr13:54183276:G:A rs2152083 G A G EBF1_EBF_1 -3 0 - 0 0 . chr13 54286935 54286936 chr13:54286936:A:G rs1334871 A G G EBF1_EBF_1 -20 0 + 0 0 . chr13 54313078 54313079 chr13:54313079:A:G rs12584722 A G G EBF1_EBF_1 -11 0 - 0 0 . chr13 54465564 54465565 chr13:54465565:A:G rs2409078 A G A EBF1_EBF_1 31 0 - 0 0 . chr13 54471201 54471202 chr13:54471202:C:T rs796028 C T T EBF1_EBF_1 8 1 - 4.360651169931275 0.13436108104501288 CCTCCCAAGGTGCT chr13 54547199 54547200 chr13:54547200:C:T rs9316732 C T C EBF1_EBF_1 -9 0 - 0 0 . chr13 54736902 54736903 chr13:54736903:A:G rs11841151 A G A EBF1_EBF_1 -6 0 - 0 0 . chr13 54787865 54787866 chr13:54787866:G:A rs9527270 G A G EBF1_EBF_1 32 0 + 0 0 . chr13 54819545 54819546 chr13:54819546:C:T rs4460957 C T T EBF1_EBF_1 -15 0 - 0 0 . chr13 54845724 54845725 chr13:54845725:A:G rs7989053 A G A EBF1_EBF_1 -8 0 + 0 0 . chr13 55104800 55104801 chr13:55104801:G:A rs9536962 G A G EBF1_EBF_1 -5 0 + 0 0 . chr13 55179816 55179817 chr13:55179817:A:G rs12862673 A G G EBF1_EBF_1 20 0 + 0 0 . chr13 55409892 55409893 chr13:55409893:T:C rs9316795 T C T EBF1_EBF_1 6 1 - 8.064047867739841 5.897253436465366 TACCCCATGGGACA chr13 55410808 55410809 chr13:55410809:T:C rs3124380 T C C EBF1_EBF_1 12 1 + 4.9774570579386435 6.003723628489493 CCTCCCCAAGGATC chr13 55410860 55410861 chr13:55410861:C:T rs2315885 C T C EBF1_EBF_1 -13 0 - 0 0 . chr13 55553732 55553733 chr13:55553733:T:C rs658343 T C C EBF1_EBF_1 6 1 + 5.058927683932976 4.757641555071218 AACCCTTTGGGAAC chr13 55868128 55868129 chr13:55868129:T:C rs1603561 T C c EBF1_EBF_1 30 0 - 0 0 . chr13 56019388 56019389 chr13:56019389:G:A rs55774708 G A G EBF1_EBF_1 8 1 - 5.847225972726559 4.2744520446640495 AGTCCCTACAGACT chr13 56210262 56210263 chr13:56210263:T:C rs1355638 T C C EBF1_EBF_1 7 1 - 10.725766376023493 9.413307970734671 ATTCCCCAGAGACC chr13 56272190 56272191 chr13:56272191:G:A rs867297 G A G EBF1_EBF_1 12 1 - 5.302174301950572 4.275907731399722 GTCCCCATGGTACC chr13 57011504 57011505 chr13:57011505:A:G rs9537562 A G A EBF1_EBF_1 7 1 - 4.804002115932277 2.986497369649652 ATACCCCTGGGCCC chr13 57148293 57148294 chr13:57148294:T:C rs1252364722 T C - EBF1_EBF_1 -18 0 - 0 0 . chr13 57184429 57184430 chr13:57184430:A:G rs9597532 A G A EBF1_EBF_1 -3 0 + 0 0 . chr13 57787583 57787584 chr13:57787584:G:A rs7318103 G A G EBF1_EBF_1 -10 0 + 0 0 . chr13 57857900 57857901 chr13:57857901:G:A rs9537830 G A G EBF1_EBF_1 -5 0 + 0 0 . chr13 57964563 57964564 chr13:57964564:T:C rs9537881 T C T EBF1_EBF_1 7 1 + 5.694391911353955 3.8768871650713317 TCTCCCATGAGGCT chr13 58346679 58346680 chr13:58346680:G:C rs4884301 G C C EBF1_EBF_1 -5 0 - 0 0 . chr13 58405990 58405991 chr13:58405991:C:T rs78232914 C T C EBF1_EBF_1 -17 0 - 0 0 . chr13 58680204 58680205 chr13:58680205:G:T rs9569931 G T G EBF1_EBF_1 -8 0 + 0 0 . chr13 58716104 58716105 chr13:58716105:G:A rs9563640 G A a EBF1_EBF_1 -7 0 + 0 0 . chr13 58773312 58773313 chr13:58773313:A:G rs66499226 A G G EBF1_EBF_1 -10 0 + 0 0 . chr13 58843676 58843677 chr13:58843677:G:A rs76755514 G A G EBF1_EBF_1 5 1 - 8.117878977895863 2.7960917623551937 GCTCCCAAGAGATT chr13 58856893 58856894 chr13:58856894:G:A rs190118705 G A G EBF1_EBF_1 6 1 - 5.8375021923816375 6.1387883212433945 AGCCCCCCGAGACC chr13 58998783 58998784 chr13:58998784:G:T rs80137341 G T G EBF1_EBF_1 10 1 + 7.592657311886694 3.4099051256594306 ATTCTCAAGAGAAT chr13 59188660 59188661 chr13:59188661:G:A rs9563697 G A G EBF1_EBF_1 -1 0 - 0 0 . chr13 59279895 59279896 chr13:59279896:G:A rs931578627 G A G EBF1_EBF_1 -19 0 - 0 0 . chr13 59364582 59364583 chr13:59364583:T:C rs139088145 T C T EBF1_EBF_1 28 0 - 0 0 . chr13 59364623 59364624 chr13:59364624:C:A rs1409255 C A A EBF1_EBF_1 -13 0 - 0 0 . chr13 59390399 59390400 chr13:59390400:A:G rs9570102 A G G EBF1_EBF_1 -18 0 - 0 0 . chr13 59446695 59446696 chr13:59446696:G:A rs9317078 G A G EBF1_EBF_1 -19 0 - 0 0 . chr13 59496928 59496929 chr13:59496929:C:T rs4886167 C T C EBF1_EBF_1 -16 0 - 0 0 . chr13 59531431 59531432 chr13:59531432:G:T rs77316247 G T G EBF1_EBF_1 -7 0 - 0 0 . chr13 60267719 60267720 chr13:60267720:C:T rs1274199562 C T C EBF1_EBF_1 -3 0 - 0 0 . chr13 60396584 60396585 chr13:60396585:G:A rs192538391 G A G EBF1_EBF_1 4 1 - 8.760349506244792 4.390962561299868 CTCCCCCTGGGACC chr13 60397458 60397459 chr13:60397459:G:A rs9538679 G A A EBF1_EBF_1 5 1 - 4.802879743096298 -0.5189074724443735 CCTCCCTGGGGCCC chr13 60397463 60397464 chr13:60397464:G:A rs1428898911 G A G EBF1_EBF_1 0 1 - 4.802879743096298 5.085081803826876 CCTCCCTGGGGCCC chr13 60397786 60397787 chr13:60397787:A:G rs1495276 A G G EBF1_EBF_1 -13 0 - 0 0 . chr13 60591571 60591572 chr13:60591572:C:T rs11148503 C T T EBF1_EBF_1 21 0 - 0 0 . chr13 60613007 60613008 chr13:60613008:T:G rs17058310 T G G EBF1_EBF_1 2 1 + 5.243378543537405 -1.5049471466630617 AGTTCCCAGGGATC chr13 60645680 60645681 chr13:60645681:T:G rs9538809 T G T EBF1_EBF_1 -13 0 + 0 0 . chr13 60661519 60661520 chr13:60661520:A:G rs7336438 A G A EBF1_EBF_1 33 0 - 0 0 . chr13 60663047 60663048 chr13:60663048:T:C rs2323004 T C t EBF1_EBF_1 8 1 - 7.400964011775996 11.627254100662256 GGTCCCCAAGGACT chr13 60663127 60663128 chr13:60663128:T:C rs9538838 T C T EBF1_EBF_1 -4 0 - 0 0 . chr13 60663171 60663172 chr13:60663172:C:T rs4564472 C T C EBF1_EBF_1 -13 0 + 0 0 . chr13 60753912 60753913 chr13:60753913:A:G rs3119879 A G G EBF1_EBF_1 17 0 - 0 0 . chr13 60805912 60805913 chr13:60805913:G:A rs144640846 G A - EBF1_EBF_1 22 0 + 0 0 . chr13 60859738 60859739 chr13:60859739:C:G rs159895 C G G EBF1_EBF_1 26 0 - 0 0 . chr13 60870571 60870572 chr13:60870572:C:G rs113349285 C G C EBF1_EBF_1 -11 0 - 0 0 . chr13 60925144 60925145 chr13:60925145:T:C rs78402387 T C T EBF1_EBF_1 -3 0 + 0 0 . chr13 61003645 61003646 chr13:61003646:G:T rs59270187 G T G EBF1_EBF_1 3 1 - 6.960005445483432 0.05383141601762664 CATCCCCAGAGAGT chr13 61129460 61129461 chr13:61129461:C:G rs75740893 C G C EBF1_EBF_1 31 0 - 0 0 . chr13 61233275 61233276 chr13:61233276:C:T rs9592096 C T C EBF1_EBF_1 4 1 + 7.644201119401148 3.274814174456223 ACACCCTAGGGAAG chr13 61358793 61358794 chr13:61358794:C:A rs77173031 C A C EBF1_EBF_1 -17 0 + 0 0 . chr13 61424532 61424533 chr13:61424533:C:T rs4405448 C T C EBF1_EBF_1 -17 0 + 0 0 . chr13 61486526 61486527 chr13:61486527:A:G rs74081853 A G g EBF1_EBF_1 -20 0 - 0 0 . chr13 61664999 61665000 chr13:61665000:C:T rs35751247 C T T EBF1_EBF_1 19 0 - 0 0 . chr13 61852299 61852300 chr13:61852300:T:G rs7982789 T G G EBF1_EBF_1 11 1 - 5.610728719139676 1.1947670212872867 ATACCTAAGGGAAT chr13 61963780 61963781 chr13:61963781:T:C rs9539375 T C C EBF1_EBF_1 28 0 + 0 0 . chr13 61969744 61969745 chr13:61969745:A:G rs148446013 A G A EBF1_EBF_1 -5 0 - 0 0 . chr13 62039061 62039062 chr13:62039062:T:C rs4482174 T C T EBF1_EBF_1 -8 0 - 0 0 . chr13 62448018 62448019 chr13:62448019:G:A rs2084050 G A G EBF1_EBF_1 33 0 - 0 0 . chr13 62882273 62882274 chr13:62882274:A:G rs1855785 A G G EBF1_EBF_1 -6 0 + 0 0 . chr13 62920348 62920349 chr13:62920349:C:T rs77834418 C T C EBF1_EBF_1 13 1 + 4.792937335357128 5.637431449942646 TGTCACATGGGAAC chr13 62997891 62997892 chr13:62997892:C:A rs67501058 C A C EBF1_EBF_1 30 0 - 0 0 . chr13 63307310 63307311 chr13:63307311:T:G rs298796 T G G EBF1_EBF_1 28 0 - 0 0 . chr13 63393188 63393189 chr13:63393189:A:G rs9539729 A G A EBF1_EBF_1 31 0 + 0 0 . chr13 63464561 63464562 chr13:63464562:C:T rs1340496 C T C EBF1_EBF_1 0 1 + 4.174527277285636 4.456729338016214 CTACCCCAGGGGCA chr13 63481390 63481391 chr13:63481391:T:A rs116574117 T A T EBF1_EBF_1 -7 0 + 0 0 . chr13 63788208 63788209 chr13:63788209:T:A chr13:63788209:T:A T A . EBF1_EBF_1 2 1 + 10.390693433392961 6.706225845794084 ATTCCCAAAGGAAT chr13 63840447 63840448 chr13:63840448:C:G rs544829335 C G . EBF1_EBF_1 32 0 - 0 0 . chr13 63840470 63840471 chr13:63840471:C:G rs7988642 C G . EBF1_EBF_1 9 1 - 5.350578473939781 0.27488932759431783 CCCCCCCTGGGATG chr13 63847360 63847361 chr13:63847361:T:G rs61958604 T G . EBF1_EBF_1 -14 0 + 0 0 . chr13 63987506 63987507 chr13:63987507:T:G rs9317371 T G G EBF1_EBF_1 -15 0 + 0 0 . chr13 64000341 64000342 chr13:64000342:C:A rs970705 C A C EBF1_EBF_1 9 1 - 5.604736980536041 -1.2322524275527684 CTTCCCAGAGGAAA chr13 64025790 64025791 chr13:64025791:G:A rs68191116 G A A EBF1_EBF_1 -6 0 + 0 0 . chr13 64062722 64062723 chr13:64062723:C:G rs3926619 C G C EBF1_EBF_1 30 0 - 0 0 . chr13 64130370 64130371 chr13:64130371:G:A rs9571109 G A G EBF1_EBF_1 -1 0 + 0 0 . chr13 64130395 64130396 chr13:64130396:T:G rs9571110 T G T EBF1_EBF_1 24 0 + 0 0 . chr13 64190876 64190877 chr13:64190877:T:C rs11844018 T C C EBF1_EBF_1 -15 0 - 0 0 . chr13 64304576 64304577 chr13:64304577:C:T rs11839863 C T T EBF1_EBF_1 30 0 - 0 0 . chr13 64304577 64304578 chr13:64304578:T:C rs11841360 T C T EBF1_EBF_1 29 0 - 0 0 . chr13 64327789 64327790 chr13:64327790:G:T rs61949878 G T T EBF1_EBF_1 6 1 + 4.91986269908142 7.026340891416375 CCTCCCGTGAGACA chr13 64327797 64327798 chr13:64327798:C:A rs61949879 C A C EBF1_EBF_1 14 0 + 0 0 . chr13 64370814 64370815 chr13:64370815:C:T rs56036104 C T C EBF1_EBF_1 9 1 - 5.8951255409569185 3.2401862755093136 ACTCCCTATGGACC chr13 64506654 64506655 chr13:64506655:G:T rs9540132 G T G EBF1_EBF_1 24 0 + 0 0 . chr13 64550148 64550149 chr13:64550149:G:A rs7998011 G A A EBF1_EBF_1 -8 0 + 0 0 . chr13 64550567 64550568 chr13:64550568:T:C rs1572453 T C T EBF1_EBF_1 -7 0 + 0 0 . chr13 64684879 64684880 chr13:64684880:T:C rs146961942 T C T EBF1_EBF_1 -5 0 + 0 0 . chr13 65303574 65303575 chr13:65303575:G:A rs61953978 G A G EBF1_EBF_1 3 1 - 7.231423385288654 0.826024643735336 TATCCCAAGGGCCT chr13 65310815 65310816 chr13:65310816:C:T rs144738625 C T C EBF1_EBF_1 -12 0 + 0 0 . chr13 65310848 65310849 chr13:65310849:A:C rs1106999 A C C EBF1_EBF_1 21 0 + 0 0 . chr13 65314218 65314219 chr13:65314219:A:G rs9571360 A G G EBF1_EBF_1 9 1 + 4.218158265967617 6.873097531415221 AGCCCCCTGAGGGT chr13 65314351 65314352 chr13:65314352:C:T rs12867830 C T C EBF1_EBF_1 18 0 + 0 0 . chr13 65341760 65341761 chr13:65341761:A:G rs550648851 A G A EBF1_EBF_1 -5 0 + 0 0 . chr13 65354104 65354105 chr13:65354105:A:T rs9634924 A T A EBF1_EBF_1 2 1 - 3.9853998760182288 0.300932288419353 GATCCACTGGGACT chr13 65596847 65596848 chr13:65596848:A:T rs9592421 A T A EBF1_EBF_1 25 0 + 0 0 . chr13 65643115 65643116 chr13:65643116:C:T rs61954071 C T C EBF1_EBF_1 15 0 + 0 0 . chr13 65784182 65784183 chr13:65784183:A:G rs3939347 A G G EBF1_EBF_1 -4 0 + 0 0 . chr13 65914951 65914952 chr13:65914952:T:C rs17079364 T C T EBF1_EBF_1 -8 0 + 0 0 . chr13 65971613 65971614 chr13:65971614:C:T rs71442682 C T C EBF1_EBF_1 5 1 + 8.830986011894804 3.5091987963541342 ACACCCCAGGGAAC chr13 65971619 65971620 chr13:65971620:A:C rs144159358 A C A EBF1_EBF_1 11 1 + 8.830986011894804 4.415024314042415 ACACCCCAGGGAAC chr13 66009610 66009611 chr13:66009611:G:C rs115077878 G C g EBF1_EBF_1 22 0 + 0 0 . chr13 66146553 66146554 chr13:66146554:G:T rs7323519 G T G EBF1_EBF_1 23 0 - 0 0 . chr13 66220368 66220369 chr13:66220369:C:T rs9317580 C T C EBF1_EBF_1 -3 0 - 0 0 . chr13 66420183 66420184 chr13:66420184:T:C rs7139700 T C T EBF1_EBF_1 -14 0 + 0 0 . chr13 66461351 66461352 chr13:66461352:T:C rs9540765 T C T EBF1_EBF_1 -2 0 - 0 0 . chr13 66461352 66461353 chr13:66461353:A:T rs9529069 A T A EBF1_EBF_1 -3 0 - 0 0 . chr13 66520524 66520525 chr13:66520525:A:C rs9540785 A C C EBF1_EBF_1 -1 0 + 0 0 . chr13 66737594 66737595 chr13:66737595:T:C rs11148714 T C - EBF1_EBF_1 11 1 - 4.187187528881177 1.2944806852771658 ACTCCCTAGTGAGA chr13 66860837 66860838 chr13:66860838:G:A rs61448207 G A G EBF1_EBF_1 6 1 - 6.488022032157399 6.7893081610191555 TTTCCCCTGGAAAT chr13 67001691 67001692 chr13:67001692:C:T rs73211157 C T C EBF1_EBF_1 -5 0 + 0 0 . chr13 67147503 67147504 chr13:67147504:C:T rs143751471 C T C EBF1_EBF_1 10 1 - 5.769083383875433 0.4510549246084668 TCTCTCCAGGGACA chr13 67148042 67148043 chr13:67148043:T:C rs186648041 T C T EBF1_EBF_1 32 0 - 0 0 . chr13 67148072 67148073 chr13:67148073:A:G rs913493 A G A EBF1_EBF_1 2 1 - 4.571917318624544 2.6830939071823927 TTTCACTGGGGACC chr13 67278462 67278463 chr13:67278463:A:G rs9529213 A G A EBF1_EBF_1 -1 0 + 0 0 . chr13 67324257 67324258 chr13:67324258:T:G rs3013578 T G G EBF1_EBF_1 -4 0 + 0 0 . chr13 67547480 67547481 chr13:67547481:T:A rs4450283 T A T EBF1_EBF_1 19 0 - 0 0 . chr13 67575158 67575159 chr13:67575159:A:G rs9541155 A G A EBF1_EBF_1 19 0 - 0 0 . chr13 67635946 67635947 chr13:67635947:C:T rs73507640 C T C EBF1_EBF_1 -8 0 - 0 0 . chr13 67669851 67669852 chr13:67669852:G:A rs575325555 G A g EBF1_EBF_1 17 0 + 0 0 . chr13 67841274 67841275 chr13:67841275:C:T rs7992626 C T t EBF1_EBF_1 15 0 + 0 0 . chr13 67858003 67858004 chr13:67858004:T:A rs139865837 T A T EBF1_EBF_1 -2 0 - 0 0 . chr13 68012448 68012449 chr13:68012449:T:C rs962412844 T C T EBF1_EBF_1 -20 0 - 0 0 . chr13 68395442 68395443 chr13:68395443:T:C rs4884777 T C T EBF1_EBF_1 29 0 - 0 0 . chr13 68411332 68411333 chr13:68411333:G:A rs61961470 G A G EBF1_EBF_1 8 1 + 4.360651169931275 0.13436108104501288 CCTCCCAAGGTGCT chr13 68795886 68795887 chr13:68795887:G:A rs9572015 G A G EBF1_EBF_1 -16 0 - 0 0 . chr13 68796022 68796023 chr13:68796023:T:G rs117211244 T G T EBF1_EBF_1 23 0 + 0 0 . chr13 68813522 68813523 chr13:68813523:T:C rs616735 T C C EBF1_EBF_1 13 1 + 5.218699499698354 4.374205385112836 GCTCCCTCAGGACT chr13 68983287 68983288 chr13:68983288:G:A rs9541673 G A G EBF1_EBF_1 -16 0 + 0 0 . chr13 69035237 69035238 chr13:69035238:G:A rs7994838 G A G EBF1_EBF_1 28 0 + 0 0 . chr13 69092887 69092888 chr13:69092888:C:T rs9285264 C T T EBF1_EBF_1 20 0 - 0 0 . chr13 69092898 69092899 chr13:69092899:C:T rs17657532 C T C EBF1_EBF_1 9 1 - 6.069419592254735 3.4144803268071313 AATCCCCACGGATC chr13 69092926 69092927 chr13:69092927:T:C rs11148798 T C T EBF1_EBF_1 -19 0 - 0 0 . chr13 69106788 69106789 chr13:69106789:A:G rs1953645 A G G EBF1_EBF_1 24 0 - 0 0 . chr13 69106796 69106797 chr13:69106797:A:G rs1953644 A G A EBF1_EBF_1 16 0 - 0 0 . chr13 69215192 69215193 chr13:69215193:G:A rs1009408 G A G EBF1_EBF_1 15 0 - 0 0 . chr13 69360675 69360676 chr13:69360676:C:T rs35464684 C T C EBF1_EBF_1 13 1 + 7.692544063621534 8.537038178207052 GTTCCCATGAGATC chr13 69424048 69424049 chr13:69424049:G:T rs57212794 G T G EBF1_EBF_1 10 1 + 4.305184830722571 0.1224326444953064 ATCCCTCTGGGAGC chr13 69487662 69487663 chr13:69487663:T:G rs73206484 T G G EBF1_EBF_1 3 1 + 4.887578604665481 5.465212898103582 TTTTCCATGGGACC chr13 69714728 69714729 chr13:69714729:G:A rs7323633 G A G EBF1_EBF_1 12 1 - 5.57644668032973 4.55018010977888 CATCCCTGGGGCCT chr13 69770126 69770127 chr13:69770127:G:T rs9572269 G T G EBF1_EBF_1 9 1 + 11.265954792989922 4.428965384901113 ACCCCCTTGGGACT chr13 69798319 69798320 chr13:69798320:T:C rs12430131 T C T EBF1_EBF_1 -19 0 - 0 0 . chr13 69827473 69827474 chr13:69827474:G:A rs149904985 G A G EBF1_EBF_1 21 0 - 0 0 . chr13 70000058 70000059 chr13:70000059:A:G rs9564639 A G A EBF1_EBF_1 6 1 + 7.692544063621534 5.525749632347058 GTTCCCATGAGATC chr13 70020663 70020664 chr13:70020664:A:C rs9542162 A C C EBF1_EBF_1 28 0 + 0 0 . chr13 70067053 70067054 chr13:70067054:G:A rs9529676 G A C EBF1_EBF_1 -16 0 - 0 0 . chr13 70107172 70107173 chr13:70107173:G:A rs9564649 G A G EBF1_EBF_1 -7 0 + 0 0 . chr13 70249976 70249977 chr13:70249977:T:C rs9542247 T C T EBF1_EBF_1 7 1 - 8.593293277182728 7.2808348718939015 AGTCCCAAGGAAAT chr13 70302430 70302431 chr13:70302431:T:A rs114440049 T A A EBF1_EBF_1 -15 0 - 0 0 . chr13 70317018 70317019 chr13:70317019:T:C rs7324222 T C . EBF1_EBF_1 18 0 + 0 0 . chr13 70355335 70355336 chr13:70355336:G:C rs117274372 G C G EBF1_EBF_1 -2 0 - 0 0 . chr13 70355341 70355342 chr13:70355342:C:T rs17829812 C T C EBF1_EBF_1 -8 0 - 0 0 . chr13 70386718 70386719 chr13:70386719:C:T rs117182054 C T C EBF1_EBF_1 0 1 + 4.911985258108789 5.194187318839368 CCTCCCCAGGAACC chr13 70391836 70391837 chr13:70391837:G:C rs79054124 G C G EBF1_EBF_1 4 1 - 4.659217464609127 -0.9665476365404181 CCCCCCAAGAGAGC chr13 70623973 70623974 chr13:70623974:C:T rs9317955 C T C EBF1_EBF_1 10 1 - 5.981582207967663 0.6635537487006964 TCTCCCATGGGCCC chr13 70672398 70672399 chr13:70672399:G:T rs1591190 G T G EBF1_EBF_1 29 0 + 0 0 . chr13 70737146 70737147 chr13:70737147:C:T rs12874338 C T C EBF1_EBF_1 -7 0 + 0 0 . chr13 70924459 70924460 chr13:70924460:G:C rs7317800 G C C EBF1_EBF_1 0 1 + 8.16840801756959 7.805433403437823 GATCCCTAGAGACC chr13 70924470 70924471 chr13:70924471:A:C rs7317307 A C C EBF1_EBF_1 11 1 + 8.16840801756959 3.7524463197172 GATCCCTAGAGACC chr13 70989740 70989741 chr13:70989741:G:A rs146274016 G A G EBF1_EBF_1 4 1 - 5.484525997854516 1.1151390529095924 TTACCCCTGGGAGA chr13 71032869 71032870 chr13:71032870:A:G rs803794 A G G EBF1_EBF_1 -12 0 + 0 0 . chr13 71395205 71395206 chr13:71395206:G:A rs532841440 G A G EBF1_EBF_1 -10 0 + 0 0 . chr13 71864261 71864262 chr13:71864262:A:T rs9564854 A T T EBF1_EBF_1 13 1 - 4.5544372181216755 3.336197654250181 CCTTCCATGGGACT chr13 71865274 71865275 chr13:71865275:C:T rs1325340 C T T EBF1_EBF_1 12 1 + 4.291684487052754 3.2654179165019035 AGTCCCCGGAGCCC chr13 71865283 71865284 chr13:71865284:G:A rs145376068 G A G EBF1_EBF_1 8 1 + 5.350969961747224 1.1246798728609626 CATCCCCCGGGATG chr13 71867551 71867552 chr13:71867552:T:C rs145816992 T C T EBF1_EBF_1 28 0 - 0 0 . chr13 72062562 72062563 chr13:72062563:A:G rs192168996 A G A EBF1_EBF_1 -17 0 + 0 0 . chr13 72220959 72220960 chr13:72220960:C:T rs58202086 C T c EBF1_EBF_1 21 0 - 0 0 . chr13 72314335 72314336 chr13:72314336:C:T rs116483670 C T C EBF1_EBF_1 -5 0 - 0 0 . chr13 72436764 72436765 chr13:72436765:C:T rs9572981 C T c EBF1_EBF_1 -19 0 - 0 0 . chr13 72449954 72449955 chr13:72449955:G:A rs55808210 G A G EBF1_EBF_1 11 1 + 6.462733543840384 9.355440387444395 AGTCCCAAGAGGTC chr13 72534955 72534956 chr13:72534956:A:G rs12428313 A G A EBF1_EBF_1 -8 0 - 0 0 . chr13 72626238 72626239 chr13:72626239:C:A rs12866028 C A C EBF1_EBF_1 10 1 - 6.899639414024369 2.7168872277971046 GCTCCCAAGAGATA chr13 72650322 72650323 chr13:72650323:T:C rs9530053 T C C EBF1_EBF_1 6 1 - 5.524879259504259 3.358084828229784 ACTGCCAGGGGATT chr13 72654828 72654829 chr13:72654829:C:T rs11843894 C T C EBF1_EBF_1 30 0 + 0 0 . chr13 72781482 72781483 chr13:72781483:G:T rs116722055 G T G EBF1_EBF_1 3 1 - 8.60395593212178 1.6977819026559742 ACCCCCTCGGGACC chr13 72781556 72781557 chr13:72781557:C:A rs192988333 C A C EBF1_EBF_1 -4 0 + 0 0 . chr13 73030380 73030381 chr13:73030381:A:G rs7332571 A G G EBF1_EBF_1 30 0 - 0 0 . chr13 73186179 73186180 chr13:73186180:C:T rs4883924 C T T EBF1_EBF_1 -6 0 - 0 0 . chr13 73201833 73201834 chr13:73201834:T:C rs9543264 T C T EBF1_EBF_1 11 1 + 6.6458904368613965 6.327842995107733 ATTCCCAAGAGTAA chr13 73259270 73259271 chr13:73259271:A:G rs1050032544 A G A EBF1_EBF_1 -18 0 + 0 0 . chr13 73402725 73402726 chr13:73402726:C:T rs9543361 C T C EBF1_EBF_1 18 0 + 0 0 . chr13 73640632 73640633 chr13:73640633:G:C rs747745879 G C G EBF1_EBF_1 5 1 - 4.187187528881177 -2.7176936237539917 ACTCCCTAGTGAGA chr13 73704827 73704828 chr13:73704828:A:G rs7333671 A G A EBF1_EBF_1 7 1 + 6.869821017487377 5.557362612198552 TATCCCCAGGGCCT chr13 73767896 73767897 chr13:73767897:C:T rs2025426 C T C EBF1_EBF_1 -10 0 + 0 0 . chr13 73768409 73768410 chr13:73768410:A:C rs2025425 A C C EBF1_EBF_1 -13 0 - 0 0 . chr13 73838124 73838125 chr13:73838125:T:G rs9530249 T G T EBF1_EBF_1 -5 0 - 0 0 . chr13 73969648 73969649 chr13:73969649:C:T rs10507820 C T C EBF1_EBF_1 3 1 + 4.649147393625421 -1.756251347927896 ACTCCTCAGGGATG chr13 73973582 73973583 chr13:73973583:A:G rs9600204 A G A EBF1_EBF_1 11 1 + 7.895771144057026 5.003064300453014 GCTCCCTAGAGAAA chr13 74012350 74012351 chr13:74012351:T:C rs12858058 T C T EBF1_EBF_1 -13 0 + 0 0 . chr13 74026787 74026788 chr13:74026788:C:T rs117004120 C T C EBF1_EBF_1 -11 0 + 0 0 . chr13 74036714 74036715 chr13:74036715:G:A rs117877548 G A G EBF1_EBF_1 -6 0 + 0 0 . chr13 74105023 74105024 chr13:74105024:G:A rs9530281 G A G EBF1_EBF_1 1 1 - 5.4271612502536115 6.322594690652355 ACTCCCTGTGGACT chr13 74135287 74135288 chr13:74135288:G:A rs1401852306 G A G EBF1_EBF_1 -17 0 + 0 0 . chr13 74135292 74135293 chr13:74135293:C:T rs7324578 C T C EBF1_EBF_1 -12 0 + 0 0 . chr13 74187562 74187563 chr13:74187563:A:G rs7988935 A G G EBF1_EBF_1 -20 0 + 0 0 . chr13 74205185 74205186 chr13:74205186:T:C rs1417990 T C T EBF1_EBF_1 22 0 + 0 0 . chr13 74233425 74233426 chr13:74233426:G:A rs71433113 G A G EBF1_EBF_1 1 1 + 4.481041100731956 4.220342268810654 AGTGCCTCGGGACA chr13 74301993 74301994 chr13:74301994:C:T rs9573370 C T C EBF1_EBF_1 12 1 + 4.211962751277167 3.1856961807263176 ATCACCCAGGGACA chr13 74389025 74389026 chr13:74389026:G:A rs9592995 G A G EBF1_EBF_1 -13 0 - 0 0 . chr13 74406638 74406639 chr13:74406639:G:A rs140969488 G A G EBF1_EBF_1 -20 0 + 0 0 . chr13 74413330 74413331 chr13:74413331:G:A rs61965142 G A G EBF1_EBF_1 1 1 - 5.480002978940454 6.375436419339198 ACTCCCAACGGGCT chr13 74413336 74413337 chr13:74413337:G:T rs60626014 G T G EBF1_EBF_1 -5 0 - 0 0 . chr13 74479261 74479262 chr13:74479262:A:G rs111625847 A G A EBF1_EBF_1 21 0 + 0 0 . chr13 74494521 74494522 chr13:74494522:G:A rs61965190 G A - EBF1_EBF_1 31 0 - 0 0 . chr13 74536576 74536577 chr13:74536577:G:A rs9530338 G A G EBF1_EBF_1 -5 0 - 0 0 . chr13 74577461 74577462 chr13:74577462:T:G rs114667014 T G T EBF1_EBF_1 -19 0 - 0 0 . chr13 74603610 74603611 chr13:74603611:C:T rs9285291 C T T EBF1_EBF_1 3 1 + 11.318057768587458 4.912659027034142 AATCCCAAGGGAAG chr13 74682140 74682141 chr13:74682141:T:C rs73227709 T C T EBF1_EBF_1 -11 0 - 0 0 . chr13 74743595 74743596 chr13:74743596:C:T rs7335059 C T C EBF1_EBF_1 -11 0 - 0 0 . chr13 74794367 74794368 chr13:74794368:C:G chr13:74794368:C:G C G G EBF1_EBF_1 18 0 - 0 0 . chr13 74794367 74794368 chr13:74794368:C:T rs7983242 C T G EBF1_EBF_1 18 0 - 0 0 . chr13 75071956 75071957 chr13:75071957:G:A rs1326056 G A G EBF1_EBF_1 1 1 + 4.794650072205375 4.533951240284074 CGCCCCAAGGGTCC chr13 75136129 75136130 chr13:75136130:G:T rs115881564 G T G EBF1_EBF_1 19 0 + 0 0 . chr13 75151540 75151541 chr13:75151541:C:A rs78524678 C A C EBF1_EBF_1 4 1 + 7.933075162480636 2.1085155390271684 AGACCCACGGGAAT chr13 75173844 75173845 chr13:75173845:C:T rs114023377 C T C EBF1_EBF_1 33 0 - 0 0 . chr13 75173893 75173894 chr13:75173894:A:G rs73216293 A G A EBF1_EBF_1 -16 0 - 0 0 . chr13 75196604 75196605 chr13:75196605:G:A rs140386062 G A G EBF1_EBF_1 -4 0 - 0 0 . chr13 75227292 75227293 chr13:75227293:A:C rs9565142 A C A EBF1_EBF_1 18 0 + 0 0 . chr13 75240207 75240208 chr13:75240208:C:A rs61960514 C A C EBF1_EBF_1 -6 0 + 0 0 . chr13 75363664 75363665 chr13:75363665:T:A rs80286416 T A T EBF1_EBF_1 -3 0 + 0 0 . chr13 75478078 75478079 chr13:75478079:C:T rs77064613 C T C EBF1_EBF_1 -11 0 + 0 0 . chr13 75482551 75482552 chr13:75482552:C:T chr13:75482552:C:T C T c EBF1_EBF_1 29 0 - 0 0 . chr13 75486185 75486186 chr13:75486186:C:G rs953109 C G C EBF1_EBF_1 14 0 - 0 0 . chr13 75617747 75617748 chr13:75617748:G:A rs9544004 G A A EBF1_EBF_1 -6 0 - 0 0 . chr13 75640321 75640322 chr13:75640322:A:G rs1323692 A G G EBF1_EBF_1 17 0 + 0 0 . chr13 75669438 75669439 chr13:75669439:C:T rs4884012 C T T EBF1_EBF_1 23 0 + 0 0 . chr13 75699534 75699535 chr13:75699535:G:C rs7336908 G C G EBF1_EBF_1 6 1 - 4.3028654578450904 2.4976733943718923 CACCCCCGGAGACC chr13 75705229 75705230 chr13:75705230:G:C rs9600531 G C C EBF1_EBF_1 18 0 - 0 0 . chr13 75711657 75711658 chr13:75711658:T:C rs66918117 T C T EBF1_EBF_1 23 0 + 0 0 . chr13 75788766 75788767 chr13:75788767:A:G rs78605856 A G A EBF1_EBF_1 -10 0 + 0 0 . chr13 75818396 75818397 chr13:75818397:G:A rs73227985 G A G EBF1_EBF_1 -7 0 - 0 0 . chr13 75872345 75872346 chr13:75872346:A:G rs1323565 A G G EBF1_EBF_1 20 0 - 0 0 . chr13 75932781 75932782 chr13:75932782:A:G rs34437822 A G A EBF1_EBF_1 11 1 + 5.972435319093774 3.0797284754897625 AGTCCCACAGGAGT chr13 75949018 75949019 chr13:75949019:A:G rs7489352 A G G EBF1_EBF_1 -12 0 - 0 0 . chr13 76015147 76015148 chr13:76015148:G:T rs7335951 G T T EBF1_EBF_1 10 1 + 6.050400382717477 1.8676481964902123 ATTGCCCTGGGATC chr13 76142930 76142931 chr13:76142931:T:C rs56312793 T C T EBF1_EBF_1 -2 0 - 0 0 . chr13 76272225 76272226 chr13:76272226:G:T rs76237804 G T G EBF1_EBF_1 26 0 + 0 0 . chr13 76272274 76272275 chr13:76272275:C:T rs78112836 C T c EBF1_EBF_1 7 1 + 4.106738279553698 5.924243025836322 GTCCCCCCGAGAGT chr13 76330747 76330748 chr13:76330748:G:A rs77277553 G A g EBF1_EBF_1 30 0 - 0 0 . chr13 76638499 76638500 chr13:76638500:C:G rs79550518 C G C EBF1_EBF_1 -14 0 + 0 0 . chr13 76812256 76812257 chr13:76812257:C:T rs4363758 C T C EBF1_EBF_1 -18 0 + 0 0 . chr13 76934677 76934678 chr13:76934678:C:T rs866449 C T C EBF1_EBF_1 8 1 - 5.308603426718218 1.082313337831957 AGACCCAAGAGAGC chr13 76965649 76965650 chr13:76965650:C:T rs17067027 C T C EBF1_EBF_1 -3 0 - 0 0 . chr13 76991889 76991890 chr13:76991890:C:T rs1294300668 C T C EBF1_EBF_1 -6 0 - 0 0 . chr13 76991894 76991895 chr13:76991895:C:T rs150590292 C T C EBF1_EBF_1 -11 0 - 0 0 . chr13 77326450 77326451 chr13:77326451:G:A rs115092351 G A G EBF1_EBF_1 19 0 - 0 0 . chr13 77386222 77386223 chr13:77386223:A:G rs7997843 A G G EBF1_EBF_1 29 0 + 0 0 . chr13 77407201 77407202 chr13:77407202:G:C rs7997043 G C G EBF1_EBF_1 -5 0 - 0 0 . chr13 77460383 77460384 chr13:77460384:C:T chr13:77460384:C:T C T C EBF1_EBF_1 -4 0 + 0 0 . chr13 77636175 77636176 chr13:77636176:T:C rs2329035 T C C EBF1_EBF_1 14 0 + 0 0 . chr13 77811022 77811023 chr13:77811023:G:A rs1759975 G A A EBF1_EBF_1 -18 0 - 0 0 . chr13 78009920 78009921 chr13:78009921:A:G rs9530711 A G G EBF1_EBF_1 18 0 - 0 0 . chr13 78015937 78015938 chr13:78015938:C:T rs9544672 C T C EBF1_EBF_1 -14 0 + 0 0 . chr13 78061931 78061932 chr13:78061932:C:G rs2775128 C G C EBF1_EBF_1 -11 0 + 0 0 . chr13 78061932 78061933 chr13:78061933:C:G rs147913401 C G C EBF1_EBF_1 -10 0 + 0 0 . chr13 78061966 78061967 chr13:78061967:T:C rs78018103 T C C EBF1_EBF_1 24 0 + 0 0 . chr13 78116417 78116418 chr13:78116418:T:A rs67689040 T A A EBF1_EBF_1 13 1 - 4.712237559460665 5.930477123332159 CAACCCCAGGGAGA chr13 78326800 78326801 chr13:78326801:A:T rs75026186 A T A EBF1_EBF_1 11 1 + 7.182400568731657 3.084486312632931 ATTCACCAGGGACA chr13 78337515 78337516 chr13:78337516:G:A rs117658536 G A G EBF1_EBF_1 -13 0 + 0 0 . chr13 78341599 78341600 chr13:78341600:G:C rs1831224 G C C EBF1_EBF_1 5 1 - 6.4816739157532375 -0.4232072368819307 AACCCCGTGGGAGA chr13 78365825 78365826 chr13:78365826:C:T rs2249750 C T T EBF1_EBF_1 -19 0 + 0 0 . chr13 78468710 78468711 chr13:78468711:C:T rs77514730 C T C EBF1_EBF_1 -12 0 + 0 0 . chr13 78468711 78468712 chr13:78468712:G:A rs74590455 G A G EBF1_EBF_1 -11 0 + 0 0 . chr13 78477902 78477903 chr13:78477903:A:G rs9544814 A G A EBF1_EBF_1 19 0 + 0 0 . chr13 78502572 78502573 chr13:78502573:A:G rs7327545 A G G EBF1_EBF_1 20 0 - 0 0 . chr13 78562437 78562438 chr13:78562438:A:G rs1325625 A G G EBF1_EBF_1 -20 0 + 0 0 . chr13 78605350 78605351 chr13:78605351:G:A rs191742718 G A G EBF1_EBF_1 -17 0 + 0 0 . chr13 78605364 78605365 chr13:78605365:C:T rs12873328 C T T EBF1_EBF_1 -3 0 + 0 0 . chr13 78608563 78608564 chr13:78608564:A:G rs2765065 A G G EBF1_EBF_1 -6 0 - 0 0 . chr13 78609738 78609739 chr13:78609739:G:A rs12427823 G A G EBF1_EBF_1 31 0 + 0 0 . chr13 78689225 78689226 chr13:78689226:T:C rs114769572 T C T EBF1_EBF_1 21 0 - 0 0 . chr13 78689241 78689242 chr13:78689242:G:T rs7325926 G T T EBF1_EBF_1 5 1 - 11.119924935368072 4.215043782732904 AATCCCAGGGGAAA chr13 78864032 78864033 chr13:78864033:G:T rs9574320 G T G EBF1_EBF_1 -8 0 - 0 0 . chr13 78972843 78972844 chr13:78972844:C:T rs12585805 C T C EBF1_EBF_1 10 1 - 6.302378030655929 0.9843495713889625 GTTCCCAAGAGGCC chr13 79213816 79213817 chr13:79213817:A:C rs11842559 A C A EBF1_EBF_1 -16 0 + 0 0 . chr13 79217916 79217917 chr13:79217917:A:G rs12874895 A G G EBF1_EBF_1 25 0 + 0 0 . chr13 79394075 79394076 chr13:79394076:T:G rs7331766 T G T EBF1_EBF_1 -11 0 + 0 0 . chr13 79441437 79441438 chr13:79441438:T:C rs59755477 T C T EBF1_EBF_1 7 1 + 4.401544557241603 2.584039810958979 TTTCCCATTGGACC chr13 79441711 79441712 chr13:79441712:G:A rs11838700 G A G EBF1_EBF_1 -2 0 - 0 0 . chr13 79448034 79448035 chr13:79448035:T:G rs958316 T G T EBF1_EBF_1 6 1 + 8.104840204573733 5.998362012238777 TCCCCCTTGGGAAA chr13 79448540 79448541 chr13:79448541:G:A rs9574424 G A G EBF1_EBF_1 -4 0 - 0 0 . chr13 79459628 79459629 chr13:79459629:T:C rs73548948 T C C EBF1_EBF_1 16 0 - 0 0 . chr13 79782370 79782371 chr13:79782371:T:C rs9545194 T C C EBF1_EBF_1 14 0 + 0 0 . chr13 79838450 79838451 chr13:79838451:T:A rs77929810 T A T EBF1_EBF_1 13 1 + 5.646843379744777 4.428603815873283 AATCCCAACAGACT chr13 80094032 80094033 chr13:80094033:T:C rs145110980 T C T EBF1_EBF_1 6 1 - 6.819443001537349 4.652648570262875 TTTCCCATGGAACT chr13 80114013 80114014 chr13:80114014:A:G rs73241021 A G A EBF1_EBF_1 27 0 - 0 0 . chr13 80133547 80133548 chr13:80133548:T:C rs9574571 T C T EBF1_EBF_1 11 1 - 7.0308101803400405 4.13810333673603 AACCTCCAGGGAAT chr13 80262191 80262192 chr13:80262192:G:C rs372713676 G C G EBF1_EBF_1 2 1 - 6.667520234939825 1.8080179561815064 CACCCCATGGGACG chr13 80278728 80278729 chr13:80278729:G:A rs4578534 G A g EBF1_EBF_1 -5 0 - 0 0 . chr13 80340459 80340460 chr13:80340460:T:G chr13:80340460:T:G T G T EBF1_EBF_1 11 1 - 3.96187106530401 -0.4540906325483799 GCTCCCCGCGGACC chr13 80342779 80342780 chr13:80342780:C:T rs183222233 C T C EBF1_EBF_1 16 0 - 0 0 . chr13 80452755 80452756 chr13:80452756:G:C rs9531064 G C G EBF1_EBF_1 23 0 + 0 0 . chr13 80523366 80523367 chr13:80523367:C:G rs138057498 C G C EBF1_EBF_1 8 1 - 10.58095966321902 5.2623008023043365 ATTCCCTTGAGAAC chr13 80524738 80524739 chr13:80524739:G:T rs9574668 G T T EBF1_EBF_1 -8 0 + 0 0 . chr13 80572658 80572659 chr13:80572659:G:A rs1892096 G A G EBF1_EBF_1 25 0 - 0 0 . chr13 80605931 80605932 chr13:80605932:G:C rs60598194 G C G EBF1_EBF_1 4 1 - 4.506683983269947 -1.119081117879597 CCCCCCAAAGGAAC chr13 80636893 80636894 chr13:80636894:G:A rs1176308 G A G EBF1_EBF_1 -9 0 - 0 0 . chr13 80667274 80667275 chr13:80667275:C:A rs1176281 C A a EBF1_EBF_1 12 1 - 9.837565128902613 10.199934502029913 AGTCCCAAGAGAGT chr13 80706314 80706315 chr13:80706315:C:A rs57390205 C A - EBF1_EBF_1 17 0 - 0 0 . chr13 80882422 80882423 chr13:80882423:A:G rs56084863 A G A EBF1_EBF_1 28 0 - 0 0 . chr13 80897662 80897663 chr13:80897663:C:G rs7336465 C G C EBF1_EBF_1 19 0 + 0 0 . chr13 80900807 80900808 chr13:80900808:G:A rs73513367 G A G EBF1_EBF_1 22 0 + 0 0 . chr13 80939856 80939857 chr13:80939857:A:G rs112309657 A G A EBF1_EBF_1 -2 0 - 0 0 . chr13 81320940 81320941 chr13:81320941:T:C rs9574839 T C T EBF1_EBF_1 -4 0 - 0 0 . chr13 81362710 81362711 chr13:81362711:G:A rs9574858 G A G EBF1_EBF_1 9 1 + 6.128513319182487 3.4735740537348843 ATTCCCCTGGAAAG chr13 81362721 81362722 chr13:81362722:T:C rs12877650 T C T EBF1_EBF_1 20 0 + 0 0 . chr13 81466911 81466912 chr13:81466912:A:G rs9574898 A G A EBF1_EBF_1 28 0 - 0 0 . chr13 81520654 81520655 chr13:81520655:G:A rs9531205 G A G EBF1_EBF_1 -13 0 + 0 0 . chr13 81680336 81680337 chr13:81680337:T:C rs1490022 T C T EBF1_EBF_1 8 1 - 5.57552132180279 9.801811410689048 GTTCCCAAAGGGCT chr13 81973812 81973813 chr13:81973813:C:G rs1279989 C G G EBF1_EBF_1 3 1 + 4.030441532544589 -1.7973229155706285 CCACCCAAGGGAGG chr13 82097515 82097516 chr13:82097516:A:T rs9575021 A T T EBF1_EBF_1 22 0 + 0 0 . chr13 82256258 82256259 chr13:82256259:T:C rs146484855 T C T EBF1_EBF_1 -17 0 - 0 0 . chr13 82258192 82258193 chr13:82258193:C:T rs12586029 C T C EBF1_EBF_1 4 1 + 4.037994834873459 -0.33139211007146496 CTCCCCACGGGGCA chr13 82436432 82436433 chr13:82436433:T:G rs9531342 T G G EBF1_EBF_1 -5 0 + 0 0 . chr13 82573084 82573085 chr13:82573085:G:C rs7321926 G C G EBF1_EBF_1 20 0 - 0 0 . chr13 82677524 82677525 chr13:82677525:A:G rs58317419 A G A EBF1_EBF_1 11 1 + 10.653307055599276 7.760600211995266 ATTCCCTAGAGACA chr13 82688991 82688992 chr13:82688992:A:G rs115057899 A G A EBF1_EBF_1 16 0 - 0 0 . chr13 82740673 82740674 chr13:82740674:T:C rs3887406 T C C EBF1_EBF_1 6 1 - 10.248648049362165 8.081853618087692 TTCCCCATGGGACT chr13 82784558 82784559 chr13:82784559:T:C rs4885842 T C C EBF1_EBF_1 -20 0 + 0 0 . chr13 82887113 82887114 chr13:82887114:C:A rs7988084 C A C EBF1_EBF_1 -9 0 - 0 0 . chr13 82948067 82948068 chr13:82948068:A:G rs1361013 A G A EBF1_EBF_1 -12 0 + 0 0 . chr13 83029707 83029708 chr13:83029708:G:C rs7989403 G C G EBF1_EBF_1 13 1 - 5.021600201602105 3.53352918024669 GCTCCCCAGGTAGC chr13 83122104 83122105 chr13:83122105:G:A rs2131320 G A G EBF1_EBF_1 10 1 + 13.772489407693701 8.454460948426735 ATTCCCAAGGGAAC chr13 83187945 83187946 chr13:83187946:C:T rs9546320 C T c EBF1_EBF_1 8 1 - 4.046121858696779 -0.18016823018948236 GACCCCACGAGACC chr13 83248990 83248991 chr13:83248991:A:G rs1119122 A G A EBF1_EBF_1 2 1 + 7.952166616007148 4.888308513405557 AGACCCAAGGGATA chr13 83328224 83328225 chr13:83328225:C:T rs73251365 C T C EBF1_EBF_1 25 0 - 0 0 . chr13 83439644 83439645 chr13:83439645:G:A rs556011702 G A G EBF1_EBF_1 14 0 + 0 0 . chr13 83754457 83754458 chr13:83754458:C:T rs9546507 C T C EBF1_EBF_1 0 1 - 8.144798624716767 10.0370824942816 GCACCCAAGGGAAT chr13 84121546 84121547 chr13:84121547:G:A rs4635214 G A A EBF1_EBF_1 -2 0 + 0 0 . chr13 84297591 84297592 chr13:84297592:T:A rs9602439 T A A EBF1_EBF_1 26 0 - 0 0 . chr13 84528623 84528624 chr13:84528624:C:G rs9565942 C G C EBF1_EBF_1 0 1 - 5.323215412459482 4.960240798327716 GGTGCCCTGGGACT chr13 84528638 84528639 chr13:84528639:C:G rs9565943 C G G EBF1_EBF_1 -15 0 - 0 0 . chr13 84875487 84875488 chr13:84875488:C:T rs72630977 C T c EBF1_EBF_1 0 1 - 7.0081776457218625 8.900461515286693 GTCCCCTAGGGGCC chr13 84917328 84917329 chr13:84917329:C:T rs9531672 C T T EBF1_EBF_1 8 1 - 7.849982412924628 3.623692324038367 ATTTCCCAGGGAAT chr13 84934148 84934149 chr13:84934149:T:G rs9319098 T G T EBF1_EBF_1 2 1 + 6.856879146885347 0.10855345668487842 CTTCCCTAAGGAAA chr13 84962248 84962249 chr13:84962249:A:T rs9602617 A T A EBF1_EBF_1 0 1 + 3.489832080294196 1.5167756573281734 AGTCCCCCTGGACC chr13 85088768 85088769 chr13:85088769:T:C rs7335382 T C t EBF1_EBF_1 6 1 - 7.916082913421232 5.749288482146758 TTCCCCATGGGACG chr13 85094223 85094224 chr13:85094224:T:C rs138053510 T C T EBF1_EBF_1 -14 0 - 0 0 . chr13 85224616 85224617 chr13:85224617:A:G rs142076028 A G A EBF1_EBF_1 16 0 + 0 0 . chr13 85266892 85266893 chr13:85266893:T:A rs9531739 T A T EBF1_EBF_1 -11 0 - 0 0 . chr13 85354534 85354535 chr13:85354535:G:A rs7324686 G A G EBF1_EBF_1 32 0 - 0 0 . chr13 85373411 85373412 chr13:85373412:A:G rs7332804 A G A EBF1_EBF_1 -9 0 - 0 0 . chr13 85401075 85401076 chr13:85401076:C:A rs11617324 C A C EBF1_EBF_1 0 1 + 7.733315930367919 9.988574414064518 CTCCCCATGGGAGC chr13 85639362 85639363 chr13:85639363:A:G rs7139759 A G A EBF1_EBF_1 6 1 - 5.697703382582186 5.396417253720428 AGTCCATAGGGACA chr13 85724734 85724735 chr13:85724735:A:G rs1538069 A G A EBF1_EBF_1 23 0 + 0 0 . chr13 85771129 85771130 chr13:85771130:C:G rs648680 C G C EBF1_EBF_1 19 0 - 0 0 . chr13 85917803 85917804 chr13:85917804:G:A rs1812358 G A G EBF1_EBF_1 8 1 + 3.885901400019419 -0.340388688866842 ACTCCCCAGTGAGA chr13 86136212 86136213 chr13:86136213:A:G rs61963498 A G A EBF1_EBF_1 -2 0 - 0 0 . chr13 86420607 86420608 chr13:86420608:G:A rs7331555 G A G EBF1_EBF_1 17 0 - 0 0 . chr13 86503414 86503415 chr13:86503415:T:C rs67248319 T C T EBF1_EBF_1 7 1 - 4.317113267272278 3.004654861983453 CCTCCCAAAGGGCT chr13 86527602 86527603 chr13:86527603:C:T rs12427753 C T T EBF1_EBF_1 -7 0 + 0 0 . chr13 86527622 86527623 chr13:86527623:A:C rs12428105 A C C EBF1_EBF_1 13 1 + 5.236826997572537 5.610572446858513 ACTCCACAGGGAAA chr13 86527709 86527710 chr13:86527710:C:A rs12427760 C A C EBF1_EBF_1 -1 0 + 0 0 . chr13 86819460 86819461 chr13:86819461:C:T rs4145144 C T C EBF1_EBF_1 -16 0 + 0 0 . chr13 86819464 86819465 chr13:86819465:G:T rs3015513 G T G EBF1_EBF_1 -12 0 + 0 0 . chr13 86994122 86994123 chr13:86994123:C:T rs1300229558 C T C EBF1_EBF_1 24 0 + 0 0 . chr13 86994241 86994242 chr13:86994242:A:C rs74103698 A C A EBF1_EBF_1 19 0 - 0 0 . chr13 87279117 87279118 chr13:87279118:G:A rs9300359 G A G EBF1_EBF_1 8 1 + 4.881322852404319 0.6550327635180575 TCTCCCAAGTGACT chr13 87611061 87611062 chr13:87611062:C:T rs4540935 C T C EBF1_EBF_1 8 1 - 9.676540539329318 5.4502504504430584 AGTCCCCAGAGAAA chr13 88067507 88067508 chr13:88067508:A:G rs1559906 A G A EBF1_EBF_1 24 0 - 0 0 . chr13 88281813 88281814 chr13:88281814:C:G rs9514539 C G G EBF1_EBF_1 24 0 - 0 0 . chr13 88292056 88292057 chr13:88292057:G:C rs145090570 G C G EBF1_EBF_1 8 1 + 3.7600238150411345 -1.5586350458735518 CTTCCCGCGGGGCA chr13 88643919 88643920 chr13:88643920:G:A rs4545671 G A G EBF1_EBF_1 15 0 + 0 0 . chr13 88647422 88647423 chr13:88647423:C:T rs9515156 C T T EBF1_EBF_1 -12 0 + 0 0 . chr13 88666982 88666983 chr13:88666983:A:G rs7330615 A G G EBF1_EBF_1 -12 0 - 0 0 . chr13 88954136 88954137 chr13:88954137:G:A rs7995458 G A G EBF1_EBF_1 -8 0 + 0 0 . chr13 89185611 89185612 chr13:89185612:C:A rs427359 C A C EBF1_EBF_1 9 1 - 3.527197832952245 -3.3097915751365647 GCTCCCTTGGCACC chr13 89278511 89278512 chr13:89278512:G:T rs11619900 G T G EBF1_EBF_1 -3 0 + 0 0 . chr13 89476840 89476841 chr13:89476841:G:A rs1504441 G A G EBF1_EBF_1 19 0 + 0 0 . chr13 89571739 89571740 chr13:89571740:A:G rs9588655 A G G EBF1_EBF_1 -11 0 + 0 0 . chr13 89571773 89571774 chr13:89571774:A:G rs1847510 A G G EBF1_EBF_1 23 0 + 0 0 . chr13 89792219 89792220 chr13:89792220:T:C rs4773529 T C T EBF1_EBF_1 0 1 + 6.170761907303563 5.888559846572985 TGTCCCCTGAGATA chr13 89966649 89966650 chr13:89966650:A:G rs2185651 A G A EBF1_EBF_1 0 1 - 7.0382622735601545 6.756060212829577 TTTCTCCAGGGACC chr13 90000444 90000445 chr13:90000445:A:G rs2805658 A G g EBF1_EBF_1 -15 0 + 0 0 . chr13 90000467 90000468 chr13:90000468:A:G rs2805659 A G a EBF1_EBF_1 8 1 + 6.878399141496337 11.104689230382599 CCTCCCCAAGGAAT chr13 90029155 90029156 chr13:90029156:G:A rs72636953 G A a EBF1_EBF_1 -4 0 - 0 0 . chr13 90033050 90033051 chr13:90033051:C:T rs141024203 C T c EBF1_EBF_1 21 0 - 0 0 . chr13 90055190 90055191 chr13:90055191:C:T rs139642455 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 90245904 90245905 chr13:90245905:C:A rs1931119 C A A EBF1_EBF_1 10 1 - 4.317113267272278 0.13436108104501288 CCTCCCAAAGGGCT chr13 90248798 90248799 chr13:90248799:C:T rs16944259 C T C EBF1_EBF_1 26 0 - 0 0 . chr13 90427419 90427420 chr13:90427420:C:T rs72639787 C T C EBF1_EBF_1 32 0 - 0 0 . chr13 90427559 90427560 chr13:90427560:C:T rs73599032 C T C EBF1_EBF_1 12 1 - 4.096286847795333 5.51510418989481 GTCCCCTAGGGCGC chr13 90427968 90427969 chr13:90427969:T:G rs11620049 T G T EBF1_EBF_1 30 0 - 0 0 . chr13 90441778 90441779 chr13:90441779:G:A rs74102328 G A A EBF1_EBF_1 7 1 + 11.054099337746969 12.366557743035793 ATCCCCCGGGGAAT chr13 90551299 90551300 chr13:90551300:G:C rs9523021 G C G EBF1_EBF_1 27 0 - 0 0 . chr13 90612957 90612958 chr13:90612958:C:G rs72642748 C G C EBF1_EBF_1 1 1 + 5.87225334774134 6.062025002310557 ACTCCCAAGGGTGG chr13 90787207 90787208 chr13:90787208:A:G rs9523079 A G A EBF1_EBF_1 16 0 - 0 0 . chr13 90799085 90799086 chr13:90799086:G:T rs9523083 G T G EBF1_EBF_1 22 0 - 0 0 . chr13 90892718 90892719 chr13:90892719:G:A rs12866129 G A G EBF1_EBF_1 8 1 + 6.916553078577974 2.690262989691713 ATTCCCTCGGGTCA chr13 90977313 90977314 chr13:90977314:A:G rs4584688 A G G EBF1_EBF_1 -2 0 - 0 0 . chr13 91045720 91045721 chr13:91045721:A:G rs926190447 A G A EBF1_EBF_1 19 0 - 0 0 . chr13 91141845 91141846 chr13:91141846:G:A rs9583887 G A G EBF1_EBF_1 23 0 - 0 0 . chr13 91146070 91146071 chr13:91146071:C:G rs287526 C G C EBF1_EBF_1 33 0 + 0 0 . chr13 91155111 91155112 chr13:91155112:C:T rs287501 C T C EBF1_EBF_1 8 1 - 5.57644668032973 1.350156591443469 CATCCCTGGGGCCT chr13 91175352 91175353 chr13:91175353:G:C rs76689803 G C G EBF1_EBF_1 25 0 + 0 0 . chr13 91184489 91184490 chr13:91184490:A:G rs287532 A G G EBF1_EBF_1 0 1 - 5.36525105015385 5.083048989423272 TCTCCCAGAGGAAC chr13 91221171 91221172 chr13:91221172:A:G rs7996467 A G G EBF1_EBF_1 -20 0 - 0 0 . chr13 91369335 91369336 chr13:91369336:G:A rs1002331816 G A G EBF1_EBF_1 6 1 - 11.63071455878494 11.932000687646696 AGCCCCCAGGGACT chr13 91593618 91593619 chr13:91593619:C:A rs76712424 C A C EBF1_EBF_1 -14 0 - 0 0 . chr13 91632302 91632303 chr13:91632303:G:A rs9515962 G A G EBF1_EBF_1 5 1 - 9.081956232160621 3.760169016619951 ATTCCCTAAGGACA chr13 91782481 91782482 chr13:91782482:T:C rs173141 T C C EBF1_EBF_1 -20 0 + 0 0 . chr13 91805362 91805363 chr13:91805363:G:A rs1831563 G A A EBF1_EBF_1 26 0 + 0 0 . chr13 91904849 91904850 chr13:91904850:G:A rs117457681 G A G EBF1_EBF_1 26 0 - 0 0 . chr13 92296310 92296311 chr13:92296311:T:A rs7985663 T A a EBF1_EBF_1 -12 0 + 0 0 . chr13 92574024 92574025 chr13:92574025:T:C rs7991812 T C C EBF1_EBF_1 -4 0 - 0 0 . chr13 92745455 92745456 chr13:92745456:A:G rs142837531 A G A EBF1_EBF_1 29 0 + 0 0 . chr13 93029908 93029909 chr13:93029909:T:G rs306684 T G T EBF1_EBF_1 6 1 - 6.700431038966605 6.338828671165329 ATTCCCAGGAGGTT chr13 93059468 93059469 chr13:93059469:T:G rs481993 T G G EBF1_EBF_1 31 0 - 0 0 . chr13 93104993 93104994 chr13:93104994:G:C rs160821 G C C EBF1_EBF_1 17 0 + 0 0 . chr13 93181146 93181147 chr13:93181147:T:C rs319566 T C C EBF1_EBF_1 -19 0 - 0 0 . chr13 93200887 93200888 chr13:93200888:G:T rs568389 G T G EBF1_EBF_1 2 1 - 4.002939099446606 2.2072949232898784 AACCCCCTGGCACT chr13 93228194 93228195 chr13:93228195:G:C rs186132707 G C G EBF1_EBF_1 7 1 + 3.2917902109373847 2.3104696298560286 CCTCGCCGGGGACC chr13 93229446 93229447 chr13:93229447:A:G rs17645998 A G A EBF1_EBF_1 -20 0 + 0 0 . chr13 93234203 93234204 chr13:93234204:C:G rs1045674298 C G C EBF1_EBF_1 -3 0 - 0 0 . chr13 93455507 93455508 chr13:93455508:A:G rs56247101 A G A EBF1_EBF_1 22 0 + 0 0 . chr13 93536093 93536094 chr13:93536094:G:A rs9584123 G A G EBF1_EBF_1 -14 0 - 0 0 . chr13 93617555 93617556 chr13:93617556:C:T rs2209874 C T C EBF1_EBF_1 16 0 + 0 0 . chr13 93752688 93752689 chr13:93752689:G:A rs117554546 G A G EBF1_EBF_1 -15 0 + 0 0 . chr13 93909463 93909464 chr13:93909464:A:G rs1330464 A G A EBF1_EBF_1 32 0 - 0 0 . chr13 93909514 93909515 chr13:93909515:C:T rs185193641 C T C EBF1_EBF_1 -19 0 - 0 0 . chr13 93916024 93916025 chr13:93916025:A:C rs12855484 A C A EBF1_EBF_1 18 0 - 0 0 . chr13 94052893 94052894 chr13:94052894:T:C rs2225230 T C C EBF1_EBF_1 27 0 + 0 0 . chr13 94154997 94154998 chr13:94154998:C:G rs588792 C G C EBF1_EBF_1 -14 0 + 0 0 . chr13 94169742 94169743 chr13:94169743:T:C rs9589922 T C T EBF1_EBF_1 -16 0 + 0 0 . chr13 94192705 94192706 chr13:94192706:C:T rs116925812 C T C EBF1_EBF_1 8 1 - 5.371585787881207 1.1452956989949454 TTTCCCATGAGGCA chr13 94310555 94310556 chr13:94310556:A:G rs751010213 A G A EBF1_EBF_1 26 0 + 0 0 . chr13 94324996 94324997 chr13:94324997:G:A rs7327380 G A A EBF1_EBF_1 29 0 + 0 0 . chr13 94342679 94342680 chr13:94342680:T:C rs9524449 T C T EBF1_EBF_1 2 1 + 7.245897510682705 5.357074099240555 AATCCCACGGGGAA chr13 94344379 94344380 chr13:94344380:G:C rs9301953 G C C EBF1_EBF_1 21 0 + 0 0 . chr13 94352331 94352332 chr13:94352332:T:C rs139315523 T C T EBF1_EBF_1 -9 0 - 0 0 . chr13 94370029 94370030 chr13:94370030:A:C rs1535691 A C A EBF1_EBF_1 -7 0 + 0 0 . chr13 94433161 94433162 chr13:94433162:A:C rs1317344782 A C A EBF1_EBF_1 29 0 + 0 0 . chr13 94433162 94433163 chr13:94433163:C:T rs9561561 C T C EBF1_EBF_1 30 0 + 0 0 . chr13 94484165 94484166 chr13:94484166:T:C rs4773797 T C C EBF1_EBF_1 -18 0 - 0 0 . chr13 94515775 94515776 chr13:94515776:G:A rs9556390 G A G EBF1_EBF_1 -14 0 + 0 0 . chr13 94546955 94546956 chr13:94546956:C:T rs4442657 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 94679571 94679572 chr13:94679572:C:A rs72638377 C A C EBF1_EBF_1 5 1 + 4.832327488697008 -2.0725536639381614 ACCCCCCTGGAAAC chr13 94681885 94681886 chr13:94681886:G:A rs76121176 G A G EBF1_EBF_1 -12 0 + 0 0 . chr13 94717821 94717822 chr13:94717822:A:G rs77608268 A G a EBF1_EBF_1 6 1 - 4.890072008499049 4.5887858796372925 CTTCCATTGGGACT chr13 94758755 94758756 chr13:94758756:G:A rs1830706 G A A EBF1_EBF_1 18 0 - 0 0 . chr13 94760891 94760892 chr13:94760892:G:C rs701607 G C G EBF1_EBF_1 8 1 + 5.103991929863174 -0.21466693105151263 ATTCCCTTGGTGTC chr13 94765243 94765244 chr13:94765244:T:C rs150038369 T C T EBF1_EBF_1 7 1 + 5.142784984374229 3.3252802380916044 GTTCCCATGGTGCT chr13 94765246 94765247 chr13:94765247:T:C rs6492746 T C C EBF1_EBF_1 10 1 + 5.142784984374229 2.4347347832720185 GTTCCCATGGTGCT chr13 94825816 94825817 chr13:94825817:T:C rs546821389 T C T EBF1_EBF_1 -10 0 - 0 0 . chr13 94829358 94829359 chr13:94829359:C:T rs78728286 C T C EBF1_EBF_1 30 0 + 0 0 . chr13 94864662 94864663 chr13:94864663:G:C rs16950258 G C C EBF1_EBF_1 -16 0 + 0 0 . chr13 94948341 94948342 chr13:94948342:A:G rs977483776 A G A EBF1_EBF_1 -17 0 + 0 0 . chr13 94967659 94967660 chr13:94967660:G:A rs9590148 G A G EBF1_EBF_1 -15 0 - 0 0 . chr13 94968438 94968439 chr13:94968439:G:C rs144366313 G C G EBF1_EBF_1 30 0 + 0 0 . chr13 94968466 94968467 chr13:94968467:C:T rs113150670 C T T EBF1_EBF_1 14 0 - 0 0 . chr13 94968486 94968487 chr13:94968487:G:A rs73551633 G A G EBF1_EBF_1 -6 0 - 0 0 . chr13 94974306 94974307 chr13:94974307:C:A rs11618721 C A C EBF1_EBF_1 -11 0 - 0 0 . chr13 94992501 94992502 chr13:94992502:C:A rs9561745 C A C EBF1_EBF_1 18 0 - 0 0 . chr13 94992519 94992520 chr13:94992520:G:A rs11618778 G A A EBF1_EBF_1 0 1 - 7.847987802654199 8.130189863384778 CTCCCCCAGGGAGC chr13 95113767 95113768 chr13:95113768:C:T rs139491894 C T C EBF1_EBF_1 18 0 + 0 0 . chr13 95123866 95123867 chr13:95123867:G:A rs3782956 G A A EBF1_EBF_1 20 0 - 0 0 . chr13 95123866 95123867 chr13:95123867:G:C chr13:95123867:G:C G C A EBF1_EBF_1 20 0 - 0 0 . chr13 95191005 95191006 chr13:95191006:G:A rs4148485 G A g EBF1_EBF_1 -16 0 + 0 0 . chr13 95249408 95249409 chr13:95249409:G:A rs79219734 G A G EBF1_EBF_1 2 1 - 7.946264311842559 9.835087723284708 TTCCCCATGGGAAG chr13 95268913 95268914 chr13:95268914:A:T rs7321885 A T A EBF1_EBF_1 30 0 + 0 0 . chr13 95273456 95273457 chr13:95273457:G:C rs4148426 G C G EBF1_EBF_1 25 0 - 0 0 . chr13 95341721 95341722 chr13:95341722:G:A rs2250829 G A G EBF1_EBF_1 10 1 + 9.271847302856681 3.9538188435897155 ACCCCCAGGGGACA chr13 95341728 95341729 chr13:95341729:G:A rs9524926 G A G EBF1_EBF_1 17 0 + 0 0 . chr13 95360750 95360751 chr13:95360751:G:T rs9590247 G T G EBF1_EBF_1 -12 0 + 0 0 . chr13 95387777 95387778 chr13:95387778:G:A rs10508013 G A G EBF1_EBF_1 -6 0 + 0 0 . chr13 95388887 95388888 chr13:95388888:T:C rs1104554 T C C EBF1_EBF_1 -3 0 + 0 0 . chr13 95478441 95478442 chr13:95478442:A:G rs60736109 A G G EBF1_EBF_1 22 0 + 0 0 . chr13 95478448 95478449 chr13:95478449:T:A rs59526578 T A A EBF1_EBF_1 29 0 + 0 0 . chr13 95644168 95644169 chr13:95644169:A:G chr13:95644169:A:G A G A EBF1_EBF_1 30 0 - 0 0 . chr13 95796128 95796129 chr13:95796129:A:G rs9590328 A G A EBF1_EBF_1 1 1 - 9.334245464420786 8.438812024022042 ATTCCCAAAGGATT chr13 95834302 95834303 chr13:95834303:T:C rs59810714 T C T EBF1_EBF_1 7 1 - 4.164979389969165 2.8525209846803388 CTCCCCGAGGGGCA chr13 95834304 95834305 chr13:95834305:G:A rs550355527 G A G EBF1_EBF_1 5 1 - 4.164979389969165 -1.156807825571506 CTCCCCGAGGGGCA chr13 95876600 95876601 chr13:95876601:A:C rs9556505 A C C EBF1_EBF_1 16 0 - 0 0 . chr13 96001603 96001604 chr13:96001604:A:G rs9634486 A G A EBF1_EBF_1 7 1 + 7.326536038617535 6.01407763332871 GCTCCCCAGGGGCA chr13 96019323 96019324 chr13:96019324:C:T rs565898 C T T EBF1_EBF_1 6 1 + 4.7134430958718045 5.014729224733562 ATTCCACAGGGAGA chr13 96071589 96071590 chr13:96071590:T:C rs537463 T C C EBF1_EBF_1 28 0 - 0 0 . chr13 96071632 96071633 chr13:96071633:A:G rs537571 A G A EBF1_EBF_1 -15 0 - 0 0 . chr13 96090032 96090033 chr13:96090033:C:T rs72637840 C T C EBF1_EBF_1 19 0 + 0 0 . chr13 96091165 96091166 chr13:96091166:G:T chr13:96091166:G:T G T G EBF1_EBF_1 -3 0 - 0 0 . chr13 96271288 96271289 chr13:96271289:A:C rs9556541 A C A EBF1_EBF_1 17 0 + 0 0 . chr13 96473733 96473734 chr13:96473734:G:A rs1012975 G A g EBF1_EBF_1 -19 0 + 0 0 . chr13 96793374 96793375 chr13:96793375:C:T rs72646748 C T C EBF1_EBF_1 6 1 + 5.030311020762031 5.3315971496237875 AACCCCCGGGTACC chr13 96850545 96850546 chr13:96850546:T:C rs60673180 T C C EBF1_EBF_1 19 0 - 0 0 . chr13 96851454 96851455 chr13:96851455:C:G rs4771966 C G C EBF1_EBF_1 24 0 + 0 0 . chr13 96863083 96863084 chr13:96863084:A:G rs75102751 A G A EBF1_EBF_1 30 0 + 0 0 . chr13 96898390 96898391 chr13:96898391:C:A rs12430538 C A C EBF1_EBF_1 10 1 - 8.548104980156063 4.365352793928798 AAACCCCAGGGATT chr13 96949391 96949392 chr13:96949392:C:T rs61974322 C T C EBF1_EBF_1 -17 0 - 0 0 . chr13 97111527 97111528 chr13:97111528:T:C rs7324681 T C C EBF1_EBF_1 -17 0 + 0 0 . chr13 97188965 97188966 chr13:97188966:G:A rs9513216 G A G EBF1_EBF_1 25 0 + 0 0 . chr13 97194565 97194566 chr13:97194566:C:T rs78529106 C T C EBF1_EBF_1 25 0 - 0 0 . chr13 97224198 97224199 chr13:97224199:G:A rs74735898 G A G EBF1_EBF_1 0 1 + 5.054339882935524 6.946623752500357 GGTCCCCGGGGCCC chr13 97225706 97225707 chr13:97225707:T:A rs77567144 T A A EBF1_EBF_1 11 1 - 5.6061133807197825 1.508199124621057 ATGCCCCAGGGATC chr13 97225711 97225712 chr13:97225712:G:A rs73555732 G A G EBF1_EBF_1 6 1 - 5.6061133807197825 5.90739950958154 ATGCCCCAGGGATC chr13 97240060 97240061 chr13:97240061:T:C rs9300398 T C T EBF1_EBF_1 7 1 - 5.6088715170362935 4.2964131117474675 CTTCCCAAGAGTAT chr13 97255106 97255107 chr13:97255107:G:A rs117119983 G A G EBF1_EBF_1 25 0 + 0 0 . chr13 97433951 97433952 chr13:97433952:G:A rs555668663 G A G EBF1_EBF_1 24 0 - 0 0 . chr13 97433953 97433954 chr13:97433954:G:A rs142668107 G A G EBF1_EBF_1 22 0 - 0 0 . chr13 97435071 97435072 chr13:97435072:G:C rs192412523 G C G EBF1_EBF_1 33 0 + 0 0 . chr13 97486400 97486401 chr13:97486401:C:G rs9556726 C G C EBF1_EBF_1 -5 0 - 0 0 . chr13 97607789 97607790 chr13:97607790:G:A rs9516979 G A g EBF1_EBF_1 -15 0 - 0 0 . chr13 97621832 97621833 chr13:97621833:T:G rs2182839 T G G EBF1_EBF_1 24 0 - 0 0 . chr13 97662158 97662159 chr13:97662159:C:T rs117716295 C T C EBF1_EBF_1 4 1 + 6.618195905201034 2.248808960256112 GGCCCCACGGGAAA chr13 97662162 97662163 chr13:97662163:G:A rs56271725 G A A EBF1_EBF_1 8 1 + 6.618195905201034 2.3919058163147735 GGCCCCACGGGAAA chr13 97722530 97722531 chr13:97722531:T:C rs9517024 T C C EBF1_EBF_1 -2 0 + 0 0 . chr13 97744224 97744225 chr13:97744225:G:A rs1772376 G A G EBF1_EBF_1 -14 0 - 0 0 . chr13 97758175 97758176 chr13:97758176:T:C rs1934878 T C C EBF1_EBF_1 10 1 + 6.24656986154462 3.538519660442409 ATTCCCATGATATT chr13 97782067 97782068 chr13:97782068:T:C rs7332863 T C C EBF1_EBF_1 30 0 - 0 0 . chr13 97850133 97850134 chr13:97850134:G:C rs9517090 G C C EBF1_EBF_1 9 1 + 4.394975122986227 -0.6807140233592369 AGTCCCCATGGAGC chr13 97856111 97856112 chr13:97856112:C:T rs547441975 C T C EBF1_EBF_1 -10 0 + 0 0 . chr13 97856623 97856624 chr13:97856624:C:T rs16954586 C T C EBF1_EBF_1 -14 0 + 0 0 . chr13 97884608 97884609 chr13:97884609:A:G rs9517112 A G G EBF1_EBF_1 -6 0 + 0 0 . chr13 97889925 97889926 chr13:97889926:A:T rs1182100339 A T A EBF1_EBF_1 6 1 + 8.262366113053119 8.2020498741136 AACCCCAAGAGAAC chr13 98054547 98054548 chr13:98054548:G:A rs74108129 G A G EBF1_EBF_1 31 0 - 0 0 . chr13 98144217 98144218 chr13:98144218:G:T rs517205 G T G EBF1_EBF_1 30 0 + 0 0 . chr13 98144470 98144471 chr13:98144471:G:A rs583214 G A G EBF1_EBF_1 -7 0 - 0 0 . chr13 98189092 98189093 chr13:98189093:C:T rs930118134 C T C EBF1_EBF_1 -5 0 - 0 0 . chr13 98202193 98202194 chr13:98202194:G:A rs112754296 G A G EBF1_EBF_1 8 1 + 7.9254638958513635 3.699173806965103 GCTCCCCCGGGACA chr13 98202193 98202194 chr13:98202194:G:T chr13:98202194:G:T G T G EBF1_EBF_1 8 1 + 7.9254638958513635 1.0340311068741692 GCTCCCCCGGGACA chr13 98239188 98239189 chr13:98239189:A:G rs9652116 A G A EBF1_EBF_1 -11 0 + 0 0 . chr13 98260871 98260872 chr13:98260872:A:C rs9517237 A C A EBF1_EBF_1 24 0 - 0 0 . chr13 98274246 98274247 chr13:98274247:A:T rs117602349 A T A EBF1_EBF_1 -15 0 + 0 0 . chr13 98274287 98274288 chr13:98274288:A:C rs74108735 A C A EBF1_EBF_1 26 0 + 0 0 . chr13 98274417 98274418 chr13:98274418:G:A rs9517240 G A G EBF1_EBF_1 -12 0 - 0 0 . chr13 98276123 98276124 chr13:98276124:C:T rs17455303 C T C EBF1_EBF_1 -19 0 + 0 0 . chr13 98276144 98276145 chr13:98276145:C:G rs553385127 C G C EBF1_EBF_1 2 1 + 5.297127747097152 0.43762546833883487 GTCCCCAGAGGAAT chr13 98279694 98279695 chr13:98279695:C:G rs2622341 C G C EBF1_EBF_1 11 1 - 6.416360007046125 4.893105152797746 CATCCCCTGGGGCA chr13 98308203 98308204 chr13:98308204:T:C rs17566878 T C T EBF1_EBF_1 2 1 + 7.361189041454763 5.472365630012613 TTTCCCAAAGGATT chr13 98308223 98308224 chr13:98308224:A:T rs73563538 A T A EBF1_EBF_1 22 0 + 0 0 . chr13 98327631 98327632 chr13:98327632:T:C rs12431364 T C C EBF1_EBF_1 22 0 - 0 0 . chr13 98349890 98349891 chr13:98349891:G:A rs685038 G A A EBF1_EBF_1 -3 0 - 0 0 . chr13 98370382 98370383 chr13:98370383:T:C rs3783023 T C T EBF1_EBF_1 11 1 - 5.062516580538181 2.1698097369341696 GATGCCCTGGGACT chr13 98382861 98382862 chr13:98382862:G:A rs3848020 G A A EBF1_EBF_1 -11 0 - 0 0 . chr13 98429250 98429251 chr13:98429251:G:A rs3783010 G A G EBF1_EBF_1 15 0 + 0 0 . chr13 98431066 98431067 chr13:98431067:C:T rs61730892 C T C EBF1_EBF_1 29 0 - 0 0 . chr13 98431369 98431370 chr13:98431370:C:T rs3742138 C T C EBF1_EBF_1 7 1 - 7.811121429139357 9.123579834428181 TCTCCCCGGGGAGC chr13 98431373 98431374 chr13:98431374:G:T rs79051480 G T G EBF1_EBF_1 3 1 - 7.811121429139357 0.904947399673549 TCTCCCCGGGGAGC chr13 98432427 98432428 chr13:98432428:C:T rs9517292 C T T EBF1_EBF_1 15 0 + 0 0 . chr13 98441243 98441244 chr13:98441244:G:C rs12874175 G C G EBF1_EBF_1 32 0 + 0 0 . chr13 98442496 98442497 chr13:98442497:G:C rs9517296 G C G EBF1_EBF_1 32 0 - 0 0 . chr13 98442647 98442648 chr13:98442648:C:T rs9554473 C T C EBF1_EBF_1 26 0 - 0 0 . chr13 98443475 98443476 chr13:98443476:T:C rs7993719 T C T EBF1_EBF_1 16 0 + 0 0 . chr13 98466830 98466831 chr13:98466831:G:A rs545600793 G A G EBF1_EBF_1 6 1 - 5.142608156155206 5.443894285016963 ACTCCACGGGGAAT chr13 98482584 98482585 chr13:98482585:G:C rs2274056 G C C EBF1_EBF_1 32 0 + 0 0 . chr13 98527244 98527245 chr13:98527245:A:G rs57930623 A G G EBF1_EBF_1 -6 0 - 0 0 . chr13 98531472 98531473 chr13:98531473:C:T rs59586324 C T C EBF1_EBF_1 14 0 + 0 0 . chr13 98535617 98535618 chr13:98535618:G:A rs186657537 G A G EBF1_EBF_1 31 0 + 0 0 . chr13 98556150 98556151 chr13:98556151:G:A rs9513447 G A G EBF1_EBF_1 22 0 + 0 0 . chr13 98572369 98572370 chr13:98572370:T:G rs141229127 T G T EBF1_EBF_1 0 1 + 4.032275607286053 4.11304816068724 TTCCCCCAGGGTGC chr13 98622949 98622950 chr13:98622950:C:T rs57549252 C T T EBF1_EBF_1 20 0 + 0 0 . chr13 98623059 98623060 chr13:98623060:C:T rs4771309 C T C EBF1_EBF_1 19 0 - 0 0 . chr13 98623200 98623201 chr13:98623201:C:T rs537679019 C T C EBF1_EBF_1 3 1 + 4.729118659924564 -1.6762800816287549 TTCCCCCAGGGCCA chr13 98646036 98646037 chr13:98646037:G:A rs9584885 G A G EBF1_EBF_1 13 1 + 7.5057669982877036 8.620092570357142 AGTCCCCAGAGATG chr13 98655592 98655593 chr13:98655593:T:A rs9670622 T A T EBF1_EBF_1 33 0 - 0 0 . chr13 98655593 98655594 chr13:98655594:C:T rs9669871 C T C EBF1_EBF_1 32 0 - 0 0 . chr13 98660157 98660158 chr13:98660158:G:A rs61969461 G A G EBF1_EBF_1 -13 0 + 0 0 . chr13 98662575 98662576 chr13:98662576:C:T rs61969462 C T C EBF1_EBF_1 12 1 + 4.662435250146068 3.6361686795952175 CTCCCCCTGGGTCC chr13 98678913 98678914 chr13:98678914:T:G rs9554493 T G T EBF1_EBF_1 6 1 - 4.092758796525513 3.7311564287242343 TCCCCCATGGGCCC chr13 98732285 98732286 chr13:98732286:C:T rs9584922 C T C EBF1_EBF_1 -13 0 + 0 0 . chr13 98732306 98732307 chr13:98732307:A:G rs7331065 A G A EBF1_EBF_1 8 1 + 7.628979311187477 11.855269400073738 CTTCCCATAGGACT chr13 98752189 98752190 chr13:98752190:C:A rs1174363964 C A C EBF1_EBF_1 33 0 + 0 0 . chr13 98773093 98773094 chr13:98773094:G:A rs112327518 G A G EBF1_EBF_1 17 0 - 0 0 . chr13 98773115 98773116 chr13:98773116:G:A rs76714422 G A G EBF1_EBF_1 -5 0 - 0 0 . chr13 98775415 98775416 chr13:98775416:A:G rs7989642 A G G EBF1_EBF_1 -4 0 + 0 0 . chr13 98785725 98785726 chr13:98785726:G:C rs9517447 G C C EBF1_EBF_1 12 1 - 6.856676443210073 5.468040499531922 GCTCCCAAGGGTCC chr13 98796501 98796502 chr13:98796502:T:C rs9554525 T C C EBF1_EBF_1 12 1 + 5.672443586910503 6.698710157461352 ATTCCCTGGGTATG chr13 98803573 98803574 chr13:98803574:C:T rs9557074 C T C EBF1_EBF_1 -16 0 - 0 0 . chr13 98843076 98843077 chr13:98843077:T:C chr13:98843077:T:C T C T EBF1_EBF_1 28 0 + 0 0 . chr13 98893535 98893536 chr13:98893536:C:T rs4772154 C T C EBF1_EBF_1 4 1 + 6.122039651106759 1.7526527061618364 TATCCCTGGGTAAT chr13 98893556 98893557 chr13:98893557:A:G rs941690957 A G A EBF1_EBF_1 25 0 + 0 0 . chr13 98938874 98938875 chr13:98938875:A:G rs4772160 A G A EBF1_EBF_1 19 0 + 0 0 . chr13 98940340 98940341 chr13:98940341:G:A rs7985813 G A G EBF1_EBF_1 -18 0 + 0 0 . chr13 98942992 98942993 chr13:98942993:G:A rs12872448 G A A EBF1_EBF_1 19 0 - 0 0 . chr13 98957983 98957984 chr13:98957984:C:A rs71437981 C A C EBF1_EBF_1 -18 0 + 0 0 . chr13 98961422 98961423 chr13:98961423:C:A rs150384919 C A C EBF1_EBF_1 14 0 - 0 0 . chr13 98976300 98976301 chr13:98976301:G:T rs2390130 G T G EBF1_EBF_1 22 0 + 0 0 . chr13 98995172 98995173 chr13:98995173:G:A rs16956210 G A G EBF1_EBF_1 5 1 - 5.012995440830686 -0.30879177470998415 ATTTCCTCGGGAAC chr13 99008774 99008775 chr13:99008775:C:T rs72651870 C T G EBF1_EBF_1 16 0 - 0 0 . chr13 99042762 99042763 chr13:99042763:T:C rs729434 T C T EBF1_EBF_1 14 0 - 0 0 . chr13 99056932 99056933 chr13:99056933:G:A rs912686 G A G EBF1_EBF_1 30 0 - 0 0 . chr13 99065476 99065477 chr13:99065477:G:A rs11069346 G A G EBF1_EBF_1 -18 0 + 0 0 . chr13 99088328 99088329 chr13:99088329:C:A rs111458603 C A C EBF1_EBF_1 -18 0 + 0 0 . chr13 99088362 99088363 chr13:99088363:A:G rs149704722 A G A EBF1_EBF_1 16 0 + 0 0 . chr13 99123829 99123830 chr13:99123830:A:G rs10459299 A G A EBF1_EBF_1 27 0 - 0 0 . chr13 99123858 99123859 chr13:99123859:C:A rs57308951 C A C EBF1_EBF_1 -2 0 - 0 0 . chr13 99132562 99132563 chr13:99132563:G:T chr13:99132563:G:T G T G EBF1_EBF_1 4 1 - 6.553291237088518 0.7287316136350506 CATCCCAGGAGACC chr13 99136724 99136725 chr13:99136725:G:A rs9517620 G A A EBF1_EBF_1 -5 0 - 0 0 . chr13 99141619 99141620 chr13:99141620:C:T rs9513565 C T C EBF1_EBF_1 1 1 + 4.308622159038164 5.20405559943691 CCTCCCCAGAGGCA chr13 99151298 99151299 chr13:99151299:A:G rs9300532 A G A EBF1_EBF_1 17 0 + 0 0 . chr13 99197526 99197527 chr13:99197527:T:C rs61968334 T C T EBF1_EBF_1 29 0 - 0 0 . chr13 99201188 99201189 chr13:99201189:T:G rs7338947 T G G EBF1_EBF_1 23 0 - 0 0 . chr13 99215657 99215658 chr13:99215658:T:C chr13:99215658:T:C T C T EBF1_EBF_1 -7 0 + 0 0 . chr13 99215658 99215659 chr13:99215659:G:T rs570891005 G T G EBF1_EBF_1 -6 0 + 0 0 . chr13 99215686 99215687 chr13:99215687:G:A rs534732283 G A G EBF1_EBF_1 22 0 + 0 0 . chr13 99255086 99255087 chr13:99255087:G:A rs3742130 G A G EBF1_EBF_1 20 0 + 0 0 . chr13 99366601 99366602 chr13:99366602:C:T rs7997823 C T C EBF1_EBF_1 7 1 - 5.177682687040523 6.490141092329349 TTCCCCCGGAGACA chr13 99389836 99389837 chr13:99389837:C:G rs61972461 C G C EBF1_EBF_1 -18 0 + 0 0 . chr13 99418289 99418290 chr13:99418290:C:T rs181577874 C T C EBF1_EBF_1 23 0 + 0 0 . chr13 99426315 99426316 chr13:99426316:G:A rs12870401 G A G EBF1_EBF_1 16 0 - 0 0 . chr13 99432841 99432842 chr13:99432842:A:T rs950360830 A T A EBF1_EBF_1 7 1 - 5.845477537901491 6.321751777989049 CTTCCCGTGAGAAA chr13 99462141 99462142 chr13:99462142:G:A rs4346089 G A G EBF1_EBF_1 -15 0 + 0 0 . chr13 99469032 99469033 chr13:99469033:C:G rs7334943 C G C EBF1_EBF_1 -11 0 + 0 0 . chr13 99469053 99469054 chr13:99469054:G:A rs7335315 G A G EBF1_EBF_1 10 1 + 4.543800634886633 -0.7742278243803327 ACTCCCTGGGGCTG chr13 99524970 99524971 chr13:99524971:G:A rs7984052 G A A EBF1_EBF_1 14 0 + 0 0 . chr13 99624673 99624674 chr13:99624674:C:T rs2763947 C T C EBF1_EBF_1 -9 0 + 0 0 . chr13 99731003 99731004 chr13:99731004:G:A rs2806278 G A A EBF1_EBF_1 11 1 - 4.031533770507358 4.349581212261023 AGCCCCCGGGGCTC chr13 99828365 99828366 chr13:99828366:G:C rs2181606 G C G EBF1_EBF_1 9 1 + 5.721589216313381 0.6459000699679179 TTTCCCATGGTAGC chr13 99872899 99872900 chr13:99872900:T:G rs2057487 T G g EBF1_EBF_1 -14 0 - 0 0 . chr13 99872900 99872901 chr13:99872901:T:C rs2057488 T C c EBF1_EBF_1 -15 0 - 0 0 . chr13 99885411 99885412 chr13:99885412:A:G rs912287 A G A EBF1_EBF_1 -12 0 - 0 0 . chr13 99904832 99904833 chr13:99904833:G:T rs9582352 G T T EBF1_EBF_1 21 0 - 0 0 . chr13 99910509 99910510 chr13:99910510:G:A rs9585274 G A G EBF1_EBF_1 7 1 + 5.120927184849963 6.433385590138787 CCTCCCTGGGGTCC chr13 99956762 99956763 chr13:99956763:G:A rs4771350 G A G EBF1_EBF_1 16 0 + 0 0 . chr13 99966144 99966145 chr13:99966145:C:T rs9517942 C T C EBF1_EBF_1 30 0 + 0 0 . chr13 99968757 99968758 chr13:99968758:G:A rs1050213322 G A G EBF1_EBF_1 5 1 - 5.471439125820855 0.14965191028018543 CTTCCCAGGAGGCT chr13 99971965 99971966 chr13:99971966:C:T rs1170563539 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 99972119 99972120 chr13:99972120:G:A rs12585539 G A G EBF1_EBF_1 6 1 + 5.52480443326702 7.691598864541495 ACCCCCGAGGGGCA chr13 99980350 99980351 chr13:99980351:C:T rs1046406343 C T C EBF1_EBF_1 -5 0 - 0 0 . chr13 99980360 99980361 chr13:99980361:G:A rs147335357 G A G EBF1_EBF_1 -15 0 - 0 0 . chr13 99988023 99988024 chr13:99988024:C:T rs545715869 C T C EBF1_EBF_1 -5 0 + 0 0 . chr13 100020389 100020390 chr13:100020390:G:A rs76791677 G A G EBF1_EBF_1 10 1 + 5.728494581916369 0.4104661226494036 CTTCCCTGGGGCAC chr13 100270061 100270062 chr13:100270062:A:C chr13:100270062:A:C A C A EBF1_EBF_1 -14 0 - 0 0 . chr13 100273762 100273763 chr13:100273763:A:G rs572666936 A G A EBF1_EBF_1 -6 0 + 0 0 . chr13 100350157 100350158 chr13:100350158:G:A rs4561310 G A A EBF1_EBF_1 17 0 + 0 0 . chr13 100425471 100425472 chr13:100425472:C:T rs75527610 C T C EBF1_EBF_1 24 0 + 0 0 . chr13 100478704 100478705 chr13:100478705:T:C rs59482953 T C T EBF1_EBF_1 -7 0 - 0 0 . chr13 100496742 100496743 chr13:100496743:G:A rs77344656 G A G EBF1_EBF_1 -3 0 - 0 0 . chr13 100527037 100527038 chr13:100527038:G:A rs1885857 G A G EBF1_EBF_1 -20 0 + 0 0 . chr13 100542054 100542055 chr13:100542055:C:T rs117737539 C T C EBF1_EBF_1 4 1 + 6.622401042995151 2.2530140980502265 GTCCCCATGGGGAC chr13 100553246 100553247 chr13:100553247:C:A rs837314 C A C EBF1_EBF_1 -6 0 + 0 0 . chr13 100607172 100607173 chr13:100607173:A:G rs12427435 A G G EBF1_EBF_1 2 1 - 6.034005072513908 4.145181661071759 CGTCCCCAGGGCAC chr13 100630964 100630965 chr13:100630965:T:C rs9300612 T C T EBF1_EBF_1 31 0 + 0 0 . chr13 100657552 100657553 chr13:100657553:T:C rs1770358 T C T EBF1_EBF_1 0 1 + 4.3306735032233465 4.048471442492767 TCCCCCCAGGGGTA chr13 100753398 100753399 chr13:100753399:T:C rs2048859 T C C EBF1_EBF_1 -13 0 + 0 0 . chr13 100809272 100809273 chr13:100809273:C:A rs55894608 C A C EBF1_EBF_1 28 0 - 0 0 . chr13 100841328 100841329 chr13:100841329:A:C rs1017145094 A C A EBF1_EBF_1 24 0 + 0 0 . chr13 100852479 100852480 chr13:100852480:T:C rs74117322 T C T EBF1_EBF_1 25 0 - 0 0 . chr13 100868677 100868678 chr13:100868678:G:A rs664021 G A G EBF1_EBF_1 5 1 - 8.791256726157005 3.469469510616336 ACCCCCGAGGGACC chr13 100875780 100875781 chr13:100875781:A:G rs904128174 A G A EBF1_EBF_1 28 0 - 0 0 . chr13 100875820 100875821 chr13:100875821:G:A rs114499793 G A G EBF1_EBF_1 -12 0 - 0 0 . chr13 100887889 100887890 chr13:100887890:C:G rs35042544 C G C EBF1_EBF_1 -4 0 + 0 0 . chr13 100887899 100887900 chr13:100887900:T:C rs9585539 T C T EBF1_EBF_1 6 1 + 12.831972080959321 12.530685952097565 ATTCCCTTGGGACA chr13 100887903 100887904 chr13:100887904:G:A rs371994753 G A G EBF1_EBF_1 10 1 + 12.831972080959321 7.5139436216923565 ATTCCCTTGGGACA chr13 100893277 100893278 chr13:100893278:T:A rs72647084 T A T EBF1_EBF_1 24 0 + 0 0 . chr13 101018998 101018999 chr13:101018999:C:T rs148615669 C T C EBF1_EBF_1 -10 0 - 0 0 . chr13 101094305 101094306 chr13:101094306:C:T rs9518302 C T C EBF1_EBF_1 -20 0 + 0 0 . chr13 101121854 101121855 chr13:101121855:T:C rs9557591 T C T EBF1_EBF_1 15 0 + 0 0 . chr13 101258180 101258181 chr13:101258181:A:C rs112267930 A C A EBF1_EBF_1 26 0 - 0 0 . chr13 101286208 101286209 chr13:101286209:G:A rs7993216 G A g EBF1_EBF_1 25 0 + 0 0 . chr13 101341809 101341810 chr13:101341810:T:C rs7985926 T C T EBF1_EBF_1 7 1 + 7.044928244647279 5.227423498364656 ACCCCCATGGGGGT chr13 101347397 101347398 chr13:101347398:T:C rs78185802 T C T EBF1_EBF_1 19 0 + 0 0 . chr13 101417302 101417303 chr13:101417303:C:T rs33999518 C T C EBF1_EBF_1 21 0 + 0 0 . chr13 101454112 101454113 chr13:101454113:C:T rs2297701 C T C EBF1_EBF_1 21 0 - 0 0 . chr13 101502854 101502855 chr13:101502855:C:T rs1335592 C T C EBF1_EBF_1 -8 0 + 0 0 . chr13 101521536 101521537 chr13:101521537:C:G rs7323806 C G G EBF1_EBF_1 8 1 - 9.10780327414657 3.7891444132318877 ATTCCCTTGGGTCC chr13 101553652 101553653 chr13:101553653:G:C chr13:101553653:G:C G C G EBF1_EBF_1 -13 0 + 0 0 . chr13 101579359 101579360 chr13:101579360:C:T rs34438356 C T C EBF1_EBF_1 -6 0 + 0 0 . chr13 101661875 101661876 chr13:101661876:A:G rs34676107 A G A EBF1_EBF_1 15 0 - 0 0 . chr13 101696118 101696119 chr13:101696119:T:C rs3783224 T C T EBF1_EBF_1 18 0 + 0 0 . chr13 101696165 101696166 chr13:101696166:A:G rs1540461 A G A EBF1_EBF_1 6 1 + 9.147361585694194 6.9805671544197185 ACTCCCATGGGTAT chr13 101751887 101751888 chr13:101751888:T:A rs74122656 T A T EBF1_EBF_1 22 0 - 0 0 . chr13 102011068 102011069 chr13:102011069:G:C rs7991713 G C A EBF1_EBF_1 -13 0 + 0 0 . chr13 102208176 102208177 chr13:102208177:A:G rs1336703 A G G EBF1_EBF_1 -5 0 - 0 0 . chr13 102260448 102260449 chr13:102260449:T:A rs4772458 T A T EBF1_EBF_1 2 1 + 4.6678676116548035 0.9834000240559271 GCTCCCTAGAGCCT chr13 102279822 102279823 chr13:102279823:T:C rs1336657 T C T EBF1_EBF_1 18 0 + 0 0 . chr13 102642064 102642065 chr13:102642065:G:A rs652199 G A A EBF1_EBF_1 20 0 - 0 0 . chr13 102701151 102701152 chr13:102701152:C:A rs117511334 C A C EBF1_EBF_1 3 1 + 4.849897796768437 -2.0562762326973703 TCTCCCATGAGGCC chr13 102800266 102800267 chr13:102800267:G:A rs375367117 G A G EBF1_EBF_1 -1 0 + 0 0 . chr13 102800654 102800655 chr13:102800655:G:A rs76614039 G A G EBF1_EBF_1 13 1 + 5.849235852416329 6.963561424485768 CCTCCCCAGGGGCG chr13 102828041 102828042 chr13:102828042:T:C rs16960590 T C T EBF1_EBF_1 24 0 - 0 0 . chr13 102846555 102846556 chr13:102846556:G:A rs4150251 G A G EBF1_EBF_1 33 0 + 0 0 . chr13 102866424 102866425 chr13:102866425:C:T rs4150334 C T C EBF1_EBF_1 11 1 - 5.599852392908068 8.49255923651208 TTCCCCCAGGGGTC chr13 102866493 102866494 chr13:102866494:C:T rs3759500 C T C EBF1_EBF_1 14 0 - 0 0 . chr13 102904697 102904698 chr13:102904698:C:G rs9514070 C G C EBF1_EBF_1 16 0 + 0 0 . chr13 102914309 102914310 chr13:102914310:A:T rs2038710 A T A EBF1_EBF_1 22 0 + 0 0 . chr13 102929625 102929626 chr13:102929626:G:A rs9586012 G A G EBF1_EBF_1 -14 0 - 0 0 . chr13 103068431 103068432 chr13:103068432:C:T rs157268 C T C EBF1_EBF_1 -11 0 + 0 0 . chr13 103069061 103069062 chr13:103069062:A:G rs149703858 A G A EBF1_EBF_1 33 0 + 0 0 . chr13 103133570 103133571 chr13:103133571:C:T rs56334112 C T C EBF1_EBF_1 12 1 + 6.308213281900586 5.281946711349736 AACCCCAGGGGGCA chr13 103161802 103161803 chr13:103161803:C:T rs67548657 C T C EBF1_EBF_1 8 1 - 5.998694051578226 1.7724039626919645 ACTGCCATGGGAGT chr13 103213321 103213322 chr13:103213322:C:G rs180836877 C G C EBF1_EBF_1 4 1 + 5.0288095854375765 -0.5969555157119675 GCCCCCCTGAGAGT chr13 103248888 103248889 chr13:103248889:G:A rs2699347 G A A EBF1_EBF_1 4 1 - 4.334078197764679 -0.03530874718024456 AGTCCCTAAGGCCC chr13 103276717 103276718 chr13:103276718:G:T rs9805566 G T T EBF1_EBF_1 24 0 + 0 0 . chr13 103282882 103282883 chr13:103282883:C:G rs2150230 C G G EBF1_EBF_1 22 0 - 0 0 . chr13 103282886 103282887 chr13:103282887:T:C rs9558033 T C C EBF1_EBF_1 18 0 - 0 0 . chr13 103386564 103386565 chr13:103386565:A:G rs12866695 A G A EBF1_EBF_1 6 1 + 6.967200076362465 4.80040564508799 CTTCCCATGAGAGC chr13 103415627 103415628 chr13:103415628:C:A rs75861980 C A C EBF1_EBF_1 9 1 + 4.823551280142518 7.2443011610403785 AATCCCAAGCGAGG chr13 103537057 103537058 chr13:103537058:G:T rs9558100 G T G EBF1_EBF_1 10 1 + 4.9409221424681515 0.7581699562408875 CTTGCCTTGGGATT chr13 103605986 103605987 chr13:103605987:C:A rs78239940 C A C EBF1_EBF_1 12 1 + 4.287718297660259 4.317899696081585 AGCCCCAGGAGGCC chr13 103638888 103638889 chr13:103638889:A:C rs114231174 A C A EBF1_EBF_1 27 0 - 0 0 . chr13 103715576 103715577 chr13:103715577:A:G rs74506420 A G A EBF1_EBF_1 21 0 + 0 0 . chr13 103953322 103953323 chr13:103953323:G:A rs9582766 G A G EBF1_EBF_1 8 1 + 6.2788257510791405 2.05253566219288 TTCCCCTAGGGCAT chr13 104065574 104065575 chr13:104065575:T:G rs2806759 T G G EBF1_EBF_1 -14 0 - 0 0 . chr13 104086342 104086343 chr13:104086343:A:G rs2791218 A G A EBF1_EBF_1 -8 0 + 0 0 . chr13 104335856 104335857 chr13:104335857:C:T rs9514247 C T C EBF1_EBF_1 12 1 - 8.305930242239798 9.724747584339275 ATTCCCATGGGCGT chr13 104335884 104335885 chr13:104335885:G:A rs962589 G A G EBF1_EBF_1 -16 0 - 0 0 . chr13 104430973 104430974 chr13:104430974:T:C rs6491850 T C C EBF1_EBF_1 6 1 + 7.023226842381366 6.721940713519609 AATCCCTACGGACA chr13 104522716 104522717 chr13:104522717:A:C rs9300939 A C A EBF1_EBF_1 -2 0 - 0 0 . chr13 104586457 104586458 chr13:104586458:C:T rs115026359 C T C EBF1_EBF_1 7 1 - 5.1426922702026925 6.4551506754915176 AGACCCTGGAGATT chr13 104847654 104847655 chr13:104847655:T:C rs4771484 T C t EBF1_EBF_1 -10 0 - 0 0 . chr13 104854474 104854475 chr13:104854475:C:T rs558274888 C T C EBF1_EBF_1 8 1 - 5.449663100938406 1.2233730120521449 ACTCCCTTGGCAAC chr13 104950459 104950460 chr13:104950460:A:C rs145230617 A C A EBF1_EBF_1 -4 0 + 0 0 . chr13 105139407 105139408 chr13:105139408:C:T rs60360153 C T C EBF1_EBF_1 4 1 + 7.773832581895084 3.40444563695016 CTTCCCCAAGGAAT chr13 105177911 105177912 chr13:105177912:C:T rs138091566 C T C EBF1_EBF_1 -18 0 - 0 0 . chr13 105196213 105196214 chr13:105196214:G:A rs7320727 G A G EBF1_EBF_1 23 0 - 0 0 . chr13 105307966 105307967 chr13:105307967:T:C rs61967702 T C T EBF1_EBF_1 33 0 - 0 0 . chr13 105383113 105383114 chr13:105383114:T:C rs7334865 T C t EBF1_EBF_1 -17 0 + 0 0 . chr13 105394590 105394591 chr13:105394591:G:A rs79161724 G A G EBF1_EBF_1 -5 0 + 0 0 . chr13 105679787 105679788 chr13:105679788:C:G rs60617685 C G C EBF1_EBF_1 22 0 + 0 0 . chr13 105717498 105717499 chr13:105717499:G:A rs2391233 G A g EBF1_EBF_1 0 1 + 5.8719365543636535 7.764220423928487 GACCCCAGGAGACT chr13 105737927 105737928 chr13:105737928:A:C rs7323524 A C A EBF1_EBF_1 32 0 + 0 0 . chr13 105878773 105878774 chr13:105878774:C:G rs71432864 C G C EBF1_EBF_1 12 1 - 5.037764830341656 6.4264007740198075 AATCCCGAGGTAGC chr13 106001924 106001925 chr13:106001925:A:C rs658511 A C A EBF1_EBF_1 26 0 - 0 0 . chr13 106052436 106052437 chr13:106052437:C:G rs9519928 C G C EBF1_EBF_1 -12 0 + 0 0 . chr13 106142700 106142701 chr13:106142701:C:T rs71432885 C T C EBF1_EBF_1 5 1 + 4.51333502908076 -0.8084521864599117 TCCCCCAAGGGTCA chr13 106155642 106155643 chr13:106155643:C:T rs76094227 C T C EBF1_EBF_1 31 0 + 0 0 . chr13 106260560 106260561 chr13:106260561:T:C rs9520018 T C C EBF1_EBF_1 -13 0 + 0 0 . chr13 106296108 106296109 chr13:106296109:G:A rs118060516 G A G EBF1_EBF_1 -6 0 + 0 0 . chr13 106296144 106296145 chr13:106296145:C:T rs72654515 C T C EBF1_EBF_1 30 0 + 0 0 . chr13 106373548 106373549 chr13:106373549:C:T rs540158323 C T C EBF1_EBF_1 21 0 + 0 0 . chr13 106446171 106446172 chr13:106446172:A:G rs76433229 A G A EBF1_EBF_1 -10 0 + 0 0 . chr13 106470612 106470613 chr13:106470613:G:C rs9558771 G C C EBF1_EBF_1 -19 0 - 0 0 . chr13 106488709 106488710 chr13:106488710:G:A chr13:106488710:G:A G A G EBF1_EBF_1 5 1 - 5.554240509379831 0.23245329383915936 AATCCCCGGAGGCC chr13 106532518 106532519 chr13:106532519:C:T rs560383458 C T C EBF1_EBF_1 22 0 + 0 0 . chr13 106596553 106596554 chr13:106596554:A:C rs545958999 A C A EBF1_EBF_1 0 1 + 9.11120299530632 6.855944511609717 ACTCCCTTGAGAGT chr13 106680896 106680897 chr13:106680897:C:T rs9520140 C T T EBF1_EBF_1 -2 0 - 0 0 . chr13 106701819 106701820 chr13:106701820:C:T rs73580413 C T C EBF1_EBF_1 33 0 - 0 0 . chr13 106722459 106722460 chr13:106722460:C:T rs9587183 C T C EBF1_EBF_1 -18 0 + 0 0 . chr13 106727618 106727619 chr13:106727619:G:C rs9587186 G C G EBF1_EBF_1 22 0 + 0 0 . chr13 106743348 106743349 chr13:106743349:G:A rs6492032 G A G EBF1_EBF_1 12 1 - 5.76571935752051 4.73945278696966 AGCCCTTAGGGACC chr13 106760404 106760405 chr13:106760405:G:T rs458202 G T T EBF1_EBF_1 23 0 - 0 0 . chr13 106800121 106800122 chr13:106800122:T:C rs9555281 T C t EBF1_EBF_1 -19 0 - 0 0 . chr13 106807598 106807599 chr13:106807599:G:A rs288737 G A G EBF1_EBF_1 21 0 + 0 0 . chr13 106989946 106989947 chr13:106989947:T:C rs9520264 T C T EBF1_EBF_1 1 1 - 8.16390120567949 8.424600037600792 CACCCCAGGGGACT chr13 107042940 107042941 chr13:107042941:C:T rs9514611 C T c EBF1_EBF_1 -4 0 - 0 0 . chr13 107067680 107067681 chr13:107067681:A:T rs9514614 A T A EBF1_EBF_1 19 0 + 0 0 . chr13 107069391 107069392 chr13:107069392:T:C rs2245300 T C T EBF1_EBF_1 30 0 - 0 0 . chr13 107092191 107092192 chr13:107092192:C:T rs9634462 C T C EBF1_EBF_1 26 0 + 0 0 . chr13 107192389 107192390 chr13:107192390:C:T rs9587308 C T C EBF1_EBF_1 28 0 + 0 0 . chr13 107192394 107192395 chr13:107192395:G:C rs1016563961 G C G EBF1_EBF_1 33 0 + 0 0 . chr13 107241907 107241908 chr13:107241908:C:T rs9555332 C T C EBF1_EBF_1 8 1 + 5.252254705964382 3.6794807779018743 ATACCCCACGGAAT chr13 107681755 107681756 chr13:107681756:G:A rs184304555 G A G EBF1_EBF_1 23 0 + 0 0 . chr13 107915174 107915175 chr13:107915175:C:T rs2994577 C T C EBF1_EBF_1 10 1 - 8.044958078775345 2.72692961950838 AATCTCCAGGGACC chr13 107968744 107968745 chr13:107968745:T:C rs59659998 T C T EBF1_EBF_1 7 1 + 5.006197305507822 3.1886925592251987 AGCCCTATGGGAAA chr13 108084984 108084985 chr13:108084985:C:T rs7988726 C T C EBF1_EBF_1 28 0 - 0 0 . chr13 108111915 108111916 chr13:108111916:A:G rs7987249 A G A EBF1_EBF_1 19 0 + 0 0 . chr13 108113495 108113496 chr13:108113496:A:G rs1325384 A G A EBF1_EBF_1 16 0 - 0 0 . chr13 108125976 108125977 chr13:108125977:A:C rs4772954 A C C EBF1_EBF_1 25 0 + 0 0 . chr13 108125980 108125981 chr13:108125981:G:A rs9514815 G A A EBF1_EBF_1 29 0 + 0 0 . chr13 108133339 108133340 chr13:108133340:G:C rs144407464 G C G EBF1_EBF_1 9 1 + 6.001266331124925 0.9255771847794618 CTTCCCCAGGGTTC chr13 108186665 108186666 chr13:108186666:A:G rs2150622 A G A EBF1_EBF_1 32 0 - 0 0 . chr13 108189806 108189807 chr13:108189807:C:A rs2015775 C A C EBF1_EBF_1 27 0 - 0 0 . chr13 108214260 108214261 chr13:108214261:C:T rs3093742 C T T EBF1_EBF_1 27 0 + 0 0 . chr13 108214464 108214465 chr13:108214465:T:G rs3093740 T G G EBF1_EBF_1 6 1 + 7.945199234603135 5.838721042268178 AATCCCTAAGGAGT chr13 108214478 108214479 chr13:108214479:C:T rs41315050 C T C EBF1_EBF_1 20 0 + 0 0 . chr13 108215640 108215641 chr13:108215641:G:C rs935776739 G C G EBF1_EBF_1 24 0 - 0 0 . chr13 108215657 108215658 chr13:108215658:G:C rs184301340 G C G EBF1_EBF_1 7 1 - 6.8058310966120255 7.78715167769338 AGCCCCACGGGGCT chr13 108215659 108215660 chr13:108215660:G:A rs770944952 G A G EBF1_EBF_1 5 1 - 6.8058310966120255 1.484043881071355 AGCCCCACGGGGCT chr13 108215906 108215907 chr13:108215907:A:G rs36219968 A G G EBF1_EBF_1 19 0 + 0 0 . chr13 108221215 108221216 chr13:108221216:T:G rs9520823 T G T EBF1_EBF_1 16 0 + 0 0 . chr13 108328911 108328912 chr13:108328912:T:C rs1180779948 T C T EBF1_EBF_1 7 1 + 9.62697204626324 7.809467299980618 ATCCCCCTGGGAGC chr13 108329062 108329063 chr13:108329063:A:G rs55902642 A G A EBF1_EBF_1 21 0 - 0 0 . chr13 108383683 108383684 chr13:108383684:G:A rs74582053 G A G EBF1_EBF_1 -14 0 + 0 0 . chr13 108495248 108495249 chr13:108495249:A:T rs74115520 A T A EBF1_EBF_1 -20 0 + 0 0 . chr13 108495280 108495281 chr13:108495281:G:A rs74115521 G A G EBF1_EBF_1 12 1 + 7.830183964504217 9.249001306603693 ACTCCCCAGGGGGA chr13 108501254 108501255 chr13:108501255:A:G rs9520911 A G A EBF1_EBF_1 -19 0 + 0 0 . chr13 108927093 108927094 chr13:108927094:T:A rs1415823 T A A EBF1_EBF_1 19 0 + 0 0 . chr13 108948585 108948586 chr13:108948586:T:G rs72654045 T G T EBF1_EBF_1 11 1 - 6.903676013061221 2.487714315208832 ATCCCCATGGAACT chr13 108955107 108955108 chr13:108955108:C:T rs75499600 C T C EBF1_EBF_1 -19 0 + 0 0 . chr13 108987543 108987544 chr13:108987544:G:A rs74121929 G A G EBF1_EBF_1 -17 0 + 0 0 . chr13 109153896 109153897 chr13:109153897:T:C rs60954268 T C T EBF1_EBF_1 -12 0 - 0 0 . chr13 109160208 109160209 chr13:109160209:C:T rs77913852 C T C EBF1_EBF_1 -18 0 - 0 0 . chr13 109166911 109166912 chr13:109166912:G:A rs1928143 G A G EBF1_EBF_1 -17 0 + 0 0 . chr13 109250471 109250472 chr13:109250472:G:A rs2183109 G A G EBF1_EBF_1 -3 0 - 0 0 . chr13 109273474 109273475 chr13:109273475:G:A rs187303622 G A G EBF1_EBF_1 -9 0 - 0 0 . chr13 109319115 109319116 chr13:109319116:G:A rs7335077 G A G EBF1_EBF_1 5 1 - 5.669050289895025 0.3472630743543545 CTCCCCGAGGGAGA chr13 109319225 109319226 chr13:109319226:C:T rs9521310 C T C EBF1_EBF_1 -16 0 - 0 0 . chr13 109324030 109324031 chr13:109324031:C:T rs4453345 C T C EBF1_EBF_1 -10 0 + 0 0 . chr13 109324032 109324033 chr13:109324033:T:C rs4397973 T C C EBF1_EBF_1 -8 0 + 0 0 . chr13 109349665 109349666 chr13:109349666:A:T rs9559565 A T A EBF1_EBF_1 -7 0 - 0 0 . chr13 109390283 109390284 chr13:109390284:G:A rs72660201 G A G EBF1_EBF_1 -16 0 - 0 0 . chr13 109401400 109401401 chr13:109401401:G:A rs191907949 G A G EBF1_EBF_1 21 0 - 0 0 . chr13 109404568 109404569 chr13:109404569:G:C rs7991422 G C G EBF1_EBF_1 4 1 - 4.450833012749608 -1.174932088399937 GACCCCATGGGTAC chr13 109459698 109459699 chr13:109459699:T:C rs72662063 T C T EBF1_EBF_1 12 1 - 5.839837590376181 4.421020248276704 CATCCCTGGGTAAT chr13 109468233 109468234 chr13:109468234:G:A rs7332550 G A G EBF1_EBF_1 -1 0 + 0 0 . chr13 109496397 109496398 chr13:109496398:C:T rs7330732 C T C EBF1_EBF_1 24 0 + 0 0 . chr13 109601237 109601238 chr13:109601238:G:C rs16974395 G C G EBF1_EBF_1 -16 0 - 0 0 . chr13 109610704 109610705 chr13:109610705:T:C rs1122797 T C C EBF1_EBF_1 23 0 + 0 0 . chr13 109669042 109669043 chr13:109669043:C:T rs74337462 C T C EBF1_EBF_1 -9 0 - 0 0 . chr13 109669355 109669356 chr13:109669356:T:G rs73607053 T G T EBF1_EBF_1 -12 0 + 0 0 . chr13 109669618 109669619 chr13:109669619:G:A rs115380942 G A G EBF1_EBF_1 23 0 + 0 0 . chr13 109708202 109708203 chr13:109708203:G:C rs115713530 G C g EBF1_EBF_1 19 0 + 0 0 . chr13 109733615 109733616 chr13:109733616:C:A rs1537029 C A C EBF1_EBF_1 -7 0 + 0 0 . chr13 109733638 109733639 chr13:109733639:C:G rs4773074 C G C EBF1_EBF_1 16 0 + 0 0 . chr13 109782954 109782955 chr13:109782955:T:C rs9583424 T C - EBF1_EBF_1 22 0 + 0 0 . chr13 109782960 109782961 chr13:109782961:C:T rs374646063 C T - EBF1_EBF_1 28 0 + 0 0 . chr13 109786175 109786176 chr13:109786176:G:T rs565561954 G T G EBF1_EBF_1 1 1 + 4.011330816584159 4.716992602413687 CGTCCCTCGGGCCC chr13 109816710 109816711 chr13:109816711:C:A rs150015751 C A C EBF1_EBF_1 27 0 - 0 0 . chr13 109818329 109818330 chr13:109818330:A:G rs182614842 A G A EBF1_EBF_1 7 1 + 11.274264830478723 9.961806425189897 AGTCCCAAGGGAGA chr13 109830391 109830392 chr13:109830392:C:T rs4771653 C T T EBF1_EBF_1 10 1 - 5.754196977055294 0.4361685177883281 AGTCACAAGGGAAG chr13 109875893 109875894 chr13:109875894:G:A rs336219 G A A EBF1_EBF_1 21 0 - 0 0 . chr13 109933838 109933839 chr13:109933839:G:T rs73613295 G T T EBF1_EBF_1 -12 0 + 0 0 . chr13 109938263 109938264 chr13:109938264:C:T rs11069816 C T C EBF1_EBF_1 10 1 - 5.446123649158126 0.12809518989116114 GGTCCCAGGGGCAC chr13 109956024 109956025 chr13:109956025:G:T rs113660805 G T g EBF1_EBF_1 26 0 - 0 0 . chr13 109969089 109969090 chr13:109969090:T:C rs7329400 T C c EBF1_EBF_1 11 1 + 5.400573780302521 5.082526338548857 AACCCCAAGGGTGC chr13 109976419 109976420 chr13:109976420:C:T rs75672862 C T C EBF1_EBF_1 -9 0 + 0 0 . chr13 109979588 109979589 chr13:109979589:A:G rs9559688 A G A EBF1_EBF_1 -7 0 - 0 0 . chr13 109989251 109989252 chr13:109989252:C:T rs9588055 C T C EBF1_EBF_1 27 0 - 0 0 . chr13 109998887 109998888 chr13:109998888:A:G rs535851619 A G a EBF1_EBF_1 0 1 - 5.400153623572303 5.117951562841723 TCCCCCCAGGGTAT chr13 109998891 109998892 chr13:109998892:A:T rs776997560 A T A EBF1_EBF_1 -4 0 - 0 0 . chr13 109999835 109999836 chr13:109999836:A:G rs145248311 A G A EBF1_EBF_1 -17 0 + 0 0 . chr13 110001291 110001292 chr13:110001292:T:C rs9555660 T C T EBF1_EBF_1 -16 0 + 0 0 . chr13 110001308 110001309 chr13:110001309:A:G rs78924101 A G A EBF1_EBF_1 1 1 + 7.397602693315061 7.658301525236364 AATCCCTAGGAACC chr13 110001318 110001319 chr13:110001319:A:G rs7982180 A G G EBF1_EBF_1 11 1 + 7.397602693315061 4.50489584971105 AATCCCTAGGAACC chr13 110009511 110009512 chr13:110009512:C:T rs7999512 C T C EBF1_EBF_1 -18 0 - 0 0 . chr13 110017150 110017151 chr13:110017151:T:C rs4773108 T C T EBF1_EBF_1 -16 0 + 0 0 . chr13 110024239 110024240 chr13:110024240:G:A rs73618843 G A G EBF1_EBF_1 27 0 - 0 0 . chr13 110024249 110024250 chr13:110024250:C:G rs896276611 C G C EBF1_EBF_1 17 0 - 0 0 . chr13 110089992 110089993 chr13:110089993:G:A chr13:110089993:G:A G A G EBF1_EBF_1 -4 0 - 0 0 . chr13 110094693 110094694 chr13:110094694:A:C rs943627454 A C A EBF1_EBF_1 -1 0 + 0 0 . chr13 110094702 110094703 chr13:110094703:G:A rs7992629 G A G EBF1_EBF_1 8 1 + 8.055748403902 3.8294583150157377 CCTCCCTCGGGATT chr13 110124385 110124386 chr13:110124386:A:G rs9515150 A G G EBF1_EBF_1 7 1 + 4.26081197380525 2.948353568516424 GCCCCCCAGGAAAT chr13 110148819 110148820 chr13:110148820:G:T rs603749 G T T EBF1_EBF_1 -5 0 - 0 0 . chr13 110152714 110152715 chr13:110152715:G:A rs681884 G A A EBF1_EBF_1 16 0 - 0 0 . chr13 110159230 110159231 chr13:110159231:T:C rs1192198 T C T EBF1_EBF_1 -11 0 + 0 0 . chr13 110181354 110181355 chr13:110181355:C:T rs16975492 C T C EBF1_EBF_1 23 0 + 0 0 . chr13 110186466 110186467 chr13:110186467:A:G rs61749897 A G A EBF1_EBF_1 13 1 - 4.656423096710942 3.8119289821254227 GCCCCCCTGGGCCT chr13 110188032 110188033 chr13:110188033:C:T rs7332542 C T C EBF1_EBF_1 18 0 + 0 0 . chr13 110198494 110198495 chr13:110198495:A:G rs995224 A G G EBF1_EBF_1 7 1 - 6.596185833966319 4.7786810876836965 GTTCCCCTGGGCCC chr13 110199147 110199148 chr13:110199148:C:T rs1982314 C T T EBF1_EBF_1 31 0 - 0 0 . chr13 110199460 110199461 chr13:110199461:C:A rs1981316 C A A EBF1_EBF_1 -13 0 + 0 0 . chr13 110219225 110219226 chr13:110219226:G:C rs666338 G C C EBF1_EBF_1 21 0 + 0 0 . chr13 110223791 110223792 chr13:110223792:G:A rs138065539 G A G EBF1_EBF_1 3 1 - 6.4819464031523655 0.07654766159904776 AACCCCCAGAGAGC chr13 110243052 110243053 chr13:110243053:A:C rs9583474 A C A EBF1_EBF_1 -2 0 - 0 0 . chr13 110255359 110255360 chr13:110255360:G:A rs79071664 G A g EBF1_EBF_1 25 0 - 0 0 . chr13 110261650 110261651 chr13:110261651:C:T rs9521677 C T C EBF1_EBF_1 18 0 + 0 0 . chr13 110269614 110269615 chr13:110269615:T:C rs576530402 T C T EBF1_EBF_1 7 1 - 8.131281178751818 6.818822773462993 AGCCCCCAGAGACC chr13 110276390 110276391 chr13:110276391:A:T rs7984097 A T A EBF1_EBF_1 28 0 - 0 0 . chr13 110279845 110279846 chr13:110279846:G:A rs4773139 G A G EBF1_EBF_1 -1 0 + 0 0 . chr13 110289970 110289971 chr13:110289971:A:T rs34633933 A T T EBF1_EBF_1 -4 0 - 0 0 . chr13 110307439 110307440 chr13:110307440:A:G rs35466678 A G a EBF1_EBF_1 32 0 + 0 0 . chr13 110314538 110314539 chr13:110314539:C:T rs61964285 C T C EBF1_EBF_1 21 0 - 0 0 . chr13 110314573 110314574 chr13:110314574:C:T rs61964286 C T C EBF1_EBF_1 -14 0 - 0 0 . chr13 110337565 110337566 chr13:110337566:T:C rs142451489 T C T EBF1_EBF_1 6 1 - 5.9153264026134 3.748531971338924 AATCCCAAGGCAAC chr13 110338485 110338486 chr13:110338486:G:A rs117505015 G A G EBF1_EBF_1 3 1 - 4.6678676116548035 -1.737531129898514 GCTCCCTAGAGCCT chr13 110343629 110343630 chr13:110343630:T:C rs80209119 T C T EBF1_EBF_1 -14 0 - 0 0 . chr13 110348191 110348192 chr13:110348192:T:C rs11840326 T C C EBF1_EBF_1 21 0 - 0 0 . chr13 110360080 110360081 chr13:110360081:A:G rs981739950 A G A EBF1_EBF_1 33 0 + 0 0 . chr13 110361409 110361410 chr13:110361410:C:T rs79196121 C T C EBF1_EBF_1 -6 0 - 0 0 . chr13 110363776 110363777 chr13:110363777:A:C rs913746 A C A EBF1_EBF_1 -9 0 + 0 0 . chr13 110363805 110363806 chr13:110363806:C:T rs9521717 C T c EBF1_EBF_1 20 0 + 0 0 . chr13 110376717 110376718 chr13:110376718:C:T rs9515198 C T C EBF1_EBF_1 -6 0 + 0 0 . chr13 110377575 110377576 chr13:110377576:G:A rs11838637 G A G EBF1_EBF_1 -10 0 - 0 0 . chr13 110377622 110377623 chr13:110377623:G:A rs9521729 G A G EBF1_EBF_1 -5 0 + 0 0 . chr13 110387601 110387602 chr13:110387602:G:A rs1999013 G A G EBF1_EBF_1 -6 0 + 0 0 . chr13 110388852 110388853 chr13:110388853:G:T rs76446482 G T G EBF1_EBF_1 22 0 + 0 0 . chr13 110395002 110395003 chr13:110395003:C:A rs7326145 C A C EBF1_EBF_1 15 0 - 0 0 . chr13 110396287 110396288 chr13:110396288:C:T rs9559788 C T C EBF1_EBF_1 -16 0 - 0 0 . chr13 110399612 110399613 chr13:110399613:C:A rs7982993 C A C EBF1_EBF_1 3 1 + 6.1604398988353495 -0.745734130630456 ATTCCCTTGGTGAC chr13 110411179 110411180 chr13:110411180:G:T rs118049562 G T g EBF1_EBF_1 28 0 + 0 0 . chr13 110422567 110422568 chr13:110422568:C:T rs7993934 C T C EBF1_EBF_1 14 0 + 0 0 . chr13 110425126 110425127 chr13:110425127:C:T rs114913603 C T C EBF1_EBF_1 -9 0 + 0 0 . chr13 110425168 110425169 chr13:110425169:A:G rs34011931 A G A EBF1_EBF_1 33 0 + 0 0 . chr13 110432004 110432005 chr13:110432005:G:A rs73617522 G A G EBF1_EBF_1 31 0 + 0 0 . chr13 110443453 110443454 chr13:110443454:C:T rs56102049 C T C EBF1_EBF_1 -17 0 - 0 0 . chr13 110446774 110446775 chr13:110446775:G:A rs7326449 G A A EBF1_EBF_1 27 0 - 0 0 . chr13 110449694 110449695 chr13:110449695:G:A rs76425569 G A G EBF1_EBF_1 -3 0 + 0 0 . chr13 110458221 110458222 chr13:110458222:C:T rs186477441 C T C EBF1_EBF_1 10 1 - 4.850843446901286 -0.46718501236568016 CTCCCCCAGGGCAC chr13 110462206 110462207 chr13:110462207:G:A rs41275110 G A g EBF1_EBF_1 -2 0 + 0 0 . chr13 110467048 110467049 chr13:110467049:G:C rs3803230 G C G EBF1_EBF_1 15 0 - 0 0 . chr13 110470842 110470843 chr13:110470843:T:C rs9555707 T C C EBF1_EBF_1 21 0 - 0 0 . chr13 110479812 110479813 chr13:110479813:G:T rs61968352 G T G EBF1_EBF_1 16 0 - 0 0 . chr13 110485117 110485118 chr13:110485118:G:A rs2296852 G A G EBF1_EBF_1 17 0 + 0 0 . chr13 110493079 110493080 chr13:110493080:T:C rs9555711 T C C EBF1_EBF_1 7 1 + 4.62148126828107 2.8039765219984476 ACCCCCATGGGTGA chr13 110493080 110493081 chr13:110493081:G:A rs3831149 G A G EBF1_EBF_1 8 1 + 4.62148126828107 0.39519117939481035 ACCCCCATGGGTGA chr13 110493285 110493286 chr13:110493286:C:T rs2274544 C T C EBF1_EBF_1 12 1 + 7.18380308251369 6.1575365119628405 GATCCCCAGGTACT chr13 110500130 110500131 chr13:110500131:A:C rs387344 A C A EBF1_EBF_1 -9 0 - 0 0 . chr13 110503431 110503432 chr13:110503432:G:A rs4773199 G A G EBF1_EBF_1 -13 0 + 0 0 . chr13 110503663 110503664 chr13:110503664:A:C rs422514 A C A EBF1_EBF_1 -10 0 - 0 0 . chr13 110503673 110503674 chr13:110503674:C:T rs4773200 C T C EBF1_EBF_1 -20 0 - 0 0 . chr13 110503902 110503903 chr13:110503903:G:A rs45520539 G A G EBF1_EBF_1 31 0 - 0 0 . chr13 110518413 110518414 chr13:110518414:G:A rs55825258 G A G EBF1_EBF_1 -7 0 + 0 0 . chr13 110524370 110524371 chr13:110524371:A:G rs393961 A G G EBF1_EBF_1 -8 0 + 0 0 . chr13 110524837 110524838 chr13:110524838:A:T rs2477914 A T T EBF1_EBF_1 -13 0 + 0 0 . chr13 110524839 110524840 chr13:110524840:G:A rs182404257 G A G EBF1_EBF_1 -11 0 + 0 0 . chr13 110524987 110524988 chr13:110524988:T:C rs7325217 T C C EBF1_EBF_1 -7 0 + 0 0 . chr13 110525486 110525487 chr13:110525487:C:G rs446995 C G C EBF1_EBF_1 15 0 + 0 0 . chr13 110526148 110526149 chr13:110526149:C:T rs74764001 C T C EBF1_EBF_1 25 0 + 0 0 . chr13 110526298 110526299 chr13:110526299:A:T rs11616672 A T A EBF1_EBF_1 2 1 - 4.3986408948140125 0.7141733072151337 ACTCCCTGGGTGCC chr13 110530686 110530687 chr13:110530687:G:A rs549523200 G A - EBF1_EBF_1 -3 0 - 0 0 . chr13 110534290 110534291 chr13:110534291:C:A rs9521822 C A C EBF1_EBF_1 8 1 - 8.126943841462248 1.235511052485053 CTTCCCCAGAGAAA chr13 110538730 110538731 chr13:110538731:T:C rs141643056 T C T EBF1_EBF_1 7 1 - 9.03114942826682 7.718691022977996 CGTCCCCAGGGAGC chr13 110538732 110538733 chr13:110538733:G:A rs112619050 G A G EBF1_EBF_1 5 1 - 9.03114942826682 3.7093622127261496 CGTCCCCAGGGAGC chr13 110565074 110565075 chr13:110565075:A:G rs766974 A G A EBF1_EBF_1 17 0 + 0 0 . chr13 110593462 110593463 chr13:110593463:A:G rs4497518 A G A EBF1_EBF_1 17 0 + 0 0 . chr13 110616418 110616419 chr13:110616419:G:T rs73629937 G T G EBF1_EBF_1 33 0 - 0 0 . chr13 110619027 110619028 chr13:110619028:C:G rs330542 C G C EBF1_EBF_1 -2 0 - 0 0 . chr13 110628757 110628758 chr13:110628758:A:G rs330556 A G G EBF1_EBF_1 22 0 + 0 0 . chr13 110631461 110631462 chr13:110631462:A:C rs3783097 A C C EBF1_EBF_1 21 0 - 0 0 . chr13 110639470 110639471 chr13:110639471:C:T rs2274411 C T C EBF1_EBF_1 15 0 - 0 0 . chr13 110640652 110640653 chr13:110640653:A:G rs61970493 A G A EBF1_EBF_1 -19 0 - 0 0 . chr13 110645722 110645723 chr13:110645723:T:G rs4773232 T G T EBF1_EBF_1 -18 0 - 0 0 . chr13 110647652 110647653 chr13:110647653:T:C rs421491 T C - EBF1_EBF_1 2 1 + 8.081257603037908 6.192434191595757 CCTCCCGAGGGAAA chr13 110648930 110648931 chr13:110648931:C:T rs416552 C T C EBF1_EBF_1 -6 0 - 0 0 . chr13 110649217 110649218 chr13:110649218:G:T rs149753177 G T G EBF1_EBF_1 -15 0 + 0 0 . chr13 110649229 110649230 chr13:110649230:G:A rs145610490 G A G EBF1_EBF_1 -3 0 + 0 0 . chr13 110649264 110649265 chr13:110649265:C:G rs450847 C G C EBF1_EBF_1 32 0 + 0 0 . chr13 110657463 110657464 chr13:110657464:T:C rs411771 T C C EBF1_EBF_1 15 0 - 0 0 . chr13 110661074 110661075 chr13:110661075:T:G rs422087 T G T EBF1_EBF_1 4 1 + 4.956959366475065 3.7005812102704434 ATTCTCAAGGGCCC chr13 110664242 110664243 chr13:110664243:A:G rs8000115 A G A EBF1_EBF_1 27 0 - 0 0 . chr13 110666351 110666352 chr13:110666352:T:C rs7328567 T C T EBF1_EBF_1 27 0 + 0 0 . chr13 110691855 110691856 chr13:110691856:C:T rs74473844 C T C EBF1_EBF_1 8 1 - 7.665165278310495 3.438875189424233 TGCCCCTCGGGACT chr13 110696986 110696987 chr13:110696987:T:C rs72661700 T C C EBF1_EBF_1 19 0 - 0 0 . chr13 110713557 110713558 chr13:110713558:G:C rs2289461 G C C EBF1_EBF_1 -9 0 + 0 0 . chr13 110713961 110713962 chr13:110713962:G:A rs182296162 G A G EBF1_EBF_1 -2 0 + 0 0 . chr13 110714112 110714113 chr13:110714113:A:C rs375532253 A C A EBF1_EBF_1 -10 0 + 0 0 . chr13 110715136 110715137 chr13:110715137:C:T rs566329776 C T C EBF1_EBF_1 9 1 - 4.5706508625084155 1.9157115970608118 ATTCCCGGCGGACA chr13 110716331 110716332 chr13:110716332:C:G rs79104953 C G C EBF1_EBF_1 3 1 + 5.866244104602557 0.038479656487340974 GCCCCCCCGGGAGT chr13 110760153 110760154 chr13:110760154:C:T rs12868788 C T C EBF1_EBF_1 8 1 - 5.493310574857931 1.2670204859716698 CTTCCCATGAGGAC chr13 110779383 110779384 chr13:110779384:G:A chr13:110779384:G:A G A G EBF1_EBF_1 16 0 - 0 0 . chr13 110799360 110799361 chr13:110799361:C:T rs867790 C T C EBF1_EBF_1 -9 0 - 0 0 . chr13 110813827 110813828 chr13:110813828:C:T rs72663490 C T T EBF1_EBF_1 32 0 + 0 0 . chr13 110820514 110820515 chr13:110820515:G:A rs428125 G A G EBF1_EBF_1 7 1 - 5.407134823654108 7.224639569936731 ATCCCCACGGTAAC chr13 110820527 110820528 chr13:110820528:T:C rs437893 T C C EBF1_EBF_1 -6 0 - 0 0 . chr13 110828962 110828963 chr13:110828963:A:G rs328824 A G G EBF1_EBF_1 6 1 + 6.891481815268061 4.724687383993586 ATTCACAAGGGATC chr13 110832293 110832294 chr13:110832294:A:T rs9588276 A T A EBF1_EBF_1 2 1 + 5.1411378292902405 8.825605416889116 TCACCCAAGGGGCT chr13 110851075 110851076 chr13:110851076:A:G rs6492315 A G G EBF1_EBF_1 11 1 + 8.716554170587663 5.823847326983652 TGTCCCTTGAGACT chr13 110913089 110913090 chr13:110913090:A:T rs80047635 A T a EBF1_EBF_1 -12 0 + 0 0 . chr13 110914589 110914590 chr13:110914590:G:C rs553767976 G C G EBF1_EBF_1 5 1 - 4.115265114156191 -2.7896160384789783 TGCCCCGAGGGGCC chr13 110936856 110936857 chr13:110936857:G:C rs9515317 G C C EBF1_EBF_1 18 0 + 0 0 . chr13 110938202 110938203 chr13:110938203:A:G rs9515318 A G G EBF1_EBF_1 -5 0 - 0 0 . chr13 110938822 110938823 chr13:110938823:G:A rs7333318 G A . EBF1_EBF_1 22 0 - 0 0 . chr13 110938917 110938918 chr13:110938918:G:A rs2239661 G A . EBF1_EBF_1 -4 0 - 0 0 . chr13 110941082 110941083 chr13:110941083:T:G rs538543954 T G . EBF1_EBF_1 33 0 - 0 0 . chr13 110942643 110942644 chr13:110942644:T:C rs4773285 T C . EBF1_EBF_1 25 0 - 0 0 . chr13 110944690 110944691 chr13:110944691:C:T rs189706686 C T . EBF1_EBF_1 14 0 - 0 0 . chr13 110949357 110949358 chr13:110949358:C:T rs11616301 C T . EBF1_EBF_1 -2 0 + 0 0 . chr13 111008650 111008651 chr13:111008651:T:G rs9515348 T G T EBF1_EBF_1 28 0 - 0 0 . chr13 111027671 111027672 chr13:111027672:C:G rs71440097 C G C EBF1_EBF_1 16 0 + 0 0 . chr13 111029703 111029704 chr13:111029704:A:G chr13:111029704:A:G A G A EBF1_EBF_1 27 0 - 0 0 . chr13 111034261 111034262 chr13:111034262:T:G rs9522088 T G T EBF1_EBF_1 -7 0 - 0 0 . chr13 111043655 111043656 chr13:111043656:C:A rs116432370 C A C EBF1_EBF_1 30 0 - 0 0 . chr13 111043693 111043694 chr13:111043694:C:T rs78743691 C T C EBF1_EBF_1 -8 0 - 0 0 . chr13 111043804 111043805 chr13:111043805:C:A rs76059592 C A C EBF1_EBF_1 -10 0 - 0 0 . chr13 111049571 111049572 chr13:111049572:C:A rs118170334 C A C EBF1_EBF_1 5 1 + 5.141544335932654 -1.7633368167025143 TCACCCCGGGGACA chr13 111049599 111049600 chr13:111049600:T:C rs116116939 T C T EBF1_EBF_1 33 0 + 0 0 . chr13 111055154 111055155 chr13:111055155:G:A rs4773306 G A g EBF1_EBF_1 13 1 - 6.417793699949092 7.26228781453461 TTCCCCCTGAGAAC chr13 111058284 111058285 chr13:111058285:C:G rs2150894 C G G EBF1_EBF_1 -15 0 - 0 0 . chr13 111062505 111062506 chr13:111062506:T:C rs9559959 T C C EBF1_EBF_1 -9 0 - 0 0 . chr13 111067728 111067729 chr13:111067729:A:G rs9583579 A G A EBF1_EBF_1 26 0 + 0 0 . chr13 111071689 111071690 chr13:111071690:G:A rs564369832 G A G EBF1_EBF_1 -9 0 + 0 0 . chr13 111100474 111100475 chr13:111100475:T:C rs12871305 T C T EBF1_EBF_1 30 0 + 0 0 . chr13 111117812 111117813 chr13:111117813:A:C rs9788352 A C C EBF1_EBF_1 -10 0 - 0 0 . chr13 111118653 111118654 chr13:111118654:A:G rs9522130 A G A EBF1_EBF_1 12 1 - 7.483186590827716 8.509453161378566 ATACCCTAGGGATG chr13 111144046 111144047 chr13:111144047:A:T rs75199420 A T A EBF1_EBF_1 18 0 - 0 0 . chr13 111184983 111184984 chr13:111184984:G:A rs117752876 G A G EBF1_EBF_1 4 1 - 10.54943483995456 6.180047895009637 AATCCCCTGGGAGC chr13 111188502 111188503 chr13:111188503:C:A rs77149608 C A C EBF1_EBF_1 -9 0 + 0 0 . chr13 111196072 111196073 chr13:111196073:G:A rs9522155 G A G EBF1_EBF_1 -2 0 - 0 0 . chr13 111200164 111200165 chr13:111200165:T:G rs1106830 T G G EBF1_EBF_1 32 0 - 0 0 . chr13 111223557 111223558 chr13:111223558:T:G chr13:111223558:T:G T G t EBF1_EBF_1 -3 0 - 0 0 . chr13 111223572 111223573 chr13:111223573:T:C rs188983484 T C t EBF1_EBF_1 -18 0 - 0 0 . chr13 111287636 111287637 chr13:111287637:T:C rs762045935 T C T EBF1_EBF_1 9 1 - 5.132212412245777 7.78715167769338 AGCCCCAGGAGGCT chr13 111298704 111298705 chr13:111298705:T:C rs7139979 T C C EBF1_EBF_1 28 0 - 0 0 . chr13 111313463 111313464 chr13:111313464:T:C rs7333394 T C C EBF1_EBF_1 15 0 - 0 0 . chr13 111316031 111316032 chr13:111316032:C:T rs75547346 C T C EBF1_EBF_1 -8 0 - 0 0 . chr13 111318715 111318716 chr13:111318716:A:G rs2391931 A G A EBF1_EBF_1 13 1 + 6.120245076006988 5.005919503937549 GTTCCCAGGAGAGA chr13 111322307 111322308 chr13:111322308:C:T rs144126716 C T C EBF1_EBF_1 18 0 + 0 0 . chr13 111323353 111323354 chr13:111323354:G:A rs74705581 G A G EBF1_EBF_1 -5 0 - 0 0 . chr13 111327570 111327571 chr13:111327571:G:A rs536172321 G A G EBF1_EBF_1 27 0 - 0 0 . chr13 111327592 111327593 chr13:111327593:G:A rs9301496 G A G EBF1_EBF_1 5 1 - 6.466690788819961 1.14490357327929 AGCCCCGAGGGATG chr13 111338021 111338022 chr13:111338022:C:T rs9522186 C T C EBF1_EBF_1 26 0 + 0 0 . chr13 111346265 111346266 chr13:111346266:T:C rs486455 T C C EBF1_EBF_1 30 0 - 0 0 . chr13 111363053 111363054 chr13:111363054:A:G rs966274995 A G A EBF1_EBF_1 -13 0 + 0 0 . chr13 111363266 111363267 chr13:111363267:G:A rs1109872 G A G EBF1_EBF_1 25 0 + 0 0 . chr13 111383071 111383072 chr13:111383072:G:A rs2108250 G A G EBF1_EBF_1 -15 0 - 0 0 . chr13 111389111 111389112 chr13:111389112:A:G rs34742004 A G A EBF1_EBF_1 27 0 - 0 0 . chr13 111390271 111390272 chr13:111390272:C:T rs9522215 C T C EBF1_EBF_1 5 1 + 5.24157841384794 -0.08020880169273004 CACCCCCAGAGACA chr13 111391082 111391083 chr13:111391083:T:C rs1164142 T C C EBF1_EBF_1 -10 0 + 0 0 . chr13 111403030 111403031 chr13:111403031:G:A rs9522228 G A G EBF1_EBF_1 30 0 - 0 0 . chr13 111405802 111405803 chr13:111405803:G:A rs117650539 G A G EBF1_EBF_1 7 1 + 8.846628134734843 10.159086540023667 CATCCCCGGGGACC chr13 111417070 111417071 chr13:111417071:A:G rs2770265 A G G EBF1_EBF_1 12 1 - 5.143828443937431 6.170095014488281 ATCCCTCAGGGATC chr13 111421949 111421950 chr13:111421950:C:T rs1859758 C T C EBF1_EBF_1 5 1 + 6.355757043845719 1.0339698283050485 CCCCCCTTGAGACT chr13 111421964 111421965 chr13:111421965:G:A rs1075562 G A G EBF1_EBF_1 20 0 + 0 0 . chr13 111425406 111425407 chr13:111425407:G:A rs9645919 G A A EBF1_EBF_1 -9 0 - 0 0 . chr13 111437630 111437631 chr13:111437631:G:C rs9522233 G C C EBF1_EBF_1 -13 0 + 0 0 . chr13 111438188 111438189 chr13:111438189:G:A rs116633184 G A A EBF1_EBF_1 -17 0 + 0 0 . chr13 111438254 111438255 chr13:111438255:G:C rs114342202 G C G EBF1_EBF_1 2 1 - 3.66541709793768 -1.1940851808206383 AACCCCCGGAGGCC chr13 111444803 111444804 chr13:111444804:C:T rs115194209 C T C EBF1_EBF_1 8 1 - 6.249624369788358 2.0233342809020964 CCTCCCACGGGGCT chr13 111451390 111451391 chr13:111451391:T:G rs10459311 T G T EBF1_EBF_1 17 0 - 0 0 . chr13 111451418 111451419 chr13:111451419:C:A rs1151431 C A C EBF1_EBF_1 -11 0 - 0 0 . chr13 111461943 111461944 chr13:111461944:G:A rs113132737 G A g EBF1_EBF_1 -2 0 + 0 0 . chr13 111470757 111470758 chr13:111470758:C:T rs9560087 C T C EBF1_EBF_1 26 0 + 0 0 . chr13 111470931 111470932 chr13:111470932:A:G rs11839982 A G A EBF1_EBF_1 15 0 - 0 0 . chr13 111471535 111471536 chr13:111471536:G:A rs78872764 G A G EBF1_EBF_1 11 1 - 4.324743002956793 4.6427904447104575 TGCCCCTAGGGCCA chr13 111472124 111472125 chr13:111472125:T:C rs1151441 T C T EBF1_EBF_1 -10 0 - 0 0 . chr13 111474326 111474327 chr13:111474327:C:T rs58287610 C T C EBF1_EBF_1 21 0 - 0 0 . chr13 111474342 111474343 chr13:111474343:G:A rs144933436 G A G EBF1_EBF_1 5 1 - 5.247693075930813 -0.07409413960985733 ACTCCCTGGAGCCT chr13 111475478 111475479 chr13:111475479:C:T rs1151444 C T C EBF1_EBF_1 32 0 + 0 0 . chr13 111484627 111484628 chr13:111484628:T:C rs113821171 T C T EBF1_EBF_1 14 0 + 0 0 . chr13 111486021 111486022 chr13:111486022:T:C rs1151450 T C C EBF1_EBF_1 -13 0 - 0 0 . chr13 111486025 111486026 chr13:111486026:C:T rs541565008 C T C EBF1_EBF_1 -17 0 - 0 0 . chr13 111486026 111486027 chr13:111486027:G:A rs76873690 G A G EBF1_EBF_1 -18 0 - 0 0 . chr13 111486786 111486787 chr13:111486787:T:G rs1151452 T G G EBF1_EBF_1 21 0 - 0 0 . chr13 111494863 111494864 chr13:111494864:C:T rs9515433 C T C EBF1_EBF_1 -1 0 + 0 0 . chr13 111494873 111494874 chr13:111494874:A:G rs2774445 A G G EBF1_EBF_1 9 1 + 4.746696555452868 7.401635820900472 GGTCCCATGAGGCA chr13 111504064 111504065 chr13:111504065:A:C rs61967676 A C C EBF1_EBF_1 6 1 + 3.7220783769003516 3.3604760090990737 CGCCCCACGGGAGG chr13 111507029 111507030 chr13:111507030:G:A rs79483890 G A G EBF1_EBF_1 19 0 + 0 0 . chr13 111531000 111531001 chr13:111531001:A:G rs9560113 A G A EBF1_EBF_1 -12 0 + 0 0 . chr13 111547749 111547750 chr13:111547750:C:T rs112531472 C T C EBF1_EBF_1 23 0 + 0 0 . chr13 111548331 111548332 chr13:111548332:A:C rs7325253 A C C EBF1_EBF_1 12 1 + 4.851589867993052 4.821408469571726 CTTGCCCTGGGAAC chr13 111559716 111559717 chr13:111559717:G:A rs56171642 G A G EBF1_EBF_1 18 0 - 0 0 . chr13 111566283 111566284 chr13:111566284:G:A rs73635351 G A G EBF1_EBF_1 1 1 + 3.9287289674106716 3.6680301354893703 GGTGCCCAGGGATC chr13 111566741 111566742 chr13:111566742:C:T rs2391954 C T C EBF1_EBF_1 25 0 + 0 0 . chr13 111586515 111586516 chr13:111586516:A:C rs2039916 A C C EBF1_EBF_1 27 0 - 0 0 . chr13 111609793 111609794 chr13:111609794:G:A rs12859347 G A G EBF1_EBF_1 -19 0 + 0 0 . chr13 111622409 111622410 chr13:111622410:G:T rs550385758 G T G EBF1_EBF_1 -12 0 - 0 0 . chr13 111642267 111642268 chr13:111642268:A:G rs9522328 A G A EBF1_EBF_1 -4 0 + 0 0 . chr13 111642304 111642305 chr13:111642305:T:G rs9583625 T G T EBF1_EBF_1 33 0 + 0 0 . chr13 111665923 111665924 chr13:111665924:T:C rs370017701 T C T EBF1_EBF_1 27 0 - 0 0 . chr13 111789328 111789329 chr13:111789329:G:A rs987267042 G A G EBF1_EBF_1 21 0 + 0 0 . chr13 111789807 111789808 chr13:111789808:G:A rs1033252981 G A g EBF1_EBF_1 -6 0 - 0 0 . chr13 111845655 111845656 chr13:111845656:G:A rs958675011 G A G EBF1_EBF_1 30 0 + 0 0 . chr13 111846443 111846444 chr13:111846444:G:A rs965701235 G A . EBF1_EBF_1 -15 0 - 0 0 . chr13 111856926 111856927 chr13:111856927:G:A rs115546033 G A G EBF1_EBF_1 -3 0 - 0 0 . chr13 111857665 111857666 chr13:111857666:T:C rs74128829 T C C EBF1_EBF_1 -17 0 - 0 0 . chr13 111872263 111872264 chr13:111872264:G:T rs9549828 G T G EBF1_EBF_1 -8 0 - 0 0 . chr13 111875056 111875057 chr13:111875057:C:A rs75868039 C A C EBF1_EBF_1 10 1 - 8.238462088515742 4.055709902288478 ATCCCCCAGGGTCT chr13 111877453 111877454 chr13:111877454:C:T rs73561412 C T C EBF1_EBF_1 -18 0 - 0 0 . chr13 111900345 111900346 chr13:111900346:T:C rs377229206 T C T EBF1_EBF_1 7 1 - 9.578840433072216 8.26638202778339 GCCCCCCAGGGAAT chr13 111900354 111900355 chr13:111900355:G:A rs2295981 G A G EBF1_EBF_1 -2 0 - 0 0 . chr13 111900366 111900367 chr13:111900367:C:T rs553384383 C T C EBF1_EBF_1 -14 0 - 0 0 . chr13 111906986 111906987 chr13:111906987:T:C rs772830057 T C T EBF1_EBF_1 -11 0 - 0 0 . chr13 111907845 111907846 chr13:111907846:G:C rs189387357 G C G EBF1_EBF_1 4 1 - 3.9085686755335303 -1.7171964256160144 ACACCCCTGGGCCC chr13 111911870 111911871 chr13:111911871:C:T rs557776905 C T C EBF1_EBF_1 16 0 + 0 0 . chr13 111912800 111912801 chr13:111912801:G:A rs74744827 G A G EBF1_EBF_1 32 0 - 0 0 . chr13 111912807 111912808 chr13:111912808:T:C rs942040 T C C EBF1_EBF_1 25 0 - 0 0 . chr13 111912970 111912971 chr13:111912971:A:G rs6577083 A G A EBF1_EBF_1 31 0 - 0 0 . chr13 111917138 111917139 chr13:111917139:T:C rs11619227 T C C EBF1_EBF_1 -10 0 + 0 0 . chr13 111921445 111921446 chr13:111921446:C:T rs143409618 C T C EBF1_EBF_1 -6 0 + 0 0 . chr13 111921451 111921452 chr13:111921452:A:C rs9550149 A C C EBF1_EBF_1 0 1 + 4.453260952231684 2.1980024685350834 AGCCCTTGGGGACC chr13 111928671 111928672 chr13:111928672:A:G rs115903184 A G A EBF1_EBF_1 30 0 + 0 0 . chr13 111943636 111943637 chr13:111943637:A:G rs7331959 A G G EBF1_EBF_1 25 0 - 0 0 . chr13 111944482 111944483 chr13:111944483:T:C rs571650 T C C EBF1_EBF_1 13 1 - 9.856268268089778 8.741942696020338 CCTCCCCAGGGACA chr13 111996774 111996775 chr13:111996775:T:C rs1290120 T C C EBF1_EBF_1 -9 0 - 0 0 . chr13 112026630 112026631 chr13:112026631:G:A chr13:112026631:G:A G A G EBF1_EBF_1 22 0 - 0 0 . chr13 112036752 112036753 chr13:112036753:T:C rs7330116 T C C EBF1_EBF_1 1 1 - 7.4947178373725905 7.755416669293892 AATCCCCTGGAAAT chr13 112055972 112055973 chr13:112055973:G:A rs530098296 G A G EBF1_EBF_1 -13 0 - 0 0 . chr13 112069024 112069025 chr13:112069025:C:A rs567690130 C A C EBF1_EBF_1 22 0 + 0 0 . chr13 112071054 112071055 chr13:112071055:G:A rs368054411 G A G EBF1_EBF_1 24 0 - 0 0 . chr13 112096582 112096583 chr13:112096583:C:A rs944900 C A A EBF1_EBF_1 5 1 + 4.328692524694855 -2.5761886279403132 ACTCCCCCCGGAGT chr13 112114548 112114549 chr13:112114549:C:T rs72638448 C T C EBF1_EBF_1 -11 0 + 0 0 . chr13 112118049 112118050 chr13:112118050:A:G rs4907498 A G G EBF1_EBF_1 -5 0 - 0 0 . chr13 112118050 112118051 chr13:112118051:G:T rs4907655 G T G EBF1_EBF_1 -6 0 - 0 0 . chr13 112146100 112146101 chr13:112146101:T:C rs1808804 T C C EBF1_EBF_1 18 0 + 0 0 . chr13 112147901 112147902 chr13:112147902:C:A rs9549859 C A - EBF1_EBF_1 10 1 - 4.220566005139332 0.037813818912066824 CTTCCACAGGGACC chr13 112170410 112170411 chr13:112170411:A:G rs9577632 A G G EBF1_EBF_1 11 1 - 7.289397191744671 6.971349749991008 AATCCCAAGGGTGC chr13 112170418 112170419 chr13:112170419:G:A rs140113470 G A G EBF1_EBF_1 3 1 - 7.289397191744671 0.8839984501913539 AATCCCAAGGGTGC chr13 112170720 112170721 chr13:112170721:G:A rs577903751 G A G EBF1_EBF_1 -5 0 - 0 0 . chr13 112183396 112183397 chr13:112183397:G:A rs59783871 G A G EBF1_EBF_1 25 0 - 0 0 . chr13 112216756 112216757 chr13:112216757:C:G rs1360311 C G G EBF1_EBF_1 -4 0 - 0 0 . chr13 112226094 112226095 chr13:112226095:A:G rs280820 A G g EBF1_EBF_1 20 0 - 0 0 . chr13 112253620 112253621 chr13:112253621:T:C rs9549904 T C T EBF1_EBF_1 25 0 - 0 0 . chr13 112256947 112256948 chr13:112256948:A:G rs9549913 A G G EBF1_EBF_1 -10 0 - 0 0 . chr13 112276570 112276571 chr13:112276571:A:G rs58336720 A G A EBF1_EBF_1 31 0 + 0 0 . chr13 112327978 112327979 chr13:112327979:A:G rs9324288 A G G EBF1_EBF_1 29 0 + 0 0 . chr13 112329080 112329081 chr13:112329081:G:A rs9324291 G A G EBF1_EBF_1 8 1 + 6.442482135032731 2.2161920461464693 ATTCGCCGGGGACC chr13 112343887 112343888 chr13:112343888:C:T rs7320527 C T C EBF1_EBF_1 -17 0 - 0 0 . chr13 112344435 112344436 chr13:112344436:G:A rs908303195 G A G EBF1_EBF_1 -14 0 - 0 0 . chr13 112348418 112348419 chr13:112348419:C:T rs9577712 C T C EBF1_EBF_1 15 0 + 0 0 . chr13 112355548 112355549 chr13:112355549:C:T rs9550058 C T c EBF1_EBF_1 16 0 - 0 0 . chr13 112363828 112363829 chr13:112363829:A:C rs1296656 A C A EBF1_EBF_1 -7 0 + 0 0 . chr13 112376524 112376525 chr13:112376525:G:C rs61960772 G C G EBF1_EBF_1 -19 0 + 0 0 . chr13 112441704 112441705 chr13:112441705:C:T rs9577365 C T C EBF1_EBF_1 14 0 + 0 0 . chr13 112441705 112441706 chr13:112441706:G:A rs9577366 G A G EBF1_EBF_1 15 0 + 0 0 . chr13 112441917 112441918 chr13:112441918:T:C rs4907712 T C t EBF1_EBF_1 16 0 + 0 0 . chr13 112442672 112442673 chr13:112442673:G:A rs11843702 G A G EBF1_EBF_1 27 0 + 0 0 . chr13 112447777 112447778 chr13:112447778:G:A rs73562796 G A G EBF1_EBF_1 -16 0 + 0 0 . chr13 112447801 112447802 chr13:112447802:G:A rs35974259 G A G EBF1_EBF_1 8 1 + 4.850760127573681 0.6244700386874189 GCCCCCGAGGGGCT chr13 112447989 112447990 chr13:112447990:C:G rs913453 C G C EBF1_EBF_1 -16 0 + 0 0 . chr13 112448001 112448002 chr13:112448002:T:C rs7491899 T C T EBF1_EBF_1 -4 0 + 0 0 . chr13 112466297 112466298 chr13:112466298:C:G rs72656122 C G C EBF1_EBF_1 7 1 + 8.23752085986733 9.218841440948687 CCTCCCTCGGGACC chr13 112469868 112469869 chr13:112469869:G:A rs185018986 G A G EBF1_EBF_1 -8 0 + 0 0 . chr13 112533199 112533200 chr13:112533200:G:A rs7994169 G A G EBF1_EBF_1 7 1 + 8.01097087447333 9.323429279762157 AGTCCCCGAGGAAT chr13 112606396 112606397 chr13:112606397:T:C rs7999006 T C C EBF1_EBF_1 7 1 - 5.817725187450571 4.505266782161746 TTTCCCTAGAGGAA chr13 112622668 112622669 chr13:112622669:T:C rs9604380 T C T EBF1_EBF_1 -4 0 - 0 0 . chr13 112622681 112622682 chr13:112622682:C:A rs2182270 C A A EBF1_EBF_1 -17 0 - 0 0 . chr13 112638827 112638828 chr13:112638828:T:G rs12429013 T G T EBF1_EBF_1 14 0 + 0 0 . chr13 112638832 112638833 chr13:112638833:G:A rs1925885 G A A EBF1_EBF_1 19 0 + 0 0 . chr13 112639293 112639294 chr13:112639294:G:A rs9577824 G A G EBF1_EBF_1 2 1 - 4.243401937291185 6.132225348733336 AGCCCCTGGAGAGG chr13 112652298 112652299 chr13:112652299:C:T rs34606484 C T C EBF1_EBF_1 19 0 + 0 0 . chr13 112652299 112652300 chr13:112652300:G:A rs11843313 G A G EBF1_EBF_1 20 0 + 0 0 . chr13 112656978 112656979 chr13:112656979:A:C rs61961080 A C A EBF1_EBF_1 -19 0 - 0 0 . chr13 112657768 112657769 chr13:112657769:A:G rs35383094 A G - EBF1_EBF_1 -15 0 - 0 0 . chr13 112688034 112688035 chr13:112688035:T:C rs282608 T C C EBF1_EBF_1 2 1 + 7.212581967152983 5.323758555710833 ATTCACCAGGGAAA chr13 112689845 112689846 chr13:112689846:C:T rs282609 C T C EBF1_EBF_1 -15 0 + 0 0 . chr13 112689887 112689888 chr13:112689888:A:C rs1250922460 A C A EBF1_EBF_1 27 0 + 0 0 . chr13 112690586 112690587 chr13:112690587:C:T rs564969941 C T C EBF1_EBF_1 4 1 + 5.730432533858582 1.3610455889136577 CGCCCCCGGGGACG chr13 112697228 112697229 chr13:112697229:T:C rs141867687 T C T EBF1_EBF_1 17 0 + 0 0 . chr13 112711083 112711084 chr13:112711084:G:A rs2104789 G A G EBF1_EBF_1 -13 0 + 0 0 . chr13 112715633 112715634 chr13:112715634:G:A rs668967 G A A EBF1_EBF_1 11 1 + 4.138225779231506 7.030932622835517 CCTCCCCAGAGGGT chr13 112715802 112715803 chr13:112715803:G:A rs558906745 G A G EBF1_EBF_1 3 1 - 5.661348222526998 -0.7440505190263187 AGCCACTTGGGAAT chr13 112715825 112715826 chr13:112715826:C:T rs410948 C T C EBF1_EBF_1 -20 0 - 0 0 . chr13 112716195 112716196 chr13:112716196:G:A rs946766319 G A G EBF1_EBF_1 8 1 + 6.365487570839548 2.1391974819532877 GCTCCCATGAGAAG chr13 112716217 112716218 chr13:112716218:G:A rs76161744 G A G EBF1_EBF_1 30 0 + 0 0 . chr13 112716312 112716313 chr13:112716313:C:T rs7989810 C T C EBF1_EBF_1 25 0 - 0 0 . chr13 112717341 112717342 chr13:112717342:C:T rs381583 C T C EBF1_EBF_1 5 1 + 5.095401614154429 -0.22638560138623998 CATCCCAGGAGAAG chr13 112725009 112725010 chr13:112725010:C:T rs185523664 C T C EBF1_EBF_1 12 1 - 6.869484103418672 8.28830144551815 CACCCCAAGGGAGA chr13 112725325 112725326 chr13:112725326:A:G rs282594 A G G EBF1_EBF_1 -1 0 - 0 0 . chr13 112752384 112752385 chr13:112752385:A:G rs373653 A G G EBF1_EBF_1 25 0 - 0 0 . chr13 112770723 112770724 chr13:112770724:A:G rs416759 A G a EBF1_EBF_1 -2 0 - 0 0 . chr13 112772788 112772789 chr13:112772789:G:A rs745005 G A G EBF1_EBF_1 16 0 - 0 0 . chr13 112774983 112774984 chr13:112774984:C:A chr13:112774984:C:A C A C EBF1_EBF_1 -16 0 - 0 0 . chr13 112823030 112823031 chr13:112823031:C:T rs9550221 C T C EBF1_EBF_1 6 1 - 4.776492417411548 6.943286848686023 CTCCCCGAGAGACC chr13 112823053 112823054 chr13:112823054:G:A rs9577847 G A G EBF1_EBF_1 -17 0 - 0 0 . chr13 112835579 112835580 chr13:112835580:A:G rs282610 A G G EBF1_EBF_1 6 1 + 5.046053697792394 2.879259266517918 TCTGCCAAGGGACC chr13 112842503 112842504 chr13:112842504:C:T rs11838638 C T C EBF1_EBF_1 -11 0 - 0 0 . chr13 112844442 112844443 chr13:112844443:G:A rs117297655 G A G EBF1_EBF_1 -10 0 + 0 0 . chr13 112858948 112858949 chr13:112858949:C:G rs7995883 C G C EBF1_EBF_1 5 1 + 5.369972225150977 -1.5349089274841925 TCCCCCCAGGGTCT chr13 112867396 112867397 chr13:112867397:C:G rs1556812 C G G EBF1_EBF_1 -13 0 + 0 0 . chr13 112871543 112871544 chr13:112871544:C:T rs144237335 C T C EBF1_EBF_1 22 0 - 0 0 . chr13 112873652 112873653 chr13:112873653:G:A rs1320525 G A G EBF1_EBF_1 14 0 - 0 0 . chr13 112874646 112874647 chr13:112874647:A:G rs1249395737 A G A EBF1_EBF_1 -11 0 + 0 0 . chr13 112876590 112876591 chr13:112876591:C:T rs4907468 C T C EBF1_EBF_1 14 0 - 0 0 . chr13 112881426 112881427 chr13:112881427:C:T rs12585036 C T C EBF1_EBF_1 31 0 + 0 0 . chr13 112892931 112892932 chr13:112892932:C:T rs9577176 C T C EBF1_EBF_1 7 1 + 5.180463366998041 6.997968113280666 CTCCCCCCGGGAGA chr13 112894794 112894795 chr13:112894795:A:G rs113049409 A G A EBF1_EBF_1 12 1 + 5.961292743498573 4.542475401399096 GATCCCTTGGGCAC chr13 112896359 112896360 chr13:112896360:C:G rs7321182 C G C EBF1_EBF_1 23 0 - 0 0 . chr13 112896402 112896403 chr13:112896403:C:G rs7985211 C G C EBF1_EBF_1 -20 0 - 0 0 . chr13 112897427 112897428 chr13:112897428:C:T rs112021198 C T C EBF1_EBF_1 33 0 - 0 0 . chr13 112909375 112909376 chr13:112909376:A:G rs149417963 A G A EBF1_EBF_1 30 0 - 0 0 . chr13 112918684 112918685 chr13:112918685:T:G rs3011500 T G T EBF1_EBF_1 14 0 - 0 0 . chr13 112933211 112933212 chr13:112933212:A:G rs61961641 A G A EBF1_EBF_1 7 1 - 4.642672623827248 2.8251678775446236 AGTCCCATTGGATC chr13 112933227 112933228 chr13:112933228:C:T rs35317768 C T C EBF1_EBF_1 -9 0 - 0 0 . chr13 112942058 112942059 chr13:112942059:G:A rs9549322 G A G EBF1_EBF_1 22 0 - 0 0 . chr13 112953378 112953379 chr13:112953379:C:T rs7338432 C T C EBF1_EBF_1 32 0 + 0 0 . chr13 112960034 112960035 chr13:112960035:G:A rs186171612 G A G EBF1_EBF_1 0 1 + 4.637696340762377 6.529980210327209 GGTCCCTCGGTAAC chr13 112962707 112962708 chr13:112962708:C:T rs2993344 C T C EBF1_EBF_1 -3 0 - 0 0 . chr13 112962990 112962991 chr13:112962991:G:A rs35086993 G A A EBF1_EBF_1 28 0 - 0 0 . chr13 112963007 112963008 chr13:112963008:T:G rs34144481 T G G EBF1_EBF_1 11 1 - 4.895571571938552 0.479609874086162 TCACCCACGGGACC chr13 112963550 112963551 chr13:112963551:T:C rs3011474 T C C EBF1_EBF_1 30 0 + 0 0 . chr13 112964169 112964170 chr13:112964170:A:G rs4907477 A G G EBF1_EBF_1 -16 0 + 0 0 . chr13 112964181 112964182 chr13:112964182:T:C rs4907571 T C C EBF1_EBF_1 -4 0 + 0 0 . chr13 112969129 112969130 chr13:112969130:A:C chr13:112969130:A:C A C A EBF1_EBF_1 28 0 + 0 0 . chr13 112983705 112983706 chr13:112983706:G:A rs185206990 G A G EBF1_EBF_1 19 0 - 0 0 . chr13 112983714 112983715 chr13:112983715:C:A rs111496436 C A C EBF1_EBF_1 10 1 - 5.023281605347309 0.8405294191200448 CTTCCCCGGGGCCA chr13 113003552 113003553 chr13:113003553:T:C rs4907574 T C C EBF1_EBF_1 -11 0 - 0 0 . chr13 113008978 113008979 chr13:113008979:G:A rs923497931 G A G EBF1_EBF_1 20 0 + 0 0 . chr13 113022771 113022772 chr13:113022772:C:T rs534284839 C T C EBF1_EBF_1 -13 0 - 0 0 . chr13 113023133 113023134 chr13:113023134:G:A rs112954033 G A G EBF1_EBF_1 -13 0 - 0 0 . chr13 113026684 113026685 chr13:113026685:C:G rs2993307 C G c EBF1_EBF_1 20 0 - 0 0 . chr13 113049457 113049458 chr13:113049458:A:G rs9577442 A G G EBF1_EBF_1 33 0 + 0 0 . chr13 113061087 113061088 chr13:113061088:C:A rs57416248 C A C EBF1_EBF_1 -3 0 + 0 0 . chr13 113075735 113075736 chr13:113075736:G:T rs1046909163 G T G EBF1_EBF_1 25 0 - 0 0 . chr13 113077884 113077885 chr13:113077885:G:T rs60299054 G T G EBF1_EBF_1 6 1 - 3.1655164714933726 3.5271188392946495 GGCCCCCGGGGCCC chr13 113081418 113081419 chr13:113081419:G:A rs497724 G A g EBF1_EBF_1 -15 0 + 0 0 . chr13 113092087 113092088 chr13:113092088:A:G rs80238657 A G A EBF1_EBF_1 31 0 - 0 0 . chr13 113097026 113097027 chr13:113097027:G:A rs2476320 G A G EBF1_EBF_1 -18 0 + 0 0 . chr13 113103653 113103654 chr13:113103654:G:A rs3093230 G A G EBF1_EBF_1 9 1 + 5.569643278741686 2.9147040132940836 CTTCCCCAGGGTAG chr13 113104135 113104136 chr13:113104136:C:G rs3093263 C G C EBF1_EBF_1 33 0 - 0 0 . chr13 113107270 113107271 chr13:113107271:G:T rs866740689 G T G EBF1_EBF_1 7 1 - 3.0694220752157295 5.363201061585911 ACGCCCCCGGGACA chr13 113107275 113107276 chr13:113107276:C:T rs142493427 C T C EBF1_EBF_1 2 1 - 3.0694220752157295 6.133280177817321 ACGCCCCCGGGACA chr13 113109415 113109416 chr13:113109416:A:T rs79537631 A T a EBF1_EBF_1 23 0 - 0 0 . chr13 113115324 113115325 chr13:113115325:T:G rs493833 T G G EBF1_EBF_1 8 1 + 5.330271683350993 12.221704472328186 ATCCCCATTGGACT chr13 113121342 113121343 chr13:113121343:G:C rs3093268 G C G EBF1_EBF_1 11 1 + 7.782120206606258 6.25886535235788 ACTCCCCAGAGGCT chr13 113123709 113123710 chr13:113123710:T:C rs3211721 T C T EBF1_EBF_1 7 1 + 7.05641240502105 5.238907658738426 AGACCCCTGGGAAG chr13 113146874 113146875 chr13:113146875:A:G rs473598 A G A EBF1_EBF_1 31 0 + 0 0 . chr13 113147528 113147529 chr13:113147529:A:G rs2031184 A G G EBF1_EBF_1 19 0 + 0 0 . chr13 113150759 113150760 chr13:113150760:C:A rs1755684 C A A EBF1_EBF_1 -5 0 + 0 0 . chr13 113157799 113157800 chr13:113157800:A:G rs813358 A G G EBF1_EBF_1 32 0 - 0 0 . chr13 113158953 113158954 chr13:113158954:A:G rs3024711 A G A EBF1_EBF_1 23 0 + 0 0 . chr13 113163240 113163241 chr13:113163241:C:G rs45538837 C G C EBF1_EBF_1 -13 0 + 0 0 . chr13 113182204 113182205 chr13:113182205:C:T rs2476319 C T C EBF1_EBF_1 -19 0 - 0 0 . chr13 113187437 113187438 chr13:113187438:C:G rs8000290 C G C EBF1_EBF_1 3 1 - 4.743443142847513 10.571207590962727 ATCGCCAGGGGAAC chr13 113187441 113187442 chr13:113187442:G:A rs1488490081 G A G EBF1_EBF_1 -1 0 - 0 0 . chr13 113218397 113218398 chr13:113218398:T:C rs35569628 T C C EBF1_EBF_1 21 0 - 0 0 . chr13 113273169 113273170 chr13:113273170:A:G rs56401113 A G A EBF1_EBF_1 21 0 - 0 0 . chr13 113292211 113292212 chr13:113292212:G:A rs1078711 G A G EBF1_EBF_1 23 0 + 0 0 . chr13 113292219 113292220 chr13:113292220:T:C rs532888920 T C T EBF1_EBF_1 31 0 + 0 0 . chr13 113325882 113325883 chr13:113325883:G:A rs117936998 G A G EBF1_EBF_1 10 1 + 3.505703934695812 -1.8123245245711535 ACTCCCTGGCGGCC chr13 113325902 113325903 chr13:113325903:C:T rs187404761 C T C EBF1_EBF_1 30 0 + 0 0 . chr13 113353459 113353460 chr13:113353460:T:C rs73565258 T C C EBF1_EBF_1 20 0 - 0 0 . chr13 113364672 113364673 chr13:113364673:A:C rs75402701 A C A EBF1_EBF_1 -15 0 + 0 0 . chr13 113364986 113364987 chr13:113364987:C:A rs7990434 C A C EBF1_EBF_1 27 0 + 0 0 . chr13 113365588 113365589 chr13:113365589:G:T rs12585012 G T G EBF1_EBF_1 -3 0 + 0 0 . chr13 113371280 113371281 chr13:113371281:A:G rs9549755 A G A EBF1_EBF_1 28 0 - 0 0 . chr13 113371306 113371307 chr13:113371307:A:C rs111955488 A C C EBF1_EBF_1 2 1 - 5.606628078751056 -1.1416976114494106 CATCCCTGGGGCAT chr13 113374587 113374588 chr13:113374588:G:A rs60995395 G A G EBF1_EBF_1 -3 0 + 0 0 . chr13 113392559 113392560 chr13:113392560:C:A rs7994702 C A C EBF1_EBF_1 25 0 + 0 0 . chr13 113395636 113395637 chr13:113395637:G:A rs9796089 G A G EBF1_EBF_1 1 1 + 5.676823301216178 5.416124469294876 AGACCCATGAGAGT chr13 113396306 113396307 chr13:113396307:T:C rs9577536 T C C EBF1_EBF_1 13 1 + 6.039503425123845 5.195009310538327 ACACCCAGGAGACT chr13 113417414 113417415 chr13:113417415:C:T rs9549410 C T C EBF1_EBF_1 13 1 + 7.979403991615627 8.823898106201145 AGACCCTGGGGACC chr13 113417810 113417811 chr13:113417811:T:A rs9549412 T A a EBF1_EBF_1 11 1 - 5.5903978543280495 1.4924835982293247 GCTCCCCTGGTAAA chr13 113421034 113421035 chr13:113421035:G:A rs9577542 G A - EBF1_EBF_1 26 0 + 0 0 . chr13 113421140 113421141 chr13:113421141:C:G rs116494904 C G - EBF1_EBF_1 12 1 + 3.8780216941207204 2.489385750442569 CCACCCCCGGGACA chr13 113421210 113421211 chr13:113421211:C:T rs116084747 C T - EBF1_EBF_1 31 0 + 0 0 . chr13 113421287 113421288 chr13:113421288:C:T rs111539202 C T c EBF1_EBF_1 7 1 + 4.773455134519466 6.590959880802089 CTACCCCCGGGACA chr13 113421413 113421414 chr13:113421414:G:C rs9577547 G C C EBF1_EBF_1 -3 0 + 0 0 . chr13 113421834 113421835 chr13:113421835:C:T rs114646996 C T C EBF1_EBF_1 25 0 - 0 0 . chr13 113423057 113423058 chr13:113423058:A:T rs114767153 A T A EBF1_EBF_1 -11 0 - 0 0 . chr13 113434355 113434356 chr13:113434356:A:G rs59941243 A G - EBF1_EBF_1 27 0 - 0 0 . chr13 113437005 113437006 chr13:113437006:T:C rs7329758 T C - EBF1_EBF_1 23 0 - 0 0 . chr13 113446225 113446226 chr13:113446226:G:T rs59449271 G T g EBF1_EBF_1 14 0 + 0 0 . chr13 113446249 113446250 chr13:113446250:C:T rs72666959 C T - EBF1_EBF_1 2 1 + 6.179128131218474 8.067951542660625 ACCCCCCAGAGAGA chr13 113446251 113446252 chr13:113446252:C:G rs113693838 C G - EBF1_EBF_1 4 1 + 6.179128131218474 0.5533630300689298 ACCCCCCAGAGAGA chr13 113446352 113446353 chr13:113446353:T:C rs12019795 T C T EBF1_EBF_1 29 0 + 0 0 . chr13 113450959 113450960 chr13:113450960:C:G rs111464373 C G c EBF1_EBF_1 28 0 + 0 0 . chr13 113450962 113450963 chr13:113450963:C:T chr13:113450963:C:T C T c EBF1_EBF_1 31 0 + 0 0 . chr13 113491379 113491380 chr13:113491380:T:C rs560588701 T C t EBF1_EBF_1 -7 0 + 0 0 . chr13 113500860 113500861 chr13:113500861:G:A rs59653877 G A G EBF1_EBF_1 22 0 - 0 0 . chr13 113531862 113531863 chr13:113531863:G:A rs2260814 G A G EBF1_EBF_1 18 0 - 0 0 . chr13 113545593 113545594 chr13:113545594:A:G rs2259227 A G . EBF1_EBF_1 17 0 + 0 0 . chr13 113545693 113545694 chr13:113545694:C:T rs1316749502 C T . EBF1_EBF_1 3 1 + 4.828136111889071 -1.5772626296642458 TGTCCCCAGGGCAG chr13 113558275 113558276 chr13:113558276:G:A rs149049731 G A G EBF1_EBF_1 18 0 - 0 0 . chr13 113558390 113558391 chr13:113558391:G:A rs180841590 G A C EBF1_EBF_1 -5 0 + 0 0 . chr13 113559970 113559971 chr13:113559971:C:T rs9604155 C T c EBF1_EBF_1 -17 0 + 0 0 . chr13 113560910 113560911 chr13:113560911:A:G rs7984195 A G a EBF1_EBF_1 -5 0 + 0 0 . chr13 113561301 113561302 chr13:113561302:G:C rs9604156 G C g EBF1_EBF_1 29 0 - 0 0 . chr13 113582608 113582609 chr13:113582609:T:C rs7325214 T C C EBF1_EBF_1 13 1 + 7.971891861175475 7.127397746589957 AGTCCCCAGAGGCT chr13 113602569 113602570 chr13:113602570:C:T rs75641565 C T C EBF1_EBF_1 11 1 - 5.498143279311104 8.390850122915115 ATCCCCCTGAGGAC chr13 113603303 113603304 chr13:113603304:A:G rs4150712 A G A EBF1_EBF_1 6 1 - 7.8517060206193054 7.5504198917575485 CTCCCCTGGGGACA chr13 113605912 113605913 chr13:113605913:G:A rs12876456 G A G EBF1_EBF_1 16 0 - 0 0 . chr13 113607906 113607907 chr13:113607907:A:G rs137903328 A G A EBF1_EBF_1 -13 0 + 0 0 . chr13 113624032 113624033 chr13:113624033:G:A rs528771310 G A G EBF1_EBF_1 -12 0 + 0 0 . chr13 113657312 113657313 chr13:113657313:C:T rs12018694 C T C EBF1_EBF_1 10 1 - 3.6846603598993273 -1.6333680993676378 CTCCCCCTGCGACC chr13 113666899 113666900 chr13:113666900:G:A rs75866251 G A G EBF1_EBF_1 -10 0 + 0 0 . chr13 113667053 113667054 chr13:113667054:C:T rs78415590 C T C EBF1_EBF_1 -8 0 - 0 0 . chr13 113667237 113667238 chr13:113667238:C:T rs116185818 C T C EBF1_EBF_1 16 0 + 0 0 . chr13 113667245 113667246 chr13:113667246:C:T rs79087272 C T C EBF1_EBF_1 24 0 + 0 0 . chr13 113734705 113734706 chr13:113734706:G:T rs138188344 G T G EBF1_EBF_1 19 0 - 0 0 . chr13 113740085 113740086 chr13:113740086:G:A rs36183132 G A G EBF1_EBF_1 28 0 - 0 0 . chr13 113744296 113744297 chr13:113744297:C:A rs145323451 C A - EBF1_EBF_1 9 1 - 6.891471008501367 0.05448160041255752 ACTCCCCGGGGGGC chr13 113748284 113748285 chr13:113748285:C:T rs140728968 C T c EBF1_EBF_1 28 0 + 0 0 . chr13 113770501 113770502 chr13:113770502:T:C rs577454173 T C T EBF1_EBF_1 11 1 - 6.227061844685281 3.334355001081269 ACCCTCCAGGGACC chr13 113777506 113777507 chr13:113777507:G:T rs116332242 G T G EBF1_EBF_1 27 0 - 0 0 . chr13 113777705 113777706 chr13:113777706:T:C rs7333109 T C T EBF1_EBF_1 29 0 + 0 0 . chr13 113791476 113791477 chr13:113791477:C:G rs9550237 C G G EBF1_EBF_1 -1 0 + 0 0 . chr13 113791505 113791506 chr13:113791506:C:T rs9550257 C T C EBF1_EBF_1 26 0 - 0 0 . chr13 113797420 113797421 chr13:113797421:C:T rs79231551 C T c EBF1_EBF_1 30 0 - 0 0 . chr13 113803845 113803846 chr13:113803846:C:T rs66615709 C T C EBF1_EBF_1 0 1 - 4.384033774802593 6.276317644367428 GCACCCCTGGGGCT chr13 113805790 113805791 chr13:113805791:T:C rs9577882 T C C EBF1_EBF_1 -2 0 + 0 0 . chr13 113806267 113806268 chr13:113806268:T:C rs7490965 T C t EBF1_EBF_1 32 0 - 0 0 . chr13 113806279 113806280 chr13:113806280:G:A rs74118477 G A G EBF1_EBF_1 20 0 - 0 0 . chr13 113806838 113806839 chr13:113806839:C:T rs77113653 C T N EBF1_EBF_1 27 0 - 0 0 . chr13 113808818 113808819 chr13:113808819:G:A rs28623354 G A - EBF1_EBF_1 6 1 - 5.237674551432481 5.538960680294238 AACCCCCAGGAAAC chr13 113809039 113809040 chr13:113809040:G:C rs190679302 G C - EBF1_EBF_1 30 0 - 0 0 . chr13 113809625 113809626 chr13:113809626:A:G rs12867373 A G a EBF1_EBF_1 15 0 - 0 0 . chr13 113812672 113812673 chr13:113812673:G:C rs9577859 G C G EBF1_EBF_1 10 1 + 4.2391030848034434 -2.651699302526031 ACTCCCTGTGGAAA chr13 113812690 113812691 chr13:113812691:C:T rs9604508 C T C EBF1_EBF_1 28 0 + 0 0 . chr13 113814560 113814561 chr13:113814561:C:A rs117725576 C A C EBF1_EBF_1 9 1 - 4.288949643304747 -2.548039764784063 GCTGCCCTGGGACC chr13 113814589 113814590 chr13:113814590:C:T rs146550978 C T C EBF1_EBF_1 -20 0 - 0 0 . chr13 113817337 113817338 chr13:113817338:C:A rs116853424 C A - EBF1_EBF_1 17 0 + 0 0 . chr13 113817614 113817615 chr13:113817615:G:A rs78252451 G A G EBF1_EBF_1 29 0 + 0 0 . chr13 113818305 113818306 chr13:113818306:G:A rs562056688 G A g EBF1_EBF_1 2 1 - 5.893984506259476 7.782807917701624 AGCCCCCTGGGCCC chr13 113818653 113818654 chr13:113818654:C:T rs140750613 C T c EBF1_EBF_1 26 0 - 0 0 . chr13 113821509 113821510 chr13:113821510:G:C rs7491950 G C G EBF1_EBF_1 27 0 - 0 0 . chr13 113821531 113821532 chr13:113821532:G:A rs7491949 G A G EBF1_EBF_1 5 1 - 4.771341842700054 -0.550445372840616 TGTCCCATGGGCTA chr13 113821541 113821542 chr13:113821542:A:G rs6602889 A G G EBF1_EBF_1 -5 0 - 0 0 . chr13 113824717 113824718 chr13:113824718:A:G rs72670674 A G G EBF1_EBF_1 -5 0 - 0 0 . chr13 113837798 113837799 chr13:113837799:C:T rs141091501 C T C EBF1_EBF_1 -4 0 - 0 0 . chr13 113850329 113850330 chr13:113850330:G:A rs78807507 G A G EBF1_EBF_1 32 0 + 0 0 . chr13 113857070 113857071 chr13:113857071:A:C rs12585356 A C C EBF1_EBF_1 -3 0 - 0 0 . chr13 113857081 113857082 chr13:113857082:A:T rs61315527 A T T EBF1_EBF_1 -14 0 - 0 0 . chr13 113862740 113862741 chr13:113862741:C:T rs6602904 C T T EBF1_EBF_1 -17 0 + 0 0 . chr13 113864153 113864154 chr13:113864154:G:C rs556552673 G C G EBF1_EBF_1 3 1 - 4.858897697135172 -0.9688667509800443 ATCCTCCCGGGAAC chr13 113864159 113864160 chr13:113864160:G:C rs1313034761 G C G EBF1_EBF_1 -3 0 - 0 0 . chr13 113875208 113875209 chr13:113875209:C:T rs186135402 C T t EBF1_EBF_1 22 0 + 0 0 . chr13 113875312 113875313 chr13:113875313:G:A rs777017757 G A g EBF1_EBF_1 -3 0 + 0 0 . chr13 113875325 113875326 chr13:113875326:G:T rs7334215 G T g EBF1_EBF_1 10 1 + 3.741519664870215 -0.44123252135705027 TGCCCCGAGGGGCA chr13 113885636 113885637 chr13:113885637:A:G rs9550241 A G A EBF1_EBF_1 31 0 - 0 0 . chr13 113908515 113908516 chr13:113908516:G:A rs7490517 G A g EBF1_EBF_1 12 1 - 4.797891507213168 3.7716249366623185 TCTCCCTGGAGGCT chr13 113917808 113917809 chr13:113917809:G:A rs73579379 G A G EBF1_EBF_1 31 0 + 0 0 . chr13 113926382 113926383 chr13:113926383:C:T rs150699443 C T c EBF1_EBF_1 14 0 - 0 0 . chr13 113928518 113928519 chr13:113928519:G:T rs78838988 G T G EBF1_EBF_1 12 1 - 6.3458431199475385 6.376024518368864 AGTCCCTGGGAACC chr13 113935840 113935841 chr13:113935841:C:T rs138912231 C T c EBF1_EBF_1 3 1 + 5.920457177629389 -0.48494156392392973 TGCCCCCAGGGGCC chr13 113946906 113946907 chr13:113946907:T:C rs1050721015 T C t EBF1_EBF_1 -17 0 + 0 0 . chr13 113950895 113950896 chr13:113950896:A:G rs879247670 A G a EBF1_EBF_1 -7 0 + 0 0 . chr13 113951059 113951060 chr13:113951060:G:A rs933330176 G A G EBF1_EBF_1 4 1 - 8.245928996914442 3.8765420519695173 GCCCCCATGGGAAA chr13 113967844 113967845 chr13:113967845:C:A rs966130327 C A C EBF1_EBF_1 0 1 + 3.5330737276571584 5.788332211353759 CCCCCCCCGGGATG chr13 113968694 113968695 chr13:113968695:C:T rs965126241 C T C EBF1_EBF_1 -14 0 + 0 0 . chr13 113972419 113972420 chr13:113972420:G:A rs9671215 G A G EBF1_EBF_1 29 0 + 0 0 . chr13 113976061 113976062 chr13:113976062:C:T rs28408720 C T C EBF1_EBF_1 15 0 - 0 0 . chr13 113982706 113982707 chr13:113982707:A:C rs74116411 A C A EBF1_EBF_1 14 0 - 0 0 . chr13 113982707 113982708 chr13:113982708:A:T rs74116412 A T A EBF1_EBF_1 13 1 - 6.785017334257632 5.566777770386138 CATCCCTTGAGAGT chr13 113982732 113982733 chr13:113982733:T:C rs12019871 T C T EBF1_EBF_1 -12 0 - 0 0 . chr13 113995143 113995144 chr13:113995144:T:G rs9314901 T G G EBF1_EBF_1 30 0 - 0 0 . chr13 113998585 113998586 chr13:113998586:A:G rs74629485 A G A EBF1_EBF_1 -4 0 + 0 0 . chr13 113998617 113998618 chr13:113998618:C:T rs144169702 C T c EBF1_EBF_1 28 0 + 0 0 . chr13 114005687 114005688 chr13:114005688:A:G rs75595144 A G A EBF1_EBF_1 32 0 - 0 0 . chr13 114010339 114010340 chr13:114010340:G:T rs2297103 G T G EBF1_EBF_1 12 1 + 4.6996855934440624 5.062054966571364 AGCCCCGAGGGGGC chr13 114014392 114014393 chr13:114014393:T:A rs2382877 T A - EBF1_EBF_1 33 0 - 0 0 . chr13 114014964 114014965 chr13:114014965:G:A rs1372087521 G A G EBF1_EBF_1 -1 0 + 0 0 . chr13 114025841 114025842 chr13:114025842:C:T rs916056176 C T C EBF1_EBF_1 -14 0 + 0 0 . chr13 114025842 114025843 chr13:114025843:G:C rs545030036 G C G EBF1_EBF_1 -13 0 + 0 0 . chr13 114025848 114025849 chr13:114025849:T:C rs560187526 T C t EBF1_EBF_1 -7 0 + 0 0 . chr13 114027832 114027833 chr13:114027833:G:A rs375389956 G A G EBF1_EBF_1 32 0 + 0 0 . chr13 114031804 114031805 chr13:114031805:G:C rs4883662 G C C EBF1_EBF_1 -2 0 + 0 0 . chr13 114035568 114035569 chr13:114035569:C:T rs9525343 C T C EBF1_EBF_1 -1 0 + 0 0 . chr13 114035991 114035992 chr13:114035992:T:C rs150163309 T C T EBF1_EBF_1 25 0 - 0 0 . chr13 114037849 114037850 chr13:114037850:C:T rs9525344 C T - EBF1_EBF_1 -2 0 - 0 0 . chr13 114041264 114041265 chr13:114041265:C:A rs9525346 C A C EBF1_EBF_1 8 1 - 4.575650543309753 -2.3157822456674415 TCCCCCCTGGGCCT chr13 114048392 114048393 chr13:114048393:T:C rs1928454 T C T EBF1_EBF_1 7 1 - 9.277061422199093 7.964603016910269 AGCCCCTAGAGACT chr13 114056477 114056478 chr13:114056478:T:A rs4989890 T A . EBF1_EBF_1 24 0 - 0 0 . chr13 114058710 114058711 chr13:114058711:C:T rs75238954 C T . EBF1_EBF_1 -8 0 + 0 0 . chr13 114058735 114058736 chr13:114058736:T:C rs60852772 T C . EBF1_EBF_1 17 0 + 0 0 . chr13 114066366 114066367 chr13:114066367:T:A rs7989602 T A . EBF1_EBF_1 -1 0 - 0 0 . chr13 114069280 114069281 chr13:114069281:C:T rs145043390 C T . EBF1_EBF_1 33 0 + 0 0 . chr13 114072726 114072727 chr13:114072727:A:G rs1009380552 A G . EBF1_EBF_1 12 1 - 4.139587786677214 5.1658543572280635 GCTCCCTGGGGCTC chr13 114082183 114082184 chr13:114082184:G:A rs118178236 G A N EBF1_EBF_1 7 1 + 3.95961822865191 5.272076633940737 ACTCACCGGGGAGC chr13 114090561 114090562 chr13:114090562:G:C rs75492529 G C g EBF1_EBF_1 -18 0 - 0 0 . chr13 114096575 114096576 chr13:114096576:A:G rs9525258 A G a EBF1_EBF_1 25 0 - 0 0 . chr13 114097963 114097964 chr13:114097964:A:G rs187223233 A G a EBF1_EBF_1 -5 0 + 0 0 . chr13 114099454 114099455 chr13:114099455:A:G rs61971962 A G a EBF1_EBF_1 13 1 - 8.363021721191497 7.5185276066059785 ACACCCCGGGGAAT chr13 114099469 114099470 chr13:114099470:C:T rs375391361 C T c EBF1_EBF_1 -2 0 - 0 0 . chr13 114103251 114103252 chr13:114103252:C:T rs1028749316 C T c EBF1_EBF_1 -8 0 + 0 0 . chr13 114105069 114105070 chr13:114105070:A:G rs9562115 A G N EBF1_EBF_1 -20 0 + 0 0 . chr13 114108009 114108010 chr13:114108010:G:A rs149808673 G A g EBF1_EBF_1 11 1 + 9.744955629872212 12.637662473476224 ATCCCCTAGGGGCT chr13 114112083 114112084 chr13:114112084:C:A chr13:114112084:C:A C A c EBF1_EBF_1 -4 0 + 0 0 . chr13 114112292 114112293 chr13:114112293:C:T rs11147313 C T c EBF1_EBF_1 6 1 + 5.193527211470634 5.494813340332391 AATCCCCGGAGTCT chr13 114112762 114112763 chr13:114112763:G:A rs7986934 G A a EBF1_EBF_1 6 1 + 4.062450599167583 6.229245030442058 CTCCCCGTGGGGCC chr13 114113104 114113105 chr13:114113105:G:A rs12585697 G A g EBF1_EBF_1 -5 0 - 0 0 . chr13 114113109 114113110 chr13:114113110:T:C rs577719397 T C t EBF1_EBF_1 -10 0 - 0 0 . chr13 114131672 114131673 chr13:114131673:C:A rs116341260 C A C EBF1_EBF_1 3 1 + 3.043354966981291 -3.862819062484515 CGCCCCGCGGGAGC chr13 114131938 114131939 chr13:114131939:C:G rs72659592 C G C EBF1_EBF_1 13 1 - 6.131437913146907 7.6195089345023215 TCTCCCCAGGGGCG chr13 114131942 114131943 chr13:114131943:C:T rs115822532 C T C EBF1_EBF_1 9 1 - 6.131437913146907 3.4764986476993034 TCTCCCCAGGGGCG chr13 114136755 114136756 chr13:114136756:T:G rs12585477 T G T EBF1_EBF_1 28 0 + 0 0 . chr13 114141045 114141046 chr13:114141046:A:G rs536647881 A G A EBF1_EBF_1 1 1 - 6.3089955373526125 5.413562096953867 GTTCCCCTGAGGCT chr13 114144428 114144429 chr13:114144429:A:G rs138362058 A G A EBF1_EBF_1 9 1 + 5.137208888635313 7.792148154082917 GGTCCCCTGAGATG chr13 114147409 114147410 chr13:114147410:C:A rs144375494 C A C EBF1_EBF_1 32 0 + 0 0 . chr13 114152537 114152538 chr13:114152538:G:A rs9562077 G A G EBF1_EBF_1 -12 0 + 0 0 . chr13 114165479 114165480 chr13:114165480:C:T rs423237 C T T EBF1_EBF_1 -9 0 + 0 0 . chr13 114207020 114207021 chr13:114207021:G:A rs35237182 G A g EBF1_EBF_1 18 0 - 0 0 . chr13 114207051 114207052 chr13:114207052:C:G rs4600345 C G c EBF1_EBF_1 -13 0 - 0 0 . chr13 114216214 114216215 chr13:114216215:C:T rs79585463 C T C EBF1_EBF_1 13 1 + 5.729793642278727 6.574287756864245 CTTCTCCAGGGATC chr13 114225795 114225796 chr13:114225796:G:A rs74403214 G A G EBF1_EBF_1 -4 0 - 0 0 . chr13 114226707 114226708 chr13:114226708:A:G rs1887839 A G A EBF1_EBF_1 26 0 - 0 0 . chr13 114226736 114226737 chr13:114226737:A:C rs113057995 A C A EBF1_EBF_1 -3 0 - 0 0 . chr13 114282550 114282551 chr13:114282551:G:C rs7991394 G C G EBF1_EBF_1 23 0 - 0 0 . chr13 114290093 114290094 chr13:114290094:A:C rs79466345 A C A EBF1_EBF_1 27 0 - 0 0 . chr13 114299006 114299007 chr13:114299007:G:A rs7999630 G A G EBF1_EBF_1 19 0 + 0 0 . chr13 114314509 114314510 chr13:114314510:C:A rs573022624 C A c EBF1_EBF_1 -18 0 - 0 0 . chr14 19162614 19162615 chr14:19162615:G:C rs376583485 G C . EBF1_EBF_1 22 0 - 0 0 . chr14 19164165 19164166 chr14:19164166:G:C rs113900603 G C . EBF1_EBF_1 5 1 - 5.106673729230411 -1.7982074234047587 ATTCCCCTAGGCCT chr14 19481432 19481433 chr14:19481433:G:A rs144180192 G A g EBF1_EBF_1 19 0 + 0 0 . chr14 19771527 19771528 chr14:19771528:C:A rs72663706 C A c EBF1_EBF_1 5 1 + 6.964562023833896 0.059680871198728835 ACTCCCAGAGGAAA chr14 19902224 19902225 chr14:19902225:A:G rs2318501 A G C EBF1_EBF_1 -16 0 + 0 0 . chr14 20099433 20099434 chr14:20099434:T:C rs111352206 T C . EBF1_EBF_1 7 1 + 5.855499581156082 4.037994834873459 CTCCCCATGGGGCA chr14 20099434 20099435 chr14:20099435:G:T rs561152818 G T . EBF1_EBF_1 8 1 + 5.855499581156082 -1.0359332078211132 CTCCCCATGGGGCA chr14 20263104 20263105 chr14:20263105:G:C rs72671219 G C G EBF1_EBF_1 -17 0 - 0 0 . chr14 20343341 20343342 chr14:20343342:G:A rs901882875 G A G EBF1_EBF_1 8 1 + 11.1133040762898 6.887013987403541 CTTCCCAAGGGACA chr14 20343347 20343348 chr14:20343348:T:A rs191434609 T A T EBF1_EBF_1 14 0 + 0 0 . chr14 20343364 20343365 chr14:20343365:G:A rs3093876 G A G EBF1_EBF_1 31 0 + 0 0 . chr14 20376699 20376700 chr14:20376700:T:C rs1760907 T C T EBF1_EBF_1 -13 0 + 0 0 . chr14 20418614 20418615 chr14:20418615:G:C rs117980459 G C G EBF1_EBF_1 -20 0 + 0 0 . chr14 20435618 20435619 chr14:20435619:G:A rs8017682 G A G EBF1_EBF_1 13 1 - 5.82633389461105 6.670828009196568 GGTCCCAAGGAACC chr14 20436211 20436212 chr14:20436212:T:C rs45609435 T C T EBF1_EBF_1 6 1 - 7.778841728309131 5.612047297034656 AGCCCCATGGGGCC chr14 20436307 20436308 chr14:20436308:T:C rs7148777 T C C EBF1_EBF_1 29 0 + 0 0 . chr14 20454847 20454848 chr14:20454848:C:A rs3120063 C A C EBF1_EBF_1 -9 0 + 0 0 . chr14 20461447 20461448 chr14:20461448:G:A rs150215735 G A G EBF1_EBF_1 20 0 + 0 0 . chr14 20461458 20461459 chr14:20461459:A:G rs759281310 A G A EBF1_EBF_1 31 0 + 0 0 . chr14 20469547 20469548 chr14:20469548:C:A rs780278271 C A C EBF1_EBF_1 24 0 - 0 0 . chr14 20489673 20489674 chr14:20489674:T:G rs4981209 T G T EBF1_EBF_1 27 0 - 0 0 . chr14 20491710 20491711 chr14:20491711:T:C rs7151012 T C C EBF1_EBF_1 21 0 - 0 0 . chr14 20592700 20592701 chr14:20592701:G:A rs111718929 G A G EBF1_EBF_1 5 1 - 8.597512162897155 3.275724947356486 ATACCCTAGGGATA chr14 20597970 20597971 chr14:20597971:A:C rs148404816 A C A EBF1_EBF_1 16 0 - 0 0 . chr14 20600564 20600565 chr14:20600565:C:T rs1756379 C T T EBF1_EBF_1 10 1 - 6.238997309186984 0.9209688499200175 GGCCCCCAGAGACC chr14 20601653 20601654 chr14:20601654:A:G rs73585305 A G A EBF1_EBF_1 0 1 + 3.8247239491733582 1.9324400796085237 AGCCCCGCGGGGAC chr14 20608816 20608817 chr14:20608817:C:T rs1243653 C T C EBF1_EBF_1 4 1 + 11.694095280253881 7.324708335308961 ATTCCCAAGGGGCT chr14 20613295 20613296 chr14:20613296:G:T rs1799877 G T G EBF1_EBF_1 6 1 - 9.97093460916609 10.332536976967369 ACTCCCCAGGGATG chr14 20617806 20617807 chr14:20617807:T:C rs72659908 T C T EBF1_EBF_1 -9 0 + 0 0 . chr14 20626434 20626435 chr14:20626435:G:T rs79565964 G T G EBF1_EBF_1 0 1 - 3.6387260225628735 5.893984506259476 CGCCCCCTGGGCCC chr14 20656063 20656064 chr14:20656064:C:T rs148414863 C T C EBF1_EBF_1 -10 0 + 0 0 . chr14 20659890 20659891 chr14:20659891:G:C rs11624221 G C G EBF1_EBF_1 -19 0 - 0 0 . chr14 20664025 20664026 chr14:20664026:G:A rs74719632 G A G EBF1_EBF_1 11 1 + 4.533471751866252 7.4261785954702635 CTCCCCCAGAGGCT chr14 20668459 20668460 chr14:20668460:G:C rs79757581 G C G EBF1_EBF_1 9 1 + 6.777962374847402 1.7022732285019377 CATCCCATGGGGCA chr14 20668831 20668832 chr14:20668832:T:C rs7143439 T C C EBF1_EBF_1 -6 0 - 0 0 . chr14 20684873 20684874 chr14:20684874:G:C rs75123596 G C G EBF1_EBF_1 -8 0 - 0 0 . chr14 20685456 20685457 chr14:20685457:G:A rs1010459 G A G EBF1_EBF_1 -11 0 + 0 0 . chr14 20685463 20685464 chr14:20685464:G:A rs1010460 G A A EBF1_EBF_1 -4 0 + 0 0 . chr14 20691446 20691447 chr14:20691447:G:A rs11156631 G A G EBF1_EBF_1 23 0 + 0 0 . chr14 20698989 20698990 chr14:20698990:G:A rs17211656 G A G EBF1_EBF_1 -5 0 + 0 0 . chr14 20722684 20722685 chr14:20722685:G:T rs59228356 G T G EBF1_EBF_1 31 0 - 0 0 . chr14 20724015 20724016 chr14:20724016:G:C rs2319386 G C C EBF1_EBF_1 -11 0 - 0 0 . chr14 20733481 20733482 chr14:20733482:C:G rs72661323 C G C EBF1_EBF_1 -8 0 + 0 0 . chr14 20733491 20733492 chr14:20733492:T:G rs66533269 T G G EBF1_EBF_1 2 1 + 5.104339054470846 -1.6439866357296211 CATCCCTGGAGAGC chr14 20761466 20761467 chr14:20761467:G:A rs182789439 G A G EBF1_EBF_1 -4 0 - 0 0 . chr14 20782561 20782562 chr14:20782562:C:T rs45470795 C T C EBF1_EBF_1 1 1 + 4.802879743096298 5.698313183495043 CCTCCCTGGGGCCC chr14 20824239 20824240 chr14:20824240:G:A rs8022563 G A G EBF1_EBF_1 21 0 + 0 0 . chr14 20827523 20827524 chr14:20827524:G:A rs117242407 G A G EBF1_EBF_1 -9 0 - 0 0 . chr14 20855533 20855534 chr14:20855534:G:C rs17792439 G C G EBF1_EBF_1 4 1 - 4.968351158066669 -0.6574139430828743 GTTTCCATGGGACC chr14 20855886 20855887 chr14:20855887:C:T rs151204346 C T C EBF1_EBF_1 28 0 + 0 0 . chr14 20856121 20856122 chr14:20856122:C:T rs73586030 C T C EBF1_EBF_1 -8 0 - 0 0 . chr14 20934634 20934635 chr14:20934635:C:T rs28505682 C T - EBF1_EBF_1 -14 0 + 0 0 . chr14 20998282 20998283 chr14:20998283:A:G rs2771352 A G G EBF1_EBF_1 12 1 + 5.765140776504641 4.346323434405163 CTTCCCTAGGAAAA chr14 21061126 21061127 chr14:21061127:G:A rs4982399 G A A EBF1_EBF_1 -13 0 + 0 0 . chr14 21069907 21069908 chr14:21069908:C:A rs8019890 C A C EBF1_EBF_1 13 1 - 7.301684811037494 9.634249946978427 ATTCCCTTGGGCCG chr14 21069963 21069964 chr14:21069964:A:T rs74036582 A T A EBF1_EBF_1 17 0 - 0 0 . chr14 21070999 21071000 chr14:21071000:G:C rs547952032 G C G EBF1_EBF_1 25 0 - 0 0 . chr14 21072703 21072704 chr14:21072704:G:T rs1952151 G T G EBF1_EBF_1 26 0 - 0 0 . chr14 21104099 21104100 chr14:21104100:G:C rs74620539 G C G EBF1_EBF_1 16 0 + 0 0 . chr14 21104601 21104602 chr14:21104602:T:G rs45566033 T G T EBF1_EBF_1 20 0 + 0 0 . chr14 21123046 21123047 chr14:21123047:G:C rs1243478 G C C EBF1_EBF_1 28 0 + 0 0 . chr14 21193109 21193110 chr14:21193110:G:C rs12590317 G C G EBF1_EBF_1 -16 0 + 0 0 . chr14 21246633 21246634 chr14:21246634:C:G chr14:21246634:C:G C G C EBF1_EBF_1 23 0 - 0 0 . chr14 21287574 21287575 chr14:21287575:A:G rs10135556 A G A EBF1_EBF_1 20 0 - 0 0 . chr14 21288450 21288451 chr14:21288451:T:G rs10141168 T G G EBF1_EBF_1 16 0 + 0 0 . chr14 21308833 21308834 chr14:21308834:G:A rs1030891647 G A G EBF1_EBF_1 3 1 - 4.86716982033272 -1.5382289212205986 AATCCCCTGAGCCC chr14 21498988 21498989 chr14:21498989:C:A rs1061027 C A C EBF1_EBF_1 2 1 + 5.093767622343333 3.298123446186607 AGCCCCTTGAGGAC chr14 21556781 21556782 chr14:21556782:G:A rs17792754 G A G EBF1_EBF_1 25 0 - 0 0 . chr14 21618250 21618251 chr14:21618251:C:T rs56414527 C T C EBF1_EBF_1 7 1 - 4.552793454612183 5.865251859901008 GGCCCCCGGAGACA chr14 21704124 21704125 chr14:21704125:C:G rs75952234 C G C EBF1_EBF_1 -14 0 + 0 0 . chr14 21711619 21711620 chr14:21711620:C:T rs4628878 C T C EBF1_EBF_1 -19 0 + 0 0 . chr14 21719941 21719942 chr14:21719942:C:A rs57028616 C A c EBF1_EBF_1 -14 0 - 0 0 . chr14 21768228 21768229 chr14:21768229:C:T rs760007 C T . EBF1_EBF_1 6 1 + 6.2135615068958385 6.514847635757596 AATCCCCAGGTGAT chr14 21893342 21893343 chr14:21893343:G:A rs8003710 G A G EBF1_EBF_1 8 1 + 6.554350654716858 2.3280605658305973 ACTCACAGGGGACT chr14 21912870 21912871 chr14:21912871:C:G rs28642121 C G C EBF1_EBF_1 5 1 + 5.810692888245354 -1.094188264389813 ACTCACCTGGGACA chr14 21924668 21924669 chr14:21924669:C:T rs17112255 C T C EBF1_EBF_1 30 0 - 0 0 . chr14 22012027 22012028 chr14:22012028:A:G rs35116506 A G G EBF1_EBF_1 28 0 - 0 0 . chr14 22100499 22100500 chr14:22100500:A:G rs79448247 A G A EBF1_EBF_1 -6 0 + 0 0 . chr14 22185575 22185576 chr14:22185576:G:A rs3811279 G A G EBF1_EBF_1 18 0 - 0 0 . chr14 22190418 22190419 chr14:22190419:C:T rs3811277 C T C EBF1_EBF_1 4 1 + 6.040420686781687 1.6710337418367638 TTTCCCATAGGATC chr14 22190427 22190428 chr14:22190428:C:T rs77365926 C T C EBF1_EBF_1 13 1 + 6.040420686781687 6.884914801367205 TTTCCCATAGGATC chr14 22190435 22190436 chr14:22190436:G:T rs35291329 G T G EBF1_EBF_1 21 0 + 0 0 . chr14 22211074 22211075 chr14:22211075:T:C rs79175778 T C T EBF1_EBF_1 7 1 + 4.953640252860296 3.136135506577673 CTTCCTCTGGGACA chr14 22279128 22279129 chr14:22279129:A:G rs3811267 A G . EBF1_EBF_1 20 0 + 0 0 . chr14 22290214 22290215 chr14:22290215:G:A rs75087991 G A A EBF1_EBF_1 -14 0 + 0 0 . chr14 22290252 22290253 chr14:22290253:C:G rs17116095 C G C EBF1_EBF_1 24 0 + 0 0 . chr14 22381559 22381560 chr14:22381560:C:T rs2204983 C T C EBF1_EBF_1 6 1 + 5.3778155534596435 5.679101682321401 CATCGCCAGGGACT chr14 22392892 22392893 chr14:22392893:T:C rs12590738 T C c EBF1_EBF_1 -12 0 - 0 0 . chr14 22394472 22394473 chr14:22394473:C:T rs9919897 C T C EBF1_EBF_1 -14 0 - 0 0 . chr14 22427802 22427803 chr14:22427803:T:C rs3811235 T C C EBF1_EBF_1 11 1 + 8.938731967344122 8.620684525590457 AGTCCCAAGGGTCC chr14 22427824 22427825 chr14:22427825:G:A rs146852405 G A G EBF1_EBF_1 33 0 + 0 0 . chr14 22435595 22435596 chr14:22435596:C:A rs916053 C A A EBF1_EBF_1 17 0 - 0 0 . chr14 22448518 22448519 chr14:22448519:G:A rs78516016 G A G EBF1_EBF_1 22 0 - 0 0 . chr14 22472941 22472942 chr14:22472942:C:T rs551743438 C T C EBF1_EBF_1 31 0 + 0 0 . chr14 22480680 22480681 chr14:22480681:C:T rs58793059 C T C EBF1_EBF_1 9 1 + 5.335160593646376 3.5738603319030293 AACCCCATGCGACC chr14 22484353 22484354 chr14:22484354:A:C rs227863 A C A EBF1_EBF_1 14 0 + 0 0 . chr14 22484366 22484367 chr14:22484367:G:A rs77806568 G A G EBF1_EBF_1 27 0 + 0 0 . chr14 22500667 22500668 chr14:22500668:C:G rs3811209 C G C EBF1_EBF_1 -4 0 - 0 0 . chr14 22505268 22505269 chr14:22505269:A:C rs3811207 A C C EBF1_EBF_1 22 0 - 0 0 . chr14 22516027 22516028 chr14:22516028:T:G rs227028 T G T EBF1_EBF_1 29 0 + 0 0 . chr14 22525835 22525836 chr14:22525836:C:T rs227019 C T C EBF1_EBF_1 -2 0 + 0 0 . chr14 22537118 22537119 chr14:22537119:A:T rs1445330314 A T A EBF1_EBF_1 12 1 + 6.474852215955929 5.418404246983753 AGCCCCAAGAGGAT chr14 22552908 22552909 chr14:22552909:G:A rs367442 G A G EBF1_EBF_1 24 0 + 0 0 . chr14 22552911 22552912 chr14:22552912:A:G rs424582 A G G EBF1_EBF_1 27 0 + 0 0 . chr14 22554129 22554130 chr14:22554130:T:C rs1263666 T C C EBF1_EBF_1 6 1 - 5.089344129671746 2.9225496983972707 GCTCCCACGGGCCT chr14 22555562 22555563 chr14:22555563:G:A rs111362730 G A G EBF1_EBF_1 16 0 + 0 0 . chr14 22555669 22555670 chr14:22555670:C:T rs11852201 C T C EBF1_EBF_1 3 1 + 6.228453087994103 -0.17694565355921532 TGTCCCCAGGAACT chr14 22555677 22555678 chr14:22555678:A:T rs189455844 A T A EBF1_EBF_1 11 1 + 6.228453087994103 2.130538831895377 TGTCCCCAGGAACT chr14 22556810 22556811 chr14:22556811:G:A chr14:22556811:G:A G A G EBF1_EBF_1 -9 0 + 0 0 . chr14 22556863 22556864 chr14:22556864:A:G rs10873025 A G G EBF1_EBF_1 -3 0 - 0 0 . chr14 22557605 22557606 chr14:22557606:G:A rs376049812 G A G EBF1_EBF_1 33 0 - 0 0 . chr14 22564073 22564074 chr14:22564074:C:A rs5742882 C A C EBF1_EBF_1 -1 0 + 0 0 . chr14 22564083 22564084 chr14:22564084:A:C rs1483977 A C A EBF1_EBF_1 9 1 + 5.7951537749698625 3.374403894072003 CACCCCAAGAGATT chr14 22569936 22569937 chr14:22569937:C:T rs5742836 C T C EBF1_EBF_1 -4 0 - 0 0 . chr14 22569949 22569950 chr14:22569950:G:A rs5742835 G A G EBF1_EBF_1 -17 0 - 0 0 . chr14 22602463 22602464 chr14:22602464:T:C rs4982648 T C T EBF1_EBF_1 -14 0 + 0 0 . chr14 22602465 22602466 chr14:22602466:A:T rs10138478 A T A EBF1_EBF_1 -12 0 + 0 0 . chr14 22606680 22606681 chr14:22606681:A:G rs147079024 A G A EBF1_EBF_1 -5 0 + 0 0 . chr14 22614000 22614001 chr14:22614001:C:T rs72679806 C T C EBF1_EBF_1 26 0 + 0 0 . chr14 22629527 22629528 chr14:22629528:G:A rs7161585 G A G EBF1_EBF_1 17 0 + 0 0 . chr14 22630484 22630485 chr14:22630485:A:G rs1753458 A G G EBF1_EBF_1 -2 0 - 0 0 . chr14 22653273 22653274 chr14:22653274:G:A rs148907938 G A G EBF1_EBF_1 6 1 - 4.806995581537249 5.108281710399005 GCTCCCCGGGAACT chr14 22710297 22710298 chr14:22710298:C:T rs2093410 C T C EBF1_EBF_1 33 0 - 0 0 . chr14 22815694 22815695 chr14:22815695:A:G rs28364570 A G G EBF1_EBF_1 26 0 - 0 0 . chr14 22838955 22838956 chr14:22838956:G:A rs17880697 G A G EBF1_EBF_1 3 1 - 5.941363803399673 -0.46403493815364444 ATACCCTCGGGAGA chr14 22841275 22841276 chr14:22841276:C:T rs8006914 C T C EBF1_EBF_1 -4 0 + 0 0 . chr14 22843713 22843714 chr14:22843714:T:C rs2236307 T C T EBF1_EBF_1 1 1 - 4.527536595603975 4.788235427525277 CACCCCCTGGGGCA chr14 22849319 22849320 chr14:22849320:A:G rs17885402 A G A EBF1_EBF_1 -15 0 + 0 0 . chr14 22849352 22849353 chr14:22849353:G:A rs570421778 G A G EBF1_EBF_1 18 0 + 0 0 . chr14 22850892 22850893 chr14:22850893:C:T rs11622801 C T C EBF1_EBF_1 32 0 + 0 0 . chr14 22853659 22853660 chr14:22853660:C:G rs929999037 C G C EBF1_EBF_1 7 1 - 5.41208854390306 4.4307679628217045 GGACCCCGGGGACA chr14 22853681 22853682 chr14:22853682:A:G rs11622156 A G A EBF1_EBF_1 -15 0 - 0 0 . chr14 22871898 22871899 chr14:22871899:G:A rs775535542 G A G EBF1_EBF_1 3 1 - 4.882187724991618 -1.5232110165616997 AGCCCCCAGGGCCG chr14 22872208 22872209 chr14:22872209:C:T rs914228957 C T C EBF1_EBF_1 -4 0 - 0 0 . chr14 22872872 22872873 chr14:22872873:A:G chr14:22872873:A:G A G A EBF1_EBF_1 15 0 + 0 0 . chr14 22878984 22878985 chr14:22878985:T:C rs11623552 T C T EBF1_EBF_1 28 0 - 0 0 . chr14 22888209 22888210 chr14:22888210:C:T rs80206019 C T C EBF1_EBF_1 6 1 + 6.891214032105511 7.192500160967269 CCTCCCCTGGGGAC chr14 22903703 22903704 chr14:22903704:G:A rs12437151 G A G EBF1_EBF_1 3 1 - 4.67905327840985 -1.7263454631434672 AGCCCCATGTGACT chr14 22903709 22903710 chr14:22903710:A:G rs113487484 A G A EBF1_EBF_1 -3 0 - 0 0 . chr14 22981041 22981042 chr14:22981042:G:A rs116079389 G A G EBF1_EBF_1 3 1 - 4.911155792764533 -1.4942429487887852 ACCCCCCTGGTATC chr14 22982382 22982383 chr14:22982383:A:G rs114896063 A G A EBF1_EBF_1 26 0 + 0 0 . chr14 23006816 23006817 chr14:23006817:C:A rs3751498 C A C EBF1_EBF_1 -7 0 - 0 0 . chr14 23009581 23009582 chr14:23009582:T:C rs186430945 T C T EBF1_EBF_1 26 0 + 0 0 . chr14 23047209 23047210 chr14:23047210:T:C rs111765397 T C T EBF1_EBF_1 12 1 - 7.107886732841398 5.6890693907419205 CTTCCCCTGGGCAT chr14 23050927 23050928 chr14:23050928:A:G rs3764168 A G G EBF1_EBF_1 25 0 + 0 0 . chr14 23078090 23078091 chr14:23078091:C:T rs116570100 C T C EBF1_EBF_1 0 1 - 5.770093633719703 7.662377503284538 GCTCCCTAGGGTTC chr14 23142280 23142281 chr14:23142281:T:C rs74036921 T C T EBF1_EBF_1 -7 0 - 0 0 . chr14 23142287 23142288 chr14:23142288:A:G rs537428436 A G A EBF1_EBF_1 -14 0 - 0 0 . chr14 23155719 23155720 chr14:23155720:G:C rs10146960 G C C EBF1_EBF_1 8 1 + 5.379523731571789 0.06086487065710311 TGTCCCCCGAGACA chr14 23162714 23162715 chr14:23162715:C:T rs7142162 C T C EBF1_EBF_1 9 1 - 4.624852270942728 1.9699130054951246 CGTCCCCTCGGACC chr14 23174903 23174904 chr14:23174904:A:G rs6573011 A G G EBF1_EBF_1 27 0 + 0 0 . chr14 23286397 23286398 chr14:23286398:A:G rs2295125 A G G EBF1_EBF_1 -17 0 + 0 0 . chr14 23298690 23298691 chr14:23298691:T:G rs573646787 T G T EBF1_EBF_1 -3 0 + 0 0 . chr14 23307889 23307890 chr14:23307890:G:A rs2231301 G A G EBF1_EBF_1 -17 0 - 0 0 . chr14 23320982 23320983 chr14:23320983:G:A rs142084369 G A G EBF1_EBF_1 32 0 + 0 0 . chr14 23355326 23355327 chr14:23355327:C:T rs10162489 C T T EBF1_EBF_1 -4 0 - 0 0 . chr14 23366650 23366651 chr14:23366651:G:A rs3759609 G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 23370347 23370348 chr14:23370348:T:G rs74036996 T G T EBF1_EBF_1 -4 0 + 0 0 . chr14 23377984 23377985 chr14:23377985:A:G rs74037001 A G G EBF1_EBF_1 31 0 - 0 0 . chr14 23380566 23380567 chr14:23380567:C:T rs1040828 C T C EBF1_EBF_1 32 0 - 0 0 . chr14 23406435 23406436 chr14:23406436:T:C rs141980888 T C T EBF1_EBF_1 -13 0 - 0 0 . chr14 23413974 23413975 chr14:23413975:C:T rs3729833 C T C EBF1_EBF_1 4 1 + 6.182271894021325 1.8128849490764019 CCTCCCCTGGGCCT chr14 23428297 23428298 chr14:23428298:T:C rs2754163 T C C EBF1_EBF_1 16 0 - 0 0 . chr14 23448749 23448750 chr14:23448750:C:T rs540226739 C T C EBF1_EBF_1 -4 0 + 0 0 . chr14 23469875 23469876 chr14:23469876:G:A rs17256329 G A A EBF1_EBF_1 15 0 - 0 0 . chr14 23494870 23494871 chr14:23494871:A:C rs12886508 A C C EBF1_EBF_1 -14 0 + 0 0 . chr14 23550954 23550955 chr14:23550955:C:T rs552256911 C T C EBF1_EBF_1 -11 0 + 0 0 . chr14 23571735 23571736 chr14:23571736:C:T rs185640777 C T C EBF1_EBF_1 -19 0 + 0 0 . chr14 23581055 23581056 chr14:23581056:T:C rs184002670 T C - EBF1_EBF_1 -2 0 + 0 0 . chr14 23585026 23585027 chr14:23585027:A:G rs112616457 A G a EBF1_EBF_1 7 1 - 7.744322992598233 5.92681824631561 CTTCCCATGGGGCA chr14 23632257 23632258 chr14:23632258:G:T rs747922275 G T G EBF1_EBF_1 0 1 - 7.360199427927863 9.615457911624462 CCCCCCTGGGGAAC chr14 23632258 23632259 chr14:23632259:G:C rs186890370 G C G EBF1_EBF_1 0 1 - 4.628645171377385 4.991619785509152 CCCCCCCTGGGGAA chr14 23632707 23632708 chr14:23632708:G:T rs10146614 G T G EBF1_EBF_1 30 0 - 0 0 . chr14 23647573 23647574 chr14:23647574:G:T rs532188730 G T G EBF1_EBF_1 30 0 + 0 0 . chr14 23664701 23664702 chr14:23664702:G:T rs221704 G T T EBF1_EBF_1 4 1 - 7.691326373224153 1.8667667497706857 CCACCCTAGGGACT chr14 23670797 23670798 chr14:23670798:G:A rs1866226 G A A EBF1_EBF_1 5 1 - 7.857276512658729 2.5354892971180583 TCTCCCCAGAGACC chr14 23697738 23697739 chr14:23697739:T:C rs221679 T C N EBF1_EBF_1 29 0 + 0 0 . chr14 23775496 23775497 chr14:23775497:A:C rs976221 A C C EBF1_EBF_1 31 0 - 0 0 . chr14 23924585 23924586 chr14:23924586:A:C rs10144900 A C C EBF1_EBF_1 2 1 - 6.825009358811139 0.07668366861067044 TTTCCCTGGGGCCT chr14 23924586 23924587 chr14:23924587:A:C rs10144901 A C C EBF1_EBF_1 1 1 - 6.825009358811139 6.11934757298161 TTTCCCTGGGGCCT chr14 23933802 23933803 chr14:23933803:G:C rs10146843 G C C EBF1_EBF_1 18 0 + 0 0 . chr14 23954341 23954342 chr14:23954342:C:T rs115428961 C T C EBF1_EBF_1 17 0 + 0 0 . chr14 23973063 23973064 chr14:23973064:T:C rs10151167 T C G EBF1_EBF_1 7 1 + 5.499898578833507 3.6823938325508845 GTTCCCATGGGCAG chr14 24057797 24057798 chr14:24057798:C:A rs773442340 C A C EBF1_EBF_1 29 0 + 0 0 . chr14 24058787 24058788 chr14:24058788:G:C rs148437360 G C G EBF1_EBF_1 8 1 + 5.324567734209067 0.005908873294381278 CTTCCCTGGGGCCA chr14 24076625 24076626 chr14:24076626:C:T rs45621931 C T T EBF1_EBF_1 1 1 + 8.069310503219965 8.964743943618709 ACTCCCCAGGGCCC chr14 24094465 24094466 chr14:24094466:A:C chr14:24094466:A:C A C A EBF1_EBF_1 29 0 - 0 0 . chr14 24094471 24094472 chr14:24094472:C:T rs76987776 C T C EBF1_EBF_1 23 0 - 0 0 . chr14 24113868 24113869 chr14:24113869:C:A chr14:24113869:C:A C A C EBF1_EBF_1 9 1 - 5.561321297428588 -1.2756681106602212 ACTTCCTTGGGAAA chr14 24113896 24113897 chr14:24113897:A:C rs367825634 A C A EBF1_EBF_1 -19 0 - 0 0 . chr14 24163257 24163258 chr14:24163258:G:A rs77682077 G A G EBF1_EBF_1 2 1 - 5.161506488889337 7.050329900331486 CCCCCCAAGGGCAT chr14 24163271 24163272 chr14:24163272:G:T rs56212235 G T G EBF1_EBF_1 -12 0 - 0 0 . chr14 24195800 24195801 chr14:24195801:T:G rs2234096 T G T EBF1_EBF_1 -14 0 - 0 0 . chr14 24195965 24195966 chr14:24195966:G:A chr14:24195966:G:A G A G EBF1_EBF_1 15 0 + 0 0 . chr14 24231822 24231823 chr14:24231823:G:T rs17102177 G T g EBF1_EBF_1 -17 0 - 0 0 . chr14 24231841 24231842 chr14:24231842:G:A rs78820233 G A g EBF1_EBF_1 33 0 - 0 0 . chr14 24232506 24232507 chr14:24232507:G:A rs79349777 G A g EBF1_EBF_1 30 0 - 0 0 . chr14 24232638 24232639 chr14:24232639:G:C rs777133918 G C g EBF1_EBF_1 32 0 + 0 0 . chr14 24240769 24240770 chr14:24240770:C:T rs17102313 C T c EBF1_EBF_1 -8 0 - 0 0 . chr14 24242876 24242877 chr14:24242877:C:T rs902295487 C T c EBF1_EBF_1 -5 0 + 0 0 . chr14 24242887 24242888 chr14:24242888:G:C rs766601 G C c EBF1_EBF_1 6 1 + 5.500653903045503 7.305845966518701 ACTCCCGTGAGGCT chr14 24254870 24254871 chr14:24254871:A:C rs8193032 A C C EBF1_EBF_1 -4 0 + 0 0 . chr14 24263048 24263049 chr14:24263049:G:A rs2273302 G A G EBF1_EBF_1 32 0 - 0 0 . chr14 24266950 24266951 chr14:24266951:G:A rs941503 G A A EBF1_EBF_1 29 0 + 0 0 . chr14 24267183 24267184 chr14:24267184:C:T rs2281473 C T C EBF1_EBF_1 5 1 + 5.646195049195217 0.32440783365454645 TCCCCCATGGGGAC chr14 24279405 24279406 chr14:24279406:G:A rs753161811 G A G EBF1_EBF_1 -7 0 - 0 0 . chr14 24299401 24299402 chr14:24299402:T:C rs45530039 T C T EBF1_EBF_1 32 0 - 0 0 . chr14 24299403 24299404 chr14:24299404:T:A rs572589667 T A G EBF1_EBF_1 30 0 - 0 0 . chr14 24334155 24334156 chr14:24334156:A:G rs774411663 A G A EBF1_EBF_1 -9 0 + 0 0 . chr14 24340750 24340751 chr14:24340751:T:A rs3212245 T A A EBF1_EBF_1 -16 0 - 0 0 . chr14 24409163 24409164 chr14:24409164:C:T rs12437434 C T C EBF1_EBF_1 26 0 - 0 0 . chr14 24410264 24410265 chr14:24410265:T:C rs61475591 T C T EBF1_EBF_1 11 1 - 7.085260768557853 4.192553924953841 GTCCCCCAGGGATG chr14 24411513 24411514 chr14:24411514:T:A rs2273635 T A T EBF1_EBF_1 28 0 - 0 0 . chr14 24413315 24413316 chr14:24413316:C:T rs200825575 C T C EBF1_EBF_1 16 0 + 0 0 . chr14 24416399 24416400 chr14:24416400:A:G rs17103672 A G A EBF1_EBF_1 13 1 - 9.940442800873493 9.095948686287974 GCCCCCAAGGGAAT chr14 24431241 24431242 chr14:24431242:G:A rs571089756 G A G EBF1_EBF_1 27 0 - 0 0 . chr14 24432042 24432043 chr14:24432043:A:C rs3742520 A C A EBF1_EBF_1 21 0 - 0 0 . chr14 24432069 24432070 chr14:24432070:G:T rs7151995 G T T EBF1_EBF_1 -6 0 - 0 0 . chr14 24606519 24606520 chr14:24606520:C:G rs17105894 C G C EBF1_EBF_1 24 0 - 0 0 . chr14 24606522 24606523 chr14:24606523:C:T rs2236336 C T C EBF1_EBF_1 21 0 - 0 0 . chr14 24612695 24612696 chr14:24612696:C:A rs74039184 C A C EBF1_EBF_1 17 0 + 0 0 . chr14 24694216 24694217 chr14:24694217:G:T rs114524886 G T G EBF1_EBF_1 3 1 - 9.702222863203229 2.7960488337374225 GATCCCCTGGGAAA chr14 24713060 24713061 chr14:24713061:G:A rs12323897 G A G EBF1_EBF_1 18 0 + 0 0 . chr14 24725323 24725324 chr14:24725324:A:G rs76507400 A G A EBF1_EBF_1 -6 0 - 0 0 . chr14 24766931 24766932 chr14:24766932:C:T rs854418 C T T EBF1_EBF_1 20 0 + 0 0 . chr14 24768613 24768614 chr14:24768614:C:A rs186044969 C A C EBF1_EBF_1 3 1 + 5.233079878783742 -1.673094150682064 ACTCCCCTGGAAAG chr14 24816397 24816398 chr14:24816398:C:T rs8016803 C T C EBF1_EBF_1 -10 0 - 0 0 . chr14 24818548 24818549 chr14:24818549:C:T rs854397 C T C EBF1_EBF_1 16 0 - 0 0 . chr14 24869503 24869504 chr14:24869504:G:A rs74037676 G A G EBF1_EBF_1 -14 0 - 0 0 . chr14 24876662 24876663 chr14:24876663:G:A rs561383547 G A G EBF1_EBF_1 15 0 + 0 0 . chr14 25048994 25048995 chr14:25048995:C:A rs2225269 C A C EBF1_EBF_1 -1 0 - 0 0 . chr14 25049083 25049084 chr14:25049084:G:A rs553284095 G A G EBF1_EBF_1 30 0 + 0 0 . chr14 25092438 25092439 chr14:25092439:A:G rs1958389 A G G EBF1_EBF_1 -19 0 + 0 0 . chr14 25132785 25132786 chr14:25132786:G:A rs1241489 G A A EBF1_EBF_1 15 0 - 0 0 . chr14 25221949 25221950 chr14:25221950:A:G rs1461556 A G A EBF1_EBF_1 22 0 + 0 0 . chr14 25249879 25249880 chr14:25249880:A:G rs61976914 A G A EBF1_EBF_1 19 0 + 0 0 . chr14 25390104 25390105 chr14:25390105:G:T rs78154600 G T G EBF1_EBF_1 14 0 + 0 0 . chr14 25463618 25463619 chr14:25463619:C:T rs8022424 C T C EBF1_EBF_1 2 1 + 7.131555181670461 9.020378593112612 GGCCCCCAGGGAGA chr14 25518546 25518547 chr14:25518547:A:G rs913414529 A G A EBF1_EBF_1 21 0 + 0 0 . chr14 25681303 25681304 chr14:25681304:C:T rs12893752 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 25760413 25760414 chr14:25760414:C:T rs17110358 C T C EBF1_EBF_1 22 0 + 0 0 . chr14 25760420 25760421 chr14:25760421:A:G rs7146074 A G A EBF1_EBF_1 29 0 + 0 0 . chr14 25760914 25760915 chr14:25760915:A:C rs61270012 A C A EBF1_EBF_1 -6 0 - 0 0 . chr14 25760919 25760920 chr14:25760920:C:G rs1475013 C G G EBF1_EBF_1 -11 0 - 0 0 . chr14 26063740 26063741 chr14:26063741:T:C rs12894166 T C T EBF1_EBF_1 -16 0 - 0 0 . chr14 26104773 26104774 chr14:26104774:C:G rs17566618 C G G EBF1_EBF_1 28 0 - 0 0 . chr14 26294129 26294130 chr14:26294130:G:A rs1956474 G A G EBF1_EBF_1 -10 0 - 0 0 . chr14 26308919 26308920 chr14:26308920:A:G rs2877767 A G G EBF1_EBF_1 -9 0 + 0 0 . chr14 26430417 26430418 chr14:26430418:G:A rs12889446 G A G EBF1_EBF_1 -16 0 + 0 0 . chr14 26524751 26524752 chr14:26524752:T:C rs1245199 T C T EBF1_EBF_1 -17 0 + 0 0 . chr14 26645909 26645910 chr14:26645910:C:T rs1955812 C T C EBF1_EBF_1 -17 0 + 0 0 . chr14 26808818 26808819 chr14:26808819:T:G rs7158297 T G G EBF1_EBF_1 29 0 - 0 0 . chr14 26843245 26843246 chr14:26843246:C:A rs4981631 C A A EBF1_EBF_1 4 1 + 5.624879237216178 -0.19968038623728812 CTTCCCAAGGAAGT chr14 26917368 26917369 chr14:26917369:A:C rs111985776 A C A EBF1_EBF_1 19 0 + 0 0 . chr14 26964042 26964043 chr14:26964043:G:A rs8015980 G A A EBF1_EBF_1 17 0 - 0 0 . chr14 27152044 27152045 chr14:27152045:C:T rs12890564 C T C EBF1_EBF_1 27 0 - 0 0 . chr14 27181527 27181528 chr14:27181528:C:T rs28455530 C T C EBF1_EBF_1 -2 0 + 0 0 . chr14 27506610 27506611 chr14:27506611:G:C rs10130589 G C C EBF1_EBF_1 3 1 - 5.336418818876465 -0.49134562923875086 CCTCCCCTGAGAGA chr14 27715662 27715663 chr14:27715663:T:C rs1954527 T C T EBF1_EBF_1 22 0 - 0 0 . chr14 27761618 27761619 chr14:27761619:A:G rs141243432 A G A EBF1_EBF_1 32 0 - 0 0 . chr14 27761644 27761645 chr14:27761645:T:C rs60119853 T C T EBF1_EBF_1 6 1 - 6.299629649721327 4.132835218446852 TCTCCCATGGGTCC chr14 27962566 27962567 chr14:27962567:T:C rs80197549 T C T EBF1_EBF_1 7 1 + 9.045363940028698 7.227859193746078 AATCCCCTGGGGCC chr14 28263079 28263080 chr14:28263080:G:A rs8005296 G A A EBF1_EBF_1 -8 0 - 0 0 . chr14 28293258 28293259 chr14:28293259:A:G rs9324085 A G G EBF1_EBF_1 18 0 + 0 0 . chr14 28295586 28295587 chr14:28295587:G:C rs187309335 G C G EBF1_EBF_1 4 1 - 6.308213281900586 0.6824481807510407 AACCCCAGGGGGCA chr14 28299524 28299525 chr14:28299525:G:A rs78066382 G A G EBF1_EBF_1 8 1 + 5.18576537746229 0.9594752885760285 AGCCCCCTGGGTTC chr14 28468389 28468390 chr14:28468390:A:G rs1447586111 A G A EBF1_EBF_1 15 0 - 0 0 . chr14 28493770 28493771 chr14:28493771:C:T rs75172287 C T C EBF1_EBF_1 32 0 - 0 0 . chr14 28572292 28572293 chr14:28572293:T:A rs10143102 T A A EBF1_EBF_1 -2 0 - 0 0 . chr14 28572310 28572311 chr14:28572311:A:G rs1474950 A G G EBF1_EBF_1 -20 0 - 0 0 . chr14 28756895 28756896 chr14:28756896:G:T rs958836618 G T G EBF1_EBF_1 13 1 - 6.339308967928172 5.965563518642196 GATCCCCAGGTACC chr14 29320330 29320331 chr14:29320331:A:G rs1115915 A G a EBF1_EBF_1 0 1 + 7.520409992408246 5.628126122843413 ATTCCCCAGGGTGA chr14 29383847 29383848 chr14:29383848:G:A rs1018542 G A G EBF1_EBF_1 25 0 + 0 0 . chr14 29800407 29800408 chr14:29800408:T:G rs61979967 T G T EBF1_EBF_1 17 0 - 0 0 . chr14 29820643 29820644 chr14:29820644:C:T rs12883327 C T C EBF1_EBF_1 10 1 - 7.260572746629683 1.9425442873627183 ATTCCCAGGAGATG chr14 29895600 29895601 chr14:29895601:C:T rs75015513 C T C EBF1_EBF_1 17 0 - 0 0 . chr14 29928342 29928343 chr14:29928343:A:G rs45477998 A G A EBF1_EBF_1 29 0 + 0 0 . chr14 29953075 29953076 chr14:29953076:C:T rs12435885 C T C EBF1_EBF_1 -6 0 - 0 0 . chr14 30267251 30267252 chr14:30267252:A:T rs4981744 A T A EBF1_EBF_1 21 0 + 0 0 . chr14 30273669 30273670 chr14:30273670:G:A rs76548359 G A G EBF1_EBF_1 8 1 - 5.203649770621899 3.6308758425593908 AGTCCCAACGGATG chr14 30547563 30547564 chr14:30547564:C:T rs138147660 C T C EBF1_EBF_1 -19 0 + 0 0 . chr14 30755971 30755972 chr14:30755972:T:C rs179557 T C C EBF1_EBF_1 11 1 - 10.911037207755838 8.018330364151826 AATCCCATGGGAGC chr14 30756847 30756848 chr14:30756848:A:G rs179550 A G G EBF1_EBF_1 -3 0 - 0 0 . chr14 30874319 30874320 chr14:30874320:G:A rs193265645 G A G EBF1_EBF_1 -18 0 + 0 0 . chr14 30874324 30874325 chr14:30874325:G:C rs571600196 G C G EBF1_EBF_1 -13 0 + 0 0 . chr14 30874347 30874348 chr14:30874348:G:T rs374215685 G T G EBF1_EBF_1 10 1 + 5.117583604435956 0.9348314182086916 CCCCTCTAGGGACT chr14 30875166 30875167 chr14:30875167:G:A rs1124179 G A G EBF1_EBF_1 -13 0 + 0 0 . chr14 30875190 30875191 chr14:30875191:C:T rs56946687 C T C EBF1_EBF_1 11 1 + 6.0134981791559 6.331545620909564 AGCCCCTTGGGCTT chr14 30875306 30875307 chr14:30875307:C:G rs7158281 C G C EBF1_EBF_1 11 1 - 4.20558555487385 2.682330700625471 GGACCCCAGGGGCC chr14 30890640 30890641 chr14:30890641:T:A rs6571366 T A A EBF1_EBF_1 -5 0 + 0 0 . chr14 30970671 30970672 chr14:30970672:C:G rs4340230 C G C EBF1_EBF_1 1 1 + 5.079439920875469 5.269211575444686 TCTCACAAGGGAAC chr14 30971373 30971374 chr14:30971374:A:T rs61976796 A T A EBF1_EBF_1 -2 0 + 0 0 . chr14 30997531 30997532 chr14:30997532:C:T rs60990438 C T C EBF1_EBF_1 15 0 + 0 0 . chr14 31026760 31026761 chr14:31026761:G:T rs115483186 G T G EBF1_EBF_1 5 1 - 7.05641240502105 0.1515312523858814 AGACCCCTGGGAAG chr14 31026772 31026773 chr14:31026773:C:G rs74042114 C G C EBF1_EBF_1 -7 0 - 0 0 . chr14 31026904 31026905 chr14:31026905:C:T rs143315236 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 31190018 31190019 chr14:31190019:G:A rs28495544 G A G EBF1_EBF_1 -11 0 - 0 0 . chr14 31219485 31219486 chr14:31219486:T:C rs7155324 T C T EBF1_EBF_1 -18 0 - 0 0 . chr14 31668751 31668752 chr14:31668752:C:T rs28888200 C T C EBF1_EBF_1 23 0 - 0 0 . chr14 31692811 31692812 chr14:31692812:A:T rs74628931 A T A EBF1_EBF_1 26 0 - 0 0 . chr14 31751232 31751233 chr14:31751233:A:G rs764335518 A G a EBF1_EBF_1 -14 0 + 0 0 . chr14 31944349 31944350 chr14:31944350:G:C rs7151298 G C G EBF1_EBF_1 -4 0 - 0 0 . chr14 31950191 31950192 chr14:31950192:G:A rs2236532 G A G EBF1_EBF_1 20 0 - 0 0 . chr14 32076095 32076096 chr14:32076096:G:C rs61730940 G C - EBF1_EBF_1 25 0 + 0 0 . chr14 32473418 32473419 chr14:32473419:C:A rs76040021 C A C EBF1_EBF_1 4 1 + 6.919228200228469 1.094668576775003 TTTCCCTAGGGCCA chr14 32473419 32473420 chr14:32473420:C:T rs10872864 C T C EBF1_EBF_1 5 1 + 6.919228200228469 1.5974409846878008 TTTCCCTAGGGCCA chr14 32478045 32478046 chr14:32478046:G:T rs1951195 G T T EBF1_EBF_1 -3 0 + 0 0 . chr14 32488748 32488749 chr14:32488749:C:A rs10135381 C A C EBF1_EBF_1 15 0 - 0 0 . chr14 32494630 32494631 chr14:32494631:C:T rs2145586 C T T EBF1_EBF_1 20 0 - 0 0 . chr14 32611985 32611986 chr14:32611986:C:T rs927061 C T T EBF1_EBF_1 3 1 + 7.574138018578904 1.1687392770255873 AACCCCATGAGATT chr14 32623903 32623904 chr14:32623904:G:A rs3825753 G A A EBF1_EBF_1 23 0 - 0 0 . chr14 32692698 32692699 chr14:32692699:A:G rs10151252 A G A EBF1_EBF_1 0 1 + 8.40064013260315 6.508356263038317 ATTCACCAGGGACT chr14 32692983 32692984 chr14:32692984:T:C rs116785374 T C T EBF1_EBF_1 9 1 - 7.684582427416477 10.339521692864082 GATCCCAAGAGAGT chr14 32698247 32698248 chr14:32698248:G:A rs9788585 G A G EBF1_EBF_1 9 1 + 6.910785352214269 4.255846086766665 AATCCTCAGGGATT chr14 32728877 32728878 chr14:32728878:G:A rs72669826 G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 32756779 32756780 chr14:32756780:T:C rs7159774 T C C EBF1_EBF_1 -10 0 - 0 0 . chr14 32789000 32789001 chr14:32789001:T:C rs56812253 T C T EBF1_EBF_1 -18 0 - 0 0 . chr14 32857184 32857185 chr14:32857185:G:T rs56029249 G T G EBF1_EBF_1 -3 0 + 0 0 . chr14 32857207 32857208 chr14:32857208:T:C rs12434005 T C C EBF1_EBF_1 20 0 + 0 0 . chr14 33083760 33083761 chr14:33083761:C:A rs8017701 C A C EBF1_EBF_1 3 1 + 8.184149355917345 1.2779753264515379 ATCCCCGTGGGATC chr14 33118924 33118925 chr14:33118925:T:C rs756445443 T C T EBF1_EBF_1 -7 0 - 0 0 . chr14 33181024 33181025 chr14:33181025:A:G rs10149827 A G A EBF1_EBF_1 7 1 + 4.190393170049457 2.8779347647606315 ACTCCCCAGTGAAG chr14 33344814 33344815 chr14:33344815:G:A rs1958178 G A G EBF1_EBF_1 17 0 + 0 0 . chr14 33435912 33435913 chr14:33435913:G:A rs181517941 G A G EBF1_EBF_1 -4 0 + 0 0 . chr14 33464887 33464888 chr14:33464888:A:G rs72680176 A G A EBF1_EBF_1 2 1 + 4.4864153692739395 1.4225572666723474 TGACCCAAGGGGCC chr14 33539090 33539091 chr14:33539091:G:T rs144270709 G T G EBF1_EBF_1 5 1 - 5.561268411704555 -1.3436127409306124 CTCCCCCTGAGAGT chr14 33611536 33611537 chr14:33611537:C:T rs144522593 C T C EBF1_EBF_1 28 0 - 0 0 . chr14 33642124 33642125 chr14:33642125:G:T rs115105506 G T G EBF1_EBF_1 25 0 + 0 0 . chr14 33763777 33763778 chr14:33763778:T:C rs55670962 T C T EBF1_EBF_1 9 1 - 9.039156014806279 11.694095280253881 ATTCCCAAGAGGCT chr14 33764687 33764688 chr14:33764688:G:A rs2148334 G A G EBF1_EBF_1 15 0 - 0 0 . chr14 33773120 33773121 chr14:33773121:A:G rs71419954 A G A EBF1_EBF_1 12 1 - 4.732362851883712 5.758629422434562 CTTCCCAGGGGCTC chr14 33800568 33800569 chr14:33800569:C:G rs10142034 C G C EBF1_EBF_1 3 1 + 5.126881861334762 -0.7008825867804547 GTCCCCGCGGGACA chr14 33839437 33839438 chr14:33839438:C:T rs10137683 C T C EBF1_EBF_1 15 0 - 0 0 . chr14 33849069 33849070 chr14:33849070:G:A rs60162554 G A G EBF1_EBF_1 14 0 + 0 0 . chr14 33849306 33849307 chr14:33849307:T:C rs1207775711 T C T EBF1_EBF_1 -8 0 + 0 0 . chr14 33849308 33849309 chr14:33849309:A:T chr14:33849309:A:T A T A EBF1_EBF_1 -6 0 + 0 0 . chr14 33851025 33851026 chr14:33851026:C:G rs74042858 C G G EBF1_EBF_1 25 0 + 0 0 . chr14 33947354 33947355 chr14:33947355:G:A rs12435737 G A G EBF1_EBF_1 26 0 + 0 0 . chr14 33949861 33949862 chr14:33949862:T:G rs73244042 T G T EBF1_EBF_1 -11 0 - 0 0 . chr14 34028144 34028145 chr14:34028145:A:T rs55651927 A T A EBF1_EBF_1 2 1 - 6.93438062541257 3.2499130378136933 ACTCCCAGAGGACA chr14 34064068 34064069 chr14:34064069:T:C rs797379 T C C EBF1_EBF_1 14 0 + 0 0 . chr14 34075572 34075573 chr14:34075573:G:A rs57372403 G A G EBF1_EBF_1 31 0 + 0 0 . chr14 34140197 34140198 chr14:34140198:C:T rs797332 C T T EBF1_EBF_1 33 0 + 0 0 . chr14 34150270 34150271 chr14:34150271:C:T rs34155829 C T - EBF1_EBF_1 7 1 + 6.779631236933242 8.597135983215864 CCTCCCTCGGGAAG chr14 34180366 34180367 chr14:34180367:C:A rs10130025 C A C EBF1_EBF_1 -4 0 + 0 0 . chr14 34373324 34373325 chr14:34373325:T:G rs74046042 T G T EBF1_EBF_1 -2 0 + 0 0 . chr14 34379735 34379736 chr14:34379736:G:C rs73242734 G C G EBF1_EBF_1 27 0 - 0 0 . chr14 34379745 34379746 chr14:34379746:G:A rs77256408 G A G EBF1_EBF_1 17 0 - 0 0 . chr14 34379760 34379761 chr14:34379761:G:A rs962688556 G A G EBF1_EBF_1 2 1 - 4.5117176262946685 6.4005410377368195 AGCCCCCAAGGGCT chr14 34379770 34379771 chr14:34379771:A:T rs10150318 A T T EBF1_EBF_1 -8 0 - 0 0 . chr14 34379771 34379772 chr14:34379772:A:G rs12436932 A G A EBF1_EBF_1 -9 0 - 0 0 . chr14 34463113 34463114 chr14:34463114:G:C chr14:34463114:G:C G C G EBF1_EBF_1 -6 0 + 0 0 . chr14 34496182 34496183 chr14:34496183:C:T rs17523593 C T C EBF1_EBF_1 -7 0 - 0 0 . chr14 34615607 34615608 chr14:34615608:C:T rs10431614 C T T EBF1_EBF_1 -16 0 + 0 0 . chr14 34629711 34629712 chr14:34629712:G:A rs534895260 G A G EBF1_EBF_1 30 0 - 0 0 . chr14 34663480 34663481 chr14:34663481:T:G rs8009551 T G G EBF1_EBF_1 15 0 + 0 0 . chr14 34851551 34851552 chr14:34851552:A:G rs550560323 A G a EBF1_EBF_1 13 1 - 5.280205132606749 4.435711018021231 CCTCCCCTGGAACT chr14 34873443 34873444 chr14:34873444:G:C rs1377535656 G C G EBF1_EBF_1 19 0 - 0 0 . chr14 35050920 35050921 chr14:35050921:C:G rs77772792 C G G EBF1_EBF_1 -8 0 - 0 0 . chr14 35050920 35050921 chr14:35050921:C:T chr14:35050921:C:T C T G EBF1_EBF_1 -8 0 - 0 0 . chr14 35061261 35061262 chr14:35061262:A:G rs799472 A G a EBF1_EBF_1 1 1 - 7.290940835110843 6.395507394712097 CTTCCCAAAGGAAC chr14 35254761 35254762 chr14:35254762:T:C rs55907319 T C T EBF1_EBF_1 -3 0 + 0 0 . chr14 35332345 35332346 chr14:35332346:G:T rs138656538 G T G EBF1_EBF_1 -9 0 - 0 0 . chr14 35342492 35342493 chr14:35342493:C:T rs4981285 C T C EBF1_EBF_1 23 0 + 0 0 . chr14 35352986 35352987 chr14:35352987:C:T rs4982262 C T C EBF1_EBF_1 5 1 + 4.911985258108789 -0.40980195743188197 CCTCCCCAGGAACC chr14 35365318 35365319 chr14:35365319:C:G rs17103246 C G C EBF1_EBF_1 25 0 + 0 0 . chr14 35365560 35365561 chr14:35365561:C:A rs186258963 C A C EBF1_EBF_1 22 0 + 0 0 . chr14 35367010 35367011 chr14:35367011:C:G rs7155561 C G G EBF1_EBF_1 6 1 + 5.154220521226966 3.3490284577537675 ACCCCCCAGGGCTC chr14 35367028 35367029 chr14:35367029:G:A rs143936213 G A G EBF1_EBF_1 24 0 + 0 0 . chr14 35383996 35383997 chr14:35383997:C:T rs4460979 C T C EBF1_EBF_1 27 0 - 0 0 . chr14 35398223 35398224 chr14:35398224:G:A rs75492075 G A G EBF1_EBF_1 5 1 - 7.756466074082932 2.4346788585422603 CTTCCCCTGGGGCC chr14 35405063 35405064 chr14:35405064:G:A rs2233409 G A A EBF1_EBF_1 19 0 - 0 0 . chr14 35439449 35439450 chr14:35439450:G:A rs17103338 G A G EBF1_EBF_1 28 0 - 0 0 . chr14 35530663 35530664 chr14:35530664:G:A rs1958258 G A G EBF1_EBF_1 17 0 + 0 0 . chr14 35696211 35696212 chr14:35696212:A:G rs10130202 A G A EBF1_EBF_1 23 0 - 0 0 . chr14 35927915 35927916 chr14:35927916:G:A rs725371 G A G EBF1_EBF_1 -10 0 - 0 0 . chr14 35944900 35944901 chr14:35944901:C:G rs141013626 C G C EBF1_EBF_1 4 1 + 8.760349506244792 3.1345844050952465 CTCCCCCTGGGACC chr14 36066042 36066043 chr14:36066043:G:A rs375095 G A A EBF1_EBF_1 1 1 - 6.84653263701485 7.741966077413593 GCTCCCTTGGGCCT chr14 36076318 36076319 chr14:36076319:C:T rs416664 C T C EBF1_EBF_1 -7 0 + 0 0 . chr14 36477411 36477412 chr14:36477412:G:T rs3825634 G T G EBF1_EBF_1 -18 0 - 0 0 . chr14 36520490 36520491 chr14:36520491:G:T rs79781382 G T G EBF1_EBF_1 31 0 - 0 0 . chr14 36582886 36582887 chr14:36582887:G:A rs3809433 G A G EBF1_EBF_1 13 1 - 3.60131043806013 4.445804552645649 GCTCCCGCGGGGCC chr14 36582917 36582918 chr14:36582918:T:C rs177324 T C C EBF1_EBF_1 -18 0 - 0 0 . chr14 36585384 36585385 chr14:36585385:C:A rs1007731 C A C EBF1_EBF_1 4 1 + 5.29946849954363 -0.5250911239098378 TGTCCCATGGAAAC chr14 36618219 36618220 chr14:36618220:C:T rs73253986 C T T EBF1_EBF_1 -4 0 - 0 0 . chr14 36654587 36654588 chr14:36654588:G:A rs117500650 G A G EBF1_EBF_1 23 0 + 0 0 . chr14 36659691 36659692 chr14:36659692:C:G rs2073240 C G C EBF1_EBF_1 -16 0 - 0 0 . chr14 36661828 36661829 chr14:36661829:G:A chr14:36661829:G:A G A G EBF1_EBF_1 3 1 - 5.275794851905844 -1.1296038896474734 ACTCACTGGGGACA chr14 36879609 36879610 chr14:36879610:G:A rs1154122 G A A EBF1_EBF_1 -8 0 - 0 0 . chr14 37037879 37037880 chr14:37037880:T:G rs113396166 T G T EBF1_EBF_1 30 0 - 0 0 . chr14 37062696 37062697 chr14:37062697:G:A rs1956424 G A G EBF1_EBF_1 25 0 - 0 0 . chr14 37062713 37062714 chr14:37062714:C:A rs17106164 C A C EBF1_EBF_1 8 1 - 7.297591880921654 0.4061590919444596 TTTCCCAAGGGTCA chr14 37171973 37171974 chr14:37171974:C:T chr14:37171974:C:T C T c EBF1_EBF_1 27 0 - 0 0 . chr14 37318958 37318959 chr14:37318959:C:T rs12891809 C T C EBF1_EBF_1 2 1 + 5.028197555576347 6.917020967018497 AGCCTCCAGGGAGC chr14 37587922 37587923 chr14:37587923:C:T rs140426878 C T C EBF1_EBF_1 15 0 + 0 0 . chr14 37588097 37588098 chr14:37588098:G:T chr14:37588098:G:T G T G EBF1_EBF_1 -9 0 + 0 0 . chr14 37588128 37588129 chr14:37588129:T:G rs1884799 T G T EBF1_EBF_1 22 0 + 0 0 . chr14 37595806 37595807 chr14:37595807:G:A rs116787093 G A G EBF1_EBF_1 6 1 + 5.597425992654012 7.764220423928487 AACCCCGGGAGACT chr14 37595814 37595815 chr14:37595815:G:A rs76470659 G A G EBF1_EBF_1 14 0 + 0 0 . chr14 37599808 37599809 chr14:37599809:A:C rs7148812 A C C EBF1_EBF_1 29 0 + 0 0 . chr14 37611631 37611632 chr14:37611632:C:A rs1998124 C A A EBF1_EBF_1 4 1 + 4.550572612915425 -1.273987010538043 CGCCCCACGGGGCT chr14 37746206 37746207 chr14:37746207:G:T rs1957577 G T T EBF1_EBF_1 32 0 + 0 0 . chr14 37761021 37761022 chr14:37761022:G:A rs12100741 G A A EBF1_EBF_1 -3 0 - 0 0 . chr14 37816417 37816418 chr14:37816418:G:C rs77800886 G C g EBF1_EBF_1 22 0 - 0 0 . chr14 37844167 37844168 chr14:37844168:T:G rs17107188 T G T EBF1_EBF_1 -5 0 + 0 0 . chr14 37903605 37903606 chr14:37903606:G:A rs117246880 G A G EBF1_EBF_1 20 0 + 0 0 . chr14 37968405 37968406 chr14:37968406:C:T rs10134624 C T C EBF1_EBF_1 -10 0 - 0 0 . chr14 38166490 38166491 chr14:38166491:T:G rs12323580 T G T EBF1_EBF_1 16 0 + 0 0 . chr14 38189809 38189810 chr14:38189810:C:T rs139212297 C T C EBF1_EBF_1 32 0 - 0 0 . chr14 38211492 38211493 chr14:38211493:G:C rs34827348 G C G EBF1_EBF_1 -18 0 + 0 0 . chr14 38211534 38211535 chr14:38211535:G:A rs33926928 G A G EBF1_EBF_1 24 0 + 0 0 . chr14 38211907 38211908 chr14:38211908:G:A rs1135473 G A G EBF1_EBF_1 -1 0 - 0 0 . chr14 38339824 38339825 chr14:38339825:A:C rs1168466 A C A EBF1_EBF_1 32 0 - 0 0 . chr14 38481809 38481810 chr14:38481810:T:C rs1815595 T C C EBF1_EBF_1 -10 0 - 0 0 . chr14 38626932 38626933 chr14:38626933:C:G rs7142880 C G C EBF1_EBF_1 8 1 - 3.9504885443188864 -1.3681703165957988 AGCCACTGGGGACC chr14 38705215 38705216 chr14:38705216:C:T rs11844961 C T T EBF1_EBF_1 1 1 + 6.721150900139157 7.616584340537902 ACTCCCCTGGAAAC chr14 39175567 39175568 chr14:39175568:C:G rs1002193 C G C EBF1_EBF_1 31 0 - 0 0 . chr14 39222812 39222813 chr14:39222813:C:T rs28502509 C T C EBF1_EBF_1 -3 0 + 0 0 . chr14 39266454 39266455 chr14:39266455:A:G rs376775224 A G A EBF1_EBF_1 -3 0 - 0 0 . chr14 39266915 39266916 chr14:39266916:T:G rs913245122 T G T EBF1_EBF_1 12 1 - 6.677809501045763 6.647628102624437 TGTCCCAAGGGCAC chr14 39266923 39266924 chr14:39266924:G:C rs183229880 G C G EBF1_EBF_1 4 1 - 6.677809501045763 1.05204439989622 TGTCCCAAGGGCAC chr14 39368337 39368338 chr14:39368338:G:A rs80050625 G A G EBF1_EBF_1 -4 0 + 0 0 . chr14 39396571 39396572 chr14:39396572:T:A rs61611234 T A T EBF1_EBF_1 26 0 - 0 0 . chr14 39396585 39396586 chr14:39396586:G:A rs7153086 G A G EBF1_EBF_1 12 1 - 5.508170994254463 4.481904423703614 AATACCCAGGGACC chr14 39583314 39583315 chr14:39583315:A:G rs175678 A G A EBF1_EBF_1 2 1 + 5.998344712337235 2.934486609735643 CAACCCCTGGGACC chr14 39800543 39800544 chr14:39800544:T:G rs17780312 T G T EBF1_EBF_1 22 0 + 0 0 . chr14 40410471 40410472 chr14:40410472:A:G rs2210312 A G A EBF1_EBF_1 -8 0 - 0 0 . chr14 40628657 40628658 chr14:40628658:G:C rs6572033 G C G EBF1_EBF_1 25 0 - 0 0 . chr14 40700177 40700178 chr14:40700178:C:T rs2005060 C T C EBF1_EBF_1 16 0 - 0 0 . chr14 40914903 40914904 chr14:40914904:G:A rs56090949 G A G EBF1_EBF_1 24 0 - 0 0 . chr14 41017194 41017195 chr14:41017195:G:C rs2600577 G C C EBF1_EBF_1 30 0 - 0 0 . chr14 41130347 41130348 chr14:41130348:G:C rs11157199 G C G EBF1_EBF_1 -10 0 - 0 0 . chr14 41318873 41318874 chr14:41318874:G:A rs1431032 G A G EBF1_EBF_1 -18 0 + 0 0 . chr14 41318924 41318925 chr14:41318925:G:C rs775098730 G C G EBF1_EBF_1 33 0 + 0 0 . chr14 41607230 41607231 chr14:41607231:C:T rs61990289 C T T EBF1_EBF_1 13 1 + 5.16544356084461 6.009937675430128 GCTCCCTTAGGATC chr14 41612678 41612679 chr14:41612679:T:C rs1956450 T C T EBF1_EBF_1 23 0 + 0 0 . chr14 41770659 41770660 chr14:41770660:G:C rs117408409 G C G EBF1_EBF_1 20 0 + 0 0 . chr14 41825275 41825276 chr14:41825276:T:C rs138178254 T C T EBF1_EBF_1 -8 0 - 0 0 . chr14 42096739 42096740 chr14:42096740:G:A rs1763242 G A G EBF1_EBF_1 13 1 + 4.270558401079147 5.384883973148586 CTTCCCAGGGGCCG chr14 42096756 42096757 chr14:42096757:G:A rs1763243 G A G EBF1_EBF_1 30 0 + 0 0 . chr14 42122018 42122019 chr14:42122019:G:A rs1825714 G A A EBF1_EBF_1 15 0 - 0 0 . chr14 42229418 42229419 chr14:42229419:G:A rs11157272 G A A EBF1_EBF_1 7 1 - 5.282576242363647 7.100080988646271 AGCCCCACGGGCCT chr14 42333640 42333641 chr14:42333641:G:C rs61991141 G C G EBF1_EBF_1 -18 0 + 0 0 . chr14 42378881 42378882 chr14:42378882:G:A rs117162159 G A G EBF1_EBF_1 4 1 - 6.629928583478789 2.260541638533864 ATTCCCCTAGGGCT chr14 42406515 42406516 chr14:42406516:G:T rs2626859 G T T EBF1_EBF_1 -15 0 + 0 0 . chr14 42571952 42571953 chr14:42571953:A:G rs7146396 A G G EBF1_EBF_1 24 0 + 0 0 . chr14 42719608 42719609 chr14:42719609:A:C rs1712699 A C C EBF1_EBF_1 -9 0 + 0 0 . chr14 43253850 43253851 chr14:43253851:A:C rs117624065 A C A EBF1_EBF_1 25 0 + 0 0 . chr14 43417349 43417350 chr14:43417350:G:A rs143952422 G A g EBF1_EBF_1 5 1 - 9.74642910025667 4.424641884716 ACCCCCCTGGGACA chr14 43522748 43522749 chr14:43522749:G:A rs74900381 G A G EBF1_EBF_1 5 1 - 6.368948028962231 1.0471608134215624 ACCCCCATGAGAAG chr14 43596772 43596773 chr14:43596773:T:C rs528078583 T C T EBF1_EBF_1 2 1 + 6.290136247353442 4.401312835911292 AATGCCATGGGATT chr14 44081350 44081351 chr14:44081351:C:T rs11624621 C T C EBF1_EBF_1 4 1 + 6.212835126319078 1.8434481813741548 AATGCCCAGGGACA chr14 44109271 44109272 chr14:44109272:G:A rs7157988 G A A EBF1_EBF_1 3 1 - 7.282061098171648 0.8766623566183319 GATCCCTAGGGCAT chr14 44339986 44339987 chr14:44339987:T:C rs1953465 T C T EBF1_EBF_1 14 0 + 0 0 . chr14 44388391 44388392 chr14:44388392:T:C rs8016581 T C T EBF1_EBF_1 2 1 + 5.429977081532723 3.541153670090573 AATGCCTAGGGAAG chr14 44434229 44434230 chr14:44434230:A:G rs10137318 A G G EBF1_EBF_1 6 1 - 5.485428442794899 5.184142313933141 ACTCCCTGAGGAGA chr14 44579428 44579429 chr14:44579429:A:G rs10144940 A G A EBF1_EBF_1 -15 0 + 0 0 . chr14 44799027 44799028 chr14:44799028:C:T rs73341381 C T c EBF1_EBF_1 -20 0 + 0 0 . chr14 44803414 44803415 chr14:44803415:C:T rs555251404 C T C EBF1_EBF_1 17 0 - 0 0 . chr14 44828130 44828131 chr14:44828131:T:C rs17115618 T C G EBF1_EBF_1 6 1 - 6.243856541159072 4.077062109884597 GCACCCAAGGGATC chr14 44897934 44897935 chr14:44897935:T:C rs150593403 T C T EBF1_EBF_1 15 0 - 0 0 . chr14 44914434 44914435 chr14:44914435:C:T rs75824166 C T C EBF1_EBF_1 -18 0 - 0 0 . chr14 45135879 45135880 chr14:45135880:G:C rs773814844 G C G EBF1_EBF_1 18 0 + 0 0 . chr14 45811361 45811362 chr14:45811362:C:T rs9919947 C T C EBF1_EBF_1 -15 0 - 0 0 . chr14 45877167 45877168 chr14:45877168:G:T rs417003 G T T EBF1_EBF_1 4 1 - 10.880435652604293 5.055876029150825 TCTCCCCTGGGACT chr14 46024173 46024174 chr14:46024174:C:T rs11851440 C T T EBF1_EBF_1 1 1 + 5.810792405395339 6.706225845794084 ACACCCAAAGGAAT chr14 46034530 46034531 chr14:46034531:G:A rs1570173 G A A EBF1_EBF_1 -8 0 - 0 0 . chr14 46138410 46138411 chr14:46138411:G:C rs7144516 G C G EBF1_EBF_1 23 0 + 0 0 . chr14 46381865 46381866 chr14:46381866:T:G rs11852175 T G T EBF1_EBF_1 7 1 + 5.767473572732709 4.931289407531442 TGTCCCTTGGGCAA chr14 46438886 46438887 chr14:46438887:A:G rs114911165 A G A EBF1_EBF_1 25 0 + 0 0 . chr14 46956781 46956782 chr14:46956782:T:C rs12433807 T C T EBF1_EBF_1 22 0 - 0 0 . chr14 46986128 46986129 chr14:46986129:C:T rs12435836 C T T EBF1_EBF_1 -19 0 - 0 0 . chr14 47273049 47273050 chr14:47273050:A:G rs17653818 A G G EBF1_EBF_1 -19 0 - 0 0 . chr14 47311502 47311503 chr14:47311503:A:G rs4594172 A G A EBF1_EBF_1 -6 0 + 0 0 . chr14 47311523 47311524 chr14:47311524:A:G rs4594173 A G G EBF1_EBF_1 15 0 + 0 0 . chr14 47343043 47343044 chr14:47343044:C:G rs183611277 C G C EBF1_EBF_1 29 0 - 0 0 . chr14 47541777 47541778 chr14:47541778:C:A rs4900780 C A C EBF1_EBF_1 27 0 + 0 0 . chr14 47566603 47566604 chr14:47566604:T:C rs10162514 T C T EBF1_EBF_1 6 1 - 5.1939298564982845 3.0271354252238094 ACCCCCATGGAAAC chr14 47674125 47674126 chr14:47674126:C:G rs1479998385 C G C EBF1_EBF_1 30 0 - 0 0 . chr14 48036054 48036055 chr14:48036055:C:T rs78929742 C T C EBF1_EBF_1 -10 0 + 0 0 . chr14 48254171 48254172 chr14:48254172:G:A rs78973909 G A G EBF1_EBF_1 -8 0 + 0 0 . chr14 48274808 48274809 chr14:48274809:G:T rs80212697 G T G EBF1_EBF_1 20 0 + 0 0 . chr14 48626140 48626141 chr14:48626141:T:C rs1953900 T C T EBF1_EBF_1 -4 0 + 0 0 . chr14 48665652 48665653 chr14:48665653:T:A rs2790550 T A T EBF1_EBF_1 23 0 + 0 0 . chr14 48786611 48786612 chr14:48786612:A:G rs17698516 A G G EBF1_EBF_1 0 1 + 7.592657311886694 5.700373442321862 ATTCTCAAGAGAAT chr14 48849750 48849751 chr14:48849751:G:A rs4430656 G A A EBF1_EBF_1 2 1 - 5.520349450261005 7.409172861703154 CTCCCCTTGGGCAT chr14 48935339 48935340 chr14:48935340:G:T rs10136248 G T G EBF1_EBF_1 28 0 - 0 0 . chr14 49156943 49156944 chr14:49156944:C:T rs973759 C T T EBF1_EBF_1 -14 0 - 0 0 . chr14 49429191 49429192 chr14:49429192:A:C rs74046835 A C a EBF1_EBF_1 20 0 - 0 0 . chr14 49558093 49558094 chr14:49558094:G:C chr14:49558094:G:C G C G EBF1_EBF_1 3 1 - 6.347268151178531 0.5195037030633153 ACTCCCTTGATAAT chr14 49598613 49598614 chr14:49598614:T:G rs45489097 T G T EBF1_EBF_1 6 1 + 8.789390249401427 6.6829120570664715 CTTCCCTCGGGAAA chr14 49598640 49598641 chr14:49598641:C:G rs2281837 C G G EBF1_EBF_1 33 0 + 0 0 . chr14 49621607 49621608 chr14:49621608:G:C chr14:49621608:G:C G C G EBF1_EBF_1 1 1 + 5.211521644586256 5.021749990017039 GGCCCCCCGGGAGC chr14 49767633 49767634 chr14:49767634:G:A rs948345801 G A G EBF1_EBF_1 -13 0 + 0 0 . chr14 49868141 49868142 chr14:49868142:C:G rs545572365 C G C EBF1_EBF_1 -13 0 - 0 0 . chr14 49940833 49940834 chr14:49940834:T:C rs7144341 T C C EBF1_EBF_1 0 1 - 10.060691887134421 8.16840801756959 AATCCCTAGAGACC chr14 49955235 49955236 chr14:49955236:T:C rs17252080 T C T EBF1_EBF_1 6 1 - 5.829564248691055 3.662769817416579 ATCCCCATGAGGCC chr14 49971297 49971298 chr14:49971298:G:A chr14:49971298:G:A G A G EBF1_EBF_1 2 1 - 4.7593000583458895 6.648123469788039 TTCCCCCAGGGCAA chr14 49987071 49987072 chr14:49987072:G:A rs1440259870 G A G EBF1_EBF_1 -1 0 - 0 0 . chr14 50002173 50002174 chr14:50002174:C:T rs75871920 C T C EBF1_EBF_1 22 0 + 0 0 . chr14 50003354 50003355 chr14:50003355:G:A rs80200986 G A G EBF1_EBF_1 2 1 - 5.057700295455119 6.946523706897269 ACCCCCCGAGGACC chr14 50003356 50003357 chr14:50003357:T:G chr14:50003357:T:G T G T EBF1_EBF_1 0 1 - 5.057700295455119 2.802441811758517 ACCCCCCGAGGACC chr14 50003461 50003462 chr14:50003462:G:A rs544769490 G A G EBF1_EBF_1 19 0 - 0 0 . chr14 50007771 50007772 chr14:50007772:C:T rs116820185 C T C EBF1_EBF_1 -20 0 - 0 0 . chr14 50044126 50044127 chr14:50044127:C:T rs17121986 C T C EBF1_EBF_1 30 0 + 0 0 . chr14 50054348 50054349 chr14:50054349:G:A rs55771609 G A G EBF1_EBF_1 -20 0 - 0 0 . chr14 50061308 50061309 chr14:50061309:T:C rs559116337 T C T EBF1_EBF_1 -12 0 + 0 0 . chr14 50091249 50091250 chr14:50091250:C:G rs10873032 C G C EBF1_EBF_1 -20 0 - 0 0 . chr14 50139389 50139390 chr14:50139390:A:G rs2144578 A G a EBF1_EBF_1 -19 0 - 0 0 . chr14 50231090 50231091 chr14:50231091:G:C rs75383437 G C G EBF1_EBF_1 17 0 - 0 0 . chr14 50260253 50260254 chr14:50260254:C:T rs75481167 C T C EBF1_EBF_1 22 0 - 0 0 . chr14 50260291 50260292 chr14:50260292:G:C rs8013289 G C C EBF1_EBF_1 -16 0 - 0 0 . chr14 50312076 50312077 chr14:50312077:C:T rs1373872930 C T C EBF1_EBF_1 4 1 + 6.191469979337122 1.8220830343921992 CGCCCCAGGGGAGC chr14 50333212 50333213 chr14:50333213:A:G rs11570867 A G A EBF1_EBF_1 6 1 + 6.700431038966605 4.533636607692131 ATTCCCAGGAGGTT chr14 50343671 50343672 chr14:50343672:C:A rs3783415 C A C EBF1_EBF_1 26 0 - 0 0 . chr14 50357694 50357695 chr14:50357695:C:T rs11570819 C T C EBF1_EBF_1 -5 0 - 0 0 . chr14 50366543 50366544 chr14:50366544:G:A rs11157739 G A A EBF1_EBF_1 20 0 - 0 0 . chr14 50396694 50396695 chr14:50396695:C:T rs549471771 C T C EBF1_EBF_1 -4 0 + 0 0 . chr14 50396703 50396704 chr14:50396704:C:G rs11570782 C G C EBF1_EBF_1 5 1 + 4.287661639087224 -2.617219513547944 ACGCCCCCGGGACT chr14 50396889 50396890 chr14:50396890:G:T rs10136664 G T G EBF1_EBF_1 -15 0 + 0 0 . chr14 50560903 50560904 chr14:50560904:T:C chr14:50560904:T:C T C T EBF1_EBF_1 -19 0 - 0 0 . chr14 50668611 50668612 chr14:50668612:A:G rs3015486 A G T EBF1_EBF_1 -17 0 + 0 0 . chr14 50770719 50770720 chr14:50770720:C:T rs17122880 C T C EBF1_EBF_1 -16 0 - 0 0 . chr14 50802491 50802492 chr14:50802492:T:C rs58424267 T C T EBF1_EBF_1 16 0 + 0 0 . chr14 50812389 50812390 chr14:50812390:T:C rs4901059 T C T EBF1_EBF_1 18 0 + 0 0 . chr14 50812403 50812404 chr14:50812404:C:T rs72683641 C T C EBF1_EBF_1 32 0 + 0 0 . chr14 50830172 50830173 chr14:50830173:C:T rs892884040 C T C EBF1_EBF_1 24 0 - 0 0 . chr14 50865616 50865617 chr14:50865617:T:G rs17123039 T G T EBF1_EBF_1 11 1 - 5.8719365543636535 1.4559748565112636 GACCCCAGGAGACT chr14 50911158 50911159 chr14:50911159:C:T rs2277456 C T C EBF1_EBF_1 18 0 - 0 0 . chr14 50928199 50928200 chr14:50928200:T:C rs3783275 T C C EBF1_EBF_1 -5 0 - 0 0 . chr14 50951141 50951142 chr14:50951142:T:C rs75690812 T C T EBF1_EBF_1 -4 0 - 0 0 . chr14 50956092 50956093 chr14:50956093:G:A rs142306848 G A G EBF1_EBF_1 4 1 - 5.103788124857898 0.7344011799129744 GTTCCCCTGAGTCT chr14 51028955 51028956 chr14:51028956:A:G rs80292596 A G A EBF1_EBF_1 25 0 - 0 0 . chr14 51028979 51028980 chr14:51028980:C:G rs1556929 C G G EBF1_EBF_1 1 1 - 6.083546008992671 5.893774354423454 AGTCCCAGGGTAAG chr14 51074835 51074836 chr14:51074836:C:T rs12433567 C T C EBF1_EBF_1 2 1 + 6.400440144768359 8.289263556210509 AGCCCCCAGGGCAC chr14 51203338 51203339 chr14:51203339:A:G rs8008599 A G G EBF1_EBF_1 4 1 - 5.100593191131144 9.469980136076067 ACCCTCAAGGGACG chr14 51203899 51203900 chr14:51203900:C:T rs147551836 C T C EBF1_EBF_1 30 0 - 0 0 . chr14 51293415 51293416 chr14:51293416:C:T rs8020477 C T C EBF1_EBF_1 9 1 + 7.417582253330777 5.656281991587432 AGTCCCCAGCGATT chr14 51295360 51295361 chr14:51295361:C:G rs4426270 C G G EBF1_EBF_1 -5 0 - 0 0 . chr14 51310178 51310179 chr14:51310179:T:G rs4432175 T G G EBF1_EBF_1 18 0 - 0 0 . chr14 51311307 51311308 chr14:51311308:C:T rs77108006 C T C EBF1_EBF_1 13 1 + 8.716314139608524 9.560808254194043 ACACCCATGGGAAC chr14 51383055 51383056 chr14:51383056:C:T rs35125783 C T C EBF1_EBF_1 6 1 + 6.797627850439382 7.098913979301138 ATCCCCCGAGGACT chr14 51383068 51383069 chr14:51383069:C:T rs7155647 C T T EBF1_EBF_1 19 0 + 0 0 . chr14 51488069 51488070 chr14:51488070:G:T rs955530736 G T G EBF1_EBF_1 20 0 - 0 0 . chr14 51516850 51516851 chr14:51516851:A:T rs12894854 A T T EBF1_EBF_1 -13 0 - 0 0 . chr14 51547021 51547022 chr14:51547022:C:G rs17585587 C G C EBF1_EBF_1 4 1 + 6.115050936399901 0.4892858352503567 AGCCCCATGGTACA chr14 51603618 51603619 chr14:51603619:A:G rs7160397 A G A EBF1_EBF_1 15 0 - 0 0 . chr14 51610152 51610153 chr14:51610153:C:T chr14:51610153:C:T C T C EBF1_EBF_1 22 0 - 0 0 . chr14 51651445 51651446 chr14:51651446:G:C rs534859981 G C G EBF1_EBF_1 -19 0 + 0 0 . chr14 51651450 51651451 chr14:51651451:G:C rs1048188329 G C G EBF1_EBF_1 -14 0 + 0 0 . chr14 51651463 51651464 chr14:51651464:G:A rs934034147 G A G EBF1_EBF_1 -1 0 + 0 0 . chr14 51651794 51651795 chr14:51651795:C:T rs12879510 C T C EBF1_EBF_1 22 0 - 0 0 . chr14 51742094 51742095 chr14:51742095:C:A rs12587816 C A c EBF1_EBF_1 -6 0 - 0 0 . chr14 51783971 51783972 chr14:51783972:T:G rs2069002 T G T EBF1_EBF_1 -1 0 - 0 0 . chr14 51828825 51828826 chr14:51828826:G:A rs8011316 G A G EBF1_EBF_1 -3 0 + 0 0 . chr14 51828855 51828856 chr14:51828856:G:A rs7148855 G A G EBF1_EBF_1 27 0 + 0 0 . chr14 51844664 51844665 chr14:51844665:A:G rs2748137 A G G EBF1_EBF_1 28 0 + 0 0 . chr14 51884972 51884973 chr14:51884973:C:A rs59417467 C A C EBF1_EBF_1 -7 0 - 0 0 . chr14 51989604 51989605 chr14:51989605:C:G rs752662472 C G C EBF1_EBF_1 15 0 - 0 0 . chr14 51990026 51990027 chr14:51990027:T:G rs114902512 T G T EBF1_EBF_1 -1 0 - 0 0 . chr14 51990045 51990046 chr14:51990046:C:T chr14:51990046:C:T C T C EBF1_EBF_1 -20 0 - 0 0 . chr14 52012358 52012359 chr14:52012359:G:A rs55979603 G A G EBF1_EBF_1 8 1 - 4.89921177497637 3.3264378469138616 CCTCCCAACGGACA chr14 52015695 52015696 chr14:52015696:C:T rs77529703 C T C EBF1_EBF_1 -8 0 - 0 0 . chr14 52021047 52021048 chr14:52021048:T:C rs2516600 T C T EBF1_EBF_1 25 0 - 0 0 . chr14 52023850 52023851 chr14:52023851:G:A rs4901180 G A G EBF1_EBF_1 15 0 + 0 0 . chr14 52043069 52043070 chr14:52043070:A:G rs3818187 A G A EBF1_EBF_1 -3 0 + 0 0 . chr14 52048997 52048998 chr14:52048998:A:C rs2749883 A C C EBF1_EBF_1 20 0 + 0 0 . chr14 52067665 52067666 chr14:52067666:C:T rs7145168 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 52068696 52068697 chr14:52068697:G:A rs984050 G A G EBF1_EBF_1 -18 0 + 0 0 . chr14 52069375 52069376 chr14:52069376:G:T rs189504341 G T G EBF1_EBF_1 10 1 + 4.251767143406696 0.06901495717943086 CCACCCCCGGGACC chr14 52069376 52069377 chr14:52069377:A:T rs181458184 A T A EBF1_EBF_1 11 1 + 4.251767143406696 0.1538528873079702 CCACCCCCGGGACC chr14 52069395 52069396 chr14:52069396:T:C rs10873057 T C C EBF1_EBF_1 30 0 + 0 0 . chr14 52069758 52069759 chr14:52069759:C:T rs7157666 C T C EBF1_EBF_1 3 1 + 8.48810412053967 2.0827053789863528 CTTCCCCCGGGAAA chr14 52088954 52088955 chr14:52088955:C:T rs1956291 C T C EBF1_EBF_1 19 0 + 0 0 . chr14 52140698 52140699 chr14:52140699:T:C rs8004270 T C T EBF1_EBF_1 6 1 - 7.3878557606453334 5.22106132937086 ATTCCCATGAGTAT chr14 52203372 52203373 chr14:52203373:G:T rs2357400 G T T EBF1_EBF_1 29 0 + 0 0 . chr14 52314143 52314144 chr14:52314144:G:A rs1254599 G A G EBF1_EBF_1 11 1 - 5.859273937683963 6.177321379437627 AGTCCCTGGGGCGC chr14 52338485 52338486 chr14:52338486:G:A rs138721936 G A G EBF1_EBF_1 5 1 - 6.530500734196314 1.208713518655646 CCTCCCCTGGGTAT chr14 52378247 52378248 chr14:52378248:A:G rs7151722 A G A EBF1_EBF_1 14 0 + 0 0 . chr14 52378390 52378391 chr14:52378391:A:G rs781363 A G G EBF1_EBF_1 -9 0 + 0 0 . chr14 52378417 52378418 chr14:52378418:C:T rs79104348 C T C EBF1_EBF_1 18 0 + 0 0 . chr14 52552534 52552535 chr14:52552535:C:T rs771793307 C T C EBF1_EBF_1 -7 0 - 0 0 . chr14 52552921 52552922 chr14:52552922:C:G rs374097754 C G C EBF1_EBF_1 31 0 - 0 0 . chr14 52552955 52552956 chr14:52552956:G:T rs1164502920 G T G EBF1_EBF_1 -3 0 - 0 0 . chr14 52650736 52650737 chr14:52650737:C:G rs7157749 C G C EBF1_EBF_1 -10 0 - 0 0 . chr14 52913321 52913322 chr14:52913322:T:C rs8015250 T C C EBF1_EBF_1 7 1 - 9.653813567048307 8.341355161759482 ACTCCCGAGGGATC chr14 53153266 53153267 chr14:53153267:C:T rs1959356 C T - EBF1_EBF_1 19 0 + 0 0 . chr14 53180628 53180629 chr14:53180629:G:A rs115232450 G A G EBF1_EBF_1 -19 0 + 0 0 . chr14 53180638 53180639 chr14:53180639:C:G rs28439904 C G C EBF1_EBF_1 -9 0 + 0 0 . chr14 53226864 53226865 chr14:53226865:G:T chr14:53226865:G:T G T G EBF1_EBF_1 29 0 - 0 0 . chr14 53226893 53226894 chr14:53226894:T:C chr14:53226894:T:C T C T EBF1_EBF_1 0 1 - 5.881841424774777 3.9895575552099425 AGCCCCATGGGCCA chr14 53227335 53227336 chr14:53227336:T:C rs59232853 T C T EBF1_EBF_1 18 0 - 0 0 . chr14 53227336 53227337 chr14:53227337:G:A rs59060200 G A G EBF1_EBF_1 17 0 - 0 0 . chr14 53274759 53274760 chr14:53274760:C:T rs7152525 C T C EBF1_EBF_1 12 1 + 9.104148035896086 8.077881465345238 ACTCCCATGGGGCA chr14 53305151 53305152 chr14:53305152:C:T rs2358258 C T C EBF1_EBF_1 33 0 - 0 0 . chr14 53306196 53306197 chr14:53306197:G:A rs11847759 G A A EBF1_EBF_1 0 1 - 4.5576150835853735 4.839817144315951 CGTCCCCAGGAAGT chr14 53382672 53382673 chr14:53382673:G:T rs11624017 G T G EBF1_EBF_1 -12 0 + 0 0 . chr14 53387182 53387183 chr14:53387183:T:C rs1959841 T C C EBF1_EBF_1 22 0 + 0 0 . chr14 53452275 53452276 chr14:53452276:C:T rs73257897 C T C EBF1_EBF_1 -7 0 - 0 0 . chr14 53567524 53567525 chr14:53567525:T:A rs2776524 T A A EBF1_EBF_1 -18 0 - 0 0 . chr14 53682222 53682223 chr14:53682223:G:A rs17126771 G A G EBF1_EBF_1 14 0 + 0 0 . chr14 53687352 53687353 chr14:53687353:C:A rs77166289 C A C EBF1_EBF_1 5 1 + 7.468450719490931 0.5635695668557626 ATTGCCATGGGAAC chr14 53872916 53872917 chr14:53872917:T:A rs1958648 T A T EBF1_EBF_1 23 0 + 0 0 . chr14 53929907 53929908 chr14:53929908:C:T rs76984677 C T C EBF1_EBF_1 0 1 - 5.270410987488448 7.1626948570532845 GCTCCCAAAGGAAG chr14 53929916 53929917 chr14:53929917:G:A rs1957858 G A G EBF1_EBF_1 -9 0 - 0 0 . chr14 53953960 53953961 chr14:53953961:T:C rs1027643074 T C T EBF1_EBF_1 -12 0 + 0 0 . chr14 53955857 53955858 chr14:53955858:C:T rs541990740 C T C EBF1_EBF_1 30 0 - 0 0 . chr14 53956334 53956335 chr14:53956335:A:C rs762642 A C A EBF1_EBF_1 0 1 + 5.930977603061151 3.67571911936455 ATCTCCCAGGGACT chr14 53963557 53963558 chr14:53963558:G:A rs72680541 G A G EBF1_EBF_1 -12 0 + 0 0 . chr14 54014025 54014026 chr14:54014026:G:A rs11157997 G A G EBF1_EBF_1 -2 0 + 0 0 . chr14 54088926 54088927 chr14:54088927:C:A rs72682516 C A C EBF1_EBF_1 13 1 - 4.908808619687171 7.241373755628104 GCTCCCCAAGGAAG chr14 54104299 54104300 chr14:54104300:T:G rs117574203 T G T EBF1_EBF_1 11 1 + 5.622484254243209 6.827691666737922 AGACCCATGGGTCT chr14 54105370 54105371 chr14:54105371:C:T rs4144387 C T T EBF1_EBF_1 -17 0 + 0 0 . chr14 54133942 54133943 chr14:54133943:T:G rs7492678 T G T EBF1_EBF_1 22 0 + 0 0 . chr14 54145998 54145999 chr14:54145999:A:G rs72682562 A G A EBF1_EBF_1 27 0 - 0 0 . chr14 54146080 54146081 chr14:54146081:C:T rs708544 C T C EBF1_EBF_1 -17 0 - 0 0 . chr14 54174592 54174593 chr14:54174593:T:C rs11623938 T C T EBF1_EBF_1 -2 0 + 0 0 . chr14 54256384 54256385 chr14:54256385:G:A rs4898834 G A A EBF1_EBF_1 20 0 - 0 0 . chr14 54329450 54329451 chr14:54329451:C:T rs17127473 C T C EBF1_EBF_1 31 0 + 0 0 . chr14 54362575 54362576 chr14:54362576:C:G rs77095614 C G C EBF1_EBF_1 3 1 + 5.515798711642884 -0.31196573647233145 AGACCCCTGAGAAA chr14 54371211 54371212 chr14:54371212:G:A rs35910668 G A G EBF1_EBF_1 26 0 + 0 0 . chr14 54387521 54387522 chr14:54387522:T:C rs10137546 T C T EBF1_EBF_1 -16 0 - 0 0 . chr14 54407837 54407838 chr14:54407838:T:C rs4251619 T C C EBF1_EBF_1 14 0 - 0 0 . chr14 54420610 54420611 chr14:54420611:C:G rs1018887517 C G C EBF1_EBF_1 8 1 - 6.577603773229185 1.258944912314499 ACACCCTTGGGGCT chr14 54498844 54498845 chr14:54498845:T:C rs4901518 T C C EBF1_EBF_1 2 1 + 6.6684900143145525 4.779666602872403 AGTCCCCAAAGAAT chr14 54565398 54565399 chr14:54565399:A:G rs183244317 A G A EBF1_EBF_1 24 0 + 0 0 . chr14 54565405 54565406 chr14:54565406:C:G rs898348278 C G C EBF1_EBF_1 31 0 + 0 0 . chr14 54566353 54566354 chr14:54566354:T:G chr14:54566354:T:G T G T EBF1_EBF_1 -13 0 + 0 0 . chr14 54567860 54567861 chr14:54567861:G:T rs574014794 G T G EBF1_EBF_1 28 0 - 0 0 . chr14 54623115 54623116 chr14:54623116:G:A rs8013201 G A A EBF1_EBF_1 -4 0 + 0 0 . chr14 54638122 54638123 chr14:54638123:G:A rs1886460 G A A EBF1_EBF_1 -15 0 + 0 0 . chr14 54654309 54654310 chr14:54654310:C:T rs150682880 C T C EBF1_EBF_1 12 1 + 4.794982658904405 3.768716088353555 AGCCACTGGGGACT chr14 54697051 54697052 chr14:54697052:G:A rs6572967 G A A EBF1_EBF_1 28 0 + 0 0 . chr14 54764257 54764258 chr14:54764258:G:A rs117518151 G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 54770401 54770402 chr14:54770402:G:A rs55874539 G A G EBF1_EBF_1 15 0 - 0 0 . chr14 54799938 54799939 chr14:54799939:C:G rs4402472 C G G EBF1_EBF_1 -12 0 + 0 0 . chr14 54830010 54830011 chr14:54830011:T:G rs17127992 T G T EBF1_EBF_1 -7 0 + 0 0 . chr14 54964817 54964818 chr14:54964818:T:A rs117327442 T A T EBF1_EBF_1 19 0 + 0 0 . chr14 55052035 55052036 chr14:55052036:G:A rs370412530 G A G EBF1_EBF_1 22 0 + 0 0 . chr14 55078103 55078104 chr14:55078104:G:A rs72716646 G A G EBF1_EBF_1 7 1 - 8.61140701534976 10.428911761632383 AATCCCTCGAGACT chr14 55094411 55094412 chr14:55094412:C:T rs9806061 C T C EBF1_EBF_1 32 0 + 0 0 . chr14 55094928 55094929 chr14:55094929:T:G rs17128159 T G T EBF1_EBF_1 -11 0 + 0 0 . chr14 55138183 55138184 chr14:55138184:C:A rs201924703 C A C EBF1_EBF_1 12 1 + 6.2220503995630265 6.2522317979843525 CACCCCCAGGGGCT chr14 55212952 55212953 chr14:55212953:A:G rs7161502 A G A EBF1_EBF_1 29 0 + 0 0 . chr14 55405891 55405892 chr14:55405892:G:T rs8020065 G T - EBF1_EBF_1 -11 0 - 0 0 . chr14 55429289 55429290 chr14:55429290:C:T rs11848826 C T C EBF1_EBF_1 -11 0 + 0 0 . chr14 55440831 55440832 chr14:55440832:A:T rs79915691 A T A EBF1_EBF_1 27 0 + 0 0 . chr14 55448243 55448244 chr14:55448244:C:G rs144042371 C G C EBF1_EBF_1 1 1 + 6.104567313230913 6.29433896780013 GCTCCCTAGGGCCA chr14 55474712 55474713 chr14:55474713:A:G rs12432729 A G A EBF1_EBF_1 -4 0 + 0 0 . chr14 55532941 55532942 chr14:55532942:C:T rs12591000 C T c EBF1_EBF_1 33 0 - 0 0 . chr14 55532963 55532964 chr14:55532964:T:C rs4901582 T C C EBF1_EBF_1 11 1 - 7.964309697491847 5.071602853887835 AGACCCCAGGGATC chr14 55559064 55559065 chr14:55559065:C:T rs755073 C T C EBF1_EBF_1 0 1 + 4.816297475574198 5.098499536304777 CCCCTCCAGGGACT chr14 55607416 55607417 chr14:55607417:T:C rs17128614 T C t EBF1_EBF_1 12 1 - 6.362979523717011 4.944162181617534 ATTCCCTGAAGAAT chr14 55686779 55686780 chr14:55686780:A:G rs6573054 A G G EBF1_EBF_1 -20 0 - 0 0 . chr14 55712400 55712401 chr14:55712401:G:A rs28537145 G A G EBF1_EBF_1 17 0 - 0 0 . chr14 55780874 55780875 chr14:55780875:C:T rs7157819 C T C EBF1_EBF_1 -19 0 - 0 0 . chr14 55797988 55797989 chr14:55797989:C:T rs117864017 C T A EBF1_EBF_1 18 0 - 0 0 . chr14 55814207 55814208 chr14:55814208:G:C rs17128836 G C G EBF1_EBF_1 -20 0 + 0 0 . chr14 55839714 55839715 chr14:55839715:C:G rs61975562 C G g EBF1_EBF_1 20 0 + 0 0 . chr14 55841705 55841706 chr14:55841706:C:T rs34186256 C T c EBF1_EBF_1 -17 0 + 0 0 . chr14 55846773 55846774 chr14:55846774:A:G rs114811887 A G A EBF1_EBF_1 -11 0 + 0 0 . chr14 55846805 55846806 chr14:55846806:G:A rs2152286 G A A EBF1_EBF_1 21 0 + 0 0 . chr14 55865071 55865072 chr14:55865072:G:A rs8017917 G A G EBF1_EBF_1 -13 0 + 0 0 . chr14 55865542 55865543 chr14:55865543:C:T rs72723579 C T C EBF1_EBF_1 14 0 + 0 0 . chr14 56005665 56005666 chr14:56005666:C:T rs144611329 C T C EBF1_EBF_1 1 1 + 5.419008639172735 6.314442079571481 ACACCCCAAGGACT chr14 56044167 56044168 chr14:56044168:C:T rs398280 C T C EBF1_EBF_1 4 1 + 8.749834385142206 4.3804474401972815 TCTCCCCAGGGAGA chr14 56057512 56057513 chr14:56057513:T:C rs77350431 T C T EBF1_EBF_1 -15 0 + 0 0 . chr14 56083701 56083702 chr14:56083702:T:C rs241557 T C C EBF1_EBF_1 22 0 + 0 0 . chr14 56150832 56150833 chr14:56150833:C:T rs113328011 C T C EBF1_EBF_1 20 0 + 0 0 . chr14 56210658 56210659 chr14:56210659:A:G rs77401513 A G A EBF1_EBF_1 -17 0 - 0 0 . chr14 56217954 56217955 chr14:56217955:T:A rs8015347 T A T EBF1_EBF_1 22 0 + 0 0 . chr14 56220013 56220014 chr14:56220014:A:G rs1117310 A G A EBF1_EBF_1 31 0 + 0 0 . chr14 56530272 56530273 chr14:56530273:T:A rs35072486 T A T EBF1_EBF_1 7 1 + 5.3237895467887215 5.800063786876279 CCTCCCGTGAGAAC chr14 56569111 56569112 chr14:56569112:T:G rs6573094 T G G EBF1_EBF_1 -20 0 - 0 0 . chr14 56727237 56727238 chr14:56727238:A:T rs34274764 A T A EBF1_EBF_1 2 1 - 6.453064227222008 2.76859663962313 CTTCCCCTAGGAAC chr14 57147742 57147743 chr14:57147743:A:G rs73298577 A G A EBF1_EBF_1 -20 0 + 0 0 . chr14 57153827 57153828 chr14:57153828:A:C rs17092893 A C A EBF1_EBF_1 -15 0 - 0 0 . chr14 57382567 57382568 chr14:57382568:C:A rs74244860 C A C EBF1_EBF_1 -20 0 + 0 0 . chr14 57389771 57389772 chr14:57389772:C:G rs118133807 C G C EBF1_EBF_1 -3 0 - 0 0 . chr14 57618658 57618659 chr14:57618659:C:G rs1745705 C G C EBF1_EBF_1 5 1 + 6.429785760982236 -0.47509539165293235 ATACCCCAGGGGCA chr14 57618663 57618664 chr14:57618664:G:T rs1745706 G T G EBF1_EBF_1 10 1 + 6.429785760982236 2.2470335747549712 ATACCCCAGGGGCA chr14 57635017 57635018 chr14:57635018:C:G rs12897235 C G G EBF1_EBF_1 16 0 + 0 0 . chr14 57709190 57709191 chr14:57709191:T:C rs1092055 T C C EBF1_EBF_1 30 0 + 0 0 . chr14 57747048 57747049 chr14:57747049:A:G rs4423330 A G A EBF1_EBF_1 -9 0 + 0 0 . chr14 57774556 57774557 chr14:57774557:G:A rs4901817 G A G EBF1_EBF_1 33 0 + 0 0 . chr14 57865367 57865368 chr14:57865368:C:T rs561743946 C T C EBF1_EBF_1 20 0 + 0 0 . chr14 57865562 57865563 chr14:57865563:T:G rs116936557 T G T EBF1_EBF_1 14 0 - 0 0 . chr14 57865570 57865571 chr14:57865571:G:T rs77375107 G T G EBF1_EBF_1 6 1 - 7.521608991377254 7.8832113591785316 ACCCCCCGGGGAGA chr14 57865587 57865588 chr14:57865588:A:C rs58495290 A C A EBF1_EBF_1 -11 0 - 0 0 . chr14 57957898 57957899 chr14:57957899:T:G rs7145358 T G G EBF1_EBF_1 -11 0 + 0 0 . chr14 57960953 57960954 chr14:57960954:A:G rs932485 A G G EBF1_EBF_1 -20 0 - 0 0 . chr14 57969941 57969942 chr14:57969942:T:C rs12434915 T C C EBF1_EBF_1 21 0 - 0 0 . chr14 57987942 57987943 chr14:57987943:A:T rs76609591 A T A EBF1_EBF_1 -6 0 - 0 0 . chr14 58122810 58122811 chr14:58122811:G:A rs116376180 G A G EBF1_EBF_1 33 0 - 0 0 . chr14 58166700 58166701 chr14:58166701:G:T rs77046501 G T G EBF1_EBF_1 -20 0 + 0 0 . chr14 58166710 58166711 chr14:58166711:C:A rs62005378 C A C EBF1_EBF_1 -10 0 + 0 0 . chr14 58298224 58298225 chr14:58298225:G:A rs956063908 G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 58397235 58397236 chr14:58397236:C:T rs7159442 C T C EBF1_EBF_1 5 1 + 10.68984435119085 5.368057135650181 TGTCCCAAGGGACA chr14 58687420 58687421 chr14:58687421:G:A rs56763353 G A G EBF1_EBF_1 7 1 - 4.037994834873459 5.855499581156082 CTCCCCACGGGGCA chr14 58687444 58687445 chr14:58687445:G:C rs114817805 G C G EBF1_EBF_1 -17 0 - 0 0 . chr14 58765721 58765722 chr14:58765722:G:A rs74631014 G A G EBF1_EBF_1 -19 0 + 0 0 . chr14 58789007 58789008 chr14:58789008:T:C rs8018026 T C T EBF1_EBF_1 14 0 + 0 0 . chr14 58797131 58797132 chr14:58797132:G:A rs76702684 G A G EBF1_EBF_1 -16 0 - 0 0 . chr14 58824322 58824323 chr14:58824323:A:G rs85431 A G A EBF1_EBF_1 1 1 - 6.4210882865211945 5.525654846122451 TTTCCCTAGGAAAC chr14 58855522 58855523 chr14:58855523:T:C rs4901866 T C T EBF1_EBF_1 10 1 + 7.344410705174239 4.636360504072028 TTTCCCTAGGTATT chr14 58918398 58918399 chr14:58918399:T:C rs113171981 T C T EBF1_EBF_1 14 0 - 0 0 . chr14 58918418 58918419 chr14:58918419:A:G rs177741 A G A EBF1_EBF_1 -6 0 - 0 0 . chr14 58939630 58939631 chr14:58939631:G:C rs11158233 G C G EBF1_EBF_1 -15 0 - 0 0 . chr14 59093948 59093949 chr14:59093949:A:T rs2348871 A T A EBF1_EBF_1 -11 0 - 0 0 . chr14 59094564 59094565 chr14:59094565:A:G rs3759704 A G A EBF1_EBF_1 -3 0 + 0 0 . chr14 59107964 59107965 chr14:59107965:C:G rs8018956 C G G EBF1_EBF_1 -12 0 - 0 0 . chr14 59119696 59119697 chr14:59119697:C:T rs1959657 C T C EBF1_EBF_1 5 1 + 7.490840954459053 2.1690537389183824 ACTCCCAGGAGAGC chr14 59119834 59119835 chr14:59119835:A:G rs1959656 A G G EBF1_EBF_1 30 0 + 0 0 . chr14 59190531 59190532 chr14:59190532:A:G rs988637344 A G A EBF1_EBF_1 23 0 - 0 0 . chr14 59282105 59282106 chr14:59282106:G:C rs965590 G C G EBF1_EBF_1 3 1 - 6.964296272244956 1.1365318241297406 TTTCCCCAGGAAAT chr14 59297148 59297149 chr14:59297149:C:T rs12878138 C T C EBF1_EBF_1 25 0 + 0 0 . chr14 59347783 59347784 chr14:59347784:C:A rs941886 C A C EBF1_EBF_1 -20 0 - 0 0 . chr14 59361249 59361250 chr14:59361250:A:T rs12590850 A T - EBF1_EBF_1 28 0 - 0 0 . chr14 59376687 59376688 chr14:59376688:A:G rs1253192 A G G EBF1_EBF_1 -16 0 - 0 0 . chr14 59423980 59423981 chr14:59423981:T:C rs17096218 T C T EBF1_EBF_1 -18 0 - 0 0 . chr14 59424888 59424889 chr14:59424889:C:T rs76724542 C T C EBF1_EBF_1 27 0 - 0 0 . chr14 59428099 59428100 chr14:59428100:T:C rs1253173 T C C EBF1_EBF_1 -10 0 + 0 0 . chr14 59428127 59428128 chr14:59428128:G:A rs1253174 G A A EBF1_EBF_1 18 0 + 0 0 . chr14 59428709 59428710 chr14:59428710:G:A rs192442153 G A G EBF1_EBF_1 5 1 - 6.791427221191106 1.4696400056504357 TTCCCCTAGAGACA chr14 59439896 59439897 chr14:59439897:G:A rs117571986 G A G EBF1_EBF_1 23 0 - 0 0 . chr14 59445008 59445009 chr14:59445009:A:G rs8015031 A G A EBF1_EBF_1 15 0 - 0 0 . chr14 59455601 59455602 chr14:59455602:C:T rs1272672 C T T EBF1_EBF_1 8 1 - 5.631926713778832 1.405636624892571 AACCCCCTGAGAGA chr14 59465337 59465338 chr14:59465338:C:T rs188916183 C T C EBF1_EBF_1 29 0 - 0 0 . chr14 59484084 59484085 chr14:59484085:C:T rs144784111 C T C EBF1_EBF_1 29 0 - 0 0 . chr14 59507259 59507260 chr14:59507260:T:G rs4901930 T G T EBF1_EBF_1 -4 0 - 0 0 . chr14 59570140 59570141 chr14:59570141:C:G rs12890018 C G G EBF1_EBF_1 -20 0 - 0 0 . chr14 59582360 59582361 chr14:59582361:C:T rs2038406 C T C EBF1_EBF_1 4 1 + 6.761527756586369 2.392140811641445 GTTCCCCAGGTAGT chr14 59594842 59594843 chr14:59594843:G:A rs17255975 G A g EBF1_EBF_1 -10 0 + 0 0 . chr14 59704213 59704214 chr14:59704214:T:C rs10138093 T C C EBF1_EBF_1 14 0 + 0 0 . chr14 59782486 59782487 chr14:59782487:C:G rs7142761 C G C EBF1_EBF_1 15 0 - 0 0 . chr14 59894434 59894435 chr14:59894435:C:A rs7401258 C A A EBF1_EBF_1 20 0 + 0 0 . chr14 60074021 60074022 chr14:60074022:T:C rs455724 T C C EBF1_EBF_1 -8 0 - 0 0 . chr14 60091945 60091946 chr14:60091946:A:T rs142810867 A T A EBF1_EBF_1 1 1 - 5.4094009414726605 4.443040323721832 GTTCCCTTGGGCCG chr14 60091957 60091958 chr14:60091958:T:C rs577160530 T C T EBF1_EBF_1 -11 0 - 0 0 . chr14 60091960 60091961 chr14:60091961:C:A rs113053299 C A C EBF1_EBF_1 -14 0 - 0 0 . chr14 60091965 60091966 chr14:60091966:T:C rs160239 T C C EBF1_EBF_1 -19 0 - 0 0 . chr14 60217781 60217782 chr14:60217782:C:T rs116399766 C T C EBF1_EBF_1 27 0 + 0 0 . chr14 60328902 60328903 chr14:60328903:C:A rs2350893 C A C EBF1_EBF_1 -16 0 - 0 0 . chr14 60504125 60504126 chr14:60504126:C:T rs1018531 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 60515220 60515221 chr14:60515221:C:T rs574928542 C T C EBF1_EBF_1 20 0 - 0 0 . chr14 60515356 60515357 chr14:60515357:T:C rs4278669 T C C EBF1_EBF_1 11 1 - 4.491201536945005 1.598494693340993 CTTCCTCGGGGACC chr14 60565671 60565672 chr14:60565672:G:A rs11158289 G A G EBF1_EBF_1 20 0 + 0 0 . chr14 60675561 60675562 chr14:60675562:T:C rs7147182 T C C EBF1_EBF_1 15 0 - 0 0 . chr14 60722745 60722746 chr14:60722746:C:T chr14:60722746:C:T C T C EBF1_EBF_1 -15 0 - 0 0 . chr14 61000618 61000619 chr14:61000619:T:G rs764908684 T G t EBF1_EBF_1 -9 0 + 0 0 . chr14 61025362 61025363 chr14:61025363:G:C rs112521282 G C G EBF1_EBF_1 30 0 - 0 0 . chr14 61064373 61064374 chr14:61064374:A:G rs188292841 A G A EBF1_EBF_1 18 0 - 0 0 . chr14 61113730 61113731 chr14:61113731:C:T rs12586805 C T C EBF1_EBF_1 14 0 - 0 0 . chr14 61114056 61114057 chr14:61114057:G:T rs7147130 G T G EBF1_EBF_1 15 0 + 0 0 . chr14 61259028 61259029 chr14:61259029:C:T chr14:61259029:C:T C T C EBF1_EBF_1 -20 0 + 0 0 . chr14 61321762 61321763 chr14:61321763:C:G rs542997588 C G C EBF1_EBF_1 12 1 - 5.45746829255351 6.846104236231661 TCTCCCTGGAGAGC chr14 61322083 61322084 chr14:61322084:C:G rs761211869 C G C EBF1_EBF_1 13 1 + 3.7074507446603953 2.219379723304981 GGCCCCCCGGGGCC chr14 61322097 61322098 chr14:61322098:C:T rs45582331 C T C EBF1_EBF_1 27 0 + 0 0 . chr14 61340189 61340190 chr14:61340190:G:A rs2025526 G A G EBF1_EBF_1 22 0 + 0 0 . chr14 61381928 61381929 chr14:61381929:G:T rs10133497 G T G EBF1_EBF_1 -20 0 - 0 0 . chr14 61456854 61456855 chr14:61456855:G:T rs112184730 G T G EBF1_EBF_1 -20 0 + 0 0 . chr14 61456890 61456891 chr14:61456891:A:T rs112808268 A T A EBF1_EBF_1 16 0 + 0 0 . chr14 61463058 61463059 chr14:61463059:C:G rs117803885 C G C EBF1_EBF_1 9 1 - 7.090498390990503 2.014809244645041 GTTCCCAAGGGCAA chr14 61469455 61469456 chr14:61469456:T:A rs8011286 T A A EBF1_EBF_1 23 0 - 0 0 . chr14 61469513 61469514 chr14:61469514:G:C rs8010419 G C C EBF1_EBF_1 22 0 + 0 0 . chr14 61510779 61510780 chr14:61510780:C:A rs1092751 C A C EBF1_EBF_1 3 1 + 6.231763252193917 -0.6744107772718884 CAACCCTAGGGAGT chr14 61525896 61525897 chr14:61525897:G:T rs529723568 G T G EBF1_EBF_1 29 0 - 0 0 . chr14 61525903 61525904 chr14:61525904:C:T rs9323372 C T C EBF1_EBF_1 22 0 - 0 0 . chr14 61525937 61525938 chr14:61525938:T:G chr14:61525938:T:G T G T EBF1_EBF_1 -12 0 - 0 0 . chr14 61537571 61537572 chr14:61537572:G:A rs116959564 G A G EBF1_EBF_1 -14 0 - 0 0 . chr14 61548022 61548023 chr14:61548023:C:A rs72712383 C A C EBF1_EBF_1 9 1 - 4.51362730341249 -2.3233621046763195 CCCCCCAGGGGAGG chr14 61553197 61553198 chr14:61553198:T:C chr14:61553198:T:C T C T EBF1_EBF_1 6 1 + 5.072871028887677 4.771584900025921 AACCCCTGGGGTAA chr14 61553218 61553219 chr14:61553219:G:A rs537891966 G A G EBF1_EBF_1 27 0 + 0 0 . chr14 61573668 61573669 chr14:61573669:T:G rs798787 T G T EBF1_EBF_1 25 0 + 0 0 . chr14 61839188 61839189 chr14:61839189:A:G rs79541843 A G A EBF1_EBF_1 23 0 + 0 0 . chr14 61890329 61890330 chr14:61890330:C:T rs217681 C T C EBF1_EBF_1 -12 0 - 0 0 . chr14 61911819 61911820 chr14:61911820:G:A rs548661962 G A G EBF1_EBF_1 10 1 + 6.052441090013654 0.7344126307466884 GTTGCCAAGGGAAC chr14 61929679 61929680 chr14:61929680:G:A rs102888 G A A EBF1_EBF_1 -9 0 - 0 0 . chr14 61965125 61965126 chr14:61965126:C:A rs2784504 C A C EBF1_EBF_1 10 1 - 5.489859359269163 1.3071071730418984 GCACCCAAGAGAAT chr14 62069967 62069968 chr14:62069968:G:A rs8018978 G A A EBF1_EBF_1 -3 0 + 0 0 . chr14 62078557 62078558 chr14:62078558:G:A rs7157419 G A G EBF1_EBF_1 -1 0 + 0 0 . chr14 62348662 62348663 chr14:62348663:G:C rs2354911 G C C EBF1_EBF_1 9 1 + 12.922469718320169 7.846780571974707 ATTCCCATGGGAAA chr14 62655369 62655370 chr14:62655370:T:G rs945914281 T G T EBF1_EBF_1 17 0 + 0 0 . chr14 62743264 62743265 chr14:62743265:T:A rs10148897 T A t EBF1_EBF_1 28 0 + 0 0 . chr14 62897876 62897877 chr14:62897877:C:T rs1956027 C T C EBF1_EBF_1 22 0 - 0 0 . chr14 63046787 63046788 chr14:63046788:T:C rs10132985 T C C EBF1_EBF_1 13 1 - 7.78771712788717 6.673391555817731 AGCCCCCAGAGAAA chr14 63072195 63072196 chr14:63072196:A:C chr14:63072196:A:C A C A EBF1_EBF_1 33 0 + 0 0 . chr14 63099336 63099337 chr14:63099337:T:C rs8019140 T C C EBF1_EBF_1 -14 0 - 0 0 . chr14 63200141 63200142 chr14:63200142:C:A rs59772452 C A C EBF1_EBF_1 -1 0 + 0 0 . chr14 63205006 63205007 chr14:63205007:C:T rs139758846 C T C EBF1_EBF_1 3 1 + 6.822976544407535 0.41757780285421997 CTTCCCAGAGGAAT chr14 63267238 63267239 chr14:63267239:T:C rs10138980 T C T EBF1_EBF_1 24 0 + 0 0 . chr14 63323415 63323416 chr14:63323416:A:G rs1739043 A G G EBF1_EBF_1 7 1 - 7.055909025876425 5.2384042795938015 ACTGCCCTGGGAAT chr14 63421603 63421604 chr14:63421604:T:C rs10145042 T C T EBF1_EBF_1 -6 0 + 0 0 . chr14 63504770 63504771 chr14:63504771:C:T rs113520280 C T C EBF1_EBF_1 5 1 + 5.011179004466552 -0.3106082110741173 TACCCCCAGGGCAT chr14 63671041 63671042 chr14:63671042:G:T rs74541909 G T G EBF1_EBF_1 4 1 - 7.374823609268554 1.550263985815088 ATTCCCATGAGGAA chr14 63868582 63868583 chr14:63868583:A:G rs8017009 A G a EBF1_EBF_1 -3 0 + 0 0 . chr14 63890617 63890618 chr14:63890618:G:A rs138641617 G A G EBF1_EBF_1 15 0 - 0 0 . chr14 63914177 63914178 chr14:63914178:G:T rs7153101 G T G EBF1_EBF_1 -12 0 + 0 0 . chr14 63987435 63987436 chr14:63987436:A:G rs17824172 A G A EBF1_EBF_1 18 0 - 0 0 . chr14 64209891 64209892 chr14:64209892:C:T rs201575181 C T c EBF1_EBF_1 -17 0 - 0 0 . chr14 64209892 64209893 chr14:64209893:G:C rs141208225 G C G EBF1_EBF_1 -18 0 - 0 0 . chr14 64339113 64339114 chr14:64339114:G:A rs944052 G A G EBF1_EBF_1 21 0 - 0 0 . chr14 64387954 64387955 chr14:64387955:A:T rs746488 A T A EBF1_EBF_1 29 0 + 0 0 . chr14 64504440 64504441 chr14:64504441:T:C rs10133696 T C c EBF1_EBF_1 -16 0 - 0 0 . chr14 64507484 64507485 chr14:64507485:A:G rs1453007 A G G EBF1_EBF_1 20 0 + 0 0 . chr14 64540024 64540025 chr14:64540025:T:C rs2045022 T C C EBF1_EBF_1 -17 0 + 0 0 . chr14 64540041 64540042 chr14:64540042:A:T rs8011038 A T A EBF1_EBF_1 0 1 + 5.915842877181108 3.9427864542150846 AATCCCAGGAGGCC chr14 64574913 64574914 chr14:64574914:T:C rs9671381 T C C EBF1_EBF_1 18 0 + 0 0 . chr14 64621603 64621604 chr14:64621604:G:T rs74360090 G T G EBF1_EBF_1 -14 0 - 0 0 . chr14 64646252 64646253 chr14:64646253:C:T rs61457510 C T C EBF1_EBF_1 5 1 + 7.509966004295624 2.188178788754954 AATCCCATGGGCCA chr14 64649831 64649832 chr14:64649832:G:A rs11158549 G A G EBF1_EBF_1 6 1 - 5.948670930093592 6.24995705895535 CCTCCCCAGGGGGC chr14 64675676 64675677 chr14:64675677:T:A rs6573564 T A . EBF1_EBF_1 -5 0 + 0 0 . chr14 64683310 64683311 chr14:64683311:G:T rs7143124 G T G EBF1_EBF_1 1 1 - 6.154602524261063 6.083675346908978 ACCCTCTAGGGACA chr14 64715127 64715128 chr14:64715128:G:C rs11625500 G C G EBF1_EBF_1 24 0 - 0 0 . chr14 64718970 64718971 chr14:64718971:C:T rs9943995 C T C EBF1_EBF_1 27 0 - 0 0 . chr14 64721521 64721522 chr14:64721522:G:A rs17767188 G A G EBF1_EBF_1 -10 0 + 0 0 . chr14 64721643 64721644 chr14:64721644:C:G rs74056399 C G C EBF1_EBF_1 -7 0 + 0 0 . chr14 64721673 64721674 chr14:64721674:C:T rs142526418 C T C EBF1_EBF_1 23 0 + 0 0 . chr14 64721674 64721675 chr14:64721675:G:A rs17767206 G A G EBF1_EBF_1 24 0 + 0 0 . chr14 64725617 64725618 chr14:64725618:C:T rs58789159 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 64736689 64736690 chr14:64736690:C:G rs113541231 C G G EBF1_EBF_1 -19 0 + 0 0 . chr14 64736697 64736698 chr14:64736698:G:C rs113330530 G C G EBF1_EBF_1 -11 0 + 0 0 . chr14 64737648 64737649 chr14:64737649:C:G rs170685 C G C EBF1_EBF_1 -2 0 - 0 0 . chr14 64757225 64757226 chr14:64757226:T:C rs59386634 T C T EBF1_EBF_1 11 1 - 7.419913777106968 4.527206933502956 AATCCCAGGAGAGC chr14 64763598 64763599 chr14:64763599:C:T rs94789 C T T EBF1_EBF_1 18 0 - 0 0 . chr14 64765413 64765414 chr14:64765414:T:A rs34132719 T A T EBF1_EBF_1 29 0 - 0 0 . chr14 64769734 64769735 chr14:64769735:G:A rs7142689 G A G EBF1_EBF_1 2 1 - 7.085260768557853 8.974084180000002 GTCCCCCAGGGATG chr14 64773053 64773054 chr14:64773054:G:A rs747858 G A G EBF1_EBF_1 -19 0 + 0 0 . chr14 64807531 64807532 chr14:64807532:T:C rs2269296 T C T EBF1_EBF_1 -13 0 + 0 0 . chr14 64815459 64815460 chr14:64815460:G:C rs229672 G C G EBF1_EBF_1 -9 0 - 0 0 . chr14 64820562 64820563 chr14:64820563:C:A rs2269292 C A C EBF1_EBF_1 14 0 - 0 0 . chr14 64822804 64822805 chr14:64822805:T:C rs115210442 T C T EBF1_EBF_1 21 0 + 0 0 . chr14 64822816 64822817 chr14:64822817:A:G rs1006613 A G G EBF1_EBF_1 33 0 + 0 0 . chr14 64823120 64823121 chr14:64823121:T:C rs1484952685 T C T EBF1_EBF_1 33 0 - 0 0 . chr14 64823217 64823218 chr14:64823218:G:A rs138672070 G A G EBF1_EBF_1 -8 0 - 0 0 . chr14 64835073 64835074 chr14:64835074:T:C rs423927 T C T EBF1_EBF_1 -14 0 + 0 0 . chr14 64856718 64856719 chr14:64856719:C:T rs375797 C T c EBF1_EBF_1 25 0 - 0 0 . chr14 64937259 64937260 chr14:64937260:A:G rs10132858 A G A EBF1_EBF_1 25 0 - 0 0 . chr14 64937340 64937341 chr14:64937341:G:A rs17883073 G A G EBF1_EBF_1 -19 0 - 0 0 . chr14 64956077 64956078 chr14:64956078:A:G rs4902352 A G G EBF1_EBF_1 -10 0 + 0 0 . chr14 64971584 64971585 chr14:64971585:A:C rs138899052 A C A EBF1_EBF_1 -16 0 + 0 0 . chr14 64987193 64987194 chr14:64987194:C:G rs530976529 C G C EBF1_EBF_1 13 1 + 5.378435570751388 3.890364549395973 ACTCACCGGGGAAC chr14 65002243 65002244 chr14:65002244:G:C rs548489539 G C G EBF1_EBF_1 -12 0 + 0 0 . chr14 65003548 65003549 chr14:65003549:T:C rs55656505 T C T EBF1_EBF_1 1 1 + 8.401817965520028 7.50638452512128 ATACCCAGGGGAAA chr14 65075758 65075759 chr14:65075759:A:G rs4902358 A G A EBF1_EBF_1 -18 0 - 0 0 . chr14 65102165 65102166 chr14:65102166:G:A rs80185847 G A G EBF1_EBF_1 25 0 - 0 0 . chr14 65102896 65102897 chr14:65102897:C:A rs527879740 C A C EBF1_EBF_1 32 0 - 0 0 . chr14 65102924 65102925 chr14:65102925:G:A rs1308418067 G A G EBF1_EBF_1 4 1 - 7.782807917701624 3.4134209727567004 AGTCCCCTGGGCCC chr14 65135162 65135163 chr14:65135163:C:A rs558616850 C A C EBF1_EBF_1 -10 0 + 0 0 . chr14 65137740 65137741 chr14:65137741:A:C rs10873181 A C C EBF1_EBF_1 32 0 + 0 0 . chr14 65187176 65187177 chr14:65187177:T:G rs1760981 T G T EBF1_EBF_1 -2 0 + 0 0 . chr14 65198657 65198658 chr14:65198658:C:G rs2275011 C G C EBF1_EBF_1 -15 0 + 0 0 . chr14 65208467 65208468 chr14:65208468:C:G rs113914872 C G C EBF1_EBF_1 15 0 - 0 0 . chr14 65237283 65237284 chr14:65237284:C:G rs61987797 C G C EBF1_EBF_1 23 0 + 0 0 . chr14 65251231 65251232 chr14:65251232:T:A rs539572400 T A T EBF1_EBF_1 1 1 + 7.097910094866703 6.131549477115873 ATTCACATGGGAAA chr14 65255243 65255244 chr14:65255244:G:T rs146653128 G T G EBF1_EBF_1 2 1 - 6.806679691978294 5.011035515821568 AGCCCCAGGAGACA chr14 65268906 65268907 chr14:65268907:A:G rs78888170 A G A EBF1_EBF_1 32 0 - 0 0 . chr14 65288067 65288068 chr14:65288068:T:G rs11851606 T G T EBF1_EBF_1 23 0 - 0 0 . chr14 65334516 65334517 chr14:65334517:C:G rs377026861 C G C EBF1_EBF_1 5 1 + 7.05516260478466 0.1502814521494914 ACCCCCCAGGGCAT chr14 65334839 65334840 chr14:65334840:G:C rs75855095 G C G EBF1_EBF_1 18 0 - 0 0 . chr14 65335092 65335093 chr14:65335093:A:G rs12881755 A G G EBF1_EBF_1 -9 0 - 0 0 . chr14 65335102 65335103 chr14:65335103:T:C rs117030758 T C T EBF1_EBF_1 -19 0 - 0 0 . chr14 65348173 65348174 chr14:65348174:G:T rs77647182 G T G EBF1_EBF_1 32 0 - 0 0 . chr14 65403409 65403410 chr14:65403410:G:C rs11844747 G C G EBF1_EBF_1 16 0 + 0 0 . chr14 65412182 65412183 chr14:65412183:T:C rs745901488 T C T EBF1_EBF_1 26 0 - 0 0 . chr14 65412190 65412191 chr14:65412191:C:T rs1953417 C T C EBF1_EBF_1 18 0 - 0 0 . chr14 65412889 65412890 chr14:65412890:G:A rs376912572 G A G EBF1_EBF_1 -14 0 + 0 0 . chr14 65502951 65502952 chr14:65502952:T:A rs8011224 T A T EBF1_EBF_1 -11 0 + 0 0 . chr14 65772651 65772652 chr14:65772652:T:C rs761831 T C T EBF1_EBF_1 7 1 - 6.050401448770736 4.737943043481912 GATCCCCAGGGTCA chr14 65790484 65790485 chr14:65790485:G:T rs79607292 G T G EBF1_EBF_1 24 0 - 0 0 . chr14 65791313 65791314 chr14:65791314:C:A rs61988977 C A A EBF1_EBF_1 16 0 - 0 0 . chr14 65847012 65847013 chr14:65847013:T:A rs117709978 T A T EBF1_EBF_1 16 0 + 0 0 . chr14 65945580 65945581 chr14:65945581:G:C rs12431878 G C C EBF1_EBF_1 24 0 + 0 0 . chr14 66067590 66067591 chr14:66067591:G:A rs143943992 G A G EBF1_EBF_1 33 0 - 0 0 . chr14 66228714 66228715 chr14:66228715:G:C rs79468688 G C G EBF1_EBF_1 20 0 - 0 0 . chr14 66315775 66315776 chr14:66315776:A:G rs56076630 A G A EBF1_EBF_1 30 0 + 0 0 . chr14 66507755 66507756 chr14:66507756:T:C rs928553 T C C EBF1_EBF_1 12 1 - 5.356801607923213 3.9379842658237356 CAACCCTCGGGAAT chr14 66575694 66575695 chr14:66575695:C:A rs7153313 C A A EBF1_EBF_1 8 1 - 3.8954180568699472 -2.9960147321072474 AGCCCCCAGGCACC chr14 66660950 66660951 chr14:66660951:T:G rs7146264 T G T EBF1_EBF_1 13 1 + 5.354580223721184 3.022015087780251 ATCCCCTTGTGAAT chr14 66803264 66803265 chr14:66803265:A:G rs75636090 A G A EBF1_EBF_1 18 0 + 0 0 . chr14 66803265 66803266 chr14:66803266:T:C rs116449365 T C C EBF1_EBF_1 19 0 + 0 0 . chr14 66939666 66939667 chr14:66939667:T:C rs79490027 T C t EBF1_EBF_1 12 1 + 7.985052125975328 9.011318696526176 ATTCTCCAGGGATC chr14 67051112 67051113 chr14:67051113:T:C rs79474576 T C T EBF1_EBF_1 -17 0 - 0 0 . chr14 67240445 67240446 chr14:67240446:T:G rs113070988 T G T EBF1_EBF_1 13 1 - 6.252373514172942 6.626118963458918 TTCCCCCAGGGGCA chr14 67386013 67386014 chr14:67386014:C:G rs10131175 C G C EBF1_EBF_1 4 1 + 7.5790473068589765 1.9532822057094335 AACCCCAAGGTAAT chr14 67391405 67391406 chr14:67391406:A:G rs917783711 A G A EBF1_EBF_1 -2 0 + 0 0 . chr14 67391435 67391436 chr14:67391436:C:T rs3784085 C T C EBF1_EBF_1 7 1 + 5.786241265889838 7.603746012172462 CTCCCCTCGGGAAG chr14 67393127 67393128 chr14:67393128:C:T rs371934070 C T C EBF1_EBF_1 13 1 - 3.6955656412563123 4.809891213325751 GTCCCCCAGGGCCG chr14 67418881 67418882 chr14:67418882:C:T rs35104910 C T C EBF1_EBF_1 -20 0 + 0 0 . chr14 67446074 67446075 chr14:67446075:A:G rs3742855 A G G EBF1_EBF_1 22 0 - 0 0 . chr14 67461392 67461393 chr14:67461393:G:A rs1308115993 G A G EBF1_EBF_1 26 0 - 0 0 . chr14 67489035 67489036 chr14:67489036:A:C rs11158669 A C A EBF1_EBF_1 -7 0 - 0 0 . chr14 67514553 67514554 chr14:67514554:G:A rs531226827 G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 67527096 67527097 chr14:67527097:C:T rs73272316 C T C EBF1_EBF_1 25 0 + 0 0 . chr14 67533590 67533591 chr14:67533591:G:A chr14:67533591:G:A G A G EBF1_EBF_1 7 1 + 8.424600037600792 9.737058442889618 CGCCCCAGGGGACT chr14 67533593 67533594 chr14:67533594:G:T rs1411037516 G T G EBF1_EBF_1 10 1 + 8.424600037600792 4.241847851373527 CGCCCCAGGGGACT chr14 67533607 67533608 chr14:67533608:G:A rs539525193 G A G EBF1_EBF_1 24 0 + 0 0 . chr14 67533638 67533639 chr14:67533639:G:A rs1185766452 G A G EBF1_EBF_1 19 0 + 0 0 . chr14 67533641 67533642 chr14:67533642:T:C rs72721024 T C T EBF1_EBF_1 22 0 + 0 0 . chr14 67552890 67552891 chr14:67552891:A:G rs12433356 A G G EBF1_EBF_1 29 0 + 0 0 . chr14 67593856 67593857 chr14:67593857:G:A rs45474396 G A G EBF1_EBF_1 18 0 + 0 0 . chr14 67798898 67798899 chr14:67798899:C:A rs7159982 C A C EBF1_EBF_1 11 1 - 4.536777447127504 3.33157003463279 CCTCCCCGGGGGCG chr14 67817357 67817358 chr14:67817358:G:T rs11847628 G T T EBF1_EBF_1 -20 0 - 0 0 . chr14 67930342 67930343 chr14:67930343:T:G rs6573800 T G G EBF1_EBF_1 -11 0 + 0 0 . chr14 67938526 67938527 chr14:67938527:A:C rs72725141 A C A EBF1_EBF_1 -8 0 + 0 0 . chr14 67941220 67941221 chr14:67941221:G:A rs10133262 G A A EBF1_EBF_1 -16 0 + 0 0 . chr14 67941266 67941267 chr14:67941267:C:G rs35580395 C G C EBF1_EBF_1 30 0 + 0 0 . chr14 68075672 68075673 chr14:68075673:T:G rs1043237768 T G T EBF1_EBF_1 7 1 + 6.088682452956436 5.252498287755169 GCACCCCTGGGAAA chr14 68127405 68127406 chr14:68127406:G:A rs144470858 G A G EBF1_EBF_1 -2 0 - 0 0 . chr14 68146498 68146499 chr14:68146499:G:A rs144164204 G A G EBF1_EBF_1 -11 0 - 0 0 . chr14 68316231 68316232 chr14:68316232:A:G chr14:68316232:A:G A G A EBF1_EBF_1 6 1 + 7.258440108884267 5.091645677609792 CTACCCAAGGGAGT chr14 68397442 68397443 chr14:68397443:C:T rs116996368 C T C EBF1_EBF_1 -11 0 + 0 0 . chr14 68397468 68397469 chr14:68397469:G:C rs531291809 G C G EBF1_EBF_1 15 0 + 0 0 . chr14 68408862 68408863 chr14:68408863:C:T rs17105557 C T C EBF1_EBF_1 -2 0 + 0 0 . chr14 68477355 68477356 chr14:68477356:A:G rs2842320 A G A EBF1_EBF_1 -17 0 - 0 0 . chr14 68508656 68508657 chr14:68508657:C:T rs2842346 C T C EBF1_EBF_1 8 1 - 7.334628526184772 3.1083384372985106 CTCCCCACGGGAAC chr14 68508673 68508674 chr14:68508674:C:T rs8015246 C T C EBF1_EBF_1 -9 0 - 0 0 . chr14 68510375 68510376 chr14:68510376:G:A rs117199999 G A G EBF1_EBF_1 -14 0 - 0 0 . chr14 68523080 68523081 chr14:68523081:G:T rs28376176 G T G EBF1_EBF_1 25 0 + 0 0 . chr14 68523290 68523291 chr14:68523291:A:G rs1023530 A G A EBF1_EBF_1 8 1 + 6.686372373791186 10.912662462677446 AGTCCCCAAGGAGA chr14 68538087 68538088 chr14:68538088:T:C rs7140266 T C T EBF1_EBF_1 -17 0 + 0 0 . chr14 68549022 68549023 chr14:68549023:A:C rs75962514 A C A EBF1_EBF_1 14 0 - 0 0 . chr14 68556497 68556498 chr14:68556498:G:C rs929285 G C G EBF1_EBF_1 4 1 - 7.572908815874087 1.9471437147245447 ATCCCCCAGGGGTC chr14 68576273 68576274 chr14:68576274:A:G rs11629070 A G A EBF1_EBF_1 13 1 + 5.363704701327172 4.249379129257733 AGCCCCAGGGGTCA chr14 68576875 68576876 chr14:68576876:G:C rs147239706 G C G EBF1_EBF_1 -18 0 - 0 0 . chr14 68599841 68599842 chr14:68599842:C:G rs17105940 C G C EBF1_EBF_1 -19 0 + 0 0 . chr14 68600307 68600308 chr14:68600308:C:T rs151045330 C T C EBF1_EBF_1 -9 0 - 0 0 . chr14 68620282 68620283 chr14:68620283:G:C rs150728163 G C G EBF1_EBF_1 3 1 - 7.360399979399932 1.5326355312847162 ACTCACTTGGGAAT chr14 68629129 68629130 chr14:68629130:G:A rs2145154 G A A EBF1_EBF_1 10 1 + 7.409744831713173 2.0917163724462076 GCCCCCAGGGGAAA chr14 68629131 68629132 chr14:68629132:A:G rs117829817 A G A EBF1_EBF_1 12 1 + 7.409744831713173 5.9909274896136955 GCCCCCAGGGGAAA chr14 68629311 68629312 chr14:68629312:G:C rs78687404 G C G EBF1_EBF_1 29 0 - 0 0 . chr14 68629331 68629332 chr14:68629332:T:A rs79492825 T A T EBF1_EBF_1 9 1 - 6.556591798469556 2.37454165582835 ACCCCCTGGAGACA chr14 68636925 68636926 chr14:68636926:G:T rs552186815 G T G EBF1_EBF_1 -20 0 - 0 0 . chr14 68661472 68661473 chr14:68661473:T:A rs7350738 T A A EBF1_EBF_1 -6 0 - 0 0 . chr14 68661477 68661478 chr14:68661478:G:A rs10135342 G A G EBF1_EBF_1 -11 0 - 0 0 . chr14 68669439 68669440 chr14:68669440:C:T rs61985559 C T C EBF1_EBF_1 3 1 + 7.03976474970675 0.634366008153433 AGCCCCTTGGGCCT chr14 68671570 68671571 chr14:68671571:G:C rs8020743 G C C EBF1_EBF_1 22 0 - 0 0 . chr14 68718938 68718939 chr14:68718939:G:A rs407929 G A G EBF1_EBF_1 -6 0 + 0 0 . chr14 68723899 68723900 chr14:68723900:C:T rs74618039 C T C EBF1_EBF_1 26 0 + 0 0 . chr14 68726743 68726744 chr14:68726744:T:C rs11621136 T C T EBF1_EBF_1 32 0 + 0 0 . chr14 68753862 68753863 chr14:68753863:G:A rs11844909 G A A EBF1_EBF_1 -11 0 - 0 0 . chr14 68756154 68756155 chr14:68756155:T:G rs194724 T G G EBF1_EBF_1 -7 0 + 0 0 . chr14 68763011 68763012 chr14:68763012:A:C chr14:68763012:A:C A C A EBF1_EBF_1 11 1 + 8.270263128581519 3.8543014307291292 CACCCCCAGGGACC chr14 68763018 68763019 chr14:68763019:G:A rs72731530 G A G EBF1_EBF_1 18 0 + 0 0 . chr14 68763362 68763363 chr14:68763363:G:A rs428268 G A A EBF1_EBF_1 -8 0 - 0 0 . chr14 68767594 68767595 chr14:68767595:A:G rs754944 A G A EBF1_EBF_1 -10 0 + 0 0 . chr14 68797388 68797389 chr14:68797389:C:A rs11846601 C A C EBF1_EBF_1 -10 0 - 0 0 . chr14 68813525 68813526 chr14:68813526:G:A rs115573285 G A g EBF1_EBF_1 24 0 + 0 0 . chr14 68824152 68824153 chr14:68824153:T:G rs1021711499 T G t EBF1_EBF_1 0 1 - 5.661934929687365 3.406676445990765 ACCCCCTTGGGCAA chr14 68864596 68864597 chr14:68864597:G:C rs56732174 G C g EBF1_EBF_1 16 0 + 0 0 . chr14 68893913 68893914 chr14:68893914:T:C rs12050161 T C T EBF1_EBF_1 29 0 - 0 0 . chr14 68913625 68913626 chr14:68913626:A:G rs3784136 A G G EBF1_EBF_1 -18 0 + 0 0 . chr14 68940741 68940742 chr14:68940742:G:C rs78902314 G C G EBF1_EBF_1 33 0 + 0 0 . chr14 68949266 68949267 chr14:68949267:A:G rs36048264 A G A EBF1_EBF_1 7 1 + 4.787785448972516 3.47532704368369 CCTCCCCAGGGCTC chr14 68949281 68949282 chr14:68949282:T:C rs10145285 T C T EBF1_EBF_1 22 0 + 0 0 . chr14 68968073 68968074 chr14:68968074:G:A rs12432970 G A G EBF1_EBF_1 15 0 + 0 0 . chr14 68981754 68981755 chr14:68981755:T:C rs538173753 T C T EBF1_EBF_1 33 0 + 0 0 . chr14 69005441 69005442 chr14:69005442:G:A rs7144924 G A G EBF1_EBF_1 21 0 + 0 0 . chr14 69014876 69014877 chr14:69014877:G:A rs55711438 G A G EBF1_EBF_1 21 0 - 0 0 . chr14 69060417 69060418 chr14:69060418:C:T rs10150736 C T C EBF1_EBF_1 7 1 + 5.617772931161857 7.435277677444481 AGCCCCACGGGGAA chr14 69066667 69066668 chr14:69066668:T:C rs72718279 T C T EBF1_EBF_1 -16 0 - 0 0 . chr14 69151756 69151757 chr14:69151757:G:A rs1022149440 G A G EBF1_EBF_1 20 0 + 0 0 . chr14 69249720 69249721 chr14:69249721:C:T rs12431706 C T C EBF1_EBF_1 30 0 + 0 0 . chr14 69250239 69250240 chr14:69250240:T:C rs17106817 T C T EBF1_EBF_1 16 0 + 0 0 . chr14 69261845 69261846 chr14:69261846:G:A rs72720290 G A G EBF1_EBF_1 18 0 - 0 0 . chr14 69262041 69262042 chr14:69262042:G:T rs80352613 G T G EBF1_EBF_1 -6 0 + 0 0 . chr14 69262074 69262075 chr14:69262075:G:C rs79594844 G C G EBF1_EBF_1 27 0 + 0 0 . chr14 69279803 69279804 chr14:69279804:T:G rs61980296 T G T EBF1_EBF_1 9 1 - 7.130396294080122 4.709646413182261 AATCCCATGAGATG chr14 69281147 69281148 chr14:69281148:T:C rs55753072 T C T EBF1_EBF_1 29 0 - 0 0 . chr14 69307073 69307074 chr14:69307074:A:C rs72625675 A C T EBF1_EBF_1 7 1 - 6.285253516498812 5.4490693512975446 GTTCCCCTGGTAGT chr14 69334815 69334816 chr14:69334816:T:A rs74924313 T A A EBF1_EBF_1 13 1 + 8.73800771518093 7.519768151309435 AGCCCCCAGGGGCT chr14 69449369 69449370 chr14:69449370:T:C rs115832846 T C T EBF1_EBF_1 2 1 + 7.518485789210753 5.629662377768602 AATCCCAAGCGATT chr14 69593520 69593521 chr14:69593521:C:A rs753220036 C A C EBF1_EBF_1 -10 0 - 0 0 . chr14 69611437 69611438 chr14:69611438:C:G rs186759019 C G C EBF1_EBF_1 -7 0 - 0 0 . chr14 69711717 69711718 chr14:69711718:C:G rs3809396 C G C EBF1_EBF_1 30 0 - 0 0 . chr14 69712263 69712264 chr14:69712264:G:A rs945309 G A A EBF1_EBF_1 -18 0 - 0 0 . chr14 69746977 69746978 chr14:69746978:G:C rs11622580 G C C EBF1_EBF_1 10 1 + 7.195005898065043 0.304203510735568 GCCCCCTAGAGACT chr14 69770654 69770655 chr14:69770655:A:G rs537541381 A G A EBF1_EBF_1 14 0 + 0 0 . chr14 69811456 69811457 chr14:69811457:A:G rs73285258 A G G EBF1_EBF_1 29 0 - 0 0 . chr14 69843777 69843778 chr14:69843778:G:A rs55819213 G A A EBF1_EBF_1 1 1 - 6.264118439746768 7.159551880145512 ACTCCCGAGGGCCC chr14 69850463 69850464 chr14:69850464:A:G rs531108247 A G A EBF1_EBF_1 20 0 - 0 0 . chr14 69861389 69861390 chr14:69861390:A:T rs4902758 A T A EBF1_EBF_1 -7 0 + 0 0 . chr14 69866253 69866254 chr14:69866254:C:T rs1887146 C T C EBF1_EBF_1 3 1 + 4.834360201879981 -1.571038539673335 CCTCTCCAGGGATC chr14 69910261 69910262 chr14:69910262:A:C rs2181130 A C A EBF1_EBF_1 26 0 - 0 0 . chr14 69920025 69920026 chr14:69920026:T:C rs11621324 T C T EBF1_EBF_1 -14 0 - 0 0 . chr14 69921337 69921338 chr14:69921338:C:T rs115386865 C T C EBF1_EBF_1 19 0 - 0 0 . chr14 69927852 69927853 chr14:69927853:G:T rs116189209 G T G EBF1_EBF_1 5 1 + 7.32031860342145 8.903412540515948 ATTCCGCAGGGACT chr14 69943058 69943059 chr14:69943059:T:C rs227394 T C C EBF1_EBF_1 22 0 - 0 0 . chr14 69943765 69943766 chr14:69943766:A:T rs1007288 A T A EBF1_EBF_1 30 0 - 0 0 . chr14 69964020 69964021 chr14:69964021:G:A rs78044097 G A G EBF1_EBF_1 -2 0 - 0 0 . chr14 69972685 69972686 chr14:69972686:G:A rs78381647 G A G EBF1_EBF_1 31 0 - 0 0 . chr14 69981220 69981221 chr14:69981221:G:A rs227441 G A G EBF1_EBF_1 -3 0 - 0 0 . chr14 69997885 69997886 chr14:69997886:G:A rs76551653 G A G EBF1_EBF_1 10 1 + 6.390479566090288 1.0724511068233225 GTTGCCATGGGACT chr14 70032634 70032635 chr14:70032635:C:G rs73290791 C G C EBF1_EBF_1 -6 0 - 0 0 . chr14 70057766 70057767 chr14:70057767:A:T rs554355872 A T A EBF1_EBF_1 0 1 + 8.427059164187503 6.45400274122148 ACACCCCAGGGACA chr14 70171242 70171243 chr14:70171243:A:G rs17107920 A G G EBF1_EBF_1 31 0 + 0 0 . chr14 70189176 70189177 chr14:70189177:A:G chr14:70189177:A:G A G A EBF1_EBF_1 -14 0 + 0 0 . chr14 70234332 70234333 chr14:70234333:G:A rs113152309 G A G EBF1_EBF_1 1 1 + 7.142326016824672 6.881627184903368 CGCCCCCAGGGAGC chr14 70239973 70239974 chr14:70239974:G:A rs6650512 G A G EBF1_EBF_1 -7 0 + 0 0 . chr14 70399038 70399039 chr14:70399039:C:T rs61977555 C T C EBF1_EBF_1 -4 0 - 0 0 . chr14 70463844 70463845 chr14:70463845:C:G rs8015163 C G C EBF1_EBF_1 -14 0 + 0 0 . chr14 70514844 70514845 chr14:70514845:A:T rs61979143 A T A EBF1_EBF_1 -8 0 - 0 0 . chr14 70564108 70564109 chr14:70564109:T:C rs4902828 T C T EBF1_EBF_1 27 0 + 0 0 . chr14 70621839 70621840 chr14:70621840:A:T rs10139982 A T T EBF1_EBF_1 14 0 + 0 0 . chr14 70636327 70636328 chr14:70636328:T:C rs73286077 T C T EBF1_EBF_1 32 0 - 0 0 . chr14 70642435 70642436 chr14:70642436:C:G rs4902834 C G G EBF1_EBF_1 31 0 + 0 0 . chr14 70663862 70663863 chr14:70663863:G:A rs767938258 G A G EBF1_EBF_1 26 0 + 0 0 . chr14 70698526 70698527 chr14:70698527:T:C rs10483833 T C T EBF1_EBF_1 33 0 - 0 0 . chr14 70706219 70706220 chr14:70706220:C:T rs117693940 C T C EBF1_EBF_1 3 1 + 5.132212412245777 -1.27318632930754 AGCCCCAGGAGGCT chr14 70770010 70770011 chr14:70770011:C:A rs7160912 C A C EBF1_EBF_1 -20 0 + 0 0 . chr14 70809016 70809017 chr14:70809017:G:A rs1442325529 G A G EBF1_EBF_1 -13 0 + 0 0 . chr14 70821956 70821957 chr14:70821957:G:T rs112843245 G T G EBF1_EBF_1 11 1 + 5.857127541538194 4.6519201290434795 TCTCCCCAGGGGGA chr14 70829952 70829953 chr14:70829953:G:T rs2332467 G T g EBF1_EBF_1 2 1 - 6.33177382124364 4.5361296450869135 CTCCCCAAGGGGCA chr14 70852593 70852594 chr14:70852594:C:G rs2051863 C G C EBF1_EBF_1 32 0 - 0 0 . chr14 70852599 70852600 chr14:70852600:T:C rs12879624 T C T EBF1_EBF_1 26 0 - 0 0 . chr14 70873917 70873918 chr14:70873918:T:C rs58023258 T C T EBF1_EBF_1 20 0 + 0 0 . chr14 70887862 70887863 chr14:70887863:G:T rs36561 G T G EBF1_EBF_1 10 1 + 8.331935347884825 4.149183161657563 ATTCCCAGGGGTCC chr14 70907299 70907300 chr14:70907300:C:A rs763977337 C A C EBF1_EBF_1 19 0 + 0 0 . chr14 70908084 70908085 chr14:70908085:C:T rs115613725 C T c EBF1_EBF_1 -11 0 + 0 0 . chr14 70909099 70909100 chr14:70909100:G:T rs538495954 G T G EBF1_EBF_1 9 1 + 5.070404466071986 -1.766584942016824 AATCCCGGAGGACC chr14 71090549 71090550 chr14:71090550:T:A rs1558097 T A A EBF1_EBF_1 13 1 - 6.648123469788039 7.866363033659533 TTTCCCCAGGGCAA chr14 71128452 71128453 chr14:71128453:G:A rs61992065 G A G EBF1_EBF_1 8 1 + 6.981712529566354 2.7554224406800927 ACTCCTCAGGGATT chr14 71141023 71141024 chr14:71141024:C:T rs10139448 C T C EBF1_EBF_1 24 0 - 0 0 . chr14 71171711 71171712 chr14:71171712:C:T rs56369736 C T C EBF1_EBF_1 28 0 - 0 0 . chr14 71180855 71180856 chr14:71180856:A:G rs7144329 A G G EBF1_EBF_1 7 1 - 5.538190213098083 3.7206854668154588 ATTCCCCTGGAGCT chr14 71199579 71199580 chr14:71199580:C:T rs17767225 C T C EBF1_EBF_1 16 0 + 0 0 . chr14 71231045 71231046 chr14:71231046:G:T rs746691524 G T G EBF1_EBF_1 -13 0 + 0 0 . chr14 71231056 71231057 chr14:71231057:G:A rs2016808 G A A EBF1_EBF_1 -2 0 + 0 0 . chr14 71243344 71243345 chr14:71243345:A:T rs77589999 A T A EBF1_EBF_1 20 0 - 0 0 . chr14 71320990 71320991 chr14:71320991:A:C rs907238719 A C A EBF1_EBF_1 -7 0 + 0 0 . chr14 71321102 71321103 chr14:71321103:G:A chr14:71321103:G:A G A G EBF1_EBF_1 -10 0 + 0 0 . chr14 71321129 71321130 chr14:71321130:C:T rs142558984 C T C EBF1_EBF_1 17 0 + 0 0 . chr14 71325175 71325176 chr14:71325176:A:G rs546797962 A G A EBF1_EBF_1 30 0 + 0 0 . chr14 71389171 71389172 chr14:71389172:C:T rs117080981 C T C EBF1_EBF_1 30 0 - 0 0 . chr14 71478719 71478720 chr14:71478720:T:C rs1020315582 T C T EBF1_EBF_1 -14 0 - 0 0 . chr14 71564057 71564058 chr14:71564058:C:A rs963642438 C A C EBF1_EBF_1 -5 0 - 0 0 . chr14 71665317 71665318 chr14:71665318:G:A rs146162815 G A G EBF1_EBF_1 7 1 + 10.063335555534206 11.37579396082303 CCTCCCTGGGGACT chr14 71725662 71725663 chr14:71725663:C:T rs11850220 C T C EBF1_EBF_1 -2 0 + 0 0 . chr14 71753451 71753452 chr14:71753452:C:T rs1204982 C T t EBF1_EBF_1 32 0 - 0 0 . chr14 71765162 71765163 chr14:71765163:A:C rs1209228 A C c EBF1_EBF_1 31 0 - 0 0 . chr14 71765189 71765190 chr14:71765190:G:A rs113024046 G A g EBF1_EBF_1 4 1 - 7.86324093084081 3.493853985895888 ATTCCCCAGAGGAC chr14 71780238 71780239 chr14:71780239:C:G rs768948460 C G C EBF1_EBF_1 -7 0 + 0 0 . chr14 71813539 71813540 chr14:71813540:C:T rs1205090 C T T EBF1_EBF_1 13 1 + 9.78958715669399 10.63408127127951 AATCCCCAGAGAAC chr14 71834370 71834371 chr14:71834371:T:C rs146490456 T C T EBF1_EBF_1 -9 0 + 0 0 . chr14 71856543 71856544 chr14:71856544:C:T rs28706853 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 71885617 71885618 chr14:71885618:G:A rs1205059 G A G EBF1_EBF_1 8 1 + 5.162393810667103 0.9361037217808422 AGCCCCAGGAGGAT chr14 71958804 71958805 chr14:71958805:T:C rs2238280 T C T EBF1_EBF_1 -6 0 + 0 0 . chr14 72056075 72056076 chr14:72056076:G:C rs72737845 G C G EBF1_EBF_1 4 1 - 4.820826904305838 -0.8049381968437068 ATTCCCGGGGGCTC chr14 72104169 72104170 chr14:72104170:C:G rs17107255 C G G EBF1_EBF_1 0 1 + 4.310744203558934 4.673718817690699 CCTCCCATGGGCGC chr14 72165505 72165506 chr14:72165506:G:A rs149670 G A G EBF1_EBF_1 24 0 - 0 0 . chr14 72222306 72222307 chr14:72222307:C:A rs79071794 C A C EBF1_EBF_1 -10 0 + 0 0 . chr14 72255037 72255038 chr14:72255038:G:A rs2283400 G A G EBF1_EBF_1 1 1 - 10.542397176527658 11.437830616926403 TCTCCCCAGGGAAC chr14 72337173 72337174 chr14:72337174:T:G rs2239244 T G G EBF1_EBF_1 2 1 + 9.482532195356711 2.7342065051562443 TTTCCCATGAGACT chr14 72431266 72431267 chr14:72431267:T:A rs4903018 T A A EBF1_EBF_1 -7 0 - 0 0 . chr14 72449894 72449895 chr14:72449895:C:T rs78500901 C T C EBF1_EBF_1 24 0 + 0 0 . chr14 72483203 72483204 chr14:72483204:G:A rs74351691 G A G EBF1_EBF_1 -6 0 + 0 0 . chr14 72487067 72487068 chr14:72487068:A:G rs35573236 A G A EBF1_EBF_1 -11 0 + 0 0 . chr14 72488675 72488676 chr14:72488676:T:C rs12588021 T C t EBF1_EBF_1 -13 0 + 0 0 . chr14 72489750 72489751 chr14:72489751:G:A rs55943582 G A G EBF1_EBF_1 -16 0 + 0 0 . chr14 72514461 72514462 chr14:72514462:T:A rs74063477 T A T EBF1_EBF_1 7 1 - 6.110349912501993 5.634075672414435 TCTCCCTAGAGGCT chr14 72519809 72519810 chr14:72519810:G:C rs72726170 G C G EBF1_EBF_1 3 1 - 5.237044149784761 -0.5907202983304553 AACCCCCACGGAAC chr14 72528760 72528761 chr14:72528761:C:T rs187260196 C T C EBF1_EBF_1 2 1 + 7.965279542923732 9.854102954365883 ATCCCCTTAGGAAT chr14 72528781 72528782 chr14:72528782:G:T rs117543904 G T G EBF1_EBF_1 23 0 + 0 0 . chr14 72545176 72545177 chr14:72545177:A:G rs2239222 A G G EBF1_EBF_1 -9 0 + 0 0 . chr14 72559663 72559664 chr14:72559664:G:A rs528533957 G A G EBF1_EBF_1 1 1 - 6.188785562585745 7.084219002984491 CCACCCTTGGGATT chr14 72575374 72575375 chr14:72575375:A:G rs55824212 A G G EBF1_EBF_1 22 0 - 0 0 . chr14 72605404 72605405 chr14:72605405:C:T rs17118413 C T C EBF1_EBF_1 6 1 + 5.581609534747825 5.882895663609583 TTCCCCCGGAGAAC chr14 72613205 72613206 chr14:72613206:A:G rs2526934 A G A EBF1_EBF_1 15 0 - 0 0 . chr14 72613833 72613834 chr14:72613834:C:G rs370719542 C G C EBF1_EBF_1 -15 0 + 0 0 . chr14 72613855 72613856 chr14:72613856:G:A rs12893429 G A G EBF1_EBF_1 7 1 + 8.543809862800954 9.856268268089778 CCTCCCCGGGGACA chr14 72651272 72651273 chr14:72651273:A:G rs11158965 A G A EBF1_EBF_1 -10 0 + 0 0 . chr14 72681446 72681447 chr14:72681447:G:C rs147632465 G C G EBF1_EBF_1 -20 0 + 0 0 . chr14 72690721 72690722 chr14:72690722:C:A rs11844112 C A C EBF1_EBF_1 -6 0 + 0 0 . chr14 72701374 72701375 chr14:72701375:G:A rs75262200 G A G EBF1_EBF_1 13 1 + 4.661773702015944 5.776099274085383 TTCCCCCTGGGGCG chr14 72715669 72715670 chr14:72715670:G:A rs144029294 G A G EBF1_EBF_1 7 1 + 6.19274828691558 7.505206692204406 ACTCACCGGGGACT chr14 72736696 72736697 chr14:72736697:A:G rs117936594 A G A EBF1_EBF_1 17 0 - 0 0 . chr14 72746089 72746090 chr14:72746090:G:A rs60799959 G A G EBF1_EBF_1 -4 0 + 0 0 . chr14 72755932 72755933 chr14:72755933:A:G rs77824658 A G A EBF1_EBF_1 6 1 + 6.968819551486222 4.802025120211747 GGCCCCATGAGACT chr14 72781372 72781373 chr14:72781373:T:C rs12437188 T C T EBF1_EBF_1 26 0 - 0 0 . chr14 72781403 72781404 chr14:72781404:T:C rs906554110 T C T EBF1_EBF_1 -5 0 - 0 0 . chr14 72793668 72793669 chr14:72793669:G:A rs12435382 G A G EBF1_EBF_1 -5 0 + 0 0 . chr14 72817123 72817124 chr14:72817124:G:A rs11627048 G A G EBF1_EBF_1 -4 0 - 0 0 . chr14 72831360 72831361 chr14:72831361:A:G rs35421263 A G A EBF1_EBF_1 19 0 + 0 0 . chr14 72836188 72836189 chr14:72836189:G:C rs533404711 G C G EBF1_EBF_1 -1 0 - 0 0 . chr14 72836522 72836523 chr14:72836523:G:A rs76663729 G A G EBF1_EBF_1 -19 0 - 0 0 . chr14 72874596 72874597 chr14:72874597:C:A rs78477994 C A C EBF1_EBF_1 -15 0 - 0 0 . chr14 72907359 72907360 chr14:72907360:A:T rs12890611 A T A EBF1_EBF_1 19 0 + 0 0 . chr14 72907419 72907420 chr14:72907420:G:A rs12891076 G A G EBF1_EBF_1 10 1 + 7.674737051367373 2.356708592100407 TCTCCCAAGAGAGT chr14 72927169 72927170 chr14:72927170:G:A rs1076458 G A G EBF1_EBF_1 2 1 - 8.085126095232447 9.973949506674595 AGCCCCCGGGGAGC chr14 72943912 72943913 chr14:72943913:C:T rs2535914 C T C EBF1_EBF_1 13 1 + 5.207368718298248 6.051862832883766 TGCCCCAGGAGACC chr14 72961867 72961868 chr14:72961868:A:G rs2806031 A G G EBF1_EBF_1 26 0 + 0 0 . chr14 73008689 73008690 chr14:73008690:C:G rs17491738 C G C EBF1_EBF_1 28 0 + 0 0 . chr14 73023576 73023577 chr14:73023577:T:G rs58178546 T G T EBF1_EBF_1 17 0 + 0 0 . chr14 73027906 73027907 chr14:73027907:C:T rs12372882 C T C EBF1_EBF_1 5 1 + 6.641388340543297 1.3196011250026258 CTTCTCATGGGACC chr14 73125324 73125325 chr14:73125325:C:T rs67685049 C T C EBF1_EBF_1 28 0 + 0 0 . chr14 73228531 73228532 chr14:73228532:C:T rs117762053 C T c EBF1_EBF_1 1 1 + 4.838425901007129 5.733859341405875 ACCCTCCAGGGAGC chr14 73235792 73235793 chr14:73235793:G:A rs362411 G A g EBF1_EBF_1 -17 0 + 0 0 . chr14 73241871 73241872 chr14:73241872:G:A rs2242605 G A a EBF1_EBF_1 -18 0 + 0 0 . chr14 73253969 73253970 chr14:73253970:C:G rs2293794 C G C EBF1_EBF_1 -10 0 - 0 0 . chr14 73253977 73253978 chr14:73253978:G:A rs2293795 G A G EBF1_EBF_1 -18 0 - 0 0 . chr14 73263075 73263076 chr14:73263076:G:C rs12880103 G C G EBF1_EBF_1 -12 0 + 0 0 . chr14 73266701 73266702 chr14:73266702:A:G rs909216 A G A EBF1_EBF_1 26 0 - 0 0 . chr14 73429108 73429109 chr14:73429109:C:T rs12894017 C T c EBF1_EBF_1 -16 0 - 0 0 . chr14 73457680 73457681 chr14:73457681:G:A rs778186487 G A G EBF1_EBF_1 3 1 - 6.531697382289558 0.12629864073623975 ATCCCTAAGGGACC chr14 73458204 73458205 chr14:73458205:G:C rs141132409 G C G EBF1_EBF_1 -11 0 + 0 0 . chr14 73458216 73458217 chr14:73458217:G:C rs372733450 G C G EBF1_EBF_1 1 1 + 10.781387739746247 10.59161608517703 CGTCCCAAGGGACC chr14 73458232 73458233 chr14:73458233:T:C rs113713750 T C T EBF1_EBF_1 17 0 + 0 0 . chr14 73466193 73466194 chr14:73466194:G:A rs80301985 G A . EBF1_EBF_1 -6 0 - 0 0 . chr14 73537084 73537085 chr14:73537085:G:A rs142100737 G A - EBF1_EBF_1 16 0 + 0 0 . chr14 73569665 73569666 chr14:73569666:G:T rs60568739 G T - EBF1_EBF_1 -1 0 - 0 0 . chr14 73718383 73718384 chr14:73718384:G:A rs117016658 G A G EBF1_EBF_1 33 0 - 0 0 . chr14 73718416 73718417 chr14:73718417:G:C rs73297459 G C G EBF1_EBF_1 0 1 - 4.712549006745466 5.075523620877233 CCTCCCCAGAGGAC chr14 73751958 73751959 chr14:73751959:G:A rs872990 G A G EBF1_EBF_1 3 1 - 5.413712886595637 -0.9916858549576801 ACTCCATGGGGACT chr14 73757873 73757874 chr14:73757874:A:G rs11844552 A G A EBF1_EBF_1 -15 0 + 0 0 . chr14 73764714 73764715 chr14:73764715:C:G chr14:73764715:C:G C G C EBF1_EBF_1 -9 0 + 0 0 . chr14 73783244 73783245 chr14:73783245:C:G rs74062535 C G C EBF1_EBF_1 10 1 - 8.780728845591092 1.8899264582616173 CCTCCCCCGGGACT chr14 73943420 73943421 chr14:73943421:T:C rs1005565 T C C EBF1_EBF_1 18 0 - 0 0 . chr14 73944367 73944368 chr14:73944368:T:C chr14:73944368:T:C T C T EBF1_EBF_1 23 0 + 0 0 . chr14 73969155 73969156 chr14:73969156:T:C rs56741194 T C T EBF1_EBF_1 21 0 + 0 0 . chr14 74134314 74134315 chr14:74134315:G:C rs8007847 G C G EBF1_EBF_1 -8 0 - 0 0 . chr14 74140739 74140740 chr14:74140740:T:G chr14:74140740:T:G T G T EBF1_EBF_1 32 0 - 0 0 . chr14 74227612 74227613 chr14:74227613:G:T rs8017015 G T T EBF1_EBF_1 24 0 - 0 0 . chr14 74258150 74258151 chr14:74258151:G:A rs533528402 G A G EBF1_EBF_1 -6 0 - 0 0 . chr14 74275290 74275291 chr14:74275291:G:A chr14:74275291:G:A G A g EBF1_EBF_1 7 1 + 7.468712529026121 8.781170934314947 CCTCCCAGGAGACT chr14 74275616 74275617 chr14:74275617:T:G rs4899507 T G t EBF1_EBF_1 -5 0 + 0 0 . chr14 74288017 74288018 chr14:74288018:C:T rs183316731 C T C EBF1_EBF_1 30 0 + 0 0 . chr14 74302902 74302903 chr14:74302903:C:G rs139863822 C G G EBF1_EBF_1 -16 0 + 0 0 . chr14 74302904 74302905 chr14:74302905:A:C rs780704624 A C A EBF1_EBF_1 -14 0 + 0 0 . chr14 74302921 74302922 chr14:74302922:C:T rs768599269 C T C EBF1_EBF_1 3 1 + 8.029878319155017 1.624479577601699 AGACCCGAGGGACT chr14 74326866 74326867 chr14:74326867:G:A rs150797235 G A G EBF1_EBF_1 -11 0 - 0 0 . chr14 74368854 74368855 chr14:74368855:G:A rs77733416 G A A EBF1_EBF_1 22 0 + 0 0 . chr14 74378292 74378293 chr14:74378293:G:A rs73311238 G A g EBF1_EBF_1 -12 0 + 0 0 . chr14 74378311 74378312 chr14:74378312:A:C rs62619165 A C a EBF1_EBF_1 7 1 + 8.550372523769939 6.256593537399757 GGCCCCCAGGGAAA chr14 74389091 74389092 chr14:74389092:C:G rs4903217 C G C EBF1_EBF_1 9 1 - 6.861216484174919 1.7855273378294547 AGCCCCTAAGGACC chr14 74402458 74402459 chr14:74402459:T:G rs12589448 T G G EBF1_EBF_1 2 1 + 6.8334607223187955 0.08513503211832586 TTTCCCCTGGGTCC chr14 74403134 74403135 chr14:74403135:C:T rs11845461 C T C EBF1_EBF_1 26 0 + 0 0 . chr14 74407836 74407837 chr14:74407837:A:G rs11621669 A G A EBF1_EBF_1 -9 0 + 0 0 . chr14 74426032 74426033 chr14:74426033:C:A rs756272105 C A C EBF1_EBF_1 25 0 + 0 0 . chr14 74427229 74427230 chr14:74427230:G:A rs12589219 G A G EBF1_EBF_1 11 1 + 5.150275138551559 8.04298198215557 AGTCCCAGGAGGTC chr14 74428650 74428651 chr14:74428651:G:T rs12894033 G T G EBF1_EBF_1 25 0 + 0 0 . chr14 74503167 74503168 chr14:74503168:T:A rs113633445 T A T EBF1_EBF_1 2 1 + 5.647373857681816 1.9629062700829385 CCTCCCTGGGGCCT chr14 74510941 74510942 chr14:74510942:T:C chr14:74510942:T:C T C T EBF1_EBF_1 33 0 + 0 0 . chr14 74512161 74512162 chr14:74512162:C:T rs862057 C T C EBF1_EBF_1 -4 0 + 0 0 . chr14 74552259 74552260 chr14:74552260:C:A chr14:74552260:C:A C A C EBF1_EBF_1 -3 0 + 0 0 . chr14 74567928 74567929 chr14:74567929:A:G rs147715159 A G A EBF1_EBF_1 -20 0 - 0 0 . chr14 74615763 74615764 chr14:74615764:A:G rs11159092 A G g EBF1_EBF_1 16 0 + 0 0 . chr14 74631333 74631334 chr14:74631334:G:C rs11159095 G C G EBF1_EBF_1 27 0 + 0 0 . chr14 74632128 74632129 chr14:74632129:A:G rs11622468 A G A EBF1_EBF_1 -18 0 + 0 0 . chr14 74713077 74713078 chr14:74713078:A:G rs769280632 A G A EBF1_EBF_1 -7 0 + 0 0 . chr14 74905479 74905480 chr14:74905480:C:T rs150933949 C T C EBF1_EBF_1 0 1 + 5.101526808635328 5.383728869365906 CTTCCCCTGAGGCC chr14 74910851 74910852 chr14:74910852:C:A rs2003490 C A C EBF1_EBF_1 -2 0 + 0 0 . chr14 74916985 74916986 chr14:74916986:C:T rs116918901 C T C EBF1_EBF_1 1 1 - 4.8588807449771725 4.59818191305587 CGTTCCCAGGGACT chr14 74940277 74940278 chr14:74940278:G:A rs185441507 G A G EBF1_EBF_1 -12 0 - 0 0 . chr14 74945394 74945395 chr14:74945395:G:A rs1009910 G A G EBF1_EBF_1 -7 0 + 0 0 . chr14 74948447 74948448 chr14:74948448:T:C rs17782809 T C T EBF1_EBF_1 23 0 + 0 0 . chr14 74954426 74954427 chr14:74954427:T:C chr14:74954427:T:C T C T EBF1_EBF_1 -12 0 + 0 0 . chr14 74967479 74967480 chr14:74967480:T:C rs174995 T C C EBF1_EBF_1 -19 0 + 0 0 . chr14 75082052 75082053 chr14:75082053:T:C rs12590452 T C C EBF1_EBF_1 -17 0 + 0 0 . chr14 75127225 75127226 chr14:75127226:C:T rs115343889 C T C EBF1_EBF_1 -7 0 + 0 0 . chr14 75127228 75127229 chr14:75127229:A:C rs77449461 A C A EBF1_EBF_1 -4 0 + 0 0 . chr14 75209930 75209931 chr14:75209931:G:A rs11844179 G A G EBF1_EBF_1 -11 0 + 0 0 . chr14 75220331 75220332 chr14:75220332:G:A rs79456543 G A G EBF1_EBF_1 -11 0 + 0 0 . chr14 75222328 75222329 chr14:75222329:C:T rs528651194 C T C EBF1_EBF_1 -12 0 - 0 0 . chr14 75222329 75222330 chr14:75222330:G:A rs954648827 G A G EBF1_EBF_1 -13 0 - 0 0 . chr14 75237912 75237913 chr14:75237913:G:A rs190330087 G A A EBF1_EBF_1 -10 0 - 0 0 . chr14 75246776 75246777 chr14:75246777:T:G chr14:75246777:T:G T G T EBF1_EBF_1 -7 0 - 0 0 . chr14 75277154 75277155 chr14:75277155:G:A rs987256424 G A g EBF1_EBF_1 -11 0 - 0 0 . chr14 75279426 75279427 chr14:75279427:A:G rs143113093 A G A EBF1_EBF_1 22 0 - 0 0 . chr14 75279440 75279441 chr14:75279441:C:T rs4645854 C T C EBF1_EBF_1 8 1 - 4.804726423705237 0.578436334818976 CCTCCCCGGAGAAG chr14 75309054 75309055 chr14:75309055:C:T rs74687189 C T C EBF1_EBF_1 11 1 - 6.308213281900586 9.200920125504597 AACCCCAGGGGGCA chr14 75323731 75323732 chr14:75323732:G:A rs10146409 G A A EBF1_EBF_1 10 1 + 7.187868459241041 1.869839999974075 TATCCCCAGGGTCT chr14 75375839 75375840 chr14:75375840:G:A rs11623899 G A A EBF1_EBF_1 -2 0 + 0 0 . chr14 75419406 75419407 chr14:75419407:G:C rs376613324 G C g EBF1_EBF_1 25 0 - 0 0 . chr14 75431293 75431294 chr14:75431294:C:A rs117804455 C A C EBF1_EBF_1 31 0 - 0 0 . chr14 75451423 75451424 chr14:75451424:G:C rs61978925 G C G EBF1_EBF_1 -3 0 + 0 0 . chr14 75452993 75452994 chr14:75452994:T:G rs756140598 T G T EBF1_EBF_1 6 1 - 6.460507765359409 6.0989053975581315 TCCCCCATGGGGCT chr14 75453057 75453058 chr14:75453058:G:A rs78194777 G A G EBF1_EBF_1 13 1 - 5.837170592517383 6.681664707102901 AAACCCCAGGGGCC chr14 75455979 75455980 chr14:75455980:G:A rs10873278 G A A EBF1_EBF_1 33 0 - 0 0 . chr14 75461842 75461843 chr14:75461843:A:G rs17783108 A G A EBF1_EBF_1 26 0 - 0 0 . chr14 75468032 75468033 chr14:75468033:C:T rs61978939 C T C EBF1_EBF_1 30 0 - 0 0 . chr14 75470496 75470497 chr14:75470497:G:C rs55818536 G C G EBF1_EBF_1 -10 0 - 0 0 . chr14 75540264 75540265 chr14:75540265:C:T rs190552847 C T C EBF1_EBF_1 5 1 + 5.464563020564739 0.14277580502407017 ACTGCCTGGGGATT chr14 75541604 75541605 chr14:75541605:C:A rs3784007 C A C EBF1_EBF_1 25 0 - 0 0 . chr14 75547899 75547900 chr14:75547900:A:G rs11159147 A G G EBF1_EBF_1 -19 0 - 0 0 . chr14 75548543 75548544 chr14:75548544:A:G rs74065270 A G G EBF1_EBF_1 15 0 - 0 0 . chr14 75548567 75548568 chr14:75548568:C:T rs72723630 C T C EBF1_EBF_1 -9 0 - 0 0 . chr14 75548631 75548632 chr14:75548632:G:A rs73321128 G A A EBF1_EBF_1 3 1 - 6.092536159790177 -0.31286258176314025 GCTCCCATGGGCAC chr14 75579660 75579661 chr14:75579661:A:G rs114651469 A G A EBF1_EBF_1 16 0 - 0 0 . chr14 75632309 75632310 chr14:75632310:C:T rs3784000 C T T EBF1_EBF_1 28 0 + 0 0 . chr14 75636288 75636289 chr14:75636289:G:C rs193093296 G C G EBF1_EBF_1 25 0 - 0 0 . chr14 75636321 75636322 chr14:75636322:G:C rs76997170 G C G EBF1_EBF_1 -8 0 - 0 0 . chr14 75636327 75636328 chr14:75636328:C:G rs117725470 C G C EBF1_EBF_1 -14 0 - 0 0 . chr14 75661034 75661035 chr14:75661035:C:A rs560830493 C A C EBF1_EBF_1 17 0 + 0 0 . chr14 75661547 75661548 chr14:75661548:G:T rs900427325 G T G EBF1_EBF_1 7 1 - 5.48495513702808 7.778734123398261 CTCCCCCCGGGAAG chr14 75673826 75673827 chr14:75673827:A:G rs7156878 A G C EBF1_EBF_1 28 0 + 0 0 . chr14 75696714 75696715 chr14:75696715:A:C chr14:75696715:A:C A C G EBF1_EBF_1 -5 0 + 0 0 . chr14 75979677 75979678 chr14:75979678:G:A rs182386003 G A G EBF1_EBF_1 0 1 - 4.765777339991327 5.047979400721904 CGTCCCAGGAGGCT chr14 75991882 75991883 chr14:75991883:C:T rs80072789 C T C EBF1_EBF_1 7 1 + 5.40513025520284 7.222635001485464 CCTCCCACGGGGCC chr14 75998219 75998220 chr14:75998220:T:G rs2142165 T G T EBF1_EBF_1 13 1 + 7.408396290086602 5.075831154145669 CCTCCCTGGAGACT chr14 76053311 76053312 chr14:76053312:A:G rs11159165 A G G EBF1_EBF_1 -18 0 + 0 0 . chr14 76073833 76073834 chr14:76073834:T:C rs11159168 T C C EBF1_EBF_1 -4 0 + 0 0 . chr14 76073851 76073852 chr14:76073852:C:T rs577925338 C T C EBF1_EBF_1 14 0 + 0 0 . chr14 76073865 76073866 chr14:76073866:C:T rs12435714 C T C EBF1_EBF_1 28 0 + 0 0 . chr14 76113242 76113243 chr14:76113243:T:C rs4903378 T C C EBF1_EBF_1 18 0 - 0 0 . chr14 76151659 76151660 chr14:76151660:C:G chr14:76151660:C:G C G C EBF1_EBF_1 5 1 + 5.40332686124083 -1.501554291394338 AGCCCCTAAGGAAG chr14 76151685 76151686 chr14:76151686:G:T rs935336 G T G EBF1_EBF_1 31 0 + 0 0 . chr14 76293523 76293524 chr14:76293524:C:T rs534345163 C T C EBF1_EBF_1 -10 0 + 0 0 . chr14 76304985 76304986 chr14:76304986:C:G rs7151471 C G G EBF1_EBF_1 -2 0 + 0 0 . chr14 76307850 76307851 chr14:76307851:A:G rs2360992 A G G EBF1_EBF_1 -2 0 - 0 0 . chr14 76310100 76310101 chr14:76310101:A:G rs73310530 A G A EBF1_EBF_1 18 0 + 0 0 . chr14 76310109 76310110 chr14:76310110:C:T rs567152921 C T C EBF1_EBF_1 27 0 + 0 0 . chr14 76320715 76320716 chr14:76320716:C:G rs182853656 C G C EBF1_EBF_1 3 1 + 4.50737949709834 -1.3203849510168781 CCTCCCTTGAGGCC chr14 76324064 76324065 chr14:76324065:G:A rs7151565 G A G EBF1_EBF_1 -3 0 - 0 0 . chr14 76325837 76325838 chr14:76325838:C:T rs11625395 C T C EBF1_EBF_1 -13 0 + 0 0 . chr14 76327132 76327133 chr14:76327133:C:T rs72729694 C T C EBF1_EBF_1 -17 0 + 0 0 . chr14 76330227 76330228 chr14:76330228:T:A rs750684 T A A EBF1_EBF_1 -4 0 - 0 0 . chr14 76334380 76334381 chr14:76334381:A:G rs72729701 A G G EBF1_EBF_1 -14 0 - 0 0 . chr14 76336706 76336707 chr14:76336707:C:G rs72731604 C G C EBF1_EBF_1 8 1 - 8.843345419654726 3.52468655874004 TGCCCCCAGGGAAC chr14 76337243 76337244 chr14:76337244:T:A rs7156805 T A T EBF1_EBF_1 11 1 - 6.636283312171637 2.538369056072912 AGCCCCAGGAGAGT chr14 76337261 76337262 chr14:76337262:T:A rs7156820 T A T EBF1_EBF_1 -7 0 - 0 0 . chr14 76355059 76355060 chr14:76355060:G:A rs2885131 G A A EBF1_EBF_1 24 0 - 0 0 . chr14 76387410 76387411 chr14:76387411:T:C rs1440168417 T C T EBF1_EBF_1 30 0 - 0 0 . chr14 76397338 76397339 chr14:76397339:G:A rs74068604 G A G EBF1_EBF_1 -4 0 + 0 0 . chr14 76404201 76404202 chr14:76404202:G:A rs56282300 G A G EBF1_EBF_1 -20 0 + 0 0 . chr14 76450635 76450636 chr14:76450636:T:G rs140178823 T G T EBF1_EBF_1 2 1 + 6.020326479134409 -0.7279992110660594 ACTCCCCTAGGAGA chr14 76489519 76489520 chr14:76489520:T:C rs7160216 T C T EBF1_EBF_1 19 0 + 0 0 . chr14 76499618 76499619 chr14:76499619:T:G rs4293299 T G G EBF1_EBF_1 -18 0 + 0 0 . chr14 76642026 76642027 chr14:76642027:C:T rs850742 C T C EBF1_EBF_1 10 1 - 6.192869600489829 0.8748411412228634 AACCCCAGAGGACT chr14 76644085 76644086 chr14:76644086:G:A rs12895270 G A G EBF1_EBF_1 25 0 + 0 0 . chr14 76662872 76662873 chr14:76662873:G:T rs12587888 G T G EBF1_EBF_1 12 1 - 5.913030504127776 5.943211902549102 TTCCCCAGGAGACC chr14 76664962 76664963 chr14:76664963:C:T rs74775093 C T C EBF1_EBF_1 0 1 + 11.143485474711127 11.425687535441705 CTTCCCAAGGGAAA chr14 76690920 76690921 chr14:76690921:G:A rs1243041371 G A C EBF1_EBF_1 28 0 + 0 0 . chr14 76755798 76755799 chr14:76755799:C:T rs75507958 C T C EBF1_EBF_1 27 0 + 0 0 . chr14 76763195 76763196 chr14:76763196:G:A rs2270324 G A A EBF1_EBF_1 -13 0 + 0 0 . chr14 76770590 76770591 chr14:76770591:C:T rs8006081 C T T EBF1_EBF_1 11 1 + 5.700752393567574 6.0187998353212375 GCTCCCCTGGGCCC chr14 76771772 76771773 chr14:76771773:C:G rs149094211 C G C EBF1_EBF_1 25 0 + 0 0 . chr14 76775300 76775301 chr14:76775301:A:G rs1882837 A G A EBF1_EBF_1 25 0 - 0 0 . chr14 76812982 76812983 chr14:76812983:G:T rs765227318 G T G EBF1_EBF_1 29 0 - 0 0 . chr14 76813017 76813018 chr14:76813018:A:T rs6574330 A T T EBF1_EBF_1 -6 0 - 0 0 . chr14 76840675 76840676 chr14:76840676:G:A rs34632562 G A g EBF1_EBF_1 5 1 - 4.14141885062611 -1.1803683649145604 AACCCCGGGGGGCA chr14 76913325 76913326 chr14:76913326:C:A rs72627181 C A C EBF1_EBF_1 20 0 - 0 0 . chr14 76913360 76913361 chr14:76913361:A:G rs72627182 A G A EBF1_EBF_1 -15 0 - 0 0 . chr14 76913859 76913860 chr14:76913860:A:G chr14:76913860:A:G A G A EBF1_EBF_1 31 0 + 0 0 . chr14 76926519 76926520 chr14:76926520:C:T rs72721363 C T C EBF1_EBF_1 21 0 + 0 0 . chr14 76926558 76926559 chr14:76926559:C:T rs545860837 C T C EBF1_EBF_1 23 0 + 0 0 . chr14 76927243 76927244 chr14:76927244:C:G rs144213741 C G G EBF1_EBF_1 3 1 + 6.963561424485768 1.1357969763705502 CCTCCCCAGGGGCA chr14 76928435 76928436 chr14:76928436:T:A rs146932719 T A T EBF1_EBF_1 -6 0 + 0 0 . chr14 76937584 76937585 chr14:76937585:G:T rs175983 G T G EBF1_EBF_1 15 0 - 0 0 . chr14 76937605 76937606 chr14:76937606:T:C rs74669822 T C T EBF1_EBF_1 -6 0 - 0 0 . chr14 76941794 76941795 chr14:76941795:G:A rs574697044 G A G EBF1_EBF_1 -16 0 + 0 0 . chr14 76948288 76948289 chr14:76948289:C:G rs79526500 C G C EBF1_EBF_1 6 1 + 5.488734129566268 3.6835420660930707 AGTCCCCGGGGCAG chr14 76948313 76948314 chr14:76948314:C:T rs150900568 C T C EBF1_EBF_1 31 0 + 0 0 . chr14 76956303 76956304 chr14:76956304:A:G rs191368380 A G A EBF1_EBF_1 -1 0 + 0 0 . chr14 76962096 76962097 chr14:76962097:T:C chr14:76962097:T:C T C C EBF1_EBF_1 -6 0 + 0 0 . chr14 76976251 76976252 chr14:76976252:C:T rs545107623 C T C EBF1_EBF_1 2 1 + 5.405996918681236 7.294820330123387 TTCCCCCAGAGAAG chr14 76999687 76999688 chr14:76999688:G:T rs144288447 G T G EBF1_EBF_1 -18 0 + 0 0 . chr14 77005349 77005350 chr14:77005350:G:A rs10431632 G A G EBF1_EBF_1 27 0 + 0 0 . chr14 77006332 77006333 chr14:77006333:G:A rs113600296 G A G EBF1_EBF_1 -2 0 + 0 0 . chr14 77006333 77006334 chr14:77006334:C:T rs116264894 C T C EBF1_EBF_1 -1 0 + 0 0 . chr14 77008300 77008301 chr14:77008301:T:C rs961088568 T C T EBF1_EBF_1 -7 0 + 0 0 . chr14 77028213 77028214 chr14:77028214:C:T rs3742745 C T C EBF1_EBF_1 -1 0 + 0 0 . chr14 77028263 77028264 chr14:77028264:C:T rs186382055 C T C EBF1_EBF_1 7 1 - 4.6873990794112625 5.9998574847000885 CGCCCCAGGGGGCC chr14 77033445 77033446 chr14:77033446:A:C chr14:77033446:A:C A C A EBF1_EBF_1 -10 0 - 0 0 . chr14 77039975 77039976 chr14:77039976:T:C rs205805 T C C EBF1_EBF_1 7 1 + 6.41548140564645 4.597976659363828 CCTCCCCTGGTACT chr14 77040861 77040862 chr14:77040862:G:T rs10873301 G T G EBF1_EBF_1 2 1 - 9.39758450052127 7.601940324364545 AGCCCCCAGGGAGC chr14 77064634 77064635 chr14:77064635:G:A rs75535732 G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 77076264 77076265 chr14:77076265:C:T rs920925331 C T C EBF1_EBF_1 -2 0 + 0 0 . chr14 77088532 77088533 chr14:77088533:A:G rs204983 A G A EBF1_EBF_1 17 0 + 0 0 . chr14 77121715 77121716 chr14:77121716:C:T rs77963376 C T C EBF1_EBF_1 11 1 + 4.864257477585943 5.1823049193396065 GGTCCCCTGGGCTC chr14 77123981 77123982 chr14:77123982:C:T rs12590338 C T C EBF1_EBF_1 18 0 - 0 0 . chr14 77131612 77131613 chr14:77131613:C:T rs113703943 C T C EBF1_EBF_1 9 1 + 4.581968989473454 2.8206687277301086 TGCCCCCAGCGACT chr14 77182283 77182284 chr14:77182284:G:A rs12891631 G A G EBF1_EBF_1 15 0 + 0 0 . chr14 77182471 77182472 chr14:77182472:G:C rs8017067 G C G EBF1_EBF_1 33 0 - 0 0 . chr14 77189725 77189726 chr14:77189726:G:A rs7148579 G A G EBF1_EBF_1 -3 0 - 0 0 . chr14 77189734 77189735 chr14:77189735:C:T rs72725325 C T C EBF1_EBF_1 -12 0 - 0 0 . chr14 77196065 77196066 chr14:77196066:T:C rs7154521 T C C EBF1_EBF_1 -18 0 + 0 0 . chr14 77196116 77196117 chr14:77196117:C:T rs1508298 C T C EBF1_EBF_1 33 0 + 0 0 . chr14 77196129 77196130 chr14:77196130:A:G rs17105444 A G A EBF1_EBF_1 22 0 - 0 0 . chr14 77196501 77196502 chr14:77196502:G:T rs72725332 G T G EBF1_EBF_1 22 0 - 0 0 . chr14 77196530 77196531 chr14:77196531:G:A rs11627977 G A G EBF1_EBF_1 8 1 + 9.038713926082425 4.812423837196165 ATTCCCCCGGGGCT chr14 77217779 77217780 chr14:77217780:C:G rs2110909 C G G EBF1_EBF_1 -2 0 - 0 0 . chr14 77224007 77224008 chr14:77224008:C:T rs385604 C T C EBF1_EBF_1 -8 0 - 0 0 . chr14 77243106 77243107 chr14:77243107:T:G rs409109 T G G EBF1_EBF_1 -9 0 - 0 0 . chr14 77243133 77243134 chr14:77243134:A:G rs409306 A G G EBF1_EBF_1 0 1 + 7.4332392390783 5.5409553695134655 AGCCCCCTGGGAGG chr14 77256123 77256124 chr14:77256124:C:T rs733416 C T C EBF1_EBF_1 8 1 - 9.466512773013081 5.24022268412682 ACTCCCTCGGGATC chr14 77260725 77260726 chr14:77260726:T:C rs1023351605 T C T EBF1_EBF_1 29 0 + 0 0 . chr14 77274079 77274080 chr14:77274080:G:A rs4903566 G A G EBF1_EBF_1 17 0 + 0 0 . chr14 77279773 77279774 chr14:77279774:G:A rs61990292 G A G EBF1_EBF_1 17 0 + 0 0 . chr14 77301392 77301393 chr14:77301393:G:A rs117741019 G A G EBF1_EBF_1 19 0 + 0 0 . chr14 77310157 77310158 chr14:77310158:T:A rs4899650 T A T EBF1_EBF_1 -20 0 - 0 0 . chr14 77315132 77315133 chr14:77315133:C:T rs72681243 C T C EBF1_EBF_1 20 0 - 0 0 . chr14 77326480 77326481 chr14:77326481:G:C rs2270422 G C G EBF1_EBF_1 5 1 - 4.7697227226667325 -2.1351584299684356 CCCCCCCAGGGCCT chr14 77338061 77338062 chr14:77338062:C:T rs2160838 C T C EBF1_EBF_1 26 0 - 0 0 . chr14 77344544 77344545 chr14:77344545:G:A rs72681262 G A G EBF1_EBF_1 27 0 - 0 0 . chr14 77377419 77377420 chr14:77377420:T:G rs114162430 T G T EBF1_EBF_1 20 0 - 0 0 . chr14 77377439 77377440 chr14:77377440:T:C rs745366032 T C T EBF1_EBF_1 0 1 - 5.643272936394937 3.750989066830104 AATCCTCCGGGACT chr14 77457453 77457454 chr14:77457454:C:T rs114784880 C T T EBF1_EBF_1 26 0 - 0 0 . chr14 77457456 77457457 chr14:77457457:G:C rs145506107 G C G EBF1_EBF_1 23 0 - 0 0 . chr14 77457473 77457474 chr14:77457474:A:G rs151172490 A G A EBF1_EBF_1 6 1 - 5.025247055519481 4.723960926657725 AGCCCCTTGGGTCG chr14 77457481 77457482 chr14:77457482:G:A rs117264193 G A G EBF1_EBF_1 -2 0 - 0 0 . chr14 77463326 77463327 chr14:77463327:A:G rs11620678 A G G EBF1_EBF_1 25 0 - 0 0 . chr14 77477369 77477370 chr14:77477370:T:C rs6574374 T C C EBF1_EBF_1 -7 0 + 0 0 . chr14 77487875 77487876 chr14:77487876:G:A rs12587525 G A G EBF1_EBF_1 28 0 + 0 0 . chr14 77490822 77490823 chr14:77490823:C:T chr14:77490823:C:T C T C EBF1_EBF_1 25 0 - 0 0 . chr14 77497972 77497973 chr14:77497973:T:A rs114136549 T A T EBF1_EBF_1 6 1 - 10.403508324002132 10.343192085062611 ATTCCCATGGGGAC chr14 77554923 77554924 chr14:77554924:G:C rs17751727 G C G EBF1_EBF_1 -15 0 + 0 0 . chr14 77638553 77638554 chr14:77638554:G:A rs8017748 G A A EBF1_EBF_1 30 0 - 0 0 . chr14 77653718 77653719 chr14:77653719:C:T rs550240332 C T C EBF1_EBF_1 19 0 - 0 0 . chr14 77661842 77661843 chr14:77661843:T:C rs146143420 T C T EBF1_EBF_1 -4 0 + 0 0 . chr14 77663460 77663461 chr14:77663461:G:C rs8019042 G C G EBF1_EBF_1 5 1 - 5.688609312082875 -1.2162718405522932 GCTCCCATGGGCCA chr14 77866491 77866492 chr14:77866492:G:T rs11159300 G T G EBF1_EBF_1 18 0 - 0 0 . chr14 77909000 77909001 chr14:77909001:A:G rs11625414 A G G EBF1_EBF_1 -13 0 - 0 0 . chr14 77966364 77966365 chr14:77966365:G:A rs144900163 G A G EBF1_EBF_1 12 1 - 3.922655471736298 2.8963889011854485 GGTCCCCGGAGGCC chr14 77979830 77979831 chr14:77979831:T:C rs116849609 T C T EBF1_EBF_1 -8 0 + 0 0 . chr14 77979831 77979832 chr14:77979832:G:A rs1404324388 G A G EBF1_EBF_1 -7 0 + 0 0 . chr14 77989636 77989637 chr14:77989637:G:A rs1997906 G A G EBF1_EBF_1 -6 0 - 0 0 . chr14 78088737 78088738 chr14:78088738:A:G rs11159326 A G G EBF1_EBF_1 -13 0 - 0 0 . chr14 78127570 78127571 chr14:78127571:A:G rs140067676 A G A EBF1_EBF_1 -13 0 - 0 0 . chr14 78248876 78248877 chr14:78248877:T:G rs72685774 T G T EBF1_EBF_1 -6 0 + 0 0 . chr14 78256218 78256219 chr14:78256219:C:T rs146370581 C T C EBF1_EBF_1 24 0 - 0 0 . chr14 78318779 78318780 chr14:78318780:G:T rs7154078 G T G EBF1_EBF_1 -19 0 - 0 0 . chr14 78318981 78318982 chr14:78318982:A:T rs7153993 A T A EBF1_EBF_1 -18 0 + 0 0 . chr14 78319815 78319816 chr14:78319816:A:G rs6574433 A G G EBF1_EBF_1 -8 0 + 0 0 . chr14 78359265 78359266 chr14:78359266:C:T rs111329320 C T C EBF1_EBF_1 1 1 + 5.5050838255251335 6.400517265923879 GCCCCCCAGAGAGT chr14 78399505 78399506 chr14:78399506:G:A rs11159355 G A A EBF1_EBF_1 -11 0 - 0 0 . chr14 78422093 78422094 chr14:78422094:A:G rs17107537 A G G EBF1_EBF_1 -6 0 - 0 0 . chr14 78440605 78440606 chr14:78440606:T:C rs369744112 T C T EBF1_EBF_1 28 0 + 0 0 . chr14 78458130 78458131 chr14:78458131:T:G rs8016770 T G T EBF1_EBF_1 -8 0 - 0 0 . chr14 78500446 78500447 chr14:78500447:C:G rs7151521 C G G EBF1_EBF_1 10 1 - 6.667984371483017 -0.222818015846458 GCTCCCTAAGGACC chr14 78502077 78502078 chr14:78502078:C:T rs55855833 C T C EBF1_EBF_1 17 0 - 0 0 . chr14 78575478 78575479 chr14:78575479:A:G rs17756842 A G A EBF1_EBF_1 25 0 - 0 0 . chr14 78595930 78595931 chr14:78595931:G:A rs34905855 G A G EBF1_EBF_1 9 1 + 6.132314665331879 3.4773753998842754 GACCCCAAGGGGAC chr14 78595939 78595940 chr14:78595940:C:T rs61976084 C T C EBF1_EBF_1 18 0 + 0 0 . chr14 78595953 78595954 chr14:78595954:C:T rs61976085 C T C EBF1_EBF_1 32 0 + 0 0 . chr14 78705129 78705130 chr14:78705130:C:T rs7143785 C T C EBF1_EBF_1 11 1 - 4.466863637477931 7.359570481081943 CTCCCCATGGGGGA chr14 78737924 78737925 chr14:78737925:C:A rs77937081 C A A EBF1_EBF_1 -9 0 - 0 0 . chr14 78778306 78778307 chr14:78778307:C:G rs9972280 C G G EBF1_EBF_1 22 0 - 0 0 . chr14 78803749 78803750 chr14:78803750:G:A rs2288140 G A G EBF1_EBF_1 0 1 + 5.108344912353881 7.0006287819187145 GACCTCCAGGGACT chr14 78805733 78805734 chr14:78805734:C:G rs1863027 C G G EBF1_EBF_1 14 0 - 0 0 . chr14 78839576 78839577 chr14:78839577:C:A rs10459553 C A C EBF1_EBF_1 2 1 + 6.0470622883372265 4.2514181121805 CACCCCTTGGGGCT chr14 79091715 79091716 chr14:79091716:C:T rs12431671 C T C EBF1_EBF_1 2 1 + 4.946669886376946 6.835493297819096 TACCCCAGGAGACC chr14 79207713 79207714 chr14:79207714:A:G rs192941314 A G A EBF1_EBF_1 18 0 - 0 0 . chr14 79278492 79278493 chr14:79278493:G:A rs79224895 G A G EBF1_EBF_1 32 0 - 0 0 . chr14 79306117 79306118 chr14:79306118:G:A rs79040200 G A G EBF1_EBF_1 -7 0 - 0 0 . chr14 79315066 79315067 chr14:79315067:C:T rs4899739 C T T EBF1_EBF_1 32 0 + 0 0 . chr14 79726023 79726024 chr14:79726024:C:T rs17174744 C T C EBF1_EBF_1 -14 0 - 0 0 . chr14 79730340 79730341 chr14:79730341:T:C rs55712116 T C C EBF1_EBF_1 -12 0 - 0 0 . chr14 79821349 79821350 chr14:79821350:C:T rs2223033 C T C EBF1_EBF_1 24 0 + 0 0 . chr14 79856218 79856219 chr14:79856219:T:G rs7148119 T G T EBF1_EBF_1 -5 0 + 0 0 . chr14 79990527 79990528 chr14:79990528:G:A rs759513 G A A EBF1_EBF_1 -20 0 - 0 0 . chr14 79992810 79992811 chr14:79992811:G:T rs1024833 G T G EBF1_EBF_1 11 1 + 5.825027511032684 4.6198200985379705 AAACCCAAGGGGCA chr14 80098961 80098962 chr14:80098962:G:C rs2029575 G C G EBF1_EBF_1 19 0 + 0 0 . chr14 80152282 80152283 chr14:80152283:T:G rs76447863 T G T EBF1_EBF_1 -5 0 - 0 0 . chr14 80477880 80477881 chr14:80477881:T:C rs141225909 T C T EBF1_EBF_1 -3 0 + 0 0 . chr14 80477886 80477887 chr14:80477887:C:T rs147099150 C T C EBF1_EBF_1 3 1 + 8.26415091529663 1.8587521737433128 CATCCCATGAGAAT chr14 80621930 80621931 chr14:80621931:A:T rs552987029 A T A EBF1_EBF_1 23 0 + 0 0 . chr14 80835047 80835048 chr14:80835048:G:A rs7148618 G A G EBF1_EBF_1 22 0 - 0 0 . chr14 80941764 80941765 chr14:80941765:G:T rs913608843 G T G EBF1_EBF_1 33 0 - 0 0 . chr14 80941766 80941767 chr14:80941767:A:C rs190341003 A C A EBF1_EBF_1 31 0 - 0 0 . chr14 80941817 80941818 chr14:80941818:A:T chr14:80941818:A:T A T A EBF1_EBF_1 24 0 - 0 0 . chr14 80941820 80941821 chr14:80941821:A:G rs6574615 A G A EBF1_EBF_1 21 0 - 0 0 . chr14 80941837 80941838 chr14:80941838:G:A rs73344083 G A G EBF1_EBF_1 4 1 - 7.029150993660112 2.6597640487151883 TCTCCCTTGGTAAT chr14 80953504 80953505 chr14:80953505:A:T rs1355776308 A T A EBF1_EBF_1 9 1 + 5.168455949194477 0.9864058065532704 AATCCCTTGAGCCC chr14 80955190 80955191 chr14:80955191:A:C rs375272314 A C A EBF1_EBF_1 11 1 + 5.11242675773388 0.6964650598814903 ACTCCGCGGGGACT chr14 81125409 81125410 chr14:81125410:T:C rs7158881 T C C EBF1_EBF_1 11 1 - 4.220566005139332 1.3278591615353201 CTTCCACAGGGACC chr14 81170350 81170351 chr14:81170351:C:T rs181094404 C T C EBF1_EBF_1 13 1 + 3.9353388356360774 4.779832950221596 GACCCCGAGGGGCC chr14 81205751 81205752 chr14:81205752:C:T rs1227807634 C T C EBF1_EBF_1 -7 0 + 0 0 . chr14 81205761 81205762 chr14:81205762:C:T rs1951614 C T C EBF1_EBF_1 3 1 + 6.044235789022609 -0.3611629525307079 AATCCCAGGCGAAA chr14 81220137 81220138 chr14:81220138:G:A rs970017088 G A G EBF1_EBF_1 5 1 - 3.6432913988563946 -1.678495816684276 CCCCCCGAGGGGCC chr14 81220148 81220149 chr14:81220149:G:C rs529321971 G C G EBF1_EBF_1 -6 0 - 0 0 . chr14 81222845 81222846 chr14:81222846:T:C rs1409891356 T C T EBF1_EBF_1 27 0 + 0 0 . chr14 81247082 81247083 chr14:81247083:G:C rs7160342 G C G EBF1_EBF_1 -16 0 - 0 0 . chr14 81343307 81343308 chr14:81343308:T:C rs7492957 T C T EBF1_EBF_1 1 1 - 7.679987412404614 7.940686244325915 TATCCCAGGAGACT chr14 81383058 81383059 chr14:81383059:T:C rs72703752 T C T EBF1_EBF_1 23 0 + 0 0 . chr14 81421964 81421965 chr14:81421965:G:C rs3908315 G C G EBF1_EBF_1 5 1 - 6.001266331124925 -0.9036148215102433 CTTCCCCAGGGTTC chr14 81449688 81449689 chr14:81449689:C:T rs17111840 C T T EBF1_EBF_1 15 0 + 0 0 . chr14 81450383 81450384 chr14:81450384:G:C rs11159500 G C G EBF1_EBF_1 -12 0 + 0 0 . chr14 81518197 81518198 chr14:81518198:C:T rs192515867 C T C EBF1_EBF_1 -19 0 + 0 0 . chr14 81671037 81671038 chr14:81671038:C:T rs80013413 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 81833795 81833796 chr14:81833796:G:C rs1952371 G C C EBF1_EBF_1 -8 0 + 0 0 . chr14 82010975 82010976 chr14:82010976:A:G rs56373504 A G A EBF1_EBF_1 -3 0 - 0 0 . chr14 82198876 82198877 chr14:82198877:A:G rs11626120 A G A EBF1_EBF_1 28 0 - 0 0 . chr14 82397865 82397866 chr14:82397866:G:C rs1152412 G C G EBF1_EBF_1 8 1 + 5.757270461875221 0.43861160096053536 CTTCCCAGGAGAGA chr14 82425226 82425227 chr14:82425227:G:A rs4904066 G A G EBF1_EBF_1 1 1 - 7.971690922603927 8.867124363002672 ACCCCCCAGAGAAC chr14 82647590 82647591 chr14:82647591:T:C rs1756345 T C C EBF1_EBF_1 -15 0 + 0 0 . chr14 82917357 82917358 chr14:82917358:T:C rs117367875 T C t EBF1_EBF_1 26 0 + 0 0 . chr14 82934506 82934507 chr14:82934507:A:G rs12879888 A G A EBF1_EBF_1 19 0 - 0 0 . chr14 83053414 83053415 chr14:83053415:A:T rs12434092 A T A EBF1_EBF_1 21 0 + 0 0 . chr14 83156265 83156266 chr14:83156266:G:A rs1263439 G A - EBF1_EBF_1 7 1 - 5.765885328244033 7.583390074526657 ACTCCCTCGGTAGT chr14 83210462 83210463 chr14:83210463:T:G rs1379238 T G G EBF1_EBF_1 6 1 - 7.438269321069605 7.076666953268327 ATTGCCATGGGACC chr14 83343198 83343199 chr14:83343199:G:T rs61983796 G T G EBF1_EBF_1 -19 0 + 0 0 . chr14 83842791 83842792 chr14:83842792:T:C rs1705657 T C T EBF1_EBF_1 20 0 - 0 0 . chr14 83855943 83855944 chr14:83855944:A:C rs7148616 A C A EBF1_EBF_1 1 1 - 4.712738039189657 4.007076253360129 ATCTCCCAGGGACA chr14 84006846 84006847 chr14:84006847:C:T chr14:84006847:C:T C T C EBF1_EBF_1 4 1 + 6.299231523391859 1.9298445784469351 AACCCCCAAGGACC chr14 84419087 84419088 chr14:84419088:C:G rs55843983 C G C EBF1_EBF_1 8 1 - 8.256956808464507 2.938297947549819 ACCCCCAGGGGAGC chr14 84426862 84426863 chr14:84426863:C:G rs12880893 C G C EBF1_EBF_1 10 1 - 5.697703382582186 -1.193099004747289 AGTCCATAGGGACA chr14 84453611 84453612 chr14:84453612:C:A rs12889399 C A A EBF1_EBF_1 -9 0 - 0 0 . chr14 84643402 84643403 chr14:84643403:A:C rs2199995 A C A EBF1_EBF_1 -14 0 + 0 0 . chr14 84665473 84665474 chr14:84665474:T:C rs11847198 T C C EBF1_EBF_1 -9 0 - 0 0 . chr14 85182135 85182136 chr14:85182136:G:T rs76371777 G T G EBF1_EBF_1 13 1 + 11.318057768587458 13.650622904528392 AATCCCAAGGGAAG chr14 85182140 85182141 chr14:85182141:T:C rs1628501 T C C EBF1_EBF_1 18 0 + 0 0 . chr14 85186182 85186183 chr14:85186183:A:G rs921266 A G A EBF1_EBF_1 7 1 + 4.999653615756342 3.687195210467517 CCTCCCTAGAGAGG chr14 85195958 85195959 chr14:85195959:A:T rs1012721632 A T A EBF1_EBF_1 18 0 - 0 0 . chr14 85221711 85221712 chr14:85221712:G:A rs1250643289 G A G EBF1_EBF_1 8 1 + 7.390088793571976 3.163798704685715 TTTCCCCTGGGCAT chr14 85221729 85221730 chr14:85221730:T:C rs74596804 T C T EBF1_EBF_1 26 0 + 0 0 . chr14 85221874 85221875 chr14:85221875:G:C rs1767401 G C G EBF1_EBF_1 -5 0 - 0 0 . chr14 85393539 85393540 chr14:85393540:T:C rs7141524 T C C EBF1_EBF_1 22 0 - 0 0 . chr14 85429123 85429124 chr14:85429124:A:T rs28525173 A T A EBF1_EBF_1 22 0 + 0 0 . chr14 85500469 85500470 chr14:85500470:T:C rs1411616295 T C T EBF1_EBF_1 29 0 - 0 0 . chr14 85530048 85530049 chr14:85530049:C:A rs148199097 C A C EBF1_EBF_1 -7 0 - 0 0 . chr14 85542797 85542798 chr14:85542798:G:A rs984586 G A G EBF1_EBF_1 29 0 + 0 0 . chr14 85577110 85577111 chr14:85577111:C:T rs371562523 C T C EBF1_EBF_1 10 1 - 5.467870782869284 0.14984232360231847 AACCCCAAGCGAAA chr14 85601429 85601430 chr14:85601430:G:A rs2746997 G A A EBF1_EBF_1 13 1 - 10.26019511579708 11.104689230382599 CCTCCCCAGGGAAC chr14 85659338 85659339 chr14:85659339:T:C rs10467742 T C T EBF1_EBF_1 -12 0 + 0 0 . chr14 85662877 85662878 chr14:85662878:T:A rs12100746 T A T EBF1_EBF_1 20 0 + 0 0 . chr14 85721632 85721633 chr14:85721633:G:A rs58605565 G A A EBF1_EBF_1 26 0 + 0 0 . chr14 85722706 85722707 chr14:85722707:T:C rs58628158 T C C EBF1_EBF_1 12 1 + 8.40772059181376 9.433987162364609 GCCCCCATGGGATT chr14 85722709 85722710 chr14:85722710:A:G rs34706434 A G A EBF1_EBF_1 15 0 + 0 0 . chr14 85766431 85766432 chr14:85766432:T:C rs76440400 T C T EBF1_EBF_1 -14 0 + 0 0 . chr14 85856585 85856586 chr14:85856586:T:C rs58871006 T C T EBF1_EBF_1 13 1 + 6.626296694135864 5.781802579550346 AATCTCAAGAGAAT chr14 85921334 85921335 chr14:85921335:T:C rs572316357 T C T EBF1_EBF_1 29 0 - 0 0 . chr14 86300075 86300076 chr14:86300076:T:C rs12147291 T C T EBF1_EBF_1 -7 0 - 0 0 . chr14 86521504 86521505 chr14:86521505:A:G rs12897286 A G A EBF1_EBF_1 6 1 + 6.307623291022123 4.140828859747648 CTTCCCATGAGGCT chr14 86521515 86521516 chr14:86521516:C:A rs73320268 C A C EBF1_EBF_1 17 0 + 0 0 . chr14 86574630 86574631 chr14:86574631:C:G rs73321983 C G C EBF1_EBF_1 -2 0 - 0 0 . chr14 86974067 86974068 chr14:86974068:T:C rs11850441 T C C EBF1_EBF_1 17 0 - 0 0 . chr14 87020431 87020432 chr14:87020432:C:T rs61979822 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 87035202 87035203 chr14:87035203:A:T rs111412071 A T A EBF1_EBF_1 20 0 - 0 0 . chr14 87350982 87350983 chr14:87350983:C:A rs12880465 C A C EBF1_EBF_1 5 1 + 6.364067697895207 -0.5408134547399615 CCCCCCAGGGGATC chr14 87492058 87492059 chr14:87492059:C:T rs149119911 C T C EBF1_EBF_1 20 0 + 0 0 . chr14 87725848 87725849 chr14:87725849:T:C rs1954066 T C T EBF1_EBF_1 -8 0 - 0 0 . chr14 87734917 87734918 chr14:87734918:T:C rs11628235 T C C EBF1_EBF_1 -17 0 - 0 0 . chr14 87749504 87749505 chr14:87749505:C:T rs6574958 C T T EBF1_EBF_1 10 1 - 5.968396577447045 0.6503681181800787 AGCCCCATGAGGCT chr14 87771359 87771360 chr14:87771360:T:C rs113125986 T C T EBF1_EBF_1 21 0 - 0 0 . chr14 87865333 87865334 chr14:87865334:C:A rs7153029 C A C EBF1_EBF_1 -17 0 + 0 0 . chr14 87916753 87916754 chr14:87916754:A:G rs382299 A G G EBF1_EBF_1 1 1 + 4.247457443282223 4.5081562752035245 GACCCCCAGGGCAC chr14 87926200 87926201 chr14:87926201:T:C rs397480 T C C EBF1_EBF_1 -1 0 + 0 0 . chr14 87992935 87992936 chr14:87992936:C:A rs143228205 C A C EBF1_EBF_1 -10 0 - 0 0 . chr14 88018056 88018057 chr14:88018057:C:T rs61977333 C T C EBF1_EBF_1 -3 0 - 0 0 . chr14 88066665 88066666 chr14:88066666:T:C rs78508785 T C T EBF1_EBF_1 18 0 + 0 0 . chr14 88130027 88130028 chr14:88130028:G:A rs939779118 G A A EBF1_EBF_1 17 0 - 0 0 . chr14 88130032 88130033 chr14:88130033:G:A rs144360044 G A G EBF1_EBF_1 12 1 - 13.51953797022709 12.49327139967624 AGTCCCCAGGGACT chr14 88232298 88232299 chr14:88232299:G:A rs1956541 G A A EBF1_EBF_1 10 1 + 5.288079819228496 -0.029948640038469573 GATCGCAAGGGAAC chr14 88249846 88249847 chr14:88249847:A:C rs7160546 A C A EBF1_EBF_1 20 0 + 0 0 . chr14 88468306 88468307 chr14:88468307:G:A rs366476 G A A EBF1_EBF_1 5 1 - 8.315949107266126 2.994161891725458 CTCCCCAGGGGAAC chr14 88492312 88492313 chr14:88492313:T:C rs77076784 T C t EBF1_EBF_1 -18 0 + 0 0 . chr14 88492344 88492345 chr14:88492345:C:T rs149587240 C T c EBF1_EBF_1 14 0 + 0 0 . chr14 88502803 88502804 chr14:88502804:G:A rs11845147 G A G EBF1_EBF_1 8 1 + 8.155412313012302 3.9291222241260417 AATCCCAGGGTAAT chr14 88554831 88554832 chr14:88554832:C:T rs1048102606 C T c EBF1_EBF_1 6 1 + 7.030580080597063 7.331866209458821 ATTCCCCTGGGCAG chr14 88554858 88554859 chr14:88554859:C:T rs555158110 C T c EBF1_EBF_1 33 0 + 0 0 . chr14 88554902 88554903 chr14:88554903:G:A rs3742681 G A g EBF1_EBF_1 22 0 - 0 0 . chr14 88554929 88554930 chr14:88554930:G:T rs578242022 G T g EBF1_EBF_1 -5 0 - 0 0 . chr14 88870991 88870992 chr14:88870992:A:G rs2038531 A G A EBF1_EBF_1 -14 0 - 0 0 . chr14 89012163 89012164 chr14:89012164:C:T rs58537063 C T C EBF1_EBF_1 -7 0 + 0 0 . chr14 89040891 89040892 chr14:89040892:G:T rs542386783 G T G EBF1_EBF_1 27 0 - 0 0 . chr14 89040912 89040913 chr14:89040913:G:T chr14:89040913:G:T G T G EBF1_EBF_1 6 1 - 4.693162491514166 5.054764859315444 ACTGCCCTGGGACG chr14 89067727 89067728 chr14:89067728:G:A rs145980809 G A G EBF1_EBF_1 33 0 + 0 0 . chr14 89088771 89088772 chr14:89088772:C:G rs966906484 C G C EBF1_EBF_1 -5 0 - 0 0 . chr14 89139472 89139473 chr14:89139473:C:T rs1287612 C T T EBF1_EBF_1 -10 0 - 0 0 . chr14 89162656 89162657 chr14:89162657:C:A rs45535535 C A C EBF1_EBF_1 3 1 + 7.819334608023357 0.9131605785575513 GTCCCCCAGAGAAT chr14 89167459 89167460 chr14:89167460:G:C rs1957833 G C C EBF1_EBF_1 16 0 + 0 0 . chr14 89243157 89243158 chr14:89243158:C:T rs77672247 C T C EBF1_EBF_1 17 0 + 0 0 . chr14 89248432 89248433 chr14:89248433:C:T rs11627126 C T T EBF1_EBF_1 -19 0 + 0 0 . chr14 89248532 89248533 chr14:89248533:T:C rs61983082 T C T EBF1_EBF_1 25 0 - 0 0 . chr14 89249150 89249151 chr14:89249151:A:T rs55985762 A T T EBF1_EBF_1 -7 0 - 0 0 . chr14 89253341 89253342 chr14:89253342:G:C rs534352101 G C G EBF1_EBF_1 12 1 + 8.830606938543395 10.219242882221545 GCTCCCCAGGGAGA chr14 89264443 89264444 chr14:89264444:A:G rs72701611 A G A EBF1_EBF_1 17 0 - 0 0 . chr14 89284988 89284989 chr14:89284989:C:G rs11159895 C G C EBF1_EBF_1 -18 0 - 0 0 . chr14 89320649 89320650 chr14:89320650:A:G rs4904544 A G G EBF1_EBF_1 -2 0 - 0 0 . chr14 89408636 89408637 chr14:89408637:G:A chr14:89408637:G:A G A G EBF1_EBF_1 -12 0 + 0 0 . chr14 89417756 89417757 chr14:89417757:C:T rs568705665 C T C EBF1_EBF_1 12 1 + 5.061770159446415 4.0355035888955655 GACCCCCAGGGCCT chr14 89425731 89425732 chr14:89425732:T:C rs551665734 T C T EBF1_EBF_1 25 0 - 0 0 . chr14 89433120 89433121 chr14:89433121:G:T rs111329380 G T G EBF1_EBF_1 -9 0 - 0 0 . chr14 89440090 89440091 chr14:89440091:A:T rs4899996 A T T EBF1_EBF_1 13 1 - 7.589658245271542 6.371418681400048 ACCCCCTAGGTAAT chr14 89441776 89441777 chr14:89441777:T:C rs72703652 T C t EBF1_EBF_1 27 0 - 0 0 . chr14 89532035 89532036 chr14:89532036:T:C rs1756303 T C C EBF1_EBF_1 0 1 - 5.5053065813467414 3.613022711781909 ATTCCCAAGTGATA chr14 89534650 89534651 chr14:89534651:C:T rs34153568 C T C EBF1_EBF_1 4 1 + 8.772727066516135 4.403340121571213 ACTCCCCTGGGGAA chr14 89701125 89701126 chr14:89701126:C:T rs7143726 C T C EBF1_EBF_1 21 0 - 0 0 . chr14 89730580 89730581 chr14:89730581:C:T rs76134956 C T T EBF1_EBF_1 -9 0 + 0 0 . chr14 89764168 89764169 chr14:89764169:A:C rs10130289 A C A EBF1_EBF_1 13 1 - 6.371943502987453 4.03937836704652 CCTCCCCTAGGACT chr14 89890038 89890039 chr14:89890039:C:T rs78765578 C T C EBF1_EBF_1 -10 0 - 0 0 . chr14 89893216 89893217 chr14:89893217:A:G rs6575094 A G G EBF1_EBF_1 -2 0 + 0 0 . chr14 89908915 89908916 chr14:89908916:G:A rs10137726 G A G EBF1_EBF_1 -18 0 + 0 0 . chr14 89954616 89954617 chr14:89954617:G:A rs35435801 G A G EBF1_EBF_1 -2 0 - 0 0 . chr14 89954624 89954625 chr14:89954625:C:A rs35104576 C A C EBF1_EBF_1 -10 0 - 0 0 . chr14 90097350 90097351 chr14:90097351:T:C rs2138228 T C C EBF1_EBF_1 11 1 + 6.351426831698692 6.033379389945027 AACCCCAGGGGTAT chr14 90104497 90104498 chr14:90104498:C:T rs13353127 C T C EBF1_EBF_1 32 0 - 0 0 . chr14 90141058 90141059 chr14:90141059:T:C rs57671392 T C C EBF1_EBF_1 20 0 + 0 0 . chr14 90141163 90141164 chr14:90141164:G:A rs150756700 G A G EBF1_EBF_1 23 0 - 0 0 . chr14 90268481 90268482 chr14:90268482:A:G rs11624246 A G A EBF1_EBF_1 16 0 - 0 0 . chr14 90276705 90276706 chr14:90276706:C:G rs73316783 C G C EBF1_EBF_1 10 1 - 6.483424112323994 -0.407378275005481 TGTCCCTTGAGAGC chr14 90280732 90280733 chr14:90280733:C:T rs73316795 C T C EBF1_EBF_1 -15 0 - 0 0 . chr14 90281634 90281635 chr14:90281635:C:T rs11626016 C T C EBF1_EBF_1 4 1 + 4.466863637477931 0.09747669253300723 CTCCCCATGGGGGA chr14 90303194 90303195 chr14:90303195:C:T rs2149647 C T c EBF1_EBF_1 -14 0 + 0 0 . chr14 90327181 90327182 chr14:90327182:G:T rs12880102 G T G EBF1_EBF_1 27 0 + 0 0 . chr14 90398073 90398074 chr14:90398074:A:C rs61989064 A C C EBF1_EBF_1 14 0 - 0 0 . chr14 90398089 90398090 chr14:90398090:G:T rs537370236 G T G EBF1_EBF_1 -2 0 - 0 0 . chr14 90419113 90419114 chr14:90419114:G:A rs144462102 G A G EBF1_EBF_1 -13 0 - 0 0 . chr14 90436890 90436891 chr14:90436891:C:T rs78977072 C T C EBF1_EBF_1 28 0 - 0 0 . chr14 90443863 90443864 chr14:90443864:G:C rs1294517 G C C EBF1_EBF_1 3 1 - 6.173010231582735 0.3452457834675196 TCTCTCCAGGGAAC chr14 90443873 90443874 chr14:90443874:T:C rs1036198492 T C T EBF1_EBF_1 -7 0 - 0 0 . chr14 90491531 90491532 chr14:90491532:T:G rs2401895 T G G EBF1_EBF_1 13 1 - 7.038423220509352 7.412168669795328 ACACCCCAGGGAGA chr14 90496011 90496012 chr14:90496012:G:A rs562527784 G A G EBF1_EBF_1 33 0 - 0 0 . chr14 90503870 90503871 chr14:90503871:G:A rs1299403 G A G EBF1_EBF_1 33 0 + 0 0 . chr14 90508673 90508674 chr14:90508674:C:T rs941501 C T C EBF1_EBF_1 -10 0 - 0 0 . chr14 90522815 90522816 chr14:90522816:T:C rs142755136 T C T EBF1_EBF_1 -12 0 + 0 0 . chr14 90541273 90541274 chr14:90541274:T:C rs1294572 T C C EBF1_EBF_1 -10 0 + 0 0 . chr14 90555668 90555669 chr14:90555669:G:A rs4904704 G A G EBF1_EBF_1 -10 0 - 0 0 . chr14 90556157 90556158 chr14:90556158:G:A rs530014223 G A G EBF1_EBF_1 33 0 - 0 0 . chr14 90556173 90556174 chr14:90556174:T:G chr14:90556174:T:G T G T EBF1_EBF_1 17 0 - 0 0 . chr14 90621730 90621731 chr14:90621731:T:C rs4904712 T C C EBF1_EBF_1 -18 0 - 0 0 . chr14 90624334 90624335 chr14:90624335:C:T rs369929981 C T C EBF1_EBF_1 11 1 - 7.858994864884513 10.751701708488524 CTTCCCCAGGGGCA chr14 90624356 90624357 chr14:90624357:C:T rs74081244 C T C EBF1_EBF_1 -11 0 - 0 0 . chr14 90676471 90676472 chr14:90676472:C:T rs45517739 C T C EBF1_EBF_1 15 0 + 0 0 . chr14 90676472 90676473 chr14:90676473:G:A rs80256733 G A G EBF1_EBF_1 16 0 + 0 0 . chr14 90706084 90706085 chr14:90706085:A:G rs1742092 A G G EBF1_EBF_1 19 0 - 0 0 . chr14 90725727 90725728 chr14:90725728:T:C rs11626857 T C T EBF1_EBF_1 11 1 + 4.924299540214962 4.606252098461298 AACCCCATGGGTGC chr14 90744037 90744038 chr14:90744038:G:A rs79349669 G A G EBF1_EBF_1 6 1 - 6.473890930017819 6.775177058879575 TATCCCCGGAGACC chr14 90760626 90760627 chr14:90760627:G:T rs11628369 G T G EBF1_EBF_1 14 0 + 0 0 . chr14 90760642 90760643 chr14:90760643:A:T rs72695510 A T A EBF1_EBF_1 30 0 + 0 0 . chr14 90778540 90778541 chr14:90778541:G:A rs562255509 G A G EBF1_EBF_1 -10 0 - 0 0 . chr14 90778854 90778855 chr14:90778855:C:T rs116090382 C T C EBF1_EBF_1 -4 0 + 0 0 . chr14 90785250 90785251 chr14:90785251:T:G rs1433008940 T G T EBF1_EBF_1 -4 0 - 0 0 . chr14 90796470 90796471 chr14:90796471:C:T rs72695563 C T C EBF1_EBF_1 25 0 + 0 0 . chr14 90802717 90802718 chr14:90802718:G:T rs57506024 G T G EBF1_EBF_1 -10 0 + 0 0 . chr14 90804830 90804831 chr14:90804831:C:G rs1286318 C G C EBF1_EBF_1 13 1 + 5.541222628340608 4.053151606985193 TTCCTCAAGGGAAC chr14 90816563 90816564 chr14:90816564:G:A rs55746347 G A G EBF1_EBF_1 33 0 - 0 0 . chr14 90828153 90828154 chr14:90828154:C:T chr14:90828154:C:T C T C EBF1_EBF_1 1 1 - 3.7353983376458855 3.4746995057245833 AGTCCCCATGGGCT chr14 90831208 90831209 chr14:90831209:T:C rs8018537 T C C EBF1_EBF_1 -14 0 + 0 0 . chr14 90839072 90839073 chr14:90839073:C:T rs144476434 C T C EBF1_EBF_1 -1 0 - 0 0 . chr14 90839435 90839436 chr14:90839436:C:T rs1043642439 C T C EBF1_EBF_1 22 0 + 0 0 . chr14 91010841 91010842 chr14:91010842:C:G rs1286144 C G G EBF1_EBF_1 -11 0 - 0 0 . chr14 91030078 91030079 chr14:91030079:T:C rs61989760 T C T EBF1_EBF_1 -1 0 + 0 0 . chr14 91037238 91037239 chr14:91037239:T:C rs12588082 T C T EBF1_EBF_1 12 1 + 6.322605372675082 7.348871943225931 ATCCCCCAGAGATG chr14 91153615 91153616 chr14:91153616:G:T rs4904753 G T G EBF1_EBF_1 3 1 - 6.28570001624119 -0.6204740132246177 ACACCCAAGGGAGG chr14 91174142 91174143 chr14:91174143:A:T rs73332551 A T A EBF1_EBF_1 9 1 + 6.214153384034627 2.032103241393421 AACCCCAAGAGGAT chr14 91193424 91193425 chr14:91193425:G:A rs17224411 G A G EBF1_EBF_1 -8 0 - 0 0 . chr14 91204431 91204432 chr14:91204432:G:C rs74083999 G C G EBF1_EBF_1 22 0 + 0 0 . chr14 91264775 91264776 chr14:91264776:C:T rs76164198 C T C EBF1_EBF_1 6 1 + 4.894632030943676 5.195918159805434 CTCCCCCCGGGGCT chr14 91300995 91300996 chr14:91300996:G:C rs35365725 G C G EBF1_EBF_1 6 1 - 8.356131986835418 6.55093992336222 AAACCCCAGGGACA chr14 91303232 91303233 chr14:91303233:A:T rs115595310 A T A EBF1_EBF_1 -8 0 + 0 0 . chr14 91303618 91303619 chr14:91303619:C:T rs181804120 C T C EBF1_EBF_1 -12 0 + 0 0 . chr14 91303662 91303663 chr14:91303663:T:C rs117289805 T C T EBF1_EBF_1 32 0 + 0 0 . chr14 91316049 91316050 chr14:91316050:G:A rs144679076 G A G EBF1_EBF_1 24 0 - 0 0 . chr14 91316068 91316069 chr14:91316069:G:A rs150637648 G A G EBF1_EBF_1 5 1 - 4.405476426725944 -0.9163107888147265 ACCCCCGAGGGCAC chr14 91316078 91316079 chr14:91316079:C:A rs17127227 C A C EBF1_EBF_1 -5 0 - 0 0 . chr14 91320180 91320181 chr14:91320181:G:C rs78626820 G C G EBF1_EBF_1 -12 0 + 0 0 . chr14 91330074 91330075 chr14:91330075:T:C rs61988406 T C T EBF1_EBF_1 30 0 + 0 0 . chr14 91335619 91335620 chr14:91335620:T:C rs1285776 T C C EBF1_EBF_1 -10 0 + 0 0 . chr14 91335629 91335630 chr14:91335630:C:T rs74084746 C T C EBF1_EBF_1 0 1 + 5.526861722909658 5.809063783640236 CCTCCCCAGAGGCT chr14 91368681 91368682 chr14:91368682:T:C rs7140681 T C C EBF1_EBF_1 -17 0 + 0 0 . chr14 91369892 91369893 chr14:91369893:G:A rs1285817 G A G EBF1_EBF_1 1 1 + 3.513611342479164 3.252912510557862 CGTACCCAGGGACC chr14 91380831 91380832 chr14:91380832:G:A rs150646355 G A G EBF1_EBF_1 14 0 + 0 0 . chr14 91380846 91380847 chr14:91380847:G:A rs942014723 G A G EBF1_EBF_1 29 0 + 0 0 . chr14 91391693 91391694 chr14:91391694:G:A rs7150653 G A G EBF1_EBF_1 17 0 - 0 0 . chr14 91399987 91399988 chr14:91399988:C:G rs1285824 C G C EBF1_EBF_1 3 1 + 5.607982447144212 -0.21978200097100503 CTTCCCCAGAGGAC chr14 91410350 91410351 chr14:91410351:G:T rs77916708 G T G EBF1_EBF_1 14 0 - 0 0 . chr14 91416188 91416189 chr14:91416189:C:A rs61988444 C A C EBF1_EBF_1 5 1 + 3.7653745424473346 -3.1395066101878317 AGCACCATGGGACC chr14 91419063 91419064 chr14:91419064:A:G rs545998422 A G A EBF1_EBF_1 -15 0 + 0 0 . chr14 91595652 91595653 chr14:91595653:T:C rs1285643 T C C EBF1_EBF_1 -9 0 + 0 0 . chr14 91620368 91620369 chr14:91620369:A:G rs1298989 A G A EBF1_EBF_1 32 0 - 0 0 . chr14 91682082 91682083 chr14:91682083:A:G rs993859 A G G EBF1_EBF_1 13 1 - 6.2516016296943295 5.4071075151088115 ATTCCCCACAGACT chr14 91836549 91836550 chr14:91836550:G:A rs565404011 G A G EBF1_EBF_1 1 1 + 5.050398081638984 4.789699249717681 CGCCCCTCGGGACG chr14 91836566 91836567 chr14:91836567:C:G rs996291291 C G C EBF1_EBF_1 18 0 + 0 0 . chr14 91843686 91843687 chr14:91843687:G:A rs111900635 G A G EBF1_EBF_1 28 0 + 0 0 . chr14 91858746 91858747 chr14:91858747:C:T rs76407494 C T C EBF1_EBF_1 18 0 + 0 0 . chr14 91871997 91871998 chr14:91871998:G:A rs12586948 G A A EBF1_EBF_1 -10 0 + 0 0 . chr14 91873505 91873506 chr14:91873506:G:A rs2267989 G A G EBF1_EBF_1 9 1 + 6.790994526224181 4.136055260776578 CATCCCATGGGTCT chr14 91889367 91889368 chr14:91889368:T:G rs17732060 T G T EBF1_EBF_1 14 0 - 0 0 . chr14 91922769 91922770 chr14:91922770:A:C rs2284341 A C A EBF1_EBF_1 -2 0 + 0 0 . chr14 91947338 91947339 chr14:91947339:T:G chr14:91947339:T:G T G T EBF1_EBF_1 -7 0 - 0 0 . chr14 91961993 91961994 chr14:91961994:T:C rs28594676 T C T EBF1_EBF_1 -10 0 + 0 0 . chr14 91963596 91963597 chr14:91963597:G:A rs67015182 G A G EBF1_EBF_1 23 0 - 0 0 . chr14 91968720 91968721 chr14:91968721:T:A rs1133441 T A T EBF1_EBF_1 11 1 - 9.524511694246844 5.426597438148119 ATTCCCTTGAGATC chr14 91968742 91968743 chr14:91968743:G:A rs74071653 G A G EBF1_EBF_1 -11 0 - 0 0 . chr14 92042475 92042476 chr14:92042476:C:G rs142122868 C G C EBF1_EBF_1 32 0 - 0 0 . chr14 92045567 92045568 chr14:92045568:G:A rs76462620 G A G EBF1_EBF_1 28 0 - 0 0 . chr14 92202603 92202604 chr14:92202604:A:T rs66867671 A T A EBF1_EBF_1 18 0 - 0 0 . chr14 92208451 92208452 chr14:92208452:C:A rs61976639 C A A EBF1_EBF_1 9 1 - 5.57644668032973 -1.26054272775908 CATCCCTGGGGCCT chr14 92210193 92210194 chr14:92210194:C:T rs1241721 C T C EBF1_EBF_1 -11 0 + 0 0 . chr14 92281088 92281089 chr14:92281089:G:A rs76940116 G A G EBF1_EBF_1 21 0 + 0 0 . chr14 92320444 92320445 chr14:92320445:T:C rs8018321 T C T EBF1_EBF_1 24 0 + 0 0 . chr14 92323203 92323204 chr14:92323204:T:G rs10135799 T G T EBF1_EBF_1 10 1 - 4.72622302813606 3.1534491000735505 TCTCCCCGGGAACT chr14 92323204 92323205 chr14:92323205:C:T rs10146556 C T C EBF1_EBF_1 9 1 - 4.72622302813606 2.0712837626884557 TCTCCCCGGGAACT chr14 92389790 92389791 chr14:92389791:A:G rs8022022 A G G EBF1_EBF_1 6 1 + 5.419402708859484 3.2526082775850087 AGCCCCAGGGGCCC chr14 92443004 92443005 chr14:92443005:A:G rs41304353 A G A EBF1_EBF_1 28 0 - 0 0 . chr14 92449780 92449781 chr14:92449781:C:T rs113932519 C T C EBF1_EBF_1 -10 0 + 0 0 . chr14 92465135 92465136 chr14:92465136:A:G rs145021275 A G A EBF1_EBF_1 7 1 + 7.0215207482406505 5.7090623429518255 GCTCCCCAGGGCCT chr14 92470948 92470949 chr14:92470949:C:T rs4904929 C T C EBF1_EBF_1 22 0 - 0 0 . chr14 92492329 92492330 chr14:92492330:T:C rs1163927978 T C T EBF1_EBF_1 -5 0 + 0 0 . chr14 92497743 92497744 chr14:92497744:T:G rs78091279 T G T EBF1_EBF_1 8 1 - 5.010333657446089 3.917964885417663 CTCCCCCAAGGACC chr14 92500965 92500966 chr14:92500966:G:A chr14:92500966:G:A G A G EBF1_EBF_1 2 1 - 6.719159964985427 8.607983376427578 ATCCCCTTGGGCTT chr14 92510019 92510020 chr14:92510020:C:T rs2896213 C T c EBF1_EBF_1 32 0 - 0 0 . chr14 92520789 92520790 chr14:92520790:A:T rs61977343 A T A EBF1_EBF_1 -15 0 + 0 0 . chr14 92538960 92538961 chr14:92538961:C:G rs34439941 C G C EBF1_EBF_1 -18 0 + 0 0 . chr14 92540346 92540347 chr14:92540347:C:T rs532314469 C T C EBF1_EBF_1 33 0 - 0 0 . chr14 92540554 92540555 chr14:92540555:A:G rs28454981 A G A EBF1_EBF_1 -11 0 + 0 0 . chr14 92540556 92540557 chr14:92540557:T:G rs10467864 T G T EBF1_EBF_1 -9 0 + 0 0 . chr14 92544474 92544475 chr14:92544475:A:G rs28499885 A G A EBF1_EBF_1 -11 0 - 0 0 . chr14 92546188 92546189 chr14:92546189:G:T rs59911846 G T T EBF1_EBF_1 10 1 + 5.212546707671024 1.0297945214437585 CTTCCCAAAGGGCT chr14 92546686 92546687 chr14:92546687:G:C rs61975763 G C G EBF1_EBF_1 25 0 - 0 0 . chr14 92557304 92557305 chr14:92557305:A:T rs12897368 A T A EBF1_EBF_1 11 1 + 7.2987908798906584 3.200876623791933 TCCCCCAGGGGACA chr14 92570225 92570226 chr14:92570226:T:G rs8007304 T G T EBF1_EBF_1 2 1 + 5.788810820855888 -0.9595148693445793 CTTCCCAGGGGCAC chr14 92600916 92600917 chr14:92600917:G:A rs72697289 G A G EBF1_EBF_1 13 1 - 4.810010883993949 5.654504998579467 TCCCCCAGGGGGAC chr14 92602287 92602288 chr14:92602288:G:A rs75998557 G A G EBF1_EBF_1 26 0 - 0 0 . chr14 92602287 92602288 chr14:92602288:G:C chr14:92602288:G:C G C G EBF1_EBF_1 26 0 - 0 0 . chr14 92604021 92604022 chr14:92604022:C:T rs72697299 C T C EBF1_EBF_1 10 1 - 5.576166849926096 0.2581383906591304 GTTGCCATGGGAAC chr14 92604024 92604025 chr14:92604025:A:C chr14:92604025:A:C A C A EBF1_EBF_1 7 1 - 5.576166849926096 4.739982684724829 GTTGCCATGGGAAC chr14 92609444 92609445 chr14:92609445:G:A rs528006264 G A G EBF1_EBF_1 -10 0 + 0 0 . chr14 92609478 92609479 chr14:92609479:G:T rs12432064 G T G EBF1_EBF_1 24 0 + 0 0 . chr14 92652322 92652323 chr14:92652323:C:T rs3742717 C T C EBF1_EBF_1 33 0 + 0 0 . chr14 92658936 92658937 chr14:92658937:G:T rs12885166 G T G EBF1_EBF_1 18 0 - 0 0 . chr14 92679552 92679553 chr14:92679553:A:C rs114901803 A C C EBF1_EBF_1 33 0 - 0 0 . chr14 92679580 92679581 chr14:92679581:G:A rs73332235 G A G EBF1_EBF_1 5 1 - 4.208177089903558 -1.1136101256371111 TCTGCCCTGGGACC chr14 92687123 92687124 chr14:92687124:G:A chr14:92687124:G:A G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 92687260 92687261 chr14:92687261:G:C rs75306313 G C G EBF1_EBF_1 18 0 - 0 0 . chr14 92691791 92691792 chr14:92691792:C:T rs10459559 C T C EBF1_EBF_1 30 0 - 0 0 . chr14 92691800 92691801 chr14:92691801:C:T rs11844712 C T C EBF1_EBF_1 21 0 - 0 0 . chr14 92765895 92765896 chr14:92765896:A:G rs929919080 A G A EBF1_EBF_1 6 1 + 5.449258874644066 3.282464443369591 CCACCCAAGGGAAG chr14 92780429 92780430 chr14:92780430:C:G rs147839695 C G C EBF1_EBF_1 0 1 - 6.071998426392359 5.709023812260592 GACCCCTAGGGGAC chr14 92784164 92784165 chr14:92784165:A:G rs1980630 A G G EBF1_EBF_1 -15 0 - 0 0 . chr14 92867773 92867774 chr14:92867774:C:G rs77430070 C G G EBF1_EBF_1 23 0 + 0 0 . chr14 92867777 92867778 chr14:92867778:C:G rs74718720 C G C EBF1_EBF_1 27 0 + 0 0 . chr14 92867778 92867779 chr14:92867779:G:A rs75335376 G A G EBF1_EBF_1 28 0 + 0 0 . chr14 92923618 92923619 chr14:92923619:C:G rs941587 C G G EBF1_EBF_1 6 1 + 4.965290333017952 3.1600982695447537 AACCCCCCGGGGCA chr14 92923619 92923620 chr14:92923620:C:T rs185397045 C T C EBF1_EBF_1 7 1 + 4.965290333017952 6.782795079300575 AACCCCCCGGGGCA chr14 92957588 92957589 chr14:92957589:C:T rs79427758 C T C EBF1_EBF_1 -11 0 + 0 0 . chr14 92964598 92964599 chr14:92964599:A:T rs78937584 A T A EBF1_EBF_1 30 0 + 0 0 . chr14 92986341 92986342 chr14:92986342:T:C rs55919031 T C T EBF1_EBF_1 -9 0 - 0 0 . chr14 92988148 92988149 chr14:92988149:T:G rs4905021 T G T EBF1_EBF_1 2 1 + 5.336418818876465 -1.4119068713240022 CCTCCCCTGAGAGA chr14 92989252 92989253 chr14:92989253:G:A rs8005216 G A G EBF1_EBF_1 -13 0 + 0 0 . chr14 92993358 92993359 chr14:92993359:G:A rs145148327 G A G EBF1_EBF_1 -9 0 + 0 0 . chr14 93012476 93012477 chr14:93012477:C:T rs12587675 C T C EBF1_EBF_1 2 1 + 4.307604996963607 6.196428408405757 GGCCCCATGGGTCA chr14 93019281 93019282 chr14:93019282:C:A rs58075369 C A C EBF1_EBF_1 20 0 - 0 0 . chr14 93019919 93019920 chr14:93019920:A:G rs77093410 A G G EBF1_EBF_1 -5 0 + 0 0 . chr14 93034235 93034236 chr14:93034236:G:A rs112044086 G A G EBF1_EBF_1 17 0 - 0 0 . chr14 93034265 93034266 chr14:93034266:A:C rs143868038 A C C EBF1_EBF_1 -13 0 - 0 0 . chr14 93036615 93036616 chr14:93036616:C:T rs4905039 C T C EBF1_EBF_1 -11 0 + 0 0 . chr14 93037152 93037153 chr14:93037153:C:G rs114711038 C G C EBF1_EBF_1 24 0 + 0 0 . chr14 93037159 93037160 chr14:93037160:A:G rs1614269 A G G EBF1_EBF_1 31 0 + 0 0 . chr14 93052535 93052536 chr14:93052536:C:T rs10135559 C T T EBF1_EBF_1 -5 0 - 0 0 . chr14 93053333 93053334 chr14:93053334:C:A rs10138609 C A A EBF1_EBF_1 -14 0 - 0 0 . chr14 93082766 93082767 chr14:93082767:T:C rs61012934 T C T EBF1_EBF_1 0 1 - 6.15497478038513 4.262690910820297 AGTCCCCCAGGACC chr14 93113918 93113919 chr14:93113919:A:C rs116556177 A C C EBF1_EBF_1 1 1 - 8.197784003039484 7.492122217209955 TTTCCCAAGGGCCT chr14 93129739 93129740 chr14:93129740:A:G rs12434958 A G A EBF1_EBF_1 -5 0 + 0 0 . chr14 93174163 93174164 chr14:93174164:A:C rs7143481 A C A EBF1_EBF_1 0 1 - 5.643527917571881 5.724300470973069 TTTCCCCTGGAAAC chr14 93231512 93231513 chr14:93231513:G:A rs8012226 G A G EBF1_EBF_1 20 0 - 0 0 . chr14 93231569 93231570 chr14:93231570:T:G rs8013176 T G T EBF1_EBF_1 13 1 + 12.303499745107024 9.97093460916609 ACTCCCCAGGGATT chr14 93312995 93312996 chr14:93312996:C:T rs79016065 C T C EBF1_EBF_1 4 1 + 8.678907207790122 4.309520262845197 TATCCCCAGGGAGA chr14 93318037 93318038 chr14:93318038:G:A rs7143030 G A G EBF1_EBF_1 18 0 + 0 0 . chr14 93332337 93332338 chr14:93332338:C:A chr14:93332338:C:A C A C EBF1_EBF_1 21 0 + 0 0 . chr14 93332524 93332525 chr14:93332525:G:C rs376287552 G C G EBF1_EBF_1 8 1 + 4.588746624356127 -0.7299122365585593 ACTCCCTCGGGCCG chr14 93490131 93490132 chr14:93490132:C:A rs59116131 C A C EBF1_EBF_1 -5 0 + 0 0 . chr14 93537107 93537108 chr14:93537108:G:A rs4905078 G A G EBF1_EBF_1 2 1 - 6.67670837014932 8.565531781591469 ACCCCCAAGGGGGC chr14 93540728 93540729 chr14:93540729:C:T rs1951716 C T C EBF1_EBF_1 -17 0 + 0 0 . chr14 93720528 93720529 chr14:93720529:G:A rs115323856 G A G EBF1_EBF_1 -17 0 - 0 0 . chr14 93729112 93729113 chr14:93729113:C:T rs74073901 C T C EBF1_EBF_1 -2 0 - 0 0 . chr14 93729116 93729117 chr14:93729117:C:T rs77476227 C T C EBF1_EBF_1 -6 0 - 0 0 . chr14 93742183 93742184 chr14:93742184:A:G rs4900194 A G A EBF1_EBF_1 -14 0 + 0 0 . chr14 93742209 93742210 chr14:93742210:C:T rs56008423 C T C EBF1_EBF_1 12 1 + 7.626241299503337 6.599974728952488 TTTCCCAAGAGACG chr14 93753021 93753022 chr14:93753022:C:T rs115512712 C T T EBF1_EBF_1 24 0 - 0 0 . chr14 93758031 93758032 chr14:93758032:A:C rs7140229 A C C EBF1_EBF_1 -10 0 + 0 0 . chr14 93791299 93791300 chr14:93791300:A:G rs8020451 A G A EBF1_EBF_1 -1 0 + 0 0 . chr14 93824021 93824022 chr14:93824022:C:T rs4905091 C T C EBF1_EBF_1 17 0 + 0 0 . chr14 93824524 93824525 chr14:93824525:A:G rs11160145 A G G EBF1_EBF_1 32 0 + 0 0 . chr14 93857716 93857717 chr14:93857717:C:T rs1956663 C T T EBF1_EBF_1 11 1 - 5.582889764729023 8.475596608333035 ACTCCCTGGAGGAA chr14 93857728 93857729 chr14:93857729:T:C rs17129240 T C T EBF1_EBF_1 -1 0 - 0 0 . chr14 93874576 93874577 chr14:93874577:T:C rs6575361 T C C EBF1_EBF_1 -6 0 + 0 0 . chr14 93878474 93878475 chr14:93878475:G:A rs72696690 G A G EBF1_EBF_1 -13 0 - 0 0 . chr14 93878601 93878602 chr14:93878602:G:A rs1004027 G A g EBF1_EBF_1 -3 0 - 0 0 . chr14 93880228 93880229 chr14:93880229:G:A rs552502293 G A G EBF1_EBF_1 1 1 - 6.861032633684185 7.756466074082932 CCTCCCCTGGGGCC chr14 93891856 93891857 chr14:93891857:C:T rs10140983 C T C EBF1_EBF_1 10 1 - 4.994448620375924 -0.323579838891042 ACTGCCTTGGGACG chr14 93893385 93893386 chr14:93893386:G:C rs112495863 G C G EBF1_EBF_1 23 0 - 0 0 . chr14 93897940 93897941 chr14:93897941:T:C rs10132534 T C C EBF1_EBF_1 -6 0 - 0 0 . chr14 93904152 93904153 chr14:93904153:T:C rs371867398 T C T EBF1_EBF_1 -8 0 - 0 0 . chr14 93923479 93923480 chr14:93923480:G:A rs367945021 G A G EBF1_EBF_1 -3 0 + 0 0 . chr14 93934559 93934560 chr14:93934560:G:A rs12370 G A G EBF1_EBF_1 24 0 - 0 0 . chr14 93957380 93957381 chr14:93957381:G:A rs3814823 G A G EBF1_EBF_1 19 0 - 0 0 . chr14 93961656 93961657 chr14:93961657:A:C rs7160106 A C A EBF1_EBF_1 13 1 + 6.168023467642841 6.541768916928817 ATTGCCTGGGGACA chr14 93967755 93967756 chr14:93967756:G:A rs1950349 G A A EBF1_EBF_1 -11 0 - 0 0 . chr14 93983445 93983446 chr14:93983446:A:G rs1884211 A G A EBF1_EBF_1 31 0 + 0 0 . chr14 93989207 93989208 chr14:93989208:T:C rs12434998 T C C EBF1_EBF_1 -1 0 - 0 0 . chr14 93990266 93990267 chr14:93990267:G:T rs8011705 G T G EBF1_EBF_1 30 0 + 0 0 . chr14 93990628 93990629 chr14:93990629:T:A rs714682 T A A EBF1_EBF_1 -17 0 - 0 0 . chr14 93995520 93995521 chr14:93995521:T:C rs563096974 T C T EBF1_EBF_1 17 0 - 0 0 . chr14 93995524 93995525 chr14:93995525:G:A rs150954946 G A G EBF1_EBF_1 13 1 - 5.505729109889727 6.350223224475245 GTTCCCAAGGAATC chr14 93999683 93999684 chr14:93999684:C:T rs372822717 C T C EBF1_EBF_1 28 0 + 0 0 . chr14 94000487 94000488 chr14:94000488:C:T rs10149388 C T C EBF1_EBF_1 1 1 - 5.139902341431972 4.87920350951067 AGCCCCATGAGAGG chr14 94011334 94011335 chr14:94011335:C:T rs8010454 C T c EBF1_EBF_1 24 0 - 0 0 . chr14 94011362 94011363 chr14:94011363:G:A rs8010725 G A G EBF1_EBF_1 -4 0 - 0 0 . chr14 94018547 94018548 chr14:94018548:C:T rs7150027 C T T EBF1_EBF_1 6 1 - 4.446653148011919 6.613447579286394 GCTCCCGGGAGACA chr14 94018605 94018606 chr14:94018606:T:C rs7151385 T C T EBF1_EBF_1 -3 0 - 0 0 . chr14 94022945 94022946 chr14:94022946:G:C rs56078430 G C G EBF1_EBF_1 4 1 - 6.198525408714443 0.572760307564899 ACCCCCAAGGGCAA chr14 94027009 94027010 chr14:94027010:C:G rs76980170 C G c EBF1_EBF_1 33 0 + 0 0 . chr14 94046068 94046069 chr14:94046069:T:C rs8021300 T C C EBF1_EBF_1 -9 0 - 0 0 . chr14 94051894 94051895 chr14:94051895:C:T rs117377260 C T C EBF1_EBF_1 3 1 + 4.5514212082816945 -1.8539775332716228 CGCCCCAGGAGACA chr14 94101074 94101075 chr14:94101075:G:T rs7160229 G T G EBF1_EBF_1 21 0 - 0 0 . chr14 94111841 94111842 chr14:94111842:C:A rs1243080 C A A EBF1_EBF_1 15 0 - 0 0 . chr14 94114938 94114939 chr14:94114939:G:A rs2239645 G A G EBF1_EBF_1 -11 0 - 0 0 . chr14 94117124 94117125 chr14:94117125:T:C rs1243081 T C C EBF1_EBF_1 21 0 - 0 0 . chr14 94118071 94118072 chr14:94118072:C:T rs10132928 C T C EBF1_EBF_1 -4 0 + 0 0 . chr14 94119514 94119515 chr14:94119515:C:T rs4900218 C T C EBF1_EBF_1 10 1 - 10.400466716085406 5.082438256818441 CTTCCCTAGGGATC chr14 94129304 94129305 chr14:94129305:G:A rs780016178 G A G EBF1_EBF_1 10 1 - 4.602864644942986 7.310914846045196 CTTCCCCTGGCACT chr14 94129520 94129521 chr14:94129521:G:A rs767834744 G A G EBF1_EBF_1 26 0 - 0 0 . chr14 94129524 94129525 chr14:94129525:A:C rs201329500 A C A EBF1_EBF_1 22 0 - 0 0 . chr14 94174800 94174801 chr14:94174801:T:C rs115383870 T C T EBF1_EBF_1 -9 0 + 0 0 . chr14 94174822 94174823 chr14:94174823:G:A rs114330606 G A G EBF1_EBF_1 13 1 + 10.365413576474811 11.47973914854425 ATCCCCAAGGGACG chr14 94324289 94324290 chr14:94324290:C:T rs72704366 C T C EBF1_EBF_1 5 1 + 4.784406220407061 -0.5373809951336079 CCCCCCTTAGGACT chr14 94324300 94324301 chr14:94324301:T:C rs715033 T C T EBF1_EBF_1 16 0 + 0 0 . chr14 94346804 94346805 chr14:94346805:A:T rs12101216 A T A EBF1_EBF_1 -13 0 - 0 0 . chr14 94364110 94364111 chr14:94364111:A:T rs59036614 A T - EBF1_EBF_1 16 0 + 0 0 . chr14 94366401 94366402 chr14:94366402:A:C rs41310932 A C - EBF1_EBF_1 25 0 - 0 0 . chr14 94366695 94366696 chr14:94366696:T:C rs1956172 T C - EBF1_EBF_1 22 0 + 0 0 . chr14 94379931 94379932 chr14:94379932:C:G rs58672820 C G C EBF1_EBF_1 13 1 + 5.743124842171279 4.255053820815864 CATCCCCAGGGCCC chr14 94383968 94383969 chr14:94383969:C:T rs17090730 C T C EBF1_EBF_1 17 0 + 0 0 . chr14 94425922 94425923 chr14:94425923:T:C rs11160178 T C T EBF1_EBF_1 -6 0 + 0 0 . chr14 94434036 94434037 chr14:94434037:T:C rs10136636 T C T EBF1_EBF_1 6 1 - 5.412189850623378 3.2453954193489025 CCTCCCATGAGGCT chr14 94434045 94434046 chr14:94434046:A:G rs1025417810 A G A EBF1_EBF_1 -3 0 - 0 0 . chr14 94435385 94435386 chr14:94435386:G:T rs4274373 G T G EBF1_EBF_1 -16 0 - 0 0 . chr14 94466394 94466395 chr14:94466395:C:T rs1956714 C T C EBF1_EBF_1 18 0 + 0 0 . chr14 94469563 94469564 chr14:94469564:G:C rs12879019 G C G EBF1_EBF_1 -19 0 + 0 0 . chr14 94480921 94480922 chr14:94480922:G:A rs59112543 G A G EBF1_EBF_1 4 1 - 6.855949612280765 2.486562667335841 GATCCCTGGAGACC chr14 94486373 94486374 chr14:94486374:A:T rs28733617 A T A EBF1_EBF_1 -12 0 - 0 0 . chr14 94513656 94513657 chr14:94513657:C:G rs17090987 C G C EBF1_EBF_1 27 0 - 0 0 . chr14 94545231 94545232 chr14:94545232:G:A rs116933761 G A g EBF1_EBF_1 12 1 - 6.150521981278087 5.124255410727237 CCTCCCTAGGGGCG chr14 94549046 94549047 chr14:94549047:G:A rs12432182 G A G EBF1_EBF_1 24 0 + 0 0 . chr14 94559149 94559150 chr14:94559150:T:C rs8016073 T C C EBF1_EBF_1 -3 0 + 0 0 . chr14 94561506 94561507 chr14:94561507:C:T rs882731 C T C EBF1_EBF_1 6 1 + 7.020562657456983 7.32184878631874 AACCCCCTGAGACA chr14 94578239 94578240 chr14:94578240:A:G rs8022491 A G G EBF1_EBF_1 16 0 + 0 0 . chr14 94581499 94581500 chr14:94581500:G:A rs8020223 G A G EBF1_EBF_1 -15 0 + 0 0 . chr14 94581509 94581510 chr14:94581510:A:C rs8019810 A C C EBF1_EBF_1 -5 0 + 0 0 . chr14 94586289 94586290 chr14:94586290:T:C rs2069963 T C C EBF1_EBF_1 -8 0 + 0 0 . chr14 94586290 94586291 chr14:94586291:G:A rs2069964 G A G EBF1_EBF_1 -7 0 + 0 0 . chr14 94594698 94594699 chr14:94594699:A:G rs10149025 A G A EBF1_EBF_1 -15 0 + 0 0 . chr14 94594743 94594744 chr14:94594744:C:T rs4900238 C T T EBF1_EBF_1 30 0 + 0 0 . chr14 94597802 94597803 chr14:94597803:T:A rs10148133 T A T EBF1_EBF_1 26 0 + 0 0 . chr14 94629864 94629865 chr14:94629865:G:A rs61976125 G A G EBF1_EBF_1 1 1 - 9.065767287866647 9.961200728265393 GCCCCCAAGGGACC chr14 94639239 94639240 chr14:94639240:T:C rs10150203 T C C EBF1_EBF_1 16 0 + 0 0 . chr14 94678944 94678945 chr14:94678945:C:G rs6575452 C G G EBF1_EBF_1 12 1 + 6.062795089189091 4.67415914551094 AAACCCAAGAGACA chr14 94686356 94686357 chr14:94686357:G:A rs67047671 G A G EBF1_EBF_1 -2 0 - 0 0 . chr14 94700412 94700413 chr14:94700413:C:T rs143671337 C T C EBF1_EBF_1 -16 0 + 0 0 . chr14 94765007 94765008 chr14:94765008:C:G rs79569238 C G c EBF1_EBF_1 5 1 + 8.22542993713896 1.3205487845037944 ATCCCCCAGGGGCA chr14 94774124 94774125 chr14:94774125:G:C rs140571569 G C T EBF1_EBF_1 -1 0 - 0 0 . chr14 94809720 94809721 chr14:94809721:C:T rs11160213 C T C EBF1_EBF_1 -7 0 + 0 0 . chr14 94819679 94819680 chr14:94819680:G:A rs12100517 G A G EBF1_EBF_1 -9 0 + 0 0 . chr14 94819721 94819722 chr14:94819722:C:T rs28637721 C T C EBF1_EBF_1 33 0 + 0 0 . chr14 94825520 94825521 chr14:94825521:A:G rs11621232 A G A EBF1_EBF_1 21 0 + 0 0 . chr14 94873592 94873593 chr14:94873593:C:T rs10149181 C T C EBF1_EBF_1 0 1 + 5.705077961486454 5.987280022217032 CGTCCCATAGGACA chr14 94886796 94886797 chr14:94886797:C:G rs1243488 C G C EBF1_EBF_1 -18 0 + 0 0 . chr14 94886814 94886815 chr14:94886815:C:T rs73332612 C T C EBF1_EBF_1 0 1 + 4.745370298222114 5.027572358952692 CACCTCCAGGGACT chr14 94887154 94887155 chr14:94887155:T:C rs57981930 T C T EBF1_EBF_1 6 1 + 4.139587786677214 3.838301657815457 GCTCCCTGGGGCTC chr14 94896640 94896641 chr14:94896641:C:T rs2983477 C T T EBF1_EBF_1 11 1 + 5.833530438083549 6.1515778798372125 ATTCCCCTGAGCCC chr14 94908537 94908538 chr14:94908538:A:G rs530750245 A G A EBF1_EBF_1 28 0 + 0 0 . chr14 94913161 94913162 chr14:94913162:T:C rs1243572 T C C EBF1_EBF_1 19 0 + 0 0 . chr14 94939370 94939371 chr14:94939371:G:A rs10135136 G A G EBF1_EBF_1 3 1 - 6.389733144998523 -0.015665596554794714 GTCCCCAAGGGCCT chr14 94940830 94940831 chr14:94940831:G:A rs8003855 G A G EBF1_EBF_1 8 1 + 6.838297172114483 2.612007083228222 GTCCCCAGGAGACT chr14 94942571 94942572 chr14:94942572:C:A rs3850411 C A C EBF1_EBF_1 4 1 + 6.545528698414936 0.7209690749614692 CGCCCCTTGAGACT chr14 94971556 94971557 chr14:94971557:G:C rs74789128 G C G EBF1_EBF_1 18 0 + 0 0 . chr14 94971561 94971562 chr14:94971562:C:T rs11160224 C T C EBF1_EBF_1 23 0 + 0 0 . chr14 94972947 94972948 chr14:94972948:G:A chr14:94972948:G:A G A G EBF1_EBF_1 2 1 - 9.2928983700239 11.181721781466049 TCCCCCTTGGGACT chr14 95044587 95044588 chr14:95044588:A:G rs12884850 A G G EBF1_EBF_1 -6 0 + 0 0 . chr14 95065469 95065470 chr14:95065470:G:A rs67473699 G A G EBF1_EBF_1 22 0 - 0 0 . chr14 95075047 95075048 chr14:95075048:G:A rs777484100 G A G EBF1_EBF_1 26 0 + 0 0 . chr14 95119524 95119525 chr14:95119525:T:C rs61662226 T C C EBF1_EBF_1 12 1 + 5.90811405486172 6.93438062541257 ACTCCCAGAGGATA chr14 95199625 95199626 chr14:95199626:T:C rs1187625 T C C EBF1_EBF_1 7 1 - 5.507942620092898 4.195484214804073 TCTCCCGAGAGAGT chr14 95218706 95218707 chr14:95218707:G:A rs61977677 G A G EBF1_EBF_1 25 0 + 0 0 . chr14 95227016 95227017 chr14:95227017:C:T rs892603733 C T C EBF1_EBF_1 5 1 + 5.679092752767225 0.35730553722655584 ATTCACATGGGAGA chr14 95240993 95240994 chr14:95240994:T:C rs112165660 T C C EBF1_EBF_1 28 0 - 0 0 . chr14 95240994 95240995 chr14:95240995:G:T rs113096608 G T T EBF1_EBF_1 27 0 - 0 0 . chr14 95241030 95241031 chr14:95241031:A:G rs75092694 A G A EBF1_EBF_1 -9 0 - 0 0 . chr14 95249409 95249410 chr14:95249410:T:C rs28657896 T C C EBF1_EBF_1 32 0 - 0 0 . chr14 95252833 95252834 chr14:95252834:G:T rs117170761 G T G EBF1_EBF_1 25 0 - 0 0 . chr14 95285000 95285001 chr14:95285001:G:A rs61982973 G A A EBF1_EBF_1 25 0 - 0 0 . chr14 95299411 95299412 chr14:95299412:A:C rs7144740 A C C EBF1_EBF_1 11 1 + 8.480298376344543 4.064336678492153 AGCCCCACGGGACA chr14 95314221 95314222 chr14:95314222:T:C rs8005531 T C T EBF1_EBF_1 6 1 + 6.348547660010247 6.047261531148489 CCTCCCTAGGTACC chr14 95315288 95315289 chr14:95315289:G:T rs79060682 G T G EBF1_EBF_1 -2 0 + 0 0 . chr14 95320255 95320256 chr14:95320256:G:A rs534275642 G A G EBF1_EBF_1 -4 0 - 0 0 . chr14 95330538 95330539 chr14:95330539:G:A rs10142198 G A G EBF1_EBF_1 3 1 - 7.553979574507629 1.1485808329543117 CTTCCCCAGGGCCT chr14 95330542 95330543 chr14:95330543:T:C rs10131156 T C C EBF1_EBF_1 -1 0 - 0 0 . chr14 95357311 95357312 chr14:95357312:G:A rs755567 G A A EBF1_EBF_1 -19 0 + 0 0 . chr14 95370215 95370216 chr14:95370216:G:A rs60834466 G A G EBF1_EBF_1 8 1 + 4.680857770147124 0.4545676812608619 CTCCCCTTGGGGCG chr14 95371417 95371418 chr14:95371418:G:A rs113070680 G A G EBF1_EBF_1 26 0 - 0 0 . chr14 95385502 95385503 chr14:95385503:A:G rs11628020 A G A EBF1_EBF_1 -15 0 + 0 0 . chr14 95397503 95397504 chr14:95397504:C:T rs67762931 C T C EBF1_EBF_1 4 1 + 8.977544638122684 4.608157693177762 ATCCCCCAGGGATG chr14 95412684 95412685 chr14:95412685:C:T rs11623037 C T C EBF1_EBF_1 31 0 - 0 0 . chr14 95413989 95413990 chr14:95413990:G:A rs145834550 G A G EBF1_EBF_1 12 1 - 8.416010383106933 7.389743812556084 ATTCCCTTGGGCCA chr14 95422504 95422505 chr14:95422505:G:A rs1628817 G A A EBF1_EBF_1 26 0 - 0 0 . chr14 95424035 95424036 chr14:95424036:T:C rs67609236 T C C EBF1_EBF_1 -4 0 + 0 0 . chr14 95427308 95427309 chr14:95427309:G:A rs12881370 G A g EBF1_EBF_1 24 0 - 0 0 . chr14 95453734 95453735 chr14:95453735:T:C rs7146812 T C C EBF1_EBF_1 20 0 - 0 0 . chr14 95464980 95464981 chr14:95464981:A:C rs1546992 A C A EBF1_EBF_1 -17 0 - 0 0 . chr14 95473232 95473233 chr14:95473233:C:T rs115311523 C T C EBF1_EBF_1 16 0 + 0 0 . chr14 95478454 95478455 chr14:95478455:C:T rs12588815 C T C EBF1_EBF_1 5 1 + 5.0526712044890765 -0.26911601105159266 TCTGCCCTGGGACT chr14 95480204 95480205 chr14:95480205:C:T rs74083421 C T C EBF1_EBF_1 -13 0 - 0 0 . chr14 95493640 95493641 chr14:95493641:T:C rs144670824 T C T EBF1_EBF_1 12 1 + 8.157210980006877 9.183477550557726 AGCCCCATGGGATG chr14 95495505 95495506 chr14:95495506:T:C rs6575515 T C C EBF1_EBF_1 6 1 - 6.743257716642502 4.576463285368026 TCACCCATGGGAAC chr14 95495507 95495508 chr14:95495508:G:T rs6575516 G T T EBF1_EBF_1 4 1 - 6.743257716642502 0.9186980931890335 TCACCCATGGGAAC chr14 95497441 95497442 chr14:95497442:T:C rs980107 T C C EBF1_EBF_1 12 1 - 6.900566837959277 5.481749495859799 CTCCCCTCGGGAAA chr14 95501058 95501059 chr14:95501059:G:A rs17092581 G A G EBF1_EBF_1 -4 0 + 0 0 . chr14 95510224 95510225 chr14:95510225:G:A rs114922791 G A G EBF1_EBF_1 -19 0 - 0 0 . chr14 95510337 95510338 chr14:95510338:G:A rs7154564 G A G EBF1_EBF_1 1 1 - 4.242862770633485 5.13829621103223 GCTCCCCTGGGCAG chr14 95514139 95514140 chr14:95514140:G:A rs75247418 G A G EBF1_EBF_1 18 0 - 0 0 . chr14 95516255 95516256 chr14:95516256:C:G rs527737166 C G C EBF1_EBF_1 -15 0 - 0 0 . chr14 95534542 95534543 chr14:95534543:G:T rs10135899 G T G EBF1_EBF_1 -14 0 + 0 0 . chr14 95534552 95534553 chr14:95534553:G:A rs79239313 G A G EBF1_EBF_1 -4 0 + 0 0 . chr14 95534575 95534576 chr14:95534576:G:A rs114916897 G A G EBF1_EBF_1 19 0 + 0 0 . chr14 95545104 95545105 chr14:95545105:C:T rs11621250 C T C EBF1_EBF_1 -7 0 - 0 0 . chr14 95546021 95546022 chr14:95546022:A:G rs17092689 A G A EBF1_EBF_1 16 0 + 0 0 . chr14 95566179 95566180 chr14:95566180:A:G rs12879738 A G G EBF1_EBF_1 20 0 + 0 0 . chr14 95597774 95597775 chr14:95597775:G:T rs66719713 G T G EBF1_EBF_1 33 0 - 0 0 . chr14 95597782 95597783 chr14:95597783:C:T rs77837311 C T C EBF1_EBF_1 25 0 - 0 0 . chr14 95616945 95616946 chr14:95616946:C:T rs4905349 C T C EBF1_EBF_1 10 1 - 7.786349335306644 2.4683208760396775 TATCCCCAGAGACC chr14 95616961 95616962 chr14:95616962:G:T rs4905350 G T g EBF1_EBF_1 -6 0 - 0 0 . chr14 95619671 95619672 chr14:95619672:G:A rs561900744 G A G EBF1_EBF_1 5 1 - 4.7921089079420875 -0.529678307598582 GCTCCCTGGGGCCA chr14 95665237 95665238 chr14:95665238:T:G rs59088277 T G T EBF1_EBF_1 13 1 + 7.9025485474953525 5.56998341155442 CATCCCCTGAGAAT chr14 95685346 95685347 chr14:95685347:A:G rs1748952 A G A EBF1_EBF_1 20 0 + 0 0 . chr14 95690993 95690994 chr14:95690994:G:T rs2075770 G T G EBF1_EBF_1 5 1 - 6.605781192797235 -0.2990999598379335 AACCCCAAGGGGGC chr14 95726073 95726074 chr14:95726074:G:A rs116379255 G A g EBF1_EBF_1 -13 0 + 0 0 . chr14 95729620 95729621 chr14:95729621:C:A rs116643823 C A C EBF1_EBF_1 29 0 + 0 0 . chr14 95729621 95729622 chr14:95729622:T:A rs115992902 T A T EBF1_EBF_1 30 0 + 0 0 . chr14 95778821 95778822 chr14:95778822:T:C rs1951979 T C C EBF1_EBF_1 -14 0 + 0 0 . chr14 95832033 95832034 chr14:95832034:C:T rs11848583 C T T EBF1_EBF_1 26 0 - 0 0 . chr14 95901384 95901385 chr14:95901385:T:C rs59159496 T C C EBF1_EBF_1 32 0 + 0 0 . chr14 95903920 95903921 chr14:95903921:G:A rs8013317 G A A EBF1_EBF_1 -10 0 - 0 0 . chr14 96055997 96055998 chr14:96055998:A:G rs11849028 A G A EBF1_EBF_1 -4 0 + 0 0 . chr14 96059299 96059300 chr14:96059300:T:C rs2369417 T C C EBF1_EBF_1 22 0 - 0 0 . chr14 96070475 96070476 chr14:96070476:G:A chr14:96070476:G:A G A G EBF1_EBF_1 21 0 - 0 0 . chr14 96090644 96090645 chr14:96090645:C:A rs181670324 C A C EBF1_EBF_1 3 1 + 6.179128131218474 -0.7270458982473329 ACCCCCCAGAGAGA chr14 96090647 96090648 chr14:96090648:C:A rs551857789 C A C EBF1_EBF_1 6 1 + 6.179128131218474 6.540730499019751 ACCCCCCAGAGAGA chr14 96091036 96091037 chr14:96091037:G:A rs62618758 G A G EBF1_EBF_1 -1 0 - 0 0 . chr14 96092211 96092212 chr14:96092212:G:A rs1062709 G A A EBF1_EBF_1 24 0 - 0 0 . chr14 96096655 96096656 chr14:96096656:A:C rs111239981 A C A EBF1_EBF_1 -20 0 + 0 0 . chr14 96096695 96096696 chr14:96096696:G:A rs113344087 G A G EBF1_EBF_1 20 0 + 0 0 . chr14 96100570 96100571 chr14:96100571:C:T rs74086089 C T C EBF1_EBF_1 -13 0 + 0 0 . chr14 96100595 96100596 chr14:96100596:A:C rs73349692 A C C EBF1_EBF_1 12 1 + 5.582889764729023 5.552708366307697 ACTCCCTGGAGGAA chr14 96118066 96118067 chr14:96118067:G:T chr14:96118067:G:T G T G EBF1_EBF_1 -15 0 - 0 0 . chr14 96129214 96129215 chr14:96129215:C:T rs61985277 C T C EBF1_EBF_1 -8 0 - 0 0 . chr14 96150507 96150508 chr14:96150508:C:T rs8022837 C T T EBF1_EBF_1 18 0 + 0 0 . chr14 96150522 96150523 chr14:96150523:C:T rs10747304 C T C EBF1_EBF_1 33 0 + 0 0 . chr14 96158586 96158587 chr14:96158587:A:G rs6575576 A G A EBF1_EBF_1 18 0 + 0 0 . chr14 96158591 96158592 chr14:96158592:C:T rs72704824 C T T EBF1_EBF_1 23 0 + 0 0 . chr14 96161023 96161024 chr14:96161024:G:T rs376681201 G T G EBF1_EBF_1 22 0 - 0 0 . chr14 96161045 96161046 chr14:96161046:C:T rs8014464 C T T EBF1_EBF_1 0 1 - 5.847779962744913 7.740063832309747 GTTCCCCAGGTAAG chr14 96167877 96167878 chr14:96167878:C:T rs73355990 C T C EBF1_EBF_1 10 1 - 5.841498428134352 0.5234699688673858 CTTCCCATGAGATG chr14 96177181 96177182 chr14:96177182:A:G rs11621015 A G A EBF1_EBF_1 -15 0 - 0 0 . chr14 96193668 96193669 chr14:96193669:T:C rs1889371 T C C EBF1_EBF_1 27 0 - 0 0 . chr14 96194924 96194925 chr14:96194925:G:A rs116174644 G A G EBF1_EBF_1 19 0 - 0 0 . chr14 96197782 96197783 chr14:96197783:A:C rs67925904 A C A EBF1_EBF_1 2 1 - 9.30606277195 2.5577370817495346 AGTCCCCTGGGGCC chr14 96204323 96204324 chr14:96204324:T:C rs945032 T C T EBF1_EBF_1 -12 0 - 0 0 . chr14 96204378 96204379 chr14:96204379:A:G rs76797940 A G A EBF1_EBF_1 -11 0 - 0 0 . chr14 96234972 96234973 chr14:96234973:A:G chr14:96234973:A:G A G A EBF1_EBF_1 14 0 + 0 0 . chr14 96236085 96236086 chr14:96236086:C:T rs2069574 C T C EBF1_EBF_1 -17 0 + 0 0 . chr14 96236249 96236250 chr14:96236250:G:A rs2069575 G A A EBF1_EBF_1 8 1 + 8.027611732685543 3.801321643799283 AGTCCCTCGAGACC chr14 96238023 96238024 chr14:96238024:G:C rs871547 G C G EBF1_EBF_1 16 0 - 0 0 . chr14 96240023 96240024 chr14:96240024:G:T rs11627428 G T G EBF1_EBF_1 20 0 - 0 0 . chr14 96244201 96244202 chr14:96244202:G:C rs201055494 G C G EBF1_EBF_1 17 0 - 0 0 . chr14 96253940 96253941 chr14:96253941:T:C rs10146800 T C C EBF1_EBF_1 0 1 - 9.401302718486377 7.509018848921545 AGCCCCTGGGGACA chr14 96362517 96362518 chr14:96362518:C:T chr14:96362518:C:T C T C EBF1_EBF_1 24 0 + 0 0 . chr14 96362524 96362525 chr14:96362525:C:T rs117285826 C T C EBF1_EBF_1 31 0 + 0 0 . chr14 96391432 96391433 chr14:96391433:C:T rs746270529 C T C EBF1_EBF_1 -12 0 + 0 0 . chr14 96391645 96391646 chr14:96391646:T:C rs111914214 T C C EBF1_EBF_1 28 0 + 0 0 . chr14 96408098 96408099 chr14:96408099:C:T rs1458472655 C T C EBF1_EBF_1 26 0 - 0 0 . chr14 96594948 96594949 chr14:96594949:G:A rs73355206 G A G EBF1_EBF_1 0 1 + 5.79948965254704 7.691773522111874 GGTGCCCAGGGACT chr14 96623829 96623830 chr14:96623830:G:A rs143137115 G A G EBF1_EBF_1 24 0 - 0 0 . chr14 96623859 96623860 chr14:96623860:G:T rs10129847 G T G EBF1_EBF_1 -6 0 - 0 0 . chr14 96626210 96626211 chr14:96626211:G:A rs534369933 G A G EBF1_EBF_1 11 1 + 8.03866813481351 10.93137497841752 TTTCCCCTGGGGCC chr14 96626542 96626543 chr14:96626543:G:T rs34048492 G T G EBF1_EBF_1 26 0 - 0 0 . chr14 96629655 96629656 chr14:96629656:G:C rs234581 G C c EBF1_EBF_1 33 0 - 0 0 . chr14 96630050 96630051 chr14:96630051:A:G chr14:96630051:A:G A G a EBF1_EBF_1 29 0 + 0 0 . chr14 96637515 96637516 chr14:96637516:T:C rs234574 T C c EBF1_EBF_1 -8 0 - 0 0 . chr14 96662387 96662388 chr14:96662388:C:A rs74448184 C A C EBF1_EBF_1 28 0 - 0 0 . chr14 96699370 96699371 chr14:96699371:G:A rs33999254 G A G EBF1_EBF_1 -3 0 + 0 0 . chr14 96702314 96702315 chr14:96702315:G:A rs75732305 G A G EBF1_EBF_1 -8 0 + 0 0 . chr14 96705684 96705685 chr14:96705685:T:C rs10132591 T C C EBF1_EBF_1 -8 0 + 0 0 . chr14 96720500 96720501 chr14:96720501:G:A rs4905528 G A A EBF1_EBF_1 -8 0 - 0 0 . chr14 96752309 96752310 chr14:96752310:C:A rs12588375 C A A EBF1_EBF_1 6 1 + 10.598233591873713 10.959835959674992 CCTCCCCTGGGACT chr14 96754973 96754974 chr14:96754974:G:A rs141979053 G A G EBF1_EBF_1 21 0 + 0 0 . chr14 96797591 96797592 chr14:96797592:T:C rs71419296 T C t EBF1_EBF_1 -1 0 + 0 0 . chr14 96797659 96797660 chr14:96797660:G:C rs1412350977 G C G EBF1_EBF_1 -16 0 + 0 0 . chr14 96812314 96812315 chr14:96812315:C:T rs4905546 C T T EBF1_EBF_1 10 1 - 6.1252820285907905 0.8072535693238246 AGTCCCTAAAGAAC chr14 96904985 96904986 chr14:96904986:A:G rs150801176 A G A EBF1_EBF_1 -1 0 + 0 0 . chr14 96934254 96934255 chr14:96934255:C:A rs79299194 C A C EBF1_EBF_1 -1 0 + 0 0 . chr14 96944254 96944255 chr14:96944255:C:T rs58881967 C T C EBF1_EBF_1 -1 0 - 0 0 . chr14 97026121 97026122 chr14:97026122:G:A rs856248 G A A EBF1_EBF_1 29 0 - 0 0 . chr14 97097434 97097435 chr14:97097435:C:G rs11160380 C G C EBF1_EBF_1 27 0 - 0 0 . chr14 97097441 97097442 chr14:97097442:C:T rs10151040 C T C EBF1_EBF_1 20 0 - 0 0 . chr14 97106001 97106002 chr14:97106002:A:G rs185693726 A G G EBF1_EBF_1 -3 0 - 0 0 . chr14 97106883 97106884 chr14:97106884:A:G rs76259605 A G A EBF1_EBF_1 17 0 + 0 0 . chr14 97117876 97117877 chr14:97117877:C:T rs989375 C T C EBF1_EBF_1 26 0 + 0 0 . chr14 97144364 97144365 chr14:97144365:A:C rs7146413 A C C EBF1_EBF_1 -3 0 + 0 0 . chr14 97169499 97169500 chr14:97169500:C:G rs34638370 C G C EBF1_EBF_1 4 1 + 5.869972430894376 0.24420732974483106 CATCCCAGGGTACT chr14 97371170 97371171 chr14:97371171:C:T rs571273569 C T C EBF1_EBF_1 33 0 + 0 0 . chr14 97524259 97524260 chr14:97524260:A:G rs73376986 A G G EBF1_EBF_1 -8 0 + 0 0 . chr14 97524272 97524273 chr14:97524273:C:T rs79033246 C T C EBF1_EBF_1 5 1 + 10.382202325158845 5.060415109618177 ATTCCCCAGAGAAA chr14 97604719 97604720 chr14:97604720:G:A rs143953639 G A G EBF1_EBF_1 32 0 - 0 0 . chr14 97698025 97698026 chr14:97698026:G:A rs1473640125 G A G EBF1_EBF_1 20 0 + 0 0 . chr14 97762497 97762498 chr14:97762498:G:T rs11160405 G T T EBF1_EBF_1 -8 0 + 0 0 . chr14 97762508 97762509 chr14:97762509:C:G rs11626369 C G C EBF1_EBF_1 3 1 + 5.859975751155059 0.032211303039843944 AGCCCCAAGGAAAC chr14 97914756 97914757 chr14:97914757:G:A rs2776588 G A A EBF1_EBF_1 22 0 - 0 0 . chr14 97914763 97914764 chr14:97914764:A:G rs2776589 A G A EBF1_EBF_1 15 0 - 0 0 . chr14 97976946 97976947 chr14:97976947:T:C chr14:97976947:T:C T C T EBF1_EBF_1 -17 0 - 0 0 . chr14 97977104 97977105 chr14:97977105:C:A rs61979976 C A C EBF1_EBF_1 26 0 - 0 0 . chr14 97977127 97977128 chr14:97977128:G:A rs551084051 G A G EBF1_EBF_1 3 1 - 5.251595836988022 -1.1538029045652949 CTTCCCCAGGGCAG chr14 97977621 97977622 chr14:97977622:A:T rs8014619 A T A EBF1_EBF_1 22 0 - 0 0 . chr14 97977646 97977647 chr14:97977647:C:A chr14:97977647:C:A C A C EBF1_EBF_1 -3 0 - 0 0 . chr14 98136314 98136315 chr14:98136315:G:T rs1075504 G T G EBF1_EBF_1 -18 0 - 0 0 . chr14 98136315 98136316 chr14:98136316:C:T rs730599 C T C EBF1_EBF_1 -19 0 - 0 0 . chr14 98139092 98139093 chr14:98139093:G:A rs78312524 G A G EBF1_EBF_1 -12 0 + 0 0 . chr14 98167535 98167536 chr14:98167536:G:A rs10139183 G A A EBF1_EBF_1 6 1 + 5.0860219686323145 7.25281639990679 CCTCCCGTGGGGAC chr14 98171141 98171142 chr14:98171142:A:G rs7160630 A G G EBF1_EBF_1 7 1 + 9.657658135818918 8.345199730530092 TGCCCCCAGGGACT chr14 98171165 98171166 chr14:98171166:G:C rs17096599 G C G EBF1_EBF_1 31 0 + 0 0 . chr14 98171166 98171167 chr14:98171167:C:G rs1350843 C G C EBF1_EBF_1 32 0 + 0 0 . chr14 98198420 98198421 chr14:98198421:C:A chr14:98198421:C:A C A C EBF1_EBF_1 0 1 + 6.833290939606282 9.088549423302883 CACCCCGTGGGACT chr14 98198442 98198443 chr14:98198443:A:T rs17096722 A T A EBF1_EBF_1 22 0 + 0 0 . chr14 98204696 98204697 chr14:98204697:C:T rs8014064 C T C EBF1_EBF_1 12 1 + 5.621595184351127 4.595328613800278 AGACCCCTGGGGCC chr14 98226606 98226607 chr14:98226607:C:A rs1257925 C A C EBF1_EBF_1 0 1 + 7.3021349031829486 9.557393386879548 CGTCCCGGGGGACC chr14 98228499 98228500 chr14:98228500:G:A rs11628279 G A G EBF1_EBF_1 -20 0 + 0 0 . chr14 98228545 98228546 chr14:98228546:G:T rs11628307 G T G EBF1_EBF_1 26 0 + 0 0 . chr14 98403093 98403094 chr14:98403094:G:A rs4509952 G A g EBF1_EBF_1 12 1 - 7.10627166061635 6.080005090065501 CTTCCCAAGGGGCG chr14 98412463 98412464 chr14:98412464:C:T rs180904672 C T C EBF1_EBF_1 -8 0 + 0 0 . chr14 98443969 98443970 chr14:98443970:G:A rs60643163 G A G EBF1_EBF_1 23 0 + 0 0 . chr14 98467372 98467373 chr14:98467373:A:G rs112177314 A G A EBF1_EBF_1 -13 0 + 0 0 . chr14 98548839 98548840 chr14:98548840:G:A rs11845463 G A G EBF1_EBF_1 -17 0 + 0 0 . chr14 98603456 98603457 chr14:98603457:A:T rs17512941 A T A EBF1_EBF_1 7 1 - 5.412189850623378 5.888464090710936 CCTCCCATGAGGCT chr14 98607794 98607795 chr14:98607795:G:A rs11844211 G A G EBF1_EBF_1 -8 0 - 0 0 . chr14 98653919 98653920 chr14:98653920:G:A rs10130432 G A G EBF1_EBF_1 10 1 + 7.642401488658441 2.324373029391475 TCCCCCTGGGGAAC chr14 98684823 98684824 chr14:98684824:A:C rs17600728 A C A EBF1_EBF_1 21 0 + 0 0 . chr14 98847534 98847535 chr14:98847535:C:T rs10467883 C T . EBF1_EBF_1 -7 0 - 0 0 . chr14 98854299 98854300 chr14:98854300:A:G rs147824132 A G A EBF1_EBF_1 -8 0 + 0 0 . chr14 98870396 98870397 chr14:98870397:T:C rs4905766 T C T EBF1_EBF_1 27 0 + 0 0 . chr14 98900352 98900353 chr14:98900353:A:G rs8022678 A G g EBF1_EBF_1 -9 0 + 0 0 . chr14 98903542 98903543 chr14:98903543:A:G rs28384278 A G G EBF1_EBF_1 25 0 - 0 0 . chr14 98921542 98921543 chr14:98921543:T:A rs200329 T A T EBF1_EBF_1 0 1 - 5.791225080288529 3.8181686573225075 ATCCCCATGGGTCG chr14 98932964 98932965 chr14:98932965:A:C rs7148301 A C A EBF1_EBF_1 28 0 - 0 0 . chr14 98967230 98967231 chr14:98967231:C:T rs7140994 C T C EBF1_EBF_1 29 0 - 0 0 . chr14 99012224 99012225 chr14:99012225:T:G rs17098195 T G T EBF1_EBF_1 -8 0 + 0 0 . chr14 99030083 99030084 chr14:99030084:C:G rs74830951 C G C EBF1_EBF_1 32 0 + 0 0 . chr14 99030589 99030590 chr14:99030590:C:T rs74080228 C T C EBF1_EBF_1 32 0 + 0 0 . chr14 99041079 99041080 chr14:99041080:G:A rs12879721 G A G EBF1_EBF_1 7 1 + 6.431192778184932 7.743651183473758 CTTCCCCGAGGACT chr14 99042833 99042834 chr14:99042834:G:A rs61979311 G A G EBF1_EBF_1 7 1 - 4.961561171502825 6.779065917785449 AACCCCTCGGGCCT chr14 99042858 99042859 chr14:99042859:G:A rs59057448 G A G EBF1_EBF_1 -18 0 - 0 0 . chr14 99068118 99068119 chr14:99068119:C:T rs141065814 C T C EBF1_EBF_1 12 1 + 10.046345142229391 9.020078571678543 ACTCCCAGGGGACG chr14 99068127 99068128 chr14:99068128:A:G rs807364 A G G EBF1_EBF_1 21 0 + 0 0 . chr14 99089998 99089999 chr14:99089999:T:C rs12887971 T C T EBF1_EBF_1 32 0 - 0 0 . chr14 99092884 99092885 chr14:99092885:G:A rs74879243 G A G EBF1_EBF_1 1 1 - 4.356162396589276 5.251595836988022 CCTCCCCAGGGCAG chr14 99097372 99097373 chr14:99097373:T:C rs12434512 T C C EBF1_EBF_1 -7 0 + 0 0 . chr14 99099500 99099501 chr14:99099501:C:T rs1467723 C T T EBF1_EBF_1 25 0 + 0 0 . chr14 99101013 99101014 chr14:99101014:C:T rs1257501 C T C EBF1_EBF_1 -2 0 - 0 0 . chr14 99122664 99122665 chr14:99122665:C:T rs1257512 C T T EBF1_EBF_1 31 0 - 0 0 . chr14 99122670 99122671 chr14:99122671:A:G rs1257513 A G G EBF1_EBF_1 25 0 - 0 0 . chr14 99132302 99132303 chr14:99132303:G:T rs73418796 G T g EBF1_EBF_1 9 1 + 6.019235199837945 -0.8177542082508641 AACCCCGGGGGAGC chr14 99135983 99135984 chr14:99135984:A:G rs11627507 A G G EBF1_EBF_1 24 0 - 0 0 . chr14 99136664 99136665 chr14:99136665:G:A rs146773942 G A G EBF1_EBF_1 -2 0 + 0 0 . chr14 99177173 99177174 chr14:99177174:G:A rs77604946 G A G EBF1_EBF_1 16 0 + 0 0 . chr14 99183957 99183958 chr14:99183958:C:A rs12590156 C A C EBF1_EBF_1 -4 0 + 0 0 . chr14 99184846 99184847 chr14:99184847:C:T chr14:99184847:C:T C T C EBF1_EBF_1 2 1 + 6.112389972399001 8.00121338384115 ACCCTCATGGGACC chr14 99189907 99189908 chr14:99189908:G:C rs74080344 G C G EBF1_EBF_1 4 1 - 6.182271894021325 0.5565067928717808 CCTCCCCTGGGCCT chr14 99202667 99202668 chr14:99202668:G:C rs28607259 G C G EBF1_EBF_1 -19 0 - 0 0 . chr14 99209610 99209611 chr14:99209611:C:G rs55843916 C G G EBF1_EBF_1 -9 0 - 0 0 . chr14 99210469 99210470 chr14:99210470:A:G rs2664292 A G G EBF1_EBF_1 19 0 - 0 0 . chr14 99243423 99243424 chr14:99243424:A:G rs2457444 A G A EBF1_EBF_1 19 0 + 0 0 . chr14 99254641 99254642 chr14:99254642:G:A rs10873500 G A G EBF1_EBF_1 16 0 - 0 0 . chr14 99267046 99267047 chr14:99267047:G:C rs1540840 G C C EBF1_EBF_1 14 0 - 0 0 . chr14 99290813 99290814 chr14:99290814:C:T rs2748805 C T C EBF1_EBF_1 25 0 + 0 0 . chr14 99304459 99304460 chr14:99304460:A:G rs1912269 A G A EBF1_EBF_1 0 1 + 6.1441934800716 4.2519096105067655 ACTCCCATGAGCCT chr14 99318672 99318673 chr14:99318673:T:C rs906932 T C C EBF1_EBF_1 6 1 - 6.037082573133069 3.8702881418585937 GCCCCCAAGAGACA chr14 99350239 99350240 chr14:99350240:C:T rs731347 C T C EBF1_EBF_1 -13 0 - 0 0 . chr14 99389439 99389440 chr14:99389440:A:G rs187871443 A G A EBF1_EBF_1 -18 0 + 0 0 . chr14 99389459 99389460 chr14:99389460:T:C rs142311761 T C T EBF1_EBF_1 2 1 + 7.880517906381872 5.99169449493972 GCTCCCCGGGGATA chr14 99480174 99480175 chr14:99480175:C:G rs3918022 C G C EBF1_EBF_1 0 1 - 3.642537132672696 3.2795625185409296 GGTGCCCGGGGACC chr14 99518531 99518532 chr14:99518532:C:A rs143480111 C A C EBF1_EBF_1 -19 0 + 0 0 . chr14 99518535 99518536 chr14:99518536:C:G rs1209718649 C G C EBF1_EBF_1 -15 0 + 0 0 . chr14 99518583 99518584 chr14:99518584:G:A rs373144597 G A G EBF1_EBF_1 33 0 + 0 0 . chr14 99520253 99520254 chr14:99520254:T:C rs144049718 T C C EBF1_EBF_1 29 0 - 0 0 . chr14 99524889 99524890 chr14:99524890:C:G rs77979384 C G C EBF1_EBF_1 3 1 + 4.374205385112836 -1.4535590630023798 GCTCCCTCAGGACC chr14 99538453 99538454 chr14:99538454:T:A rs114348978 T A A EBF1_EBF_1 13 1 + 11.32627103192944 10.108031468057947 ACCCCCATGGGACT chr14 99555296 99555297 chr14:99555297:G:A rs10147748 G A G EBF1_EBF_1 -20 0 - 0 0 . chr14 99562669 99562670 chr14:99562670:G:T rs2180379 G T G EBF1_EBF_1 3 1 - 6.288860006044784 -0.617314023421023 GAACCCTTGGGACA chr14 99564635 99564636 chr14:99564636:G:C rs4900434 G C C EBF1_EBF_1 6 1 + 6.115222583288881 7.920414646762078 ATCCCCGAGGGCCT chr14 99568588 99568589 chr14:99568589:G:A rs4516163 G A G EBF1_EBF_1 -15 0 + 0 0 . chr14 99573169 99573170 chr14:99573170:G:A rs11626005 G A G EBF1_EBF_1 8 1 + 5.500627310620738 1.2743372217344764 GTCCCCGCGGGACC chr14 99606441 99606442 chr14:99606442:G:C rs11160531 G C G EBF1_EBF_1 14 0 + 0 0 . chr14 99607381 99607382 chr14:99607382:T:G rs12437096 T G T EBF1_EBF_1 -10 0 + 0 0 . chr14 99615870 99615871 chr14:99615871:A:G rs78315003 A G a EBF1_EBF_1 14 0 + 0 0 . chr14 99615958 99615959 chr14:99615959:G:A rs79106740 G A G EBF1_EBF_1 -9 0 + 0 0 . chr14 99633208 99633209 chr14:99633209:G:A rs11160534 G A G EBF1_EBF_1 -3 0 + 0 0 . chr14 99633428 99633429 chr14:99633429:C:G rs79902965 C G C EBF1_EBF_1 0 1 + 9.218841440948687 9.581816055080452 CCTCCCTGGGGACC chr14 99636238 99636239 chr14:99636239:C:G rs6575743 C G C EBF1_EBF_1 2 1 + 5.8116203154241335 0.9521180366658157 GACCCCTGGAGACT chr14 99652900 99652901 chr14:99652901:G:T rs3825570 G T G EBF1_EBF_1 -13 0 - 0 0 . chr14 99680115 99680116 chr14:99680116:G:A rs76234538 G A G EBF1_EBF_1 4 1 - 4.353560928230977 -0.015826016713945998 AAGCCCCGGGGACC chr14 99681979 99681980 chr14:99681980:C:G chr14:99681980:C:G C G C EBF1_EBF_1 23 0 + 0 0 . chr14 99681980 99681981 chr14:99681981:G:A rs144320235 G A G EBF1_EBF_1 24 0 + 0 0 . chr14 99706024 99706025 chr14:99706025:T:C rs12588744 T C C EBF1_EBF_1 24 0 - 0 0 . chr14 99716607 99716608 chr14:99716608:G:C rs78482721 G C C EBF1_EBF_1 -8 0 + 0 0 . chr14 99716801 99716802 chr14:99716802:T:C rs79445259 T C C EBF1_EBF_1 6 1 - 5.318322670120763 3.1515282388462875 GGCCCCAGGAGAAC chr14 99718231 99718232 chr14:99718232:C:A rs75331313 C A C EBF1_EBF_1 0 1 + 7.077705334420072 9.332963818116669 CTTCCCCTGGGCCT chr14 99718251 99718252 chr14:99718252:A:G rs7151522 A G G EBF1_EBF_1 20 0 + 0 0 . chr14 99721806 99721807 chr14:99721807:A:C rs2273840 A C A EBF1_EBF_1 -1 0 + 0 0 . chr14 99726838 99726839 chr14:99726839:C:T rs569611765 C T C EBF1_EBF_1 21 0 + 0 0 . chr14 99730590 99730591 chr14:99730591:G:A rs2181228 G A G EBF1_EBF_1 7 1 - 4.2938399703866175 6.11134471666924 CATCCCCCGGGCCT chr14 99730788 99730789 chr14:99730789:C:A rs376760462 C A C EBF1_EBF_1 10 1 + 5.079138885030544 6.651912813093053 CTTCCCCAGGCACT chr14 99737083 99737084 chr14:99737084:C:A rs1467582 C A c EBF1_EBF_1 28 0 - 0 0 . chr14 99747274 99747275 chr14:99747275:A:G rs11160542 A G A EBF1_EBF_1 16 0 + 0 0 . chr14 99750214 99750215 chr14:99750215:C:G rs8004746 C G G EBF1_EBF_1 21 0 - 0 0 . chr14 99752181 99752182 chr14:99752182:G:A rs759292955 G A G EBF1_EBF_1 -19 0 + 0 0 . chr14 99754434 99754435 chr14:99754435:A:G rs755087361 A G A EBF1_EBF_1 -18 0 - 0 0 . chr14 99754435 99754436 chr14:99754436:C:T rs149400993 C T C EBF1_EBF_1 -19 0 - 0 0 . chr14 99755274 99755275 chr14:99755275:T:C rs35543760 T C T EBF1_EBF_1 24 0 - 0 0 . chr14 99766959 99766960 chr14:99766960:G:A rs111601903 G A G EBF1_EBF_1 14 0 - 0 0 . chr14 99772541 99772542 chr14:99772542:C:G rs4300578 C G C EBF1_EBF_1 -12 0 - 0 0 . chr14 99788782 99788783 chr14:99788783:G:C rs1967760 G C C EBF1_EBF_1 8 1 + 6.49386280577256 1.175203944857874 ATTCCAAAGGGAAA chr14 99802854 99802855 chr14:99802855:C:T rs58390739 C T C EBF1_EBF_1 24 0 + 0 0 . chr14 99808027 99808028 chr14:99808028:G:A rs554289572 G A G EBF1_EBF_1 24 0 - 0 0 . chr14 99809919 99809920 chr14:99809920:C:A rs528613417 C A C EBF1_EBF_1 32 0 - 0 0 . chr14 99872890 99872891 chr14:99872891:G:C chr14:99872891:G:C G C G EBF1_EBF_1 20 0 - 0 0 . chr14 99884295 99884296 chr14:99884296:T:C rs1743040 T C C EBF1_EBF_1 21 0 + 0 0 . chr14 99888278 99888279 chr14:99888279:T:C rs1429817674 T C T EBF1_EBF_1 29 0 - 0 0 . chr14 99889259 99889260 chr14:99889260:G:A rs3783325 G A G EBF1_EBF_1 6 1 - 4.813977073386444 5.115263202248202 TCTCCCCGGGGCAC chr14 99949234 99949235 chr14:99949235:A:G rs571265686 A G A EBF1_EBF_1 -7 0 - 0 0 . chr14 100057181 100057182 chr14:100057182:A:C rs557665816 A C A EBF1_EBF_1 -13 0 + 0 0 . chr14 100069727 100069728 chr14:100069728:C:T rs61984503 C T C EBF1_EBF_1 25 0 + 0 0 . chr14 100073241 100073242 chr14:100073242:A:G rs59942144 A G G EBF1_EBF_1 -1 0 + 0 0 . chr14 100076327 100076328 chr14:100076328:A:G rs72711926 A G A EBF1_EBF_1 11 1 + 5.793370874000697 2.900664030396685 AGTTCCCTGGGACC chr14 100100189 100100190 chr14:100100190:C:T rs76195798 C T C EBF1_EBF_1 24 0 - 0 0 . chr14 100146379 100146380 chr14:100146380:C:G rs1243537259 C G C EBF1_EBF_1 18 0 + 0 0 . chr14 100193822 100193823 chr14:100193823:C:T rs528024421 C T C EBF1_EBF_1 30 0 + 0 0 . chr14 100222246 100222247 chr14:100222247:T:C chr14:100222247:T:C T C T EBF1_EBF_1 29 0 - 0 0 . chr14 100307447 100307448 chr14:100307448:G:A rs56294108 G A G EBF1_EBF_1 18 0 - 0 0 . chr14 100307482 100307483 chr14:100307483:T:A rs78578591 T A T EBF1_EBF_1 -17 0 - 0 0 . chr14 100312464 100312465 chr14:100312465:C:T rs7144607 C T C EBF1_EBF_1 -10 0 + 0 0 . chr14 100312500 100312501 chr14:100312501:G:A rs150270950 G A G EBF1_EBF_1 26 0 + 0 0 . chr14 100328480 100328481 chr14:100328481:C:T rs7147511 C T C EBF1_EBF_1 0 1 + 5.356100446075731 5.638302506806308 CGTCCCAGGAGAAG chr14 100337026 100337027 chr14:100337027:A:G rs2234530 A G G EBF1_EBF_1 24 0 - 0 0 . chr14 100354868 100354869 chr14:100354869:G:T rs9324020 G T G EBF1_EBF_1 25 0 - 0 0 . chr14 100376956 100376957 chr14:100376957:T:C rs1389061174 T C T EBF1_EBF_1 6 1 - 5.154083861282853 2.987289430008378 AGCCCCATGAGGAC chr14 100401752 100401753 chr14:100401753:A:G rs111874897 A G A EBF1_EBF_1 -6 0 + 0 0 . chr14 100429099 100429100 chr14:100429100:G:A rs114012899 G A G EBF1_EBF_1 17 0 - 0 0 . chr14 100472051 100472052 chr14:100472052:C:G rs4905968 C G G EBF1_EBF_1 16 0 + 0 0 . chr14 100486619 100486620 chr14:100486620:C:G rs76891441 C G C EBF1_EBF_1 3 1 + 5.179272089705965 -0.6484923584092497 GCCCTCCAGGGACT chr14 100522772 100522773 chr14:100522773:A:G rs145745632 A G A EBF1_EBF_1 14 0 - 0 0 . chr14 100527584 100527585 chr14:100527585:A:G rs145269807 A G A EBF1_EBF_1 -3 0 - 0 0 . chr14 100528073 100528074 chr14:100528074:T:A rs746857379 T A T EBF1_EBF_1 18 0 - 0 0 . chr14 100551317 100551318 chr14:100551318:G:A rs150348213 G A G EBF1_EBF_1 5 1 - 6.104740495743706 0.7829532802030359 GATCCCCAGAGAGA chr14 100552751 100552752 chr14:100552752:C:T rs74713323 C T C EBF1_EBF_1 16 0 - 0 0 . chr14 100567231 100567232 chr14:100567232:G:A rs1229220223 G A G EBF1_EBF_1 -12 0 - 0 0 . chr14 100572650 100572651 chr14:100572651:G:A rs7494373 G A G EBF1_EBF_1 -13 0 - 0 0 . chr14 100576636 100576637 chr14:100576637:C:T rs1888580 C T c EBF1_EBF_1 26 0 - 0 0 . chr14 100587933 100587934 chr14:100587934:T:A rs564689408 T A T EBF1_EBF_1 2 1 + 5.468040499531922 1.7835729119330452 GCTCCCAAGGGTGC chr14 100590886 100590887 chr14:100590887:C:T rs561451773 C T C EBF1_EBF_1 26 0 - 0 0 . chr14 100605384 100605385 chr14:100605385:A:G rs879865 A G G EBF1_EBF_1 -1 0 + 0 0 . chr14 100610208 100610209 chr14:100610209:G:A rs911323 G A A EBF1_EBF_1 24 0 + 0 0 . chr14 100617620 100617621 chr14:100617621:C:A rs911324 C A C EBF1_EBF_1 22 0 - 0 0 . chr14 100619156 100619157 chr14:100619157:A:G rs144367208 A G A EBF1_EBF_1 -13 0 - 0 0 . chr14 100622102 100622103 chr14:100622103:G:A rs17099530 G A G EBF1_EBF_1 15 0 + 0 0 . chr14 100629163 100629164 chr14:100629164:A:G rs1190899 A G G EBF1_EBF_1 -18 0 + 0 0 . chr14 100629369 100629370 chr14:100629370:G:A rs1190901 G A G EBF1_EBF_1 0 1 - 6.162144446763007 6.444346507493586 CTCCCCCAGGGGTT chr14 100630190 100630191 chr14:100630191:C:T rs72715828 C T C EBF1_EBF_1 -4 0 + 0 0 . chr14 100638761 100638762 chr14:100638762:G:A chr14:100638762:G:A G A G EBF1_EBF_1 -8 0 + 0 0 . chr14 100652117 100652118 chr14:100652118:T:C rs1888578 T C C EBF1_EBF_1 19 0 + 0 0 . chr14 100659217 100659218 chr14:100659218:G:A rs2400925 G A A EBF1_EBF_1 -6 0 + 0 0 . chr14 100688957 100688958 chr14:100688958:C:G rs146492552 C G C EBF1_EBF_1 10 1 - 4.768428708054054 -2.1223736792754213 GCTCACCAGGGACC chr14 100695517 100695518 chr14:100695518:G:A rs28473022 G A G EBF1_EBF_1 17 0 + 0 0 . chr14 100711729 100711730 chr14:100711730:G:C rs1004574 G C G EBF1_EBF_1 -7 0 - 0 0 . chr14 100714538 100714539 chr14:100714539:C:T rs527721556 C T C EBF1_EBF_1 24 0 - 0 0 . chr14 100714567 100714568 chr14:100714568:G:A rs142921564 G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 100747433 100747434 chr14:100747434:G:A rs879499685 G A G EBF1_EBF_1 6 1 - 11.027382578138266 11.328668707000023 ACTCCCCAGGGAAG chr14 100747437 100747438 chr14:100747438:A:G rs11160603 A G G EBF1_EBF_1 2 1 - 11.027382578138266 9.138559166696115 ACTCCCCAGGGAAG chr14 100747445 100747446 chr14:100747446:C:T rs143241888 C T C EBF1_EBF_1 -6 0 - 0 0 . chr14 100763685 100763686 chr14:100763686:C:T rs947767855 C T C EBF1_EBF_1 19 0 - 0 0 . chr14 100768378 100768379 chr14:100768379:C:T rs1190821 C T C EBF1_EBF_1 -18 0 + 0 0 . chr14 100774518 100774519 chr14:100774519:G:A rs8012350 G A G EBF1_EBF_1 18 0 + 0 0 . chr14 100806508 100806509 chr14:100806509:T:C rs12879048 T C C EBF1_EBF_1 23 0 + 0 0 . chr14 100831693 100831694 chr14:100831694:A:G rs3087917 A G A EBF1_EBF_1 -3 0 + 0 0 . chr14 100836462 100836463 chr14:100836463:C:G rs12889884 C G C EBF1_EBF_1 31 0 + 0 0 . chr14 100838087 100838088 chr14:100838088:G:C rs7143024 G C G EBF1_EBF_1 2 1 - 4.971813154772508 0.11231087601419033 ATCCCCCCAGGACC chr14 100839707 100839708 chr14:100839708:A:G rs941576 A G G EBF1_EBF_1 -14 0 - 0 0 . chr14 100843824 100843825 chr14:100843825:C:T rs61993309 C T C EBF1_EBF_1 6 1 - 4.6364248025811365 6.803219233855611 GGTCCCGGGAGACA chr14 100876731 100876732 chr14:100876732:T:C rs28425602 T C C EBF1_EBF_1 -6 0 + 0 0 . chr14 100878411 100878412 chr14:100878412:A:G rs35465742 A G G EBF1_EBF_1 1 1 + 8.103584966739971 8.364283798661273 CACCCCTGGGGACT chr14 100898683 100898684 chr14:100898684:G:A rs11622265 G A G EBF1_EBF_1 30 0 + 0 0 . chr14 101008209 101008210 chr14:101008210:C:T rs10144738 C T T EBF1_EBF_1 -6 0 + 0 0 . chr14 101008246 101008247 chr14:101008247:T:C rs10133978 T C T EBF1_EBF_1 31 0 + 0 0 . chr14 101033611 101033612 chr14:101033612:G:T rs2281611 G T G EBF1_EBF_1 2 1 - 4.442700126060406 2.647055949903679 CACCCCAGGGGAGG chr14 101047457 101047458 chr14:101047458:C:T rs72700576 C T C EBF1_EBF_1 -8 0 + 0 0 . chr14 101059962 101059963 chr14:101059963:G:A rs41286574 G A G EBF1_EBF_1 19 0 - 0 0 . chr14 101067659 101067660 chr14:101067660:G:A rs55892144 G A G EBF1_EBF_1 32 0 + 0 0 . chr14 101067852 101067853 chr14:101067853:T:C rs61364553 T C T EBF1_EBF_1 25 0 - 0 0 . chr14 101068522 101068523 chr14:101068523:C:T rs11852132 C T C EBF1_EBF_1 26 0 - 0 0 . chr14 101068553 101068554 chr14:101068554:T:C rs61001697 T C T EBF1_EBF_1 -5 0 - 0 0 . chr14 101072658 101072659 chr14:101072659:A:C rs45472196 A C C EBF1_EBF_1 -11 0 - 0 0 . chr14 101072699 101072700 chr14:101072700:G:A rs79591263 G A G EBF1_EBF_1 -5 0 + 0 0 . chr14 101073434 101073435 chr14:101073435:C:T rs72700589 C T C EBF1_EBF_1 -20 0 + 0 0 . chr14 101076075 101076076 chr14:101076076:T:G rs76438482 T G T EBF1_EBF_1 -1 0 - 0 0 . chr14 101076192 101076193 chr14:101076193:G:C rs112200056 G C G EBF1_EBF_1 28 0 - 0 0 . chr14 101076234 101076235 chr14:101076235:G:A rs61992675 G A G EBF1_EBF_1 -14 0 - 0 0 . chr14 101077297 101077298 chr14:101077298:G:C rs10220512 G C G EBF1_EBF_1 25 0 - 0 0 . chr14 101078909 101078910 chr14:101078910:A:T rs115009509 A T A EBF1_EBF_1 -5 0 - 0 0 . chr14 101084638 101084639 chr14:101084639:C:T rs77669229 C T C EBF1_EBF_1 -5 0 - 0 0 . chr14 101087815 101087816 chr14:101087816:T:C rs8015784 T C T EBF1_EBF_1 7 1 - 7.994676404957522 6.682217999668697 AATCGCAAGGGACT chr14 101089488 101089489 chr14:101089489:G:A rs140989861 G A G EBF1_EBF_1 -18 0 - 0 0 . chr14 101102877 101102878 chr14:101102878:G:C rs568590825 G C G EBF1_EBF_1 -6 0 - 0 0 . chr14 101111177 101111178 chr14:101111178:C:T rs111408614 C T C EBF1_EBF_1 26 0 + 0 0 . chr14 101112509 101112510 chr14:101112510:C:T rs915372 C T T EBF1_EBF_1 25 0 - 0 0 . chr14 101113156 101113157 chr14:101113157:C:A rs34743386 C A C EBF1_EBF_1 1 1 + 8.155431359553596 8.084504182201512 GCCCCCTTGGGACA chr14 101113687 101113688 chr14:101113688:C:A rs60302765 C A A EBF1_EBF_1 5 1 + 5.791789197586119 -1.1130919550490488 AGCCCCAGGAGAGC chr14 101113688 101113689 chr14:101113689:A:G rs11160623 A G G EBF1_EBF_1 6 1 + 5.791789197586119 3.624994766311644 AGCCCCAGGAGAGC chr14 101113695 101113696 chr14:101113696:C:T rs564828602 C T C EBF1_EBF_1 13 1 + 5.791789197586119 6.636283312171637 AGCCCCAGGAGAGC chr14 101114406 101114407 chr14:101114407:C:G rs374383993 C G C EBF1_EBF_1 4 1 + 5.9602359995477805 0.3344708983982363 ATCCCCCAGAGAGG chr14 101114441 101114442 chr14:101114442:A:G rs12879668 A G A EBF1_EBF_1 -14 0 - 0 0 . chr14 101120942 101120943 chr14:101120943:G:C rs9783593 G C C EBF1_EBF_1 14 0 - 0 0 . chr14 101120967 101120968 chr14:101120968:A:C rs56765774 A C A EBF1_EBF_1 -11 0 - 0 0 . chr14 101122065 101122066 chr14:101122066:G:A rs72702952 G A G EBF1_EBF_1 -17 0 - 0 0 . chr14 101125831 101125832 chr14:101125832:C:T rs995986589 C T C EBF1_EBF_1 -5 0 + 0 0 . chr14 101125961 101125962 chr14:101125962:G:C rs76649737 G C G EBF1_EBF_1 32 0 + 0 0 . chr14 101129906 101129907 chr14:101129907:G:A rs4906050 G A G EBF1_EBF_1 17 0 + 0 0 . chr14 101132831 101132832 chr14:101132832:G:A rs73356985 G A a EBF1_EBF_1 14 0 + 0 0 . chr14 101136490 101136491 chr14:101136491:A:G rs532197887 A G a EBF1_EBF_1 -11 0 - 0 0 . chr14 101136496 101136497 chr14:101136497:C:T rs551895289 C T c EBF1_EBF_1 -17 0 - 0 0 . chr14 101162040 101162041 chr14:101162041:C:A chr14:101162041:C:A C A T EBF1_EBF_1 -7 0 + 0 0 . chr14 101180819 101180820 chr14:101180820:G:A rs545529272 G A G EBF1_EBF_1 -14 0 + 0 0 . chr14 101182873 101182874 chr14:101182874:G:A rs6575834 G A A EBF1_EBF_1 28 0 + 0 0 . chr14 101183722 101183723 chr14:101183723:C:T rs1031097444 C T C EBF1_EBF_1 31 0 + 0 0 . chr14 101186452 101186453 chr14:101186453:G:A rs117766755 G A G EBF1_EBF_1 28 0 + 0 0 . chr14 101187499 101187500 chr14:101187500:T:C rs1889725 T C C EBF1_EBF_1 -12 0 + 0 0 . chr14 101192766 101192767 chr14:101192767:G:A rs184284681 G A G EBF1_EBF_1 11 1 + 4.810010883993949 7.70271772759796 TCCCCCAGGGGGAC chr14 101195606 101195607 chr14:101195607:G:T rs142066942 G T G EBF1_EBF_1 7 1 - 4.438082127778129 6.73186111414831 AGCCCCACGGGCCC chr14 101196880 101196881 chr14:101196881:C:A rs61994510 C A C EBF1_EBF_1 -17 0 + 0 0 . chr14 101198253 101198254 chr14:101198254:G:A rs551996027 G A G EBF1_EBF_1 -7 0 - 0 0 . chr14 101198695 101198696 chr14:101198696:T:C rs67627062 T C T EBF1_EBF_1 -10 0 - 0 0 . chr14 101198723 101198724 chr14:101198724:T:C rs871203 T C C EBF1_EBF_1 -5 0 + 0 0 . chr14 101228483 101228484 chr14:101228484:C:T rs1772026 C T T EBF1_EBF_1 -15 0 + 0 0 . chr14 101233039 101233040 chr14:101233040:A:T rs538421790 A T A EBF1_EBF_1 31 0 + 0 0 . chr14 101247419 101247420 chr14:101247420:C:T rs7158150 C T C EBF1_EBF_1 3 1 + 5.047462506073061 -1.3579362354802564 AAACCCCCGGGAGC chr14 101247766 101247767 chr14:101247767:A:G rs71424441 A G A EBF1_EBF_1 1 1 - 6.058312175618571 5.1628787352198255 GTCCCCCAGGGCAT chr14 101251289 101251290 chr14:101251290:A:C rs111302047 A C A EBF1_EBF_1 -8 0 - 0 0 . chr14 101251825 101251826 chr14:101251826:C:T rs72704957 C T C EBF1_EBF_1 5 1 + 5.268470325795359 -0.053316889745309126 AGTCCCCTGGGCTG chr14 101251847 101251848 chr14:101251848:C:T rs73343852 C T C EBF1_EBF_1 27 0 + 0 0 . chr14 101255760 101255761 chr14:101255761:C:G rs1050320785 C G C EBF1_EBF_1 -12 0 + 0 0 . chr14 101288603 101288604 chr14:101288604:G:A rs17100137 G A G EBF1_EBF_1 19 0 + 0 0 . chr14 101330647 101330648 chr14:101330648:G:A rs138401137 G A G EBF1_EBF_1 -19 0 + 0 0 . chr14 101339417 101339418 chr14:101339418:T:C rs10141103 T C C EBF1_EBF_1 7 1 - 7.071555959270799 5.759097553981974 ACCCTCCAGGGACT chr14 101360391 101360392 chr14:101360392:G:T rs186149866 G T G EBF1_EBF_1 -13 0 + 0 0 . chr14 101360392 101360393 chr14:101360393:G:C rs191019512 G C G EBF1_EBF_1 -12 0 + 0 0 . chr14 101362028 101362029 chr14:101362029:C:T rs7149987 C T C EBF1_EBF_1 29 0 - 0 0 . chr14 101365234 101365235 chr14:101365235:C:T rs76213546 C T C EBF1_EBF_1 7 1 + 4.377765888838609 6.195270635121232 AGCCCCTCGGGCCC chr14 101367493 101367494 chr14:101367494:G:T rs8011867 G T T EBF1_EBF_1 4 1 - 6.3089955373526125 0.4844359138991446 GTTCCCCTGAGGCT chr14 101462806 101462807 chr14:101462807:G:C rs56263492 G C G EBF1_EBF_1 -1 0 + 0 0 . chr14 101468884 101468885 chr14:101468885:G:C rs116439731 G C G EBF1_EBF_1 -19 0 + 0 0 . chr14 101498156 101498157 chr14:101498157:G:A rs186934740 G A G EBF1_EBF_1 -5 0 + 0 0 . chr14 101500985 101500986 chr14:101500986:A:G rs145135466 A G A EBF1_EBF_1 26 0 - 0 0 . chr14 101501010 101501011 chr14:101501011:G:A rs531950310 G A G EBF1_EBF_1 1 1 - 6.005498980552015 6.900932420950759 ACCCCCTTGGGCCC chr14 101512276 101512277 chr14:101512277:G:A rs11844240 G A G EBF1_EBF_1 6 1 + 7.475337862745498 9.642132294019973 GCTCCCGGGGGACC chr14 101513321 101513322 chr14:101513322:T:G rs3818082 T G G EBF1_EBF_1 -5 0 + 0 0 . chr14 101513336 101513337 chr14:101513337:G:A rs147291371 G A G EBF1_EBF_1 10 1 + 7.29737412951146 1.9793456702444943 GCCCCCGTGGGAAT chr14 101519125 101519126 chr14:101519126:C:T rs10142066 C T C EBF1_EBF_1 22 0 - 0 0 . chr14 101521293 101521294 chr14:101521294:G:A rs1400309900 G A G EBF1_EBF_1 10 1 + 6.0381275974058335 0.7200991381388668 TACCCCCAGGGATG chr14 101521301 101521302 chr14:101521302:G:A rs112750690 G A G EBF1_EBF_1 18 0 + 0 0 . chr14 101584163 101584164 chr14:101584164:A:G rs8003262 A G A EBF1_EBF_1 15 0 - 0 0 . chr14 101584191 101584192 chr14:101584192:G:T rs771367421 G T G EBF1_EBF_1 -13 0 - 0 0 . chr14 101584342 101584343 chr14:101584343:T:C rs117073603 T C T EBF1_EBF_1 22 0 + 0 0 . chr14 101593465 101593466 chr14:101593466:T:C rs7154140 T C - EBF1_EBF_1 2 1 - 6.7038568770930524 3.6399987744914615 AGACCCCTGAGACT chr14 101596103 101596104 chr14:101596104:C:T rs75618862 C T - EBF1_EBF_1 -15 0 - 0 0 . chr14 101621276 101621277 chr14:101621277:A:G rs1955613 A G G EBF1_EBF_1 29 0 - 0 0 . chr14 101628479 101628480 chr14:101628480:G:C rs540611566 G C G EBF1_EBF_1 4 1 - 8.947736710508256 3.3219716093587115 CCTCCCCGGGGAAC chr14 101628488 101628489 chr14:101628489:G:A rs113448167 G A G EBF1_EBF_1 -5 0 - 0 0 . chr14 101663891 101663892 chr14:101663892:G:C rs11621444 G C G EBF1_EBF_1 -14 0 + 0 0 . chr14 101705704 101705705 chr14:101705705:C:A rs72713692 C A A EBF1_EBF_1 23 0 - 0 0 . chr14 101705744 101705745 chr14:101705745:A:G rs72713694 A G A EBF1_EBF_1 -17 0 - 0 0 . chr14 101733234 101733235 chr14:101733235:T:G rs543229788 T G t EBF1_EBF_1 26 0 - 0 0 . chr14 101734271 101734272 chr14:101734272:T:C rs8006814 T C C EBF1_EBF_1 -11 0 + 0 0 . chr14 101815148 101815149 chr14:101815149:C:T rs67941660 C T C EBF1_EBF_1 -11 0 + 0 0 . chr14 101815182 101815183 chr14:101815183:G:A rs117549893 G A G EBF1_EBF_1 23 0 + 0 0 . chr14 101844351 101844352 chr14:101844352:A:G rs113401260 A G A EBF1_EBF_1 22 0 + 0 0 . chr14 101844353 101844354 chr14:101844354:G:A rs75397397 G A G EBF1_EBF_1 24 0 + 0 0 . chr14 101887784 101887785 chr14:101887785:A:G rs4906160 A G A EBF1_EBF_1 6 1 + 5.463129176436605 3.296334745162129 CTTCCCATGAGGCC chr14 101914556 101914557 chr14:101914557:G:A rs117798077 G A G EBF1_EBF_1 32 0 - 0 0 . chr14 101932260 101932261 chr14:101932261:C:T rs11624542 C T C EBF1_EBF_1 29 0 - 0 0 . chr14 101956775 101956776 chr14:101956776:G:A rs1677995 G A G EBF1_EBF_1 31 0 - 0 0 . chr14 101956790 101956791 chr14:101956791:C:G rs1677996 C G C EBF1_EBF_1 16 0 - 0 0 . chr14 102048306 102048307 chr14:102048307:C:T rs112780477 C T C EBF1_EBF_1 25 0 + 0 0 . chr14 102085692 102085693 chr14:102085693:G:A rs35241778 G A G EBF1_EBF_1 10 1 + 6.443111936442676 1.125083477175711 ATCCCCAGGGGTCC chr14 102088840 102088841 chr14:102088841:C:T rs75981586 C T C EBF1_EBF_1 10 1 - 5.289721623473523 -0.02830683579344223 AACCCCCTGGGCAA chr14 102140411 102140412 chr14:102140412:C:T rs182503838 C T C EBF1_EBF_1 20 0 - 0 0 . chr14 102184598 102184599 chr14:102184599:T:C rs11850202 T C T EBF1_EBF_1 18 0 - 0 0 . chr14 102188406 102188407 chr14:102188407:G:A rs79776816 G A G EBF1_EBF_1 5 1 - 5.781339724386659 0.4595525088459905 CTTCCCTCAGGAAT chr14 102191342 102191343 chr14:102191343:C:T rs144993073 C T C EBF1_EBF_1 -14 0 - 0 0 . chr14 102204194 102204195 chr14:102204195:G:T rs139764863 G T G EBF1_EBF_1 4 1 - 5.926604768460135 0.10204514500666668 AGTGCCAGGGGAAC chr14 102205680 102205681 chr14:102205681:A:C rs77538473 A C A EBF1_EBF_1 -10 0 + 0 0 . chr14 102205690 102205691 chr14:102205691:C:T rs148008620 C T C EBF1_EBF_1 0 1 + 4.41813307212113 4.700335132851707 CGCCCCCAGAGAAG chr14 102211072 102211073 chr14:102211073:C:T rs149065717 C T C EBF1_EBF_1 13 1 + 5.26922607559592 6.113720190181438 CTCCCCCGGAGACC chr14 102229866 102229867 chr14:102229867:A:T rs55889703 A T A EBF1_EBF_1 -20 0 - 0 0 . chr14 102232061 102232062 chr14:102232062:A:G rs7152627 A G G EBF1_EBF_1 23 0 + 0 0 . chr14 102317054 102317055 chr14:102317055:C:T rs1358483730 C T C EBF1_EBF_1 24 0 - 0 0 . chr14 102317076 102317077 chr14:102317077:G:T rs532561326 G T G EBF1_EBF_1 2 1 - 5.383352357818316 3.5877081816615886 GCCCCCACGGGAGC chr14 102317077 102317078 chr14:102317078:G:A rs12893563 G A G EBF1_EBF_1 1 1 - 5.383352357818316 6.278785798217061 GCCCCCACGGGAGC chr14 102317078 102317079 chr14:102317079:C:G rs142420680 C G C EBF1_EBF_1 0 1 - 5.383352357818316 5.0203777436865495 GCCCCCACGGGAGC chr14 102317080 102317081 chr14:102317081:C:A rs76163081 C A C EBF1_EBF_1 -2 0 - 0 0 . chr14 102317082 102317083 chr14:102317083:A:G rs763802403 A G G EBF1_EBF_1 -4 0 - 0 0 . chr14 102317084 102317085 chr14:102317085:G:A rs187401952 G A G EBF1_EBF_1 -6 0 - 0 0 . chr14 102317084 102317085 chr14:102317085:G:T chr14:102317085:G:T G T G EBF1_EBF_1 -6 0 - 0 0 . chr14 102317085 102317086 chr14:102317086:T:G rs118033319 T G T EBF1_EBF_1 -7 0 - 0 0 . chr14 102317095 102317096 chr14:102317096:G:A rs1020921797 G A G EBF1_EBF_1 -17 0 - 0 0 . chr14 102317097 102317098 chr14:102317098:T:G rs575379113 T G T EBF1_EBF_1 -19 0 - 0 0 . chr14 102319463 102319464 chr14:102319464:G:T rs72635188 G T G EBF1_EBF_1 -19 0 + 0 0 . chr14 102319483 102319484 chr14:102319484:T:C rs138100902 T C T EBF1_EBF_1 1 1 + 6.997968113280666 6.1025346728819185 CTCCCCCTGGGAGA chr14 102327830 102327831 chr14:102327831:A:G rs8010091 A G A EBF1_EBF_1 -3 0 - 0 0 . chr14 102451149 102451150 chr14:102451150:G:A rs184881313 G A G EBF1_EBF_1 4 1 - 5.206645599151211 0.8372586542062872 ACTCCGCAGGGACA chr14 102465400 102465401 chr14:102465401:G:A rs78678965 G A G EBF1_EBF_1 -9 0 + 0 0 . chr14 102465429 102465430 chr14:102465430:T:A rs73351378 T A T EBF1_EBF_1 20 0 + 0 0 . chr14 102480142 102480143 chr14:102480143:C:T rs184335220 C T C EBF1_EBF_1 -12 0 + 0 0 . chr14 102504678 102504679 chr14:102504679:T:C rs1046651 T C C EBF1_EBF_1 32 0 + 0 0 . chr14 102513286 102513287 chr14:102513287:G:C rs1998420 G C G EBF1_EBF_1 14 0 - 0 0 . chr14 102513306 102513307 chr14:102513307:C:T rs1998421 C T C EBF1_EBF_1 -6 0 - 0 0 . chr14 102523671 102523672 chr14:102523672:T:C chr14:102523672:T:C T C T EBF1_EBF_1 23 0 + 0 0 . chr14 102524898 102524899 chr14:102524899:G:A chr14:102524899:G:A G A G EBF1_EBF_1 12 1 - 8.742545668094808 7.716279097543959 ACTCCCCTGGGGCA chr14 102524904 102524905 chr14:102524905:G:C rs77388542 G C G EBF1_EBF_1 6 1 - 8.742545668094808 6.937353604621613 ACTCCCCTGGGGCA chr14 102528044 102528045 chr14:102528045:G:C rs8007180 G C G EBF1_EBF_1 29 0 + 0 0 . chr14 102540069 102540070 chr14:102540070:C:A rs74418558 C A C EBF1_EBF_1 7 1 + 9.038713926082425 11.332492912452604 ATTCCCCCGGGGCT chr14 102540596 102540597 chr14:102540597:G:A rs1413660000 G A G EBF1_EBF_1 -10 0 - 0 0 . chr14 102555722 102555723 chr14:102555723:G:T rs11623312 G T T EBF1_EBF_1 27 0 + 0 0 . chr14 102580233 102580234 chr14:102580234:C:T rs116582534 C T C EBF1_EBF_1 -14 0 + 0 0 . chr14 102591847 102591848 chr14:102591848:G:A rs368798791 G A G EBF1_EBF_1 4 1 - 5.08452128135685 0.7151343364119259 GGTCCCCGGGGCAC chr14 102591861 102591862 chr14:102591862:C:T rs143240224 C T C EBF1_EBF_1 0 1 + 6.4835580228830825 6.76576008361366 CCTCCCTTGGGCCT chr14 102592044 102592045 chr14:102592045:C:T rs976871299 C T C EBF1_EBF_1 0 1 + 9.599947080992765 9.882149141723342 CGTCCCCTGGGAAA chr14 102593384 102593385 chr14:102593385:G:A rs1047870327 G A . EBF1_EBF_1 3 1 - 3.654764825069151 -2.7506339164841673 GCTCGCCGGGGACC chr14 102593742 102593743 chr14:102593743:C:G rs78756671 C G . EBF1_EBF_1 26 0 + 0 0 . chr14 102593744 102593745 chr14:102593745:C:T rs72700676 C T . EBF1_EBF_1 28 0 + 0 0 . chr14 102639020 102639021 chr14:102639021:T:C rs149423481 T C T EBF1_EBF_1 -13 0 - 0 0 . chr14 102732978 102732979 chr14:102732979:C:A rs4906253 C A C EBF1_EBF_1 5 1 + 4.659217464609127 -2.245663688026042 CCCCCCAAGAGAGC chr14 102764235 102764236 chr14:102764236:G:T rs35696698 G T C EBF1_EBF_1 25 0 - 0 0 . chr14 102764277 102764278 chr14:102764278:G:A rs181381449 G A G EBF1_EBF_1 -17 0 - 0 0 . chr14 102768428 102768429 chr14:102768429:T:C rs10144712 T C C EBF1_EBF_1 -9 0 - 0 0 . chr14 102800044 102800045 chr14:102800045:G:A rs11624345 G A A EBF1_EBF_1 30 0 + 0 0 . chr14 102806022 102806023 chr14:102806023:G:A rs1028816457 G A G EBF1_EBF_1 29 0 - 0 0 . chr14 102827940 102827941 chr14:102827941:C:T rs71417830 C T C EBF1_EBF_1 11 1 + 5.3589486406835585 5.676996082437222 ATTCCCAGGAGCCC chr14 102914065 102914066 chr14:102914066:G:T rs76327888 G T G EBF1_EBF_1 29 0 - 0 0 . chr14 102928078 102928079 chr14:102928079:G:A rs370378800 G A g EBF1_EBF_1 -4 0 - 0 0 . chr14 102928082 102928083 chr14:102928083:G:A rs944367125 G A G EBF1_EBF_1 -8 0 - 0 0 . chr14 102948909 102948910 chr14:102948910:A:G rs28417469 A G G EBF1_EBF_1 2 1 - 5.565215878514333 3.6763924670721817 CTTCCCCCGGGGCA chr14 102957747 102957748 chr14:102957748:T:C rs2269320 T C C EBF1_EBF_1 -2 0 + 0 0 . chr14 103077459 103077460 chr14:103077460:T:C rs28491506 T C C EBF1_EBF_1 14 0 - 0 0 . chr14 103084050 103084051 chr14:103084051:G:C rs28592811 G C g EBF1_EBF_1 0 1 - 5.665156163065478 6.028130777197245 CTCCCCCAGGGCCT chr14 103084422 103084423 chr14:103084423:A:T rs2403124 A T a EBF1_EBF_1 -4 0 - 0 0 . chr14 103089159 103089160 chr14:103089160:G:T rs877497 G T G EBF1_EBF_1 31 0 - 0 0 . chr14 103089396 103089397 chr14:103089397:A:G rs117249881 A G A EBF1_EBF_1 -17 0 + 0 0 . chr14 103091703 103091704 chr14:103091704:G:A rs879554207 G A G EBF1_EBF_1 -2 0 - 0 0 . chr14 103092645 103092646 chr14:103092646:T:C rs7142022 T C T EBF1_EBF_1 -10 0 - 0 0 . chr14 103100566 103100567 chr14:103100567:G:A rs1057640 G A G EBF1_EBF_1 -19 0 - 0 0 . chr14 103105484 103105485 chr14:103105485:C:T rs141974105 C T c EBF1_EBF_1 20 0 - 0 0 . chr14 103105499 103105500 chr14:103105500:G:C rs78702790 G C g EBF1_EBF_1 5 1 - 4.82066204847996 -2.0842191041552085 CTCCCCCAGGGCCC chr14 103105976 103105977 chr14:103105977:A:C rs10137551 A C A EBF1_EBF_1 17 0 - 0 0 . chr14 103109058 103109059 chr14:103109059:A:G rs118179675 A G A EBF1_EBF_1 29 0 - 0 0 . chr14 103110148 103110149 chr14:103110149:G:T rs145445413 G T G EBF1_EBF_1 12 1 - 4.19603766755738 4.226219065978706 GGCCCCGAGGGGCC chr14 103114579 103114580 chr14:103114580:T:A rs79040593 T A T EBF1_EBF_1 -9 0 - 0 0 . chr14 103122177 103122178 chr14:103122178:C:T rs2282037 C T T EBF1_EBF_1 -19 0 + 0 0 . chr14 103123591 103123592 chr14:103123592:G:T rs574225419 G T G EBF1_EBF_1 26 0 + 0 0 . chr14 103124434 103124435 chr14:103124435:G:A rs3759573 G A G EBF1_EBF_1 -19 0 - 0 0 . chr14 103128924 103128925 chr14:103128925:G:T rs78639617 G T G EBF1_EBF_1 5 1 - 3.8421103805467496 -3.062770772088419 GCCCCCCTGGGCAC chr14 103132398 103132399 chr14:103132399:C:G rs8176365 C G G EBF1_EBF_1 0 1 + 6.344554228294366 6.707528842426133 CATCCCCTGGTACT chr14 103138754 103138755 chr14:103138755:G:A rs8176375 G A G EBF1_EBF_1 7 1 + 4.647777594258955 5.9602359995477805 ATCCCCCGGAGAGG chr14 103138770 103138771 chr14:103138771:C:T rs8176406 C T C EBF1_EBF_1 23 0 + 0 0 . chr14 103138776 103138777 chr14:103138777:G:C rs8176376 G C G EBF1_EBF_1 29 0 + 0 0 . chr14 103138777 103138778 chr14:103138778:A:G rs8176377 A G G EBF1_EBF_1 30 0 + 0 0 . chr14 103139369 103139370 chr14:103139370:T:C rs8008679 T C T EBF1_EBF_1 16 0 - 0 0 . chr14 103145722 103145723 chr14:103145723:C:G rs2403131 C G c EBF1_EBF_1 10 1 - 4.951264901388809 -1.9395374859406658 GACCCCAAGAGAGC chr14 103146429 103146430 chr14:103146430:G:A rs7155695 G A g EBF1_EBF_1 6 1 - 5.579297240445183 5.880583369306941 CCTCCCCGGGTACT chr14 103161477 103161478 chr14:103161478:T:A rs138556785 T A T EBF1_EBF_1 13 1 - 5.722508630967967 6.940748194839461 TCTCCCCAGGGCCA chr14 103186968 103186969 chr14:103186969:C:G rs10132234 C G G EBF1_EBF_1 -6 0 + 0 0 . chr14 103189339 103189340 chr14:103189340:T:C rs10151353 T C T EBF1_EBF_1 -2 0 + 0 0 . chr14 103190113 103190114 chr14:103190114:G:A rs10142306 G A A EBF1_EBF_1 -3 0 + 0 0 . chr14 103216713 103216714 chr14:103216714:A:G rs4598840 A G A EBF1_EBF_1 7 1 - 13.266033769184817 11.448529022902193 ATTCCCATGGGACC chr14 103229242 103229243 chr14:103229243:T:C rs17101376 T C C EBF1_EBF_1 25 0 + 0 0 . chr14 103230997 103230998 chr14:103230998:G:A rs142911088 G A G EBF1_EBF_1 -8 0 - 0 0 . chr14 103233802 103233803 chr14:103233803:A:G rs1190208 A G G EBF1_EBF_1 -13 0 + 0 0 . chr14 103274156 103274157 chr14:103274157:C:T rs554078822 C T C EBF1_EBF_1 17 0 - 0 0 . chr14 103274171 103274172 chr14:103274172:G:A rs1471681944 G A G EBF1_EBF_1 2 1 - 6.203580584505483 8.092403995947633 AGCCCCTGGGGCCT chr14 103316381 103316382 chr14:103316382:G:A rs150070542 G A G EBF1_EBF_1 30 0 + 0 0 . chr14 103333733 103333734 chr14:103333734:C:T rs2281824 C T c EBF1_EBF_1 32 0 + 0 0 . chr14 103334922 103334923 chr14:103334923:G:T rs532094775 G T g EBF1_EBF_1 21 0 - 0 0 . chr14 103345845 103345846 chr14:103345846:C:T rs118066294 C T c EBF1_EBF_1 32 0 - 0 0 . chr14 103412544 103412545 chr14:103412545:T:C rs4906321 T C T EBF1_EBF_1 -13 0 + 0 0 . chr14 103450304 103450305 chr14:103450305:A:G rs10146864 A G A EBF1_EBF_1 -10 0 - 0 0 . chr14 103476792 103476793 chr14:103476793:G:T rs62007719 G T G EBF1_EBF_1 11 1 + 6.9466273814960715 5.741419969001357 GACCCCAAGGGGCT chr14 103476802 103476803 chr14:103476803:T:C rs17617832 T C T EBF1_EBF_1 21 0 + 0 0 . chr14 103506165 103506166 chr14:103506166:C:T rs12885786 C T C EBF1_EBF_1 7 1 - 5.628289789550636 6.940748194839461 TCTCCCCGGGGCCT chr14 103523554 103523555 chr14:103523555:A:C rs3759582 A C A EBF1_EBF_1 -6 0 + 0 0 . chr14 103528730 103528731 chr14:103528731:G:C rs571698788 G C G EBF1_EBF_1 9 1 + 7.63281476867411 2.5571256223286447 AACCCCCAGGGGCC chr14 103532085 103532086 chr14:103532086:G:C rs1022736 G C G EBF1_EBF_1 12 1 - 6.395925534504737 5.007289590826586 GTCCCCTTGAGACA chr14 103537885 103537886 chr14:103537886:C:G rs8013911 C G G EBF1_EBF_1 -5 0 + 0 0 . chr14 103538861 103538862 chr14:103538862:C:T rs73359285 C T C EBF1_EBF_1 -16 0 - 0 0 . chr14 103540505 103540506 chr14:103540506:C:T rs8006030 C T C EBF1_EBF_1 8 1 - 5.216654036851934 0.9903639479656723 ATTCACAGGGGAGC chr14 103542385 103542386 chr14:103542386:G:A rs895987876 G A G EBF1_EBF_1 12 1 - 5.839608922864417 4.813342352313567 GTACCCCTGGGACG chr14 103543601 103543602 chr14:103543602:C:T rs2403193 C T T EBF1_EBF_1 29 0 + 0 0 . chr14 103549534 103549535 chr14:103549535:C:G rs149449423 C G C EBF1_EBF_1 19 0 - 0 0 . chr14 103552275 103552276 chr14:103552276:G:A rs141967564 G A G EBF1_EBF_1 -20 0 + 0 0 . chr14 103552313 103552314 chr14:103552314:A:G rs7140558 A G G EBF1_EBF_1 18 0 + 0 0 . chr14 103594164 103594165 chr14:103594165:G:T rs2403198 G T T EBF1_EBF_1 10 1 + 4.870354111230106 0.6876019250028402 GCTCCCTTGAGGCC chr14 103594270 103594271 chr14:103594271:T:G rs140664994 T G T EBF1_EBF_1 -11 0 + 0 0 . chr14 103626763 103626764 chr14:103626764:C:T rs8018214 C T C EBF1_EBF_1 7 1 - 5.791789197586119 7.1042476028749455 AGCCCCAGGAGAGC chr14 103677062 103677063 chr14:103677063:C:T rs115218506 C T C EBF1_EBF_1 25 0 - 0 0 . chr14 103680083 103680084 chr14:103680084:C:T rs4900590 C T C EBF1_EBF_1 15 0 + 0 0 . chr14 103696222 103696223 chr14:103696223:T:C rs116769250 T C C EBF1_EBF_1 16 0 - 0 0 . chr14 103696943 103696944 chr14:103696944:C:T rs543296119 C T C EBF1_EBF_1 1 1 - 4.995394989775976 4.734696157854675 CGTCCCAGGGAACT chr14 103696944 103696945 chr14:103696945:G:A rs6593 G A G EBF1_EBF_1 0 1 - 4.995394989775976 5.277597050506554 CGTCCCAGGGAACT chr14 103697747 103697748 chr14:103697748:C:T rs78863423 C T C EBF1_EBF_1 -5 0 - 0 0 . chr14 103699415 103699416 chr14:103699416:G:A rs861539 G A G EBF1_EBF_1 -2 0 + 0 0 . chr14 103704003 103704004 chr14:103704004:A:C rs861532 A C C EBF1_EBF_1 15 0 + 0 0 . chr14 103706722 103706723 chr14:103706723:T:C rs3212058 T C t EBF1_EBF_1 27 0 - 0 0 . chr14 103709096 103709097 chr14:103709097:C:T rs555320142 C T C EBF1_EBF_1 29 0 - 0 0 . chr14 103714055 103714056 chr14:103714056:C:T rs3212028 C T C EBF1_EBF_1 13 1 + 5.223178412523421 6.067672527108939 ACTCTCCAGGGGCC chr14 103716660 103716661 chr14:103716661:C:T rs861528 C T C EBF1_EBF_1 5 1 + 6.877015648366407 1.555228432825738 TTTCCCATGGGCCC chr14 103716757 103716758 chr14:103716758:G:A rs76151641 G A G EBF1_EBF_1 7 1 - 5.71663802867565 7.534142774958274 AACCCCACGGGAGG chr14 103718399 103718400 chr14:103718400:G:A rs11846838 G A G EBF1_EBF_1 16 0 + 0 0 . chr14 103735464 103735465 chr14:103735465:C:T rs10134689 C T C EBF1_EBF_1 3 1 + 5.357188342702924 -1.0482103988503932 GCTCCCCTGGGCAA chr14 103820163 103820164 chr14:103820164:A:C rs8005262 A C A EBF1_EBF_1 -9 0 - 0 0 . chr14 103854923 103854924 chr14:103854924:C:T rs7140323 C T C EBF1_EBF_1 18 0 - 0 0 . chr14 103855515 103855516 chr14:103855516:G:A rs113956414 G A G EBF1_EBF_1 27 0 + 0 0 . chr14 103868579 103868580 chr14:103868580:A:G rs61995845 A G A EBF1_EBF_1 33 0 + 0 0 . chr14 103882839 103882840 chr14:103882840:C:T rs76867575 C T C EBF1_EBF_1 -19 0 - 0 0 . chr14 103894466 103894467 chr14:103894467:T:C rs11160768 T C C EBF1_EBF_1 33 0 + 0 0 . chr14 103895658 103895659 chr14:103895659:A:G rs67727470 A G G EBF1_EBF_1 28 0 - 0 0 . chr14 103901245 103901246 chr14:103901246:C:T rs945150207 C T C EBF1_EBF_1 -9 0 + 0 0 . chr14 103910557 103910558 chr14:103910558:A:C chr14:103910558:A:C A C A EBF1_EBF_1 0 1 + 8.538557092839591 6.2832986091429905 AACCCCGAGGGATT chr14 103910576 103910577 chr14:103910577:T:A rs769456712 T A T EBF1_EBF_1 19 0 + 0 0 . chr14 103937634 103937635 chr14:103937635:G:A rs9324069 G A G EBF1_EBF_1 1 1 - 5.651864602801539 6.5472980432002865 CCCCCCTTGGGATG chr14 103945009 103945010 chr14:103945010:A:C rs28592598 A C C EBF1_EBF_1 -14 0 + 0 0 . chr14 103998026 103998027 chr14:103998027:G:A rs55938939 G A G EBF1_EBF_1 -15 0 - 0 0 . chr14 104037324 104037325 chr14:104037325:G:A rs941946 G A G EBF1_EBF_1 -2 0 + 0 0 . chr14 104037341 104037342 chr14:104037342:G:A rs4900608 G A G EBF1_EBF_1 15 0 + 0 0 . chr14 104071794 104071795 chr14:104071795:A:G rs35775205 A G a EBF1_EBF_1 29 0 - 0 0 . chr14 104080555 104080556 chr14:104080556:A:G rs11847931 A G A EBF1_EBF_1 16 0 + 0 0 . chr14 104084489 104084490 chr14:104084490:G:A rs144636310 G A G EBF1_EBF_1 22 0 + 0 0 . chr14 104095660 104095661 chr14:104095661:T:C rs1770983 T C C EBF1_EBF_1 2 1 + 7.047527288380333 5.158703876938183 AATCCCAGGGGCCC chr14 104096474 104096475 chr14:104096475:A:G rs941954 A G G EBF1_EBF_1 -2 0 + 0 0 . chr14 104099441 104099442 chr14:104099442:G:T rs1744288 G T G EBF1_EBF_1 5 1 - 4.154063373977396 -2.7508177786577725 CCCCCCAGGGGGAA chr14 104100878 104100879 chr14:104100879:C:T rs55912904 C T C EBF1_EBF_1 -4 0 + 0 0 . chr14 104100880 104100881 chr14:104100881:G:A chr14:104100881:G:A G A G EBF1_EBF_1 -2 0 + 0 0 . chr14 104101238 104101239 chr14:104101239:C:T rs1744294 C T C EBF1_EBF_1 25 0 - 0 0 . chr14 104102787 104102788 chr14:104102788:G:C rs56330884 G C G EBF1_EBF_1 29 0 - 0 0 . chr14 104103778 104103779 chr14:104103779:C:G rs34878411 C G C EBF1_EBF_1 31 0 + 0 0 . chr14 104105101 104105102 chr14:104105102:C:T rs12887919 C T C EBF1_EBF_1 -13 0 + 0 0 . chr14 104108872 104108873 chr14:104108873:C:T rs1890986 C T C EBF1_EBF_1 7 1 - 5.151223002062408 6.463681407351234 ATTCCAAGGGGACA chr14 104108952 104108953 chr14:104108953:C:G rs12587641 C G C EBF1_EBF_1 20 0 + 0 0 . chr14 104110325 104110326 chr14:104110326:C:T rs117179533 C T C EBF1_EBF_1 3 1 + 4.714154322478104 -1.6912444190752116 TCTCCTCTGGGACC chr14 104110478 104110479 chr14:104110479:A:G rs12588414 A G A EBF1_EBF_1 -11 0 - 0 0 . chr14 104119375 104119376 chr14:104119376:G:A rs1034035698 G A G EBF1_EBF_1 29 0 - 0 0 . chr14 104119389 104119390 chr14:104119390:G:A rs11623299 G A G EBF1_EBF_1 15 0 - 0 0 . chr14 104132447 104132448 chr14:104132448:C:T rs1028042248 C T C EBF1_EBF_1 -12 0 - 0 0 . chr14 104137797 104137798 chr14:104137798:G:A rs12588393 G A G EBF1_EBF_1 19 0 - 0 0 . chr14 104140676 104140677 chr14:104140677:T:G rs11160787 T G T EBF1_EBF_1 0 1 - 6.511816721161001 4.2565582374644 ATCCACCAGGGACT chr14 104140695 104140696 chr14:104140696:G:A rs947002 G A G EBF1_EBF_1 -19 0 - 0 0 . chr14 104141328 104141329 chr14:104141329:C:G rs10149989 C G C EBF1_EBF_1 12 1 + 8.514942374901064 7.126306431222915 TTTCCCCAGGGGCC chr14 104150716 104150717 chr14:104150717:C:T rs72714977 C T C EBF1_EBF_1 -13 0 + 0 0 . chr14 104150757 104150758 chr14:104150758:C:T rs12588775 C T C EBF1_EBF_1 28 0 + 0 0 . chr14 104155045 104155046 chr14:104155046:C:T rs35797471 C T C EBF1_EBF_1 -16 0 + 0 0 . chr14 104158989 104158990 chr14:104158990:G:A rs7159256 G A G EBF1_EBF_1 32 0 - 0 0 . chr14 104158994 104158995 chr14:104158995:C:T rs7158950 C T C EBF1_EBF_1 27 0 - 0 0 . chr14 104167286 104167287 chr14:104167287:T:G rs112206333 T G T EBF1_EBF_1 0 1 - 6.156105711183074 3.900847227486473 ATGCCCCTGGGACC chr14 104180973 104180974 chr14:104180974:C:G rs871266 C G G EBF1_EBF_1 -1 0 - 0 0 . chr14 104186449 104186450 chr14:104186450:G:A rs12879395 G A G EBF1_EBF_1 -16 0 + 0 0 . chr14 104187791 104187792 chr14:104187792:T:C rs12890592 T C C EBF1_EBF_1 11 1 - 8.427059164187503 5.5343523205834915 ACACCCCAGGGACA chr14 104195603 104195604 chr14:104195604:C:G rs4509954 C G C EBF1_EBF_1 5 1 + 5.692354119908855 -1.2125270327263122 AGCCCCAGGAGACG chr14 104200769 104200770 chr14:104200770:A:G rs10149129 A G G EBF1_EBF_1 0 1 + 6.863435109283551 4.971151239718717 ACACCCTTGGGAGA chr14 104201346 104201347 chr14:104201347:C:T rs73362216 C T C EBF1_EBF_1 -6 0 - 0 0 . chr14 104206359 104206360 chr14:104206360:A:C rs34839880 A C C EBF1_EBF_1 -9 0 - 0 0 . chr14 104210591 104210592 chr14:104210592:G:A rs8020627 G A G EBF1_EBF_1 28 0 - 0 0 . chr14 104220755 104220756 chr14:104220756:C:T rs117763903 C T C EBF1_EBF_1 -5 0 + 0 0 . chr14 104224776 104224777 chr14:104224777:C:T rs10083398 C T C EBF1_EBF_1 28 0 + 0 0 . chr14 104225214 104225215 chr14:104225215:G:T rs111430139 G T g EBF1_EBF_1 17 0 + 0 0 . chr14 104225630 104225631 chr14:104225631:G:A rs7160242 G A g EBF1_EBF_1 13 1 + 4.242514506024875 5.356840078094314 ACTCCCCGGGGCTG chr14 104226546 104226547 chr14:104226547:C:A rs146690013 C A C EBF1_EBF_1 20 0 - 0 0 . chr14 104266726 104266727 chr14:104266727:C:T rs11623015 C T C EBF1_EBF_1 8 1 - 4.8503613655088325 0.6240712766225719 GGCCCCCAGAGAGC chr14 104267546 104267547 chr14:104267547:T:C rs148591497 T C T EBF1_EBF_1 13 1 + 3.42745376616664 2.5829596515811213 AGCCCCCGGGCACT chr14 104268803 104268804 chr14:104268804:A:G rs112832072 A G - EBF1_EBF_1 15 0 + 0 0 . chr14 104276610 104276611 chr14:104276611:A:G rs4906431 A G G EBF1_EBF_1 0 1 + 6.138583907419953 4.246300037855119 AACCCCCGGGGGTT chr14 104280907 104280908 chr14:104280908:A:C rs8023106 A C A EBF1_EBF_1 6 1 - 5.609447466856121 3.5029692745211647 AGACCCTCGGGAGC chr14 104281224 104281225 chr14:104281225:G:A rs6576023 G A A EBF1_EBF_1 28 0 - 0 0 . chr14 104281235 104281236 chr14:104281236:G:A rs8003900 G A G EBF1_EBF_1 17 0 - 0 0 . chr14 104281256 104281257 chr14:104281257:G:A rs7141136 G A G EBF1_EBF_1 -4 0 - 0 0 . chr14 104283492 104283493 chr14:104283493:G:A rs532762030 G A G EBF1_EBF_1 -20 0 - 0 0 . chr14 104286986 104286987 chr14:104286987:G:A rs35667106 G A A EBF1_EBF_1 28 0 - 0 0 . chr14 104287025 104287026 chr14:104287026:T:C rs28585551 T C C EBF1_EBF_1 -11 0 - 0 0 . chr14 104299850 104299851 chr14:104299851:G:T rs73366140 G T G EBF1_EBF_1 30 0 + 0 0 . chr14 104306062 104306063 chr14:104306063:C:T rs117005594 C T C EBF1_EBF_1 20 0 - 0 0 . chr14 104306998 104306999 chr14:104306999:T:C rs8021799 T C C EBF1_EBF_1 18 0 - 0 0 . chr14 104310522 104310523 chr14:104310523:C:A rs7156691 C A C EBF1_EBF_1 9 1 - 4.970897391739924 -1.8660920163488866 ACTGCCAAGGGGCT chr14 104314254 104314255 chr14:104314255:A:C rs9888586 A C C EBF1_EBF_1 -5 0 + 0 0 . chr14 104319155 104319156 chr14:104319156:C:T rs10133583 C T C EBF1_EBF_1 26 0 - 0 0 . chr14 104319188 104319189 chr14:104319189:A:G rs7148239 A G A EBF1_EBF_1 13 1 - 7.1400583875794945 6.2955642729939765 GCTCCCATGGTACT chr14 104327039 104327040 chr14:104327040:T:G rs879712477 T G T EBF1_EBF_1 12 1 + 6.111478910341414 5.749109537214112 ACACCCTTGGGATG chr14 104332176 104332177 chr14:104332177:A:G rs77578883 A G A EBF1_EBF_1 16 0 + 0 0 . chr14 104332183 104332184 chr14:104332184:C:T rs10135670 C T C EBF1_EBF_1 23 0 + 0 0 . chr14 104332833 104332834 chr14:104332834:T:C rs10873546 T C C EBF1_EBF_1 15 0 + 0 0 . chr14 104332898 104332899 chr14:104332899:T:A rs6576031 T A A EBF1_EBF_1 -16 0 + 0 0 . chr14 104333360 104333361 chr14:104333361:A:G rs58086816 A G a EBF1_EBF_1 -11 0 + 0 0 . chr14 104354278 104354279 chr14:104354279:T:C rs74088547 T C C EBF1_EBF_1 19 0 - 0 0 . chr14 104355338 104355339 chr14:104355339:C:T rs111997324 C T C EBF1_EBF_1 33 0 - 0 0 . chr14 104360386 104360387 chr14:104360387:C:A rs74088550 C A c EBF1_EBF_1 -9 0 + 0 0 . chr14 104363981 104363982 chr14:104363982:C:T rs74491552 C T C EBF1_EBF_1 -2 0 + 0 0 . chr14 104363998 104363999 chr14:104363999:G:A rs75878689 G A G EBF1_EBF_1 15 0 + 0 0 . chr14 104367794 104367795 chr14:104367795:G:A rs56283836 G A G EBF1_EBF_1 18 0 - 0 0 . chr14 104367818 104367819 chr14:104367819:A:G rs55862446 A G G EBF1_EBF_1 -6 0 - 0 0 . chr14 104370182 104370183 chr14:104370183:C:G rs61220690 C G C EBF1_EBF_1 16 0 + 0 0 . chr14 104373840 104373841 chr14:104373841:C:T rs76197762 C T C EBF1_EBF_1 -14 0 - 0 0 . chr14 104375138 104375139 chr14:104375139:T:C rs77244247 T C T EBF1_EBF_1 25 0 - 0 0 . chr14 104375147 104375148 chr14:104375148:G:A rs57468036 G A G EBF1_EBF_1 16 0 - 0 0 . chr14 104376454 104376455 chr14:104376455:A:C rs61997118 A C A EBF1_EBF_1 0 1 + 6.762788933661402 4.5075304499648015 AGTGCCATGGGAAC chr14 104376455 104376456 chr14:104376456:G:T rs11627544 G T T EBF1_EBF_1 1 1 + 6.762788933661402 7.468450719490931 AGTGCCATGGGAAC chr14 104384910 104384911 chr14:104384911:T:C rs73368078 T C C EBF1_EBF_1 7 1 - 6.12132862612282 4.808870220833996 GCTCCCCAGGGTCA chr14 104387862 104387863 chr14:104387863:G:A rs113149002 G A G EBF1_EBF_1 -8 0 + 0 0 . chr14 104389917 104389918 chr14:104389918:G:C rs28663652 G C G EBF1_EBF_1 11 1 - 4.981880562511305 6.505135416759684 GGTCCCTGGGGCCA chr14 104394584 104394585 chr14:104394585:G:T rs8016545 G T G EBF1_EBF_1 26 0 - 0 0 . chr14 104396538 104396539 chr14:104396539:C:T rs78271134 C T C EBF1_EBF_1 15 0 + 0 0 . chr14 104396539 104396540 chr14:104396540:G:A rs116886725 G A G EBF1_EBF_1 16 0 + 0 0 . chr14 104396551 104396552 chr14:104396552:C:T rs11621569 C T C EBF1_EBF_1 28 0 + 0 0 . chr14 104421334 104421335 chr14:104421335:G:A rs73352148 G A G EBF1_EBF_1 7 1 + 4.430666436882455 5.743124842171279 CATCCCCGGGGCCC chr14 104423474 104423475 chr14:104423475:G:A rs79696443 G A G EBF1_EBF_1 -6 0 - 0 0 . chr14 104425634 104425635 chr14:104425635:C:T rs7156003 C T C EBF1_EBF_1 26 0 + 0 0 . chr14 104433082 104433083 chr14:104433083:T:C rs150268207 T C T EBF1_EBF_1 0 1 - 6.857092863135361 4.964808993570527 ACCCCCGTGGGAAG chr14 104436396 104436397 chr14:104436397:G:A rs116594090 G A G EBF1_EBF_1 -16 0 + 0 0 . chr14 104437665 104437666 chr14:104437666:G:A rs762731573 G A G EBF1_EBF_1 -4 0 + 0 0 . chr14 104437699 104437700 chr14:104437700:G:A rs72706053 G A G EBF1_EBF_1 30 0 + 0 0 . chr14 104444003 104444004 chr14:104444004:C:T rs199881387 C T - EBF1_EBF_1 3 1 + 5.131325090468011 -1.2740736510853077 CCCCCCAAGGGCCT chr14 104444064 104444065 chr14:104444065:A:G rs11847587 A G G EBF1_EBF_1 13 1 - 5.665156163065478 4.82066204847996 CTCCCCCAGGGCCT chr14 104445203 104445204 chr14:104445204:G:A rs75924811 G A G EBF1_EBF_1 4 1 - 3.8813392915642533 -0.4880476533806698 AGCCCACAGGGACC chr14 104461233 104461234 chr14:104461234:G:T rs73354013 G T g EBF1_EBF_1 -18 0 + 0 0 . chr14 104461284 104461285 chr14:104461285:A:G rs112139288 A G a EBF1_EBF_1 33 0 + 0 0 . chr14 104461682 104461683 chr14:104461683:T:C rs74246318 T C c EBF1_EBF_1 0 1 + 5.137926946297165 4.8557248855665875 TCTCCCTCAGGACT chr14 104481737 104481738 chr14:104481738:G:A rs3902821 G A g EBF1_EBF_1 14 0 + 0 0 . chr14 104510990 104510991 chr14:104510991:G:T rs141137280 G T g EBF1_EBF_1 7 1 - 6.313503945070067 8.607282931440249 AGCCCCGCGGGACA chr14 104517083 104517084 chr14:104517084:G:A rs66481343 G A g EBF1_EBF_1 26 0 + 0 0 . chr14 104521114 104521115 chr14:104521115:C:T rs11844158 C T N EBF1_EBF_1 -15 0 + 0 0 . chr14 104522820 104522821 chr14:104522821:C:T rs10139323 C T N EBF1_EBF_1 -2 0 + 0 0 . chr14 104551271 104551272 chr14:104551272:A:T rs111279001 A T a EBF1_EBF_1 -5 0 + 0 0 . chr14 104551409 104551410 chr14:104551410:C:A rs73359815 C A c EBF1_EBF_1 23 0 + 0 0 . chr14 104553868 104553869 chr14:104553869:T:C rs4075324 T C N EBF1_EBF_1 19 0 - 0 0 . chr14 104556557 104556558 chr14:104556558:G:A rs117755133 G A g EBF1_EBF_1 5 1 - 5.5155872739512635 0.19380005841059356 GACCCCGGGGGACC chr14 104561600 104561601 chr14:104561601:G:C rs4247024 G C C EBF1_EBF_1 22 0 + 0 0 . chr14 104562892 104562893 chr14:104562893:T:C rs4983420 T C C EBF1_EBF_1 1 1 - 9.571205116667889 9.831903948589188 GATCCCAGGGGACC chr14 104571087 104571088 chr14:104571088:A:G rs4983437 A G G EBF1_EBF_1 -15 0 - 0 0 . chr14 104571219 104571220 chr14:104571220:T:C rs4983439 T C C EBF1_EBF_1 32 0 - 0 0 . chr14 104577991 104577992 chr14:104577992:G:A rs371578453 G A G EBF1_EBF_1 -17 0 + 0 0 . chr14 104578038 104578039 chr14:104578039:C:A rs140674914 C A C EBF1_EBF_1 30 0 + 0 0 . chr14 104588371 104588372 chr14:104588372:T:A rs9788432 T A T EBF1_EBF_1 -3 0 + 0 0 . chr14 104598801 104598802 chr14:104598802:G:A rs761848869 G A G EBF1_EBF_1 -13 0 + 0 0 . chr14 104604147 104604148 chr14:104604148:A:G rs8015839 A G G EBF1_EBF_1 -13 0 + 0 0 . chr14 104605131 104605132 chr14:104605132:T:G rs1040284800 T G T EBF1_EBF_1 -11 0 + 0 0 . chr14 104605133 104605134 chr14:104605134:T:C rs571623567 T C T EBF1_EBF_1 -9 0 + 0 0 . chr14 104611913 104611914 chr14:104611914:C:T rs4340263 C T C EBF1_EBF_1 -10 0 - 0 0 . chr14 104624012 104624013 chr14:104624013:T:C rs7147598 T C C EBF1_EBF_1 1 1 + 5.9244613311309715 5.029027890732226 GTTCCCTCGGGCCT chr14 104635299 104635300 chr14:104635300:C:T rs183139385 C T C EBF1_EBF_1 6 1 + 6.581055195306586 6.882341324168343 AGCCCCCGGGGGCC chr14 104639431 104639432 chr14:104639432:C:T rs4073645 C T C EBF1_EBF_1 1 1 + 4.957280824789511 5.852714265188257 TCCCCCTGGAGACC chr14 104640167 104640168 chr14:104640168:T:A chr14:104640168:T:A T A T EBF1_EBF_1 32 0 - 0 0 . chr14 104640196 104640197 chr14:104640197:G:A rs775382740 G A G EBF1_EBF_1 3 1 - 6.848889552199488 0.4434908106461695 CCTCCCATGGGGCA chr14 104642646 104642647 chr14:104642647:A:C rs10151705 A C A EBF1_EBF_1 -1 0 - 0 0 . chr14 104658331 104658332 chr14:104658332:T:G rs530996309 T G T EBF1_EBF_1 21 0 - 0 0 . chr14 104659174 104659175 chr14:104659175:G:A rs4075355 G A G EBF1_EBF_1 -4 0 - 0 0 . chr14 104680416 104680417 chr14:104680417:C:A rs56287017 C A C EBF1_EBF_1 33 0 - 0 0 . chr14 104680420 104680421 chr14:104680421:C:A rs55980800 C A C EBF1_EBF_1 29 0 - 0 0 . chr14 104682259 104682260 chr14:104682260:G:A rs4983524 G A G EBF1_EBF_1 29 0 - 0 0 . chr14 104682294 104682295 chr14:104682295:G:C rs4983525 G C G EBF1_EBF_1 -6 0 - 0 0 . chr14 104683232 104683233 chr14:104683233:G:A rs113328777 G A A EBF1_EBF_1 -8 0 - 0 0 . chr14 104683355 104683356 chr14:104683356:C:T rs4994393 C T C EBF1_EBF_1 -10 0 + 0 0 . chr14 104683364 104683365 chr14:104683365:A:G rs4994392 A G G EBF1_EBF_1 -1 0 + 0 0 . chr14 104683377 104683378 chr14:104683378:G:C rs546674155 G C G EBF1_EBF_1 12 1 + 4.630163891643086 6.0187998353212375 GCTCCCCTGGGTGC chr14 104683380 104683381 chr14:104683381:T:C rs566655128 T C T EBF1_EBF_1 15 0 + 0 0 . chr14 104684827 104684828 chr14:104684828:T:C rs72713894 T C T EBF1_EBF_1 -13 0 - 0 0 . chr14 104695336 104695337 chr14:104695337:C:G rs74091119 C G C EBF1_EBF_1 -15 0 + 0 0 . chr14 104696155 104696156 chr14:104696156:T:A rs12588024 T A T EBF1_EBF_1 11 1 - 7.02902639086888 2.931112134770154 GGCCCCCTGGGAGC chr14 104700774 104700775 chr14:104700775:C:T rs76136813 C T T EBF1_EBF_1 24 0 - 0 0 . chr14 104702437 104702438 chr14:104702438:A:G rs7160273 A G A EBF1_EBF_1 25 0 - 0 0 . chr14 104705647 104705648 chr14:104705648:T:C rs75425579 T C T EBF1_EBF_1 30 0 - 0 0 . chr14 104723504 104723505 chr14:104723505:C:T rs76372141 C T C EBF1_EBF_1 -2 0 - 0 0 . chr14 104731508 104731509 chr14:104731509:G:A rs57098433 G A G EBF1_EBF_1 -8 0 + 0 0 . chr14 104732484 104732485 chr14:104732485:C:T rs34802997 C T T EBF1_EBF_1 31 0 - 0 0 . chr14 104734167 104734168 chr14:104734168:C:G rs7141697 C G G EBF1_EBF_1 -4 0 + 0 0 . chr14 104742055 104742056 chr14:104742056:G:A rs75268661 G A g EBF1_EBF_1 -20 0 - 0 0 . chr14 104742257 104742258 chr14:104742258:G:A rs141921975 G A G EBF1_EBF_1 13 1 - 4.659716409370965 5.504210523956483 ACTCCGAGGGGAAC chr14 104746450 104746451 chr14:104746451:G:A rs76787928 G A G EBF1_EBF_1 23 0 + 0 0 . chr14 104768460 104768461 chr14:104768461:G:A rs61761256 G A G EBF1_EBF_1 0 1 + 7.30692201682793 9.199205886392765 GCACCCCTGGGAAT chr14 104780069 104780070 chr14:104780070:G:A rs3730358 G A G EBF1_EBF_1 10 1 + 5.061506851195458 -0.25652160807150926 AATCCCGAGAGGCC chr14 104780354 104780355 chr14:104780355:T:A rs12588965 T A T EBF1_EBF_1 -17 0 - 0 0 . chr14 104825108 104825109 chr14:104825109:C:T rs72718104 C T C EBF1_EBF_1 2 1 + 4.879630382023959 6.768453793466109 ATCCCCCAGGGTTG chr14 104826815 104826816 chr14:104826816:G:A chr14:104826816:G:A G A G EBF1_EBF_1 26 0 - 0 0 . chr14 104826847 104826848 chr14:104826848:G:T rs12323856 G T G EBF1_EBF_1 -6 0 - 0 0 . chr14 104843669 104843670 chr14:104843670:C:T rs1025378234 C T c EBF1_EBF_1 -7 0 - 0 0 . chr14 104843825 104843826 chr14:104843826:G:A rs10130338 G A g EBF1_EBF_1 3 1 - 6.92131176029706 0.5159130187437428 ACTCCCTCGGGCCT chr14 104867201 104867202 chr14:104867202:A:G rs4983561 A G G EBF1_EBF_1 1 1 - 8.675821984692034 7.780388544293287 ATACCCCTGGGAGT chr14 104881822 104881823 chr14:104881823:G:A rs72700183 G A G EBF1_EBF_1 -9 0 - 0 0 . chr14 104882432 104882433 chr14:104882433:A:G rs72700187 A G A EBF1_EBF_1 -13 0 - 0 0 . chr14 104882434 104882435 chr14:104882435:A:G rs117222009 A G A EBF1_EBF_1 -15 0 - 0 0 . chr14 104897709 104897710 chr14:104897710:T:C rs34004095 T C T EBF1_EBF_1 25 0 - 0 0 . chr14 104930355 104930356 chr14:104930356:G:C rs1036713 G C C EBF1_EBF_1 -5 0 - 0 0 . chr14 104931779 104931780 chr14:104931780:C:T rs751020439 C T C EBF1_EBF_1 14 0 + 0 0 . chr14 104944489 104944490 chr14:104944490:C:T rs28380382 C T - EBF1_EBF_1 4 1 + 4.210242162139628 -0.15914478280529587 CTTCCCTGGGGCCG chr14 104944815 104944816 chr14:104944816:G:A rs34499888 G A g EBF1_EBF_1 14 0 + 0 0 . chr14 104944824 104944825 chr14:104944825:C:T rs61316883 C T c EBF1_EBF_1 23 0 + 0 0 . chr14 104948291 104948292 chr14:104948292:G:A rs72702027 G A - EBF1_EBF_1 4 1 - 4.415419231094535 0.04603228614961065 ACTCCCAGAGGGCC chr14 104949270 104949271 chr14:104949271:G:C rs11850848 G C - EBF1_EBF_1 14 0 + 0 0 . chr14 104950764 104950765 chr14:104950765:T:C rs2248966 T C C EBF1_EBF_1 6 1 - 4.415419231094535 2.2486247998200595 ACTCCCAGAGGGCC chr14 104950765 104950766 chr14:104950766:G:A rs61996045 G A G EBF1_EBF_1 5 1 - 4.415419231094535 -0.906367984446135 ACTCCCAGAGGGCC chr14 104951243 104951244 chr14:104951244:C:T rs141283979 C T C EBF1_EBF_1 7 1 + 4.214715174568034 6.0322199208506575 GCTCCCTCGGGCAC chr14 104977316 104977317 chr14:104977317:C:T rs73357594 C T C EBF1_EBF_1 -10 0 - 0 0 . chr14 104982093 104982094 chr14:104982094:T:A rs577001689 T A T EBF1_EBF_1 -11 0 + 0 0 . chr14 104986603 104986604 chr14:104986604:G:A rs142255517 G A G EBF1_EBF_1 -20 0 - 0 0 . chr14 104989539 104989540 chr14:104989540:C:G rs2241866 C G C EBF1_EBF_1 14 0 - 0 0 . chr14 105007358 105007359 chr14:105007359:G:C rs7141972 G C C EBF1_EBF_1 -10 0 + 0 0 . chr14 105014303 105014304 chr14:105014304:A:G rs4267250 A G G EBF1_EBF_1 21 0 - 0 0 . chr14 105034077 105034078 chr14:105034078:T:C rs10150496 T C T EBF1_EBF_1 14 0 + 0 0 . chr14 105060435 105060436 chr14:105060436:C:A rs142668345 C A C EBF1_EBF_1 -13 0 - 0 0 . chr14 105074339 105074340 chr14:105074340:C:T rs12050098 C T C EBF1_EBF_1 15 0 - 0 0 . chr14 105074683 105074684 chr14:105074684:T:C rs4464021 T C C EBF1_EBF_1 8 1 + 5.300136842832799 6.872910770895308 ATCCCCTTTGGAAT chr14 105075960 105075961 chr14:105075961:G:A rs34882611 G A G EBF1_EBF_1 20 0 + 0 0 . chr14 105088189 105088190 chr14:105088190:G:A rs4983576 G A g EBF1_EBF_1 32 0 + 0 0 . chr14 105088288 105088289 chr14:105088289:C:G rs4983577 C G C EBF1_EBF_1 -17 0 + 0 0 . chr14 105088412 105088413 chr14:105088413:G:C rs185759395 G C G EBF1_EBF_1 10 1 + 8.386274394857796 1.495472007528322 ATTCCCAGGAGAGC chr14 105089469 105089470 chr14:105089470:C:T rs587636599 C T C EBF1_EBF_1 29 0 + 0 0 . chr14 105090372 105090373 chr14:105090373:T:C rs12147075 T C T EBF1_EBF_1 32 0 - 0 0 . chr14 105091164 105091165 chr14:105091165:C:A rs118008550 C A C EBF1_EBF_1 33 0 - 0 0 . chr14 105091753 105091754 chr14:105091754:C:T rs587649486 C T c EBF1_EBF_1 2 1 + 5.161980876811253 7.050804288253404 CGCCCCCTGGGGCC chr14 105095608 105095609 chr14:105095609:C:T rs587698834 C T C EBF1_EBF_1 -18 0 - 0 0 . chr14 105096427 105096428 chr14:105096428:A:G rs12885324 A G G EBF1_EBF_1 6 1 + 4.418523355397757 2.2517289241232805 CATCCCAGGGGCCA chr14 105114669 105114670 chr14:105114670:T:A rs28506007 T A T EBF1_EBF_1 29 0 - 0 0 . chr14 105114710 105114711 chr14:105114711:A:G rs4304960 A G a EBF1_EBF_1 -12 0 - 0 0 . chr14 105116926 105116927 chr14:105116927:T:C rs28534935 T C T EBF1_EBF_1 27 0 + 0 0 . chr14 105133296 105133297 chr14:105133297:T:C rs1554960 T C C EBF1_EBF_1 29 0 - 0 0 . chr14 105136637 105136638 chr14:105136638:T:C rs587701492 T C T EBF1_EBF_1 16 0 + 0 0 . chr14 105146547 105146548 chr14:105146548:G:A rs78262814 G A G EBF1_EBF_1 5 1 - 4.19132123358786 -1.1304659819528113 AGCCCCGAGGGCCA chr14 105160256 105160257 chr14:105160257:G:A rs11848499 G A A EBF1_EBF_1 29 0 - 0 0 . chr14 105173738 105173739 chr14:105173739:G:A rs12894531 G A G EBF1_EBF_1 -20 0 + 0 0 . chr14 105193550 105193551 chr14:105193551:C:T rs34674986 C T C EBF1_EBF_1 -2 0 + 0 0 . chr14 105197099 105197100 chr14:105197100:G:A rs147015287 G A G EBF1_EBF_1 28 0 + 0 0 . chr14 105197506 105197507 chr14:105197507:C:T rs192151442 C T C EBF1_EBF_1 4 1 + 7.4355351397855625 3.0661481948406393 TGTCCCCAGGGGCA chr14 105207058 105207059 chr14:105207059:C:A rs10139132 C A C EBF1_EBF_1 -4 0 + 0 0 . chr14 105208462 105208463 chr14:105208463:C:G rs952733152 C G C EBF1_EBF_1 21 0 - 0 0 . chr14 105208897 105208898 chr14:105208898:C:T rs2312741 C T T EBF1_EBF_1 14 0 + 0 0 . chr14 105213195 105213196 chr14:105213196:G:A rs146299376 G A G EBF1_EBF_1 -18 0 - 0 0 . chr14 105213306 105213307 chr14:105213307:C:G rs3784228 C G G EBF1_EBF_1 -12 0 + 0 0 . chr14 105219462 105219463 chr14:105219463:C:T rs12894144 C T T EBF1_EBF_1 4 1 + 5.023883597705483 0.6544966527605607 ACCCCCTAGGGCAG chr14 105226359 105226360 chr14:105226360:G:C rs12883636 G C C EBF1_EBF_1 17 0 - 0 0 . chr14 105240963 105240964 chr14:105240964:G:A rs147279667 G A A EBF1_EBF_1 8 1 + 4.30682069061808 0.08053060173181893 GGCCCCACGAGACC chr14 105252968 105252969 chr14:105252969:G:A rs2142190 G A G EBF1_EBF_1 11 1 - 4.098340330102749 4.416387771856414 AGACCCCTGGGCCC chr14 105257740 105257741 chr14:105257741:G:C rs7144338 G C G EBF1_EBF_1 5 1 - 6.680755203185181 -0.22412594944998765 TTTCCCCAGGTAGT chr14 105305717 105305718 chr14:105305718:A:C rs75264897 A C C EBF1_EBF_1 -14 0 - 0 0 . chr14 105314473 105314474 chr14:105314474:G:A rs1180953127 G A G EBF1_EBF_1 -10 0 - 0 0 . chr14 105326454 105326455 chr14:105326455:C:A rs141555160 C A C EBF1_EBF_1 -4 0 - 0 0 . chr14 105352633 105352634 chr14:105352634:G:A rs376933339 G A - EBF1_EBF_1 13 1 - 7.649690332923647 8.494184447509166 TCTCCCCAGGGGAC chr14 105352760 105352761 chr14:105352761:A:C rs111965113 A C - EBF1_EBF_1 11 1 - 6.444482920428933 7.649690332923647 TCTCCCCAGGGTAC chr14 105352786 105352787 chr14:105352787:C:T rs73359058 C T - EBF1_EBF_1 17 0 - 0 0 . chr14 105352961 105352962 chr14:105352962:C:T rs113176235 C T - EBF1_EBF_1 -2 0 - 0 0 . chr14 105385649 105385650 chr14:105385650:G:A rs1008004427 G A G EBF1_EBF_1 -19 0 - 0 0 . chr14 105396260 105396261 chr14:105396261:T:G rs75667955 T G T EBF1_EBF_1 -5 0 + 0 0 . chr14 105398547 105398548 chr14:105398548:A:C rs990133735 A C A EBF1_EBF_1 -20 0 + 0 0 . chr14 105421800 105421801 chr14:105421801:A:G rs7492796 A G G EBF1_EBF_1 15 0 + 0 0 . chr14 105437043 105437044 chr14:105437044:C:T rs8008815 C T C EBF1_EBF_1 25 0 + 0 0 . chr14 105437322 105437323 chr14:105437323:G:A rs113399757 G A G EBF1_EBF_1 13 1 - 6.853135618155786 7.697629732741304 ACTCCCATGAGGAC chr14 105437353 105437354 chr14:105437354:G:C rs28404151 G C C EBF1_EBF_1 -18 0 - 0 0 . chr14 105437386 105437387 chr14:105437387:G:A rs9743822 G A g EBF1_EBF_1 5 1 - 6.853135618155786 1.531348402615116 ACTCCCATGAGGAC chr14 105470934 105470935 chr14:105470935:G:A rs4983416 G A G EBF1_EBF_1 -14 0 + 0 0 . chr14 105472793 105472794 chr14:105472794:C:T rs58219314 C T C EBF1_EBF_1 -1 0 + 0 0 . chr14 105473670 105473671 chr14:105473671:G:A rs77146948 G A G EBF1_EBF_1 3 1 - 5.068964790325447 -1.3364339512278711 GTCCCCATGGGCCC chr14 105476179 105476180 chr14:105476180:A:G rs587744734 A G A EBF1_EBF_1 26 0 + 0 0 . chr14 105481482 105481483 chr14:105481483:G:C chr14:105481483:G:C G C G EBF1_EBF_1 7 1 + 5.6415956237224245 4.660275042641068 AACCCCCGGGGCCT chr14 105482196 105482197 chr14:105482197:C:T rs76493823 C T C EBF1_EBF_1 29 0 - 0 0 . chr14 105482481 105482482 chr14:105482482:C:T rs140059221 C T C EBF1_EBF_1 -13 0 - 0 0 . chr14 105483467 105483468 chr14:105483468:C:T rs587643451 C T C EBF1_EBF_1 4 1 + 4.6364248025811365 0.26703785763621185 GGTCCCGGGAGACA chr14 105483495 105483496 chr14:105483496:C:A chr14:105483496:C:A C A C EBF1_EBF_1 32 0 + 0 0 . chr14 105483549 105483550 chr14:105483550:G:C rs79475948 G C G EBF1_EBF_1 16 0 - 0 0 . chr14 105484932 105484933 chr14:105484933:G:A rs587764987 G A G EBF1_EBF_1 4 1 - 6.016624368596559 1.6472374236516334 CGCCCCCAGGGATG chr14 105485097 105485098 chr14:105485098:C:T rs116505773 C T C EBF1_EBF_1 -3 0 + 0 0 . chr14 105487922 105487923 chr14:105487923:C:G rs1428087064 C G C EBF1_EBF_1 24 0 - 0 0 . chr14 105495719 105495720 chr14:105495720:G:C rs7494205 G C . EBF1_EBF_1 -18 0 - 0 0 . chr14 105496499 105496500 chr14:105496500:C:A rs116929684 C A . EBF1_EBF_1 29 0 - 0 0 . chr14 105498764 105498765 chr14:105498765:G:A rs34137879 G A . EBF1_EBF_1 30 0 + 0 0 . chr14 105499150 105499151 chr14:105499151:G:C rs75392201 G C . EBF1_EBF_1 12 1 - 5.228839169188704 3.840203225510552 CTCCTCAAGGGACC chr14 105503577 105503578 chr14:105503578:T:C rs74091211 T C . EBF1_EBF_1 24 0 - 0 0 . chr14 105581683 105581684 chr14:105581684:G:A rs12892384 G A . EBF1_EBF_1 -15 0 - 0 0 . chr14 105584508 105584509 chr14:105584509:G:C rs61983353 G C . EBF1_EBF_1 -5 0 - 0 0 . chr14 105599252 105599253 chr14:105599253:C:T rs140119243 C T . EBF1_EBF_1 -2 0 + 0 0 . chr14 105602049 105602050 chr14:105602050:G:A rs77500680 G A . EBF1_EBF_1 -1 0 + 0 0 . chr14 105602050 105602051 chr14:105602051:G:C rs113517623 G C . EBF1_EBF_1 0 1 + 5.6258590268229955 5.262884412691228 GACCCCATGGGGCC chr14 105616562 105616563 chr14:105616563:T:C rs1325076034 T C . EBF1_EBF_1 16 0 + 0 0 . chr14 105617065 105617066 chr14:105617066:C:T rs72713347 C T . EBF1_EBF_1 14 0 + 0 0 . chr14 105620326 105620327 chr14:105620327:G:A rs28486024 G A . EBF1_EBF_1 6 1 + 3.570655719338673 5.737450150613148 AGCCCCGGGGGTCC chr14 105629636 105629637 chr14:105629637:G:T rs189325397 G T . EBF1_EBF_1 0 1 - 7.259090852154452 9.514349335851051 CACCCCTGGGGACC chr14 105629898 105629899 chr14:105629899:T:C rs2003804 T C . EBF1_EBF_1 -10 0 - 0 0 . chr14 105637435 105637436 chr14:105637436:C:T rs61983180 C T . EBF1_EBF_1 -16 0 + 0 0 . chr14 105648456 105648457 chr14:105648457:C:A rs148393876 C A . EBF1_EBF_1 -10 0 - 0 0 . chr14 105677334 105677335 chr14:105677335:T:A rs192411913 T A . EBF1_EBF_1 -9 0 - 0 0 . chr14 105704734 105704735 chr14:105704735:G:C rs138280655 G C . EBF1_EBF_1 -5 0 - 0 0 . chr14 105715717 105715718 chr14:105715718:C:G rs76675218 C G . EBF1_EBF_1 32 0 - 0 0 . chr14 105717462 105717463 chr14:105717463:G:A rs74093815 G A . EBF1_EBF_1 -4 0 - 0 0 . chr14 105734728 105734729 chr14:105734729:T:C rs28712722 T C . EBF1_EBF_1 24 0 - 0 0 . chr14 105736163 105736164 chr14:105736164:G:A rs61983876 G A . EBF1_EBF_1 6 1 + 3.570655719338673 5.737450150613148 AGCCCCGGGGGTCC chr14 105767382 105767383 chr14:105767383:C:T rs1189259387 C T . EBF1_EBF_1 3 1 + 7.4158868877604345 1.0104881462071167 ACACCCTGGGGACA chr14 105767410 105767411 chr14:105767411:G:C rs12897751 G C . EBF1_EBF_1 31 0 + 0 0 . chr14 105775661 105775662 chr14:105775662:G:T rs2753559 G T . EBF1_EBF_1 2 1 - 7.259090852154452 5.463446675997726 CACCCCTGGGGACC chr14 105843724 105843725 chr14:105843725:T:C rs2856944 T C . EBF1_EBF_1 27 0 - 0 0 . chr14 105846023 105846024 chr14:105846024:A:G rs12590779 A G . EBF1_EBF_1 -6 0 + 0 0 . chr14 105849821 105849822 chr14:105849822:G:A rs79103610 G A . EBF1_EBF_1 -3 0 + 0 0 . chr14 105853313 105853314 chr14:105853314:A:C rs113737115 A C . EBF1_EBF_1 32 0 + 0 0 . chr14 105855484 105855485 chr14:105855485:A:C rs12365 A C . EBF1_EBF_1 11 1 + 4.974508723044086 0.5585470251916964 ACTCACCGGGGACA chr14 105863115 105863116 chr14:105863116:T:C rs74750044 T C . EBF1_EBF_1 15 0 + 0 0 . chr14 105863133 105863134 chr14:105863134:G:A rs528209370 G A . EBF1_EBF_1 33 0 + 0 0 . chr14 105863514 105863515 chr14:105863515:C:A chr14:105863515:C:A C A . EBF1_EBF_1 15 0 + 0 0 . chr14 105865494 105865495 chr14:105865495:C:A rs1359624655 C A . EBF1_EBF_1 25 0 - 0 0 . chr14 105865511 105865512 chr14:105865512:C:G rs111768390 C G . EBF1_EBF_1 8 1 - 8.299209345137339 2.980550484222653 GCTCCCCCGGGACC chr14 105882063 105882064 chr14:105882064:G:A rs368879883 G A . EBF1_EBF_1 32 0 - 0 0 . chr14 105882112 105882113 chr14:105882113:C:A rs184408497 C A . EBF1_EBF_1 -17 0 - 0 0 . chr14 105882471 105882472 chr14:105882472:T:C rs368368016 T C . EBF1_EBF_1 30 0 - 0 0 . chr14 105882618 105882619 chr14:105882619:C:A rs2516980 C A . EBF1_EBF_1 -20 0 - 0 0 . chr14 105883968 105883969 chr14:105883969:G:A rs188955129 G A . EBF1_EBF_1 -16 0 + 0 0 . chr14 105884764 105884765 chr14:105884765:C:G rs2753523 C G . EBF1_EBF_1 27 0 + 0 0 . chr14 105892207 105892208 chr14:105892208:T:G rs2516967 T G . EBF1_EBF_1 19 0 - 0 0 . chr14 105892244 105892245 chr14:105892245:A:G rs3794461 A G . EBF1_EBF_1 1 1 - 6.551258661588218 5.65582522118947 CTTCCCCTGGGTCC chr14 105892655 105892656 chr14:105892656:G:A rs188600969 G A . EBF1_EBF_1 15 0 - 0 0 . chr14 105892757 105892758 chr14:105892758:G:T rs2516966 G T . EBF1_EBF_1 -8 0 - 0 0 . chr14 105893468 105893469 chr14:105893469:G:A rs3021114 G A . EBF1_EBF_1 21 0 + 0 0 . chr14 105893469 105893470 chr14:105893470:C:G rs2983757 C G . EBF1_EBF_1 22 0 + 0 0 . chr14 105894359 105894360 chr14:105894360:G:A rs138235754 G A . EBF1_EBF_1 -16 0 + 0 0 . chr14 105894832 105894833 chr14:105894833:C:G rs187793617 C G . EBF1_EBF_1 -16 0 + 0 0 . chr14 105899177 105899178 chr14:105899178:C:T rs111517581 C T . EBF1_EBF_1 9 1 - 6.027250944082283 3.37231167863468 ACACCCTGGGGAGA chr14 105902745 105902746 chr14:105902746:A:G rs2516963 A G . EBF1_EBF_1 12 1 + 4.21777098837553 2.798953646276052 GCTTCCCAGGGAAC chr14 105902755 105902756 chr14:105902756:T:C rs2857355 T C . EBF1_EBF_1 22 0 + 0 0 . chr14 105903476 105903477 chr14:105903477:G:A rs2857353 G A . EBF1_EBF_1 20 0 - 0 0 . chr14 105909536 105909537 chr14:105909537:T:C rs2857349 T C . EBF1_EBF_1 -4 0 + 0 0 . chr14 105911384 105911385 chr14:105911385:G:A rs55770959 G A . EBF1_EBF_1 3 1 - 9.030266769302084 2.624868027748766 CACCCCATGGGAAT chr14 105913102 105913103 chr14:105913103:C:T rs11160893 C T . EBF1_EBF_1 13 1 + 5.455627452485692 6.30012156707121 TGTCCCTAGAGGCC chr14 105925507 105925508 chr14:105925508:C:T rs2106010 C T . EBF1_EBF_1 33 0 - 0 0 . chr14 105942078 105942079 chr14:105942079:C:T rs12434486 C T . EBF1_EBF_1 29 0 + 0 0 . chr14 105945187 105945188 chr14:105945188:A:G rs553826435 A G . EBF1_EBF_1 -20 0 + 0 0 . chr14 105952810 105952811 chr14:105952811:C:T rs111855199 C T . EBF1_EBF_1 23 0 - 0 0 . chr14 105968519 105968520 chr14:105968520:G:A rs34118068 G A . EBF1_EBF_1 14 0 + 0 0 . chr14 105977794 105977795 chr14:105977795:T:G rs35779771 T G . EBF1_EBF_1 11 1 - 5.893752637039661 1.4777909391872717 TCTCACAAGGGACT chr14 105983124 105983125 chr14:105983125:A:T rs113059332 A T . EBF1_EBF_1 29 0 - 0 0 . chr14 105984092 105984093 chr14:105984093:C:A rs58040766 C A . EBF1_EBF_1 9 1 - 5.934571803199931 -0.9024176048888799 AACCCCTTGGGCCC chr14 105984093 105984094 chr14:105984094:C:T rs57701183 C T . EBF1_EBF_1 8 1 - 5.934571803199931 1.7082817143136686 AACCCCTTGGGCCC chr14 105986540 105986541 chr14:105986541:G:T rs7144717 G T . EBF1_EBF_1 6 1 - 5.853170471087829 6.214772838889106 AAACCCCAGGGAGG chr14 105987038 105987039 chr14:105987039:A:G chr14:105987039:A:G A G . EBF1_EBF_1 7 1 - 7.8944955745069585 6.076990828224334 AATCCCCTGAGAGC chr14 105999330 105999331 chr14:105999331:A:G rs11160904 A G . EBF1_EBF_1 18 0 + 0 0 . chr14 106007221 106007222 chr14:106007222:T:C rs76097028 T C . EBF1_EBF_1 -5 0 - 0 0 . chr14 106013497 106013498 chr14:106013498:T:C rs4774049 T C . EBF1_EBF_1 27 0 - 0 0 . chr14 106013508 106013509 chr14:106013509:A:C rs71423888 A C . EBF1_EBF_1 16 0 - 0 0 . chr14 106055386 106055387 chr14:106055387:C:G rs72703006 C G . EBF1_EBF_1 -12 0 - 0 0 . chr14 106058195 106058196 chr14:106058196:G:C rs12432278 G C . EBF1_EBF_1 -1 0 - 0 0 . chr14 106059535 106059536 chr14:106059536:G:A rs58808970 G A . EBF1_EBF_1 29 0 + 0 0 . chr14 106062115 106062116 chr14:106062116:T:C rs74092842 T C . EBF1_EBF_1 30 0 + 0 0 . chr14 106094854 106094855 chr14:106094855:A:C rs1360794106 A C . EBF1_EBF_1 -19 0 + 0 0 . chr14 106094874 106094875 chr14:106094875:A:C rs1464904405 A C . EBF1_EBF_1 1 1 + 5.416435079752327 5.487362257104412 AACCCCTGGGGTCC chr14 106094877 106094878 chr14:106094878:C:T rs1424078766 C T . EBF1_EBF_1 4 1 + 5.416435079752327 1.0470481348074028 AACCCCTGGGGTCC chr14 106094882 106094883 chr14:106094883:G:T rs1172298686 G T . EBF1_EBF_1 9 1 + 5.416435079752327 -1.4205543283364837 AACCCCTGGGGTCC chr14 106129364 106129365 chr14:106129365:G:T rs140010164 G T . EBF1_EBF_1 8 1 + 8.791204584455771 1.8997717954785762 GTTCCCTAGAGAAA chr14 106141744 106141745 chr14:106141745:T:G rs28512216 T G . EBF1_EBF_1 18 0 + 0 0 . chr14 106147130 106147131 chr14:106147131:A:G rs72481591 A G . EBF1_EBF_1 18 0 - 0 0 . chr14 106212615 106212616 chr14:106212616:C:T rs10135574 C T . EBF1_EBF_1 19 0 + 0 0 . chr14 106276272 106276273 chr14:106276273:T:C rs11626421 T C . EBF1_EBF_1 -10 0 + 0 0 . chr14 106276298 106276299 chr14:106276299:T:C rs112954437 T C . EBF1_EBF_1 16 0 + 0 0 . chr14 106289176 106289177 chr14:106289177:C:A rs9788468 C A . EBF1_EBF_1 -16 0 + 0 0 . chr14 106324765 106324766 chr14:106324766:A:G rs12884554 A G G EBF1_EBF_1 17 0 - 0 0 . chr14 106344199 106344200 chr14:106344200:C:T rs61995617 C T c EBF1_EBF_1 9 1 - 4.304852727225872 1.6499134617782685 GTTCCCCTCGGAGC chr14 106373821 106373822 chr14:106373822:C:G rs587742910 C G c EBF1_EBF_1 16 0 - 0 0 . chr14 106373829 106373830 chr14:106373830:C:T chr14:106373830:C:T C T c EBF1_EBF_1 8 1 - 9.328330821265332 5.102040732379073 AGCCCCCAGGGAAG chr14 106373834 106373835 chr14:106373835:G:T rs1242763154 G T g EBF1_EBF_1 3 1 - 9.328330821265332 2.422156791799527 AGCCCCCAGGGAAG chr14 106373840 106373841 chr14:106373841:C:T rs587698330 C T c EBF1_EBF_1 -3 0 - 0 0 . chr14 106419508 106419509 chr14:106419509:A:T rs74093459 A T N EBF1_EBF_1 1 1 - 7.179195744069909 6.212835126319078 ATTGCCCAGGGACA chr14 106419526 106419527 chr14:106419527:C:A rs75702958 C A N EBF1_EBF_1 -17 0 - 0 0 . chr14 106508409 106508410 chr14:106508410:T:C rs1445626793 T C t EBF1_EBF_1 -17 0 - 0 0 . chr14 106516135 106516136 chr14:106516136:A:G rs138866071 A G a EBF1_EBF_1 -12 0 + 0 0 . chr14 106516278 106516279 chr14:106516279:C:T rs2157623 C T t EBF1_EBF_1 -8 0 + 0 0 . chr14 106537633 106537634 chr14:106537634:C:T rs7148047 C T N EBF1_EBF_1 7 1 - 10.125372211637577 11.437830616926403 TTTCCCCGGGGAAC chr14 106540248 106540249 chr14:106540249:T:C rs34793466 T C N EBF1_EBF_1 11 1 + 6.208571489890456 5.890524048136792 GGTCCCCTGGGTCC chr14 106564227 106564228 chr14:106564228:G:A rs773445902 G A g EBF1_EBF_1 -18 0 + 0 0 . chr14 106578638 106578639 chr14:106578639:G:A rs2073672 G A g EBF1_EBF_1 26 0 - 0 0 . chr14 106586195 106586196 chr14:106586196:C:T rs76196641 C T c EBF1_EBF_1 4 1 + 4.2933385925870935 -0.07604835235782918 GCTCCCTGCGGAAC chr14 106592520 106592521 chr14:106592521:G:A rs2956475 G A a EBF1_EBF_1 -16 0 - 0 0 . chr14 106601855 106601856 chr14:106601856:G:A rs2009697 G A - EBF1_EBF_1 26 0 + 0 0 . chr14 106623271 106623272 chr14:106623272:C:T rs2516903 C T N EBF1_EBF_1 -20 0 + 0 0 . chr14 106652734 106652735 chr14:106652735:T:G rs1974469 T G g EBF1_EBF_1 19 0 + 0 0 . chr14 106661873 106661874 chr14:106661874:G:A rs147653204 G A g EBF1_EBF_1 -1 0 - 0 0 . chr14 106663885 106663886 chr14:106663886:A:G rs60286534 A G a EBF1_EBF_1 12 1 + 5.300010268057688 3.8811929259582105 CCTCCTAAGGGAAC chr14 106663888 106663889 chr14:106663889:G:C rs57640662 G C c EBF1_EBF_1 15 0 + 0 0 . chr14 106727798 106727799 chr14:106727799:G:T rs1460982128 G T . EBF1_EBF_1 8 1 + 8.175435810405775 1.2840030214285796 GTTCCCCTGAGATT chr14 106728002 106728003 chr14:106728003:C:T rs1169118183 C T . EBF1_EBF_1 22 0 + 0 0 . chr14 106737559 106737560 chr14:106737560:C:T rs1247238951 C T . EBF1_EBF_1 12 1 - 6.742645235073668 8.161462577173145 AGCCCCCAGAGAGC chr14 106737560 106737561 chr14:106737561:T:G rs1469821479 T G . EBF1_EBF_1 11 1 - 6.742645235073668 2.3266835372212777 AGCCCCCAGAGAGC chr14 106762572 106762573 chr14:106762573:G:C rs1398295388 G C . EBF1_EBF_1 29 0 + 0 0 . chr14 106774766 106774767 chr14:106774767:C:T rs6576221 C T . EBF1_EBF_1 -18 0 - 0 0 . chr14 106813565 106813566 chr14:106813566:A:G rs7142108 A G . EBF1_EBF_1 21 0 + 0 0 . chr14 106830625 106830626 chr14:106830626:A:G rs74089869 A G . EBF1_EBF_1 -6 0 + 0 0 . chr14 106840819 106840820 chr14:106840820:G:A rs4773950 G A . EBF1_EBF_1 -18 0 + 0 0 . chr14 106840863 106840864 chr14:106840864:C:T rs11849233 C T . EBF1_EBF_1 26 0 + 0 0 . chr14 106865512 106865513 chr14:106865513:C:A chr14:106865513:C:A C A . EBF1_EBF_1 -18 0 + 0 0 . chr15 20010803 20010804 chr15:20010804:T:G rs7183151 T G t EBF1_EBF_1 19 0 + 0 0 . chr15 20012028 20012029 chr15:20012029:G:A rs141105163 G A g EBF1_EBF_1 29 0 - 0 0 . chr15 20074411 20074412 chr15:20074412:C:T rs71466607 C T c EBF1_EBF_1 -2 0 - 0 0 . chr15 20124562 20124563 chr15:20124563:G:A rs62007556 G A g EBF1_EBF_1 -4 0 - 0 0 . chr15 20145163 20145164 chr15:20145164:T:C rs200784256 T C t EBF1_EBF_1 32 0 - 0 0 . chr15 20145206 20145207 chr15:20145207:G:A rs79905563 G A g EBF1_EBF_1 -11 0 - 0 0 . chr15 20168355 20168356 chr15:20168356:T:C rs59461142 T C . EBF1_EBF_1 -17 0 - 0 0 . chr15 20203466 20203467 chr15:20203467:A:C rs6599853 A C - EBF1_EBF_1 16 0 + 0 0 . chr15 20231356 20231357 chr15:20231357:T:C rs74965235 T C . EBF1_EBF_1 6 1 + 5.966442291927236 5.665156163065478 CTCCCCTAGGGCCT chr15 20243516 20243517 chr15:20243517:G:A rs55916100 G A . EBF1_EBF_1 -14 0 - 0 0 . chr15 20245146 20245147 chr15:20245147:A:T rs768422098 A T . EBF1_EBF_1 20 0 - 0 0 . chr15 20251870 20251871 chr15:20251871:C:T rs71466662 C T . EBF1_EBF_1 -5 0 - 0 0 . chr15 20251871 20251872 chr15:20251872:G:A rs750586571 G A . EBF1_EBF_1 -6 0 - 0 0 . chr15 20251874 20251875 chr15:20251875:A:C rs60585083 A C . EBF1_EBF_1 -9 0 - 0 0 . chr15 20301080 20301081 chr15:20301081:C:T rs71466681 C T N EBF1_EBF_1 17 0 + 0 0 . chr15 20334879 20334880 chr15:20334880:T:C rs200460178 T C t EBF1_EBF_1 20 0 + 0 0 . chr15 20344210 20344211 chr15:20344211:C:T rs1257478039 C T c EBF1_EBF_1 -4 0 - 0 0 . chr15 20344224 20344225 chr15:20344225:G:T rs369908771 G T g EBF1_EBF_1 -18 0 - 0 0 . chr15 20345109 20345110 chr15:20345110:C:T rs374018655 C T c EBF1_EBF_1 19 0 - 0 0 . chr15 20345141 20345142 chr15:20345142:C:T rs2088766 C T c EBF1_EBF_1 -13 0 - 0 0 . chr15 20345559 20345560 chr15:20345560:G:A rs4315300 G A g EBF1_EBF_1 0 1 - 7.220704277404735 7.502906338135313 CGTCCCCAGAGAGT chr15 20345572 20345573 chr15:20345573:G:C rs904832 G C N EBF1_EBF_1 -13 0 - 0 0 . chr15 21015272 21015273 chr15:21015273:G:T chr15:21015273:G:T G T g EBF1_EBF_1 -4 0 - 0 0 . chr15 21017449 21017450 chr15:21017450:T:G rs78406372 T G t EBF1_EBF_1 19 0 + 0 0 . chr15 21151746 21151747 chr15:21151747:G:A rs77668442 G A g EBF1_EBF_1 -11 0 - 0 0 . chr15 21174838 21174839 chr15:21174839:C:T rs11857965 C T . EBF1_EBF_1 22 0 - 0 0 . chr15 21174841 21174842 chr15:21174842:C:T rs11857966 C T . EBF1_EBF_1 19 0 - 0 0 . chr15 21269983 21269984 chr15:21269984:C:T rs61999976 C T C EBF1_EBF_1 12 1 + 6.9315130419085 5.90524647135765 AATCCCTGGGGTCA chr15 21270771 21270772 chr15:21270772:C:T rs1850806 C T C EBF1_EBF_1 5 1 + 5.266363895797602 -0.05542331974306847 ACCCTCGAGGGACT chr15 22223583 22223584 chr15:22223584:C:T rs148996339 C T c EBF1_EBF_1 1 1 - 5.692891356625075 5.432192524703772 CGTCCCCAGGTAGT chr15 22238704 22238705 chr15:22238705:G:T rs371359803 G T g EBF1_EBF_1 5 1 - 4.724642188712687 -2.180238963922481 AACCCCTGGGGCCA chr15 22251053 22251054 chr15:22251054:T:C rs72692023 T C C EBF1_EBF_1 -18 0 - 0 0 . chr15 22252943 22252944 chr15:22252944:A:C rs1874591 A C A EBF1_EBF_1 -20 0 + 0 0 . chr15 22252949 22252950 chr15:22252950:G:A rs150010988 G A G EBF1_EBF_1 -14 0 + 0 0 . chr15 22257148 22257149 chr15:22257149:C:T rs12595341 C T T EBF1_EBF_1 19 0 + 0 0 . chr15 22286868 22286869 chr15:22286869:A:C rs2055219 A C T EBF1_EBF_1 16 0 + 0 0 . chr15 22408434 22408435 chr15:22408435:G:A rs1334492269 G A g EBF1_EBF_1 -5 0 + 0 0 . chr15 22494603 22494604 chr15:22494604:G:A rs533119733 G A . EBF1_EBF_1 22 0 - 0 0 . chr15 22664441 22664442 chr15:22664442:G:A rs8026378 G A g EBF1_EBF_1 20 0 + 0 0 . chr15 22840771 22840772 chr15:22840772:A:G rs58729126 A G A EBF1_EBF_1 15 0 - 0 0 . chr15 22880678 22880679 chr15:22880679:T:G rs67278742 T G G EBF1_EBF_1 16 0 - 0 0 . chr15 22896081 22896082 chr15:22896082:G:C rs8038087 G C G EBF1_EBF_1 15 0 - 0 0 . chr15 22938142 22938143 chr15:22938143:G:A rs17800027 G A G EBF1_EBF_1 -15 0 + 0 0 . chr15 22947983 22947984 chr15:22947984:G:C rs7171022 G C G EBF1_EBF_1 -5 0 - 0 0 . chr15 22947987 22947988 chr15:22947988:A:G rs7162998 A G A EBF1_EBF_1 -9 0 - 0 0 . chr15 22949239 22949240 chr15:22949240:A:G rs181600668 A G a EBF1_EBF_1 18 0 + 0 0 . chr15 22949244 22949245 chr15:22949245:G:A rs756234530 G A g EBF1_EBF_1 23 0 + 0 0 . chr15 22956570 22956571 chr15:22956571:T:C rs115753104 T C T EBF1_EBF_1 -8 0 - 0 0 . chr15 22960126 22960127 chr15:22960127:C:G rs75634679 C G C EBF1_EBF_1 24 0 - 0 0 . chr15 23014746 23014747 chr15:23014747:T:C rs12899479 T C C EBF1_EBF_1 -16 0 + 0 0 . chr15 23050150 23050151 chr15:23050151:C:G rs202062146 C G - EBF1_EBF_1 23 0 - 0 0 . chr15 23535889 23535890 chr15:23535890:A:G rs28706646 A G A EBF1_EBF_1 16 0 - 0 0 . chr15 23565695 23565696 chr15:23565696:C:T rs184950120 C T C EBF1_EBF_1 18 0 + 0 0 . chr15 23646663 23646664 chr15:23646664:G:A rs111759069 G A G EBF1_EBF_1 28 0 - 0 0 . chr15 23797849 23797850 chr15:23797850:G:T rs73434831 G T G EBF1_EBF_1 -10 0 + 0 0 . chr15 23857285 23857286 chr15:23857286:C:G rs17117610 C G C EBF1_EBF_1 6 1 + 4.721670391839345 2.916478328366147 AATGCCCTGGGAGC chr15 23964705 23964706 chr15:23964706:C:T rs62037935 C T C EBF1_EBF_1 -5 0 + 0 0 . chr15 24055426 24055427 chr15:24055427:G:A rs12907766 G A A EBF1_EBF_1 26 0 + 0 0 . chr15 24101292 24101293 chr15:24101293:G:A rs138829482 G A G EBF1_EBF_1 -7 0 - 0 0 . chr15 24129027 24129028 chr15:24129028:G:T rs56279393 G T - EBF1_EBF_1 -16 0 + 0 0 . chr15 24329204 24329205 chr15:24329205:C:T rs34686162 C T c EBF1_EBF_1 -3 0 - 0 0 . chr15 24477261 24477262 chr15:24477262:G:C rs866817724 G C - EBF1_EBF_1 26 0 - 0 0 . chr15 24477297 24477298 chr15:24477298:G:T rs114700697 G T - EBF1_EBF_1 -10 0 - 0 0 . chr15 24518831 24518832 chr15:24518832:T:A chr15:24518832:T:A T A t EBF1_EBF_1 -10 0 - 0 0 . chr15 24607638 24607639 chr15:24607639:G:A rs6576359 G A G EBF1_EBF_1 13 1 - 10.873818145907608 11.718312260493127 TCTCCCAAGGGACC chr15 24621759 24621760 chr15:24621760:C:T rs72691518 C T C EBF1_EBF_1 1 1 + 4.521760190101692 5.417193630500438 GCCCCCATGGGTAC chr15 24752640 24752641 chr15:24752641:G:A rs182697815 G A G EBF1_EBF_1 -12 0 - 0 0 . chr15 24802366 24802367 chr15:24802367:G:A rs12914188 G A G EBF1_EBF_1 10 1 + 11.708935347366833 6.3909068880998685 TTTCCCTAGGGACC chr15 24802376 24802377 chr15:24802377:A:C rs78817707 A C C EBF1_EBF_1 20 0 + 0 0 . chr15 24829957 24829958 chr15:24829958:G:A rs11855991 G A G EBF1_EBF_1 -14 0 - 0 0 . chr15 25055745 25055746 chr15:25055746:A:C rs78208990 A C C EBF1_EBF_1 2 1 - 6.89915706888824 0.15083137868777052 CTTCCCCAAGGACC chr15 25058391 25058392 chr15:25058392:G:T rs74003540 G T G EBF1_EBF_1 27 0 - 0 0 . chr15 25060675 25060676 chr15:25060676:G:A rs112618686 G A G EBF1_EBF_1 -7 0 - 0 0 . chr15 25062442 25062443 chr15:25062443:G:T rs113295488 G T G EBF1_EBF_1 6 1 + 5.0860219686323145 7.192500160967269 CCTCCCGTGGGGAC chr15 25062453 25062454 chr15:25062454:A:G rs112765442 A G A EBF1_EBF_1 17 0 + 0 0 . chr15 25065625 25065626 chr15:25065626:G:A rs76676033 G A G EBF1_EBF_1 17 0 - 0 0 . chr15 25083147 25083148 chr15:25083148:A:C rs17115198 A C A EBF1_EBF_1 -3 0 + 0 0 . chr15 25087401 25087402 chr15:25087402:C:T rs74003550 C T C EBF1_EBF_1 -13 0 - 0 0 . chr15 25136199 25136200 chr15:25136200:C:A rs7342623 C A A EBF1_EBF_1 8 1 - 5.980515244225621 -0.910917544751574 TTTCCCTGGGGCCC chr15 25171184 25171185 chr15:25171185:C:A rs150382208 C A C EBF1_EBF_1 0 1 - 6.324420320618367 6.243647767217179 GCTCCCTAAGGAAA chr15 25179207 25179208 chr15:25179208:G:A rs17114358 G A G EBF1_EBF_1 20 0 + 0 0 . chr15 25179215 25179216 chr15:25179216:C:T rs113273606 C T C EBF1_EBF_1 28 0 + 0 0 . chr15 25179433 25179434 chr15:25179434:G:A rs75261932 G A G EBF1_EBF_1 -3 0 - 0 0 . chr15 25183077 25183078 chr15:25183078:G:A rs2714797 G A G EBF1_EBF_1 32 0 - 0 0 . chr15 25184740 25184741 chr15:25184741:T:C rs1883010 T C T EBF1_EBF_1 -16 0 + 0 0 . chr15 25184741 25184742 chr15:25184742:A:T rs1883011 A T A EBF1_EBF_1 -15 0 + 0 0 . chr15 25185981 25185982 chr15:25185982:C:A rs112940749 C A c EBF1_EBF_1 10 1 - 8.570782142628708 4.388029956401447 AATCCCAGGGGGCC chr15 25186066 25186067 chr15:25186067:C:A chr15:25186067:C:A C A c EBF1_EBF_1 15 0 + 0 0 . chr15 25242601 25242602 chr15:25242602:C:T rs184928995 C T C EBF1_EBF_1 21 0 - 0 0 . chr15 25244860 25244861 chr15:25244861:C:T rs2739801 C T T EBF1_EBF_1 -7 0 - 0 0 . chr15 25249662 25249663 chr15:25249663:A:G rs13379708 A G G EBF1_EBF_1 16 0 - 0 0 . chr15 25249675 25249676 chr15:25249676:G:C rs60345082 G C G EBF1_EBF_1 3 1 - 5.651103019196943 -0.1766614289182753 CCTCCCCGGGGGCA chr15 25473727 25473728 chr15:25473728:C:T rs7496451 C T C EBF1_EBF_1 28 0 + 0 0 . chr15 25543619 25543620 chr15:25543620:T:C rs183748027 T C T EBF1_EBF_1 7 1 + 7.450424837629312 5.632920091346689 CACCCCCTGGGAAA chr15 25589870 25589871 chr15:25589871:A:T rs2925288 A T a EBF1_EBF_1 -15 0 + 0 0 . chr15 25684442 25684443 chr15:25684443:A:G rs2605454 A G G EBF1_EBF_1 -20 0 + 0 0 . chr15 25701868 25701869 chr15:25701869:T:A rs79497018 T A T EBF1_EBF_1 -5 0 + 0 0 . chr15 25701885 25701886 chr15:25701886:A:G rs144450895 A G A EBF1_EBF_1 12 1 + 5.861517468159883 4.442700126060406 CACCCCAGGGGAAG chr15 25716910 25716911 chr15:25716911:G:A rs2066703 G A G EBF1_EBF_1 21 0 - 0 0 . chr15 25717854 25717855 chr15:25717855:T:C rs74003874 T C T EBF1_EBF_1 -3 0 - 0 0 . chr15 25717871 25717872 chr15:25717872:C:T rs72703706 C T C EBF1_EBF_1 -20 0 - 0 0 . chr15 25721372 25721373 chr15:25721373:C:T rs4906624 C T C EBF1_EBF_1 -11 0 - 0 0 . chr15 25731612 25731613 chr15:25731613:A:C rs6576449 A C C EBF1_EBF_1 22 0 + 0 0 . chr15 25737550 25737551 chr15:25737551:G:A rs11161212 G A G EBF1_EBF_1 -5 0 - 0 0 . chr15 25765125 25765126 chr15:25765126:C:A rs11161215 C A C EBF1_EBF_1 -6 0 - 0 0 . chr15 25772779 25772780 chr15:25772780:G:A rs74732365 G A G EBF1_EBF_1 13 1 + 5.379703943622471 6.49402951569191 GAACCCCAGGGAAG chr15 25777402 25777403 chr15:25777403:T:C rs74003888 T C T EBF1_EBF_1 -17 0 + 0 0 . chr15 25777417 25777418 chr15:25777418:C:T rs74003889 C T C EBF1_EBF_1 -2 0 + 0 0 . chr15 25785185 25785186 chr15:25785186:A:G rs8025388 A G A EBF1_EBF_1 -18 0 + 0 0 . chr15 25789502 25789503 chr15:25789503:A:T rs59602601 A T A EBF1_EBF_1 12 1 - 5.825239051392052 6.881687020364228 ATTCCCAAGGCATC chr15 25803402 25803403 chr15:25803403:G:A rs74330948 G A G EBF1_EBF_1 3 1 - 5.583919257135121 -0.8214794844181963 GCACCCAGGGGACA chr15 25850520 25850521 chr15:25850521:C:T rs569475799 C T C EBF1_EBF_1 12 1 + 4.896925493442399 3.870658922891549 ACACCCTGGGGGCC chr15 25865065 25865066 chr15:25865066:C:T rs73356452 C T C EBF1_EBF_1 -10 0 + 0 0 . chr15 25931707 25931708 chr15:25931708:A:T rs10873617 A T A EBF1_EBF_1 11 1 + 8.993876283024356 4.895962026925631 ACTCCCGGGGGACA chr15 25978446 25978447 chr15:25978447:A:G rs6576496 A G G EBF1_EBF_1 33 0 - 0 0 . chr15 25978449 25978450 chr15:25978450:A:G rs6576497 A G G EBF1_EBF_1 30 0 - 0 0 . chr15 25982880 25982881 chr15:25982881:A:G rs4473157 A G G EBF1_EBF_1 -9 0 + 0 0 . chr15 26028542 26028543 chr15:26028543:G:A rs28629452 G A G EBF1_EBF_1 -12 0 + 0 0 . chr15 26045150 26045151 chr15:26045151:T:C rs12592774 T C T EBF1_EBF_1 -2 0 + 0 0 . chr15 26087959 26087960 chr15:26087960:A:G rs12907286 A G A EBF1_EBF_1 24 0 + 0 0 . chr15 26113338 26113339 chr15:26113339:T:C rs7172745 T C T EBF1_EBF_1 -2 0 + 0 0 . chr15 26372904 26372905 chr15:26372905:C:T rs35308107 C T C EBF1_EBF_1 15 0 + 0 0 . chr15 26395062 26395063 chr15:26395063:G:C rs79157266 G C G EBF1_EBF_1 -20 0 + 0 0 . chr15 26395071 26395072 chr15:26395072:G:T rs7178173 G T T EBF1_EBF_1 -11 0 + 0 0 . chr15 26395151 26395152 chr15:26395152:C:T rs7179500 C T C EBF1_EBF_1 5 1 + 6.390424674581098 1.068637459040427 AATCCCCTGAGGCC chr15 26395165 26395166 chr15:26395166:A:G rs7180309 A G G EBF1_EBF_1 19 0 + 0 0 . chr15 26470293 26470294 chr15:26470294:C:T rs370791040 C T C EBF1_EBF_1 17 0 + 0 0 . chr15 26517857 26517858 chr15:26517858:G:A rs8042204 G A g EBF1_EBF_1 -17 0 + 0 0 . chr15 26572818 26572819 chr15:26572819:C:A rs112195585 C A C EBF1_EBF_1 26 0 - 0 0 . chr15 26691684 26691685 chr15:26691685:G:T rs139357596 G T G EBF1_EBF_1 2 1 - 9.067631989344033 7.271987813187306 AACCCCCAGGGAAG chr15 26773649 26773650 chr15:26773650:G:A rs20318 G A G EBF1_EBF_1 -15 0 + 0 0 . chr15 26807920 26807921 chr15:26807921:G:A rs12441474 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 26870580 26870581 chr15:26870581:T:G rs72712050 T G T EBF1_EBF_1 7 1 + 12.862153479380648 12.025969314179381 ATTCCCTTGGGAAA chr15 26876019 26876020 chr15:26876020:G:A rs72712061 G A G EBF1_EBF_1 -15 0 - 0 0 . chr15 26892780 26892781 chr15:26892781:A:C rs149789478 A C A EBF1_EBF_1 21 0 - 0 0 . chr15 26909535 26909536 chr15:26909536:G:C rs7497010 G C G EBF1_EBF_1 -12 0 - 0 0 . chr15 26911684 26911685 chr15:26911685:T:C rs61999575 T C T EBF1_EBF_1 -19 0 - 0 0 . chr15 26912920 26912921 chr15:26912921:G:A rs61999577 G A G EBF1_EBF_1 25 0 - 0 0 . chr15 26935767 26935768 chr15:26935768:C:T rs11263708 C T T EBF1_EBF_1 26 0 + 0 0 . chr15 26970950 26970951 chr15:26970951:C:T rs7165966 C T C EBF1_EBF_1 -12 0 + 0 0 . chr15 27035820 27035821 chr15:27035821:G:A rs7171954 G A G EBF1_EBF_1 30 0 + 0 0 . chr15 27062105 27062106 chr15:27062106:C:T rs74006571 C T C EBF1_EBF_1 15 0 - 0 0 . chr15 27081944 27081945 chr15:27081945:A:G rs4887556 A G A EBF1_EBF_1 20 0 - 0 0 . chr15 27180101 27180102 chr15:27180102:G:A rs208128 G A A EBF1_EBF_1 23 0 + 0 0 . chr15 27211907 27211908 chr15:27211908:T:G rs7402767 T G G EBF1_EBF_1 2 1 + 6.6843089836238585 -0.0640167065766089 CATCCCCTGAGAAA chr15 27222666 27222667 chr15:27222667:G:A rs6606889 G A a EBF1_EBF_1 30 0 + 0 0 . chr15 27260187 27260188 chr15:27260188:T:G rs1869598 T G G EBF1_EBF_1 -17 0 + 0 0 . chr15 27342616 27342617 chr15:27342617:A:G rs36147593 A G A EBF1_EBF_1 17 0 + 0 0 . chr15 27358971 27358972 chr15:27358972:G:C rs527457460 G C G EBF1_EBF_1 0 1 - 9.886449666511105 10.249424280642872 CCTCCCCAGGGAAA chr15 27418298 27418299 chr15:27418299:T:A rs12591060 T A T EBF1_EBF_1 9 1 - 9.593137882570616 5.411087739929411 ATTCCCAGGAGATT chr15 27491435 27491436 chr15:27491436:A:T rs8035979 A T A EBF1_EBF_1 24 0 - 0 0 . chr15 27622523 27622524 chr15:27622524:A:G rs3097440 A G G EBF1_EBF_1 -11 0 - 0 0 . chr15 27623707 27623708 chr15:27623708:T:C rs16950242 T C T EBF1_EBF_1 -15 0 - 0 0 . chr15 27724613 27724614 chr15:27724614:A:G rs1391624 A G G EBF1_EBF_1 -20 0 - 0 0 . chr15 27742931 27742932 chr15:27742932:C:T rs4068854 C T C EBF1_EBF_1 27 0 + 0 0 . chr15 27768894 27768895 chr15:27768895:G:A rs149880910 G A g EBF1_EBF_1 6 1 + 5.434209821908735 7.60100425318321 CCTCCCGTGGGATG chr15 27775748 27775749 chr15:27775749:T:C rs11636948 T C C EBF1_EBF_1 17 0 + 0 0 . chr15 27804761 27804762 chr15:27804762:A:G rs28475465 A G A EBF1_EBF_1 -19 0 + 0 0 . chr15 27808815 27808816 chr15:27808816:A:G rs924317 A G G EBF1_EBF_1 2 1 - 5.75084404714684 3.8620206357046896 ATTCCATAGGGATC chr15 27808819 27808820 chr15:27808820:G:A rs924316 G A A EBF1_EBF_1 -13 0 - 0 0 . chr15 27812943 27812944 chr15:27812944:T:C rs1874839 T C C EBF1_EBF_1 -20 0 + 0 0 . chr15 27825811 27825812 chr15:27825812:T:C rs12913028 T C T EBF1_EBF_1 -8 0 + 0 0 . chr15 27843631 27843632 chr15:27843632:T:C rs12101688 T C T EBF1_EBF_1 -4 0 + 0 0 . chr15 27853755 27853756 chr15:27853756:C:A rs79679247 C A C EBF1_EBF_1 3 1 + 4.098340330102749 -2.807833699363059 AGACCCCTGGGCCC chr15 27935053 27935054 chr15:27935054:G:A rs2703954 G A G EBF1_EBF_1 9 1 + 4.897455666680875 2.2425164012332712 GCTCCTCAGGGACA chr15 28009133 28009134 chr15:28009134:T:C rs145423893 T C T EBF1_EBF_1 0 1 - 9.550287435465451 7.658003565900618 ACTCCCTAGGGGAA chr15 28096201 28096202 chr15:28096202:G:A rs1045588316 G A G EBF1_EBF_1 5 1 - 5.78447930649982 0.4626920909591499 TGCCCCCAGAGACA chr15 28096303 28096304 chr15:28096304:G:A rs1019039027 G A G EBF1_EBF_1 18 0 - 0 0 . chr15 28096307 28096308 chr15:28096308:G:A chr15:28096308:G:A G A G EBF1_EBF_1 14 0 - 0 0 . chr15 28097731 28097732 chr15:28097732:T:C rs28367010 T C T EBF1_EBF_1 1 1 + 6.04083148316514 5.145398042766395 TTTCCCAGGGGCCC chr15 28115512 28115513 chr15:28115513:G:A rs139713317 G A G EBF1_EBF_1 -4 0 - 0 0 . chr15 28185405 28185406 chr15:28185406:G:C rs73362615 G C G EBF1_EBF_1 8 1 + 5.65814339786525 0.33948453695056535 AGCGCCTTGGGAAT chr15 28294687 28294688 chr15:28294688:C:T rs1635166 C T . EBF1_EBF_1 23 0 + 0 0 . chr15 28355110 28355111 chr15:28355111:T:C rs368941710 T C . EBF1_EBF_1 7 1 + 6.430874257490597 4.613369511207973 CCACCCATGGGACC chr15 28456746 28456747 chr15:28456747:C:T rs373147318 C T c EBF1_EBF_1 -16 0 + 0 0 . chr15 28456763 28456764 chr15:28456764:G:T rs370104997 G T g EBF1_EBF_1 1 1 + 4.004139500473975 4.709801286303503 GGTGCCAGGGGACC chr15 28456867 28456868 chr15:28456868:G:C rs187411503 G C g EBF1_EBF_1 32 0 + 0 0 . chr15 28666885 28666886 chr15:28666886:T:C rs2525929 T C . EBF1_EBF_1 12 1 - 6.102315064076562 4.683497721977084 AGCCCCAAGCGAAC chr15 28848205 28848206 chr15:28848206:G:C rs116554349 G C . EBF1_EBF_1 -9 0 - 0 0 . chr15 28848802 28848803 chr15:28848803:T:G rs58894774 T G . EBF1_EBF_1 2 1 + 5.641807500408027 -1.1065181897924425 CCTCCCATGGAACT chr15 28873637 28873638 chr15:28873638:G:A rs36194177 G A . EBF1_EBF_1 -18 0 + 0 0 . chr15 28882836 28882837 chr15:28882837:C:T chr15:28882837:C:T C T c EBF1_EBF_1 -20 0 + 0 0 . chr15 28885258 28885259 chr15:28885259:A:G rs142929381 A G A EBF1_EBF_1 -3 0 - 0 0 . chr15 28885306 28885307 chr15:28885307:G:T rs147425394 G T G EBF1_EBF_1 26 0 + 0 0 . chr15 28885723 28885724 chr15:28885724:C:T rs376355383 C T C EBF1_EBF_1 -14 0 + 0 0 . chr15 28885744 28885745 chr15:28885745:A:G rs148625314 A G A EBF1_EBF_1 7 1 + 6.1770266336551325 4.864568228366307 GCTCCCCAGGGCCC chr15 28890684 28890685 chr15:28890685:C:T rs145366555 C T C EBF1_EBF_1 12 1 + 6.987211049440812 5.960944478889962 AATCCCTGGGGCCC chr15 28922318 28922319 chr15:28922319:A:G rs7178826 A G a EBF1_EBF_1 -17 0 + 0 0 . chr15 28923881 28923882 chr15:28923882:C:T rs73366710 C T C EBF1_EBF_1 7 1 + 4.362173204676697 6.17967795095932 GCCCCCCCGGGGCT chr15 28928776 28928777 chr15:28928777:A:C rs12161975 A C A EBF1_EBF_1 -3 0 - 0 0 . chr15 28942939 28942940 chr15:28942940:G:C rs77842683 G C G EBF1_EBF_1 13 1 - 5.240158198819769 3.752087177464354 CCCCCCCTGAGAAC chr15 28949372 28949373 chr15:28949373:A:G rs4300616 A G G EBF1_EBF_1 -13 0 - 0 0 . chr15 28961646 28961647 chr15:28961647:G:A rs150698064 G A G EBF1_EBF_1 -7 0 - 0 0 . chr15 28961648 28961649 chr15:28961649:G:A rs77741641 G A g EBF1_EBF_1 -9 0 - 0 0 . chr15 28989767 28989768 chr15:28989768:T:C rs12441418 T C C EBF1_EBF_1 -5 0 + 0 0 . chr15 28993176 28993177 chr15:28993177:C:T rs57383781 C T T EBF1_EBF_1 -15 0 + 0 0 . chr15 28993202 28993203 chr15:28993203:A:C rs74801973 A C C EBF1_EBF_1 11 1 + 9.657658135818918 5.241696437966529 TGCCCCCAGGGACT chr15 28993222 28993223 chr15:28993223:T:C rs114551347 T C T EBF1_EBF_1 31 0 + 0 0 . chr15 28994824 28994825 chr15:28994825:C:T rs4995090 C T C EBF1_EBF_1 28 0 + 0 0 . chr15 29045011 29045012 chr15:29045012:G:C rs67807770 G C G EBF1_EBF_1 5 1 - 4.94700105891694 -1.957880093718227 AGACCCCAGAGAGC chr15 29047663 29047664 chr15:29047664:T:G rs964568491 T G T EBF1_EBF_1 2 1 + 5.705595362597597 -1.0427303276028712 ACTCCTCAGGGAAG chr15 29051588 29051589 chr15:29051589:A:C rs8038568 A C A EBF1_EBF_1 28 0 - 0 0 . chr15 29090553 29090554 chr15:29090554:G:A rs12910076 G A G EBF1_EBF_1 10 1 + 6.658546134108883 1.3405176748419172 CCTCCCCAGGGCCT chr15 29096820 29096821 chr15:29096821:C:T rs11070544 C T T EBF1_EBF_1 15 0 + 0 0 . chr15 29107987 29107988 chr15:29107988:T:C rs2279485 T C T EBF1_EBF_1 -20 0 + 0 0 . chr15 29110134 29110135 chr15:29110135:G:A rs3794511 G A G EBF1_EBF_1 11 1 - 4.802879743096298 5.120927184849963 CCTCCCTGGGGCCC chr15 29122680 29122681 chr15:29122681:C:T rs3812919 C T C EBF1_EBF_1 29 0 - 0 0 . chr15 29132798 29132799 chr15:29132799:C:T rs2672698 C T T EBF1_EBF_1 6 1 - 4.904293396448914 7.071087827723388 CTTCCCGAGGGCCC chr15 29136310 29136311 chr15:29136311:C:T rs111903126 C T C EBF1_EBF_1 -13 0 + 0 0 . chr15 29136352 29136353 chr15:29136353:C:G rs8023368 C G C EBF1_EBF_1 29 0 + 0 0 . chr15 29141856 29141857 chr15:29141857:G:A rs1350364344 G A G EBF1_EBF_1 -12 0 - 0 0 . chr15 29176590 29176591 chr15:29176591:T:C rs12708438 T C C EBF1_EBF_1 13 1 + 4.924050155839824 4.079556041254306 AGCCCCCAGGAAGT chr15 29278294 29278295 chr15:29278295:G:A rs78708933 G A G EBF1_EBF_1 -12 0 + 0 0 . chr15 29334244 29334245 chr15:29334245:G:C rs11072207 G C G EBF1_EBF_1 13 1 - 8.228282167785254 6.74021114642984 GATCCCCCGGGACC chr15 29334276 29334277 chr15:29334277:A:G rs7176361 A G A EBF1_EBF_1 -19 0 - 0 0 . chr15 29383019 29383020 chr15:29383020:A:G rs8039422 A G G EBF1_EBF_1 6 1 + 4.270558401079147 2.1037639698046724 CTTCCCAGGGGCCG chr15 29383606 29383607 chr15:29383607:C:A rs187007943 C A C EBF1_EBF_1 28 0 + 0 0 . chr15 29383607 29383608 chr15:29383608:A:G rs549858598 A G A EBF1_EBF_1 29 0 + 0 0 . chr15 29454722 29454723 chr15:29454723:T:C rs59806158 T C C EBF1_EBF_1 28 0 - 0 0 . chr15 29466467 29466468 chr15:29466468:C:T rs116129341 C T C EBF1_EBF_1 11 1 - 6.861032633684185 9.753739477288194 CCTCCCCTGGGGCC chr15 29466915 29466916 chr15:29466916:T:G rs1454714645 T G - EBF1_EBF_1 11 1 + 5.230416565166608 6.435623977661322 AGCCCCCAGGGTAG chr15 29466976 29466977 chr15:29466977:C:T rs55884528 C T - EBF1_EBF_1 4 1 + 6.435623977661322 2.066237032716399 AGCCCCCAGGGGAG chr15 29469021 29469022 chr15:29469022:T:C rs11073038 T C C EBF1_EBF_1 19 0 + 0 0 . chr15 29556482 29556483 chr15:29556483:G:A rs12908404 G A a EBF1_EBF_1 8 1 + 8.050534100719434 3.8242440118331746 ATTCCCAAGGAACA chr15 29570449 29570450 chr15:29570450:C:G rs111717681 C G G EBF1_EBF_1 -15 0 + 0 0 . chr15 29570491 29570492 chr15:29570492:C:A rs946739167 C A C EBF1_EBF_1 27 0 + 0 0 . chr15 29592702 29592703 chr15:29592703:T:G rs11852844 T G G EBF1_EBF_1 12 1 - 5.3237895467887215 5.293608148367396 CCTCCCGTGAGAAC chr15 29596473 29596474 chr15:29596474:A:G rs12898887 A G G EBF1_EBF_1 -1 0 - 0 0 . chr15 29688041 29688042 chr15:29688042:G:A rs118101525 G A G EBF1_EBF_1 11 1 - 6.486372838406631 6.804420280160294 ATACCCAAGGGCCT chr15 29821547 29821548 chr15:29821548:C:T rs1018656881 C T C EBF1_EBF_1 -5 0 + 0 0 . chr15 29821556 29821557 chr15:29821557:G:A rs547208924 G A G EBF1_EBF_1 4 1 + 4.469425712066709 4.270631189762786 TTTCGCCGGGGACC chr15 29870587 29870588 chr15:29870588:C:T rs79153641 C T C EBF1_EBF_1 -15 0 - 0 0 . chr15 29871710 29871711 chr15:29871711:C:T rs183965878 C T C EBF1_EBF_1 -14 0 + 0 0 . chr15 29905008 29905009 chr15:29905009:C:A rs1318894878 C A C EBF1_EBF_1 30 0 + 0 0 . chr15 30047554 30047555 chr15:30047555:A:G rs11634754 A G G EBF1_EBF_1 27 0 + 0 0 . chr15 30176479 30176480 chr15:30176480:T:A rs62017041 T A . EBF1_EBF_1 -11 0 + 0 0 . chr15 30194548 30194549 chr15:30194549:C:G rs905264 C G . EBF1_EBF_1 33 0 - 0 0 . chr15 30194596 30194597 chr15:30194597:C:T rs77329638 C T . EBF1_EBF_1 -15 0 - 0 0 . chr15 30407817 30407818 chr15:30407818:C:T rs575843367 C T . EBF1_EBF_1 -11 0 + 0 0 . chr15 30627133 30627134 chr15:30627134:G:T rs185666412 G T . EBF1_EBF_1 10 1 + 6.999586673653486 2.8168344874262212 TTTCCCCAAGGATT chr15 30736548 30736549 chr15:30736549:T:A rs12908189 T A A EBF1_EBF_1 11 1 - 6.039364515620091 1.9414502595213656 ACTCCCAAGATAAC chr15 30796239 30796240 chr15:30796240:T:C rs798139 T C . EBF1_EBF_1 -5 0 - 0 0 . chr15 30800779 30800780 chr15:30800780:A:G rs117759535 A G . EBF1_EBF_1 32 0 - 0 0 . chr15 30930822 30930823 chr15:30930823:C:G rs3794596 C G C EBF1_EBF_1 6 1 + 5.994518160828046 4.189326097354848 TGTCCCCTGCGACT chr15 30954186 30954187 chr15:30954187:C:G rs79062740 C G C EBF1_EBF_1 2 1 + 7.778734123398261 2.919231844639943 CTCCCCCAGGGAAG chr15 30962384 30962385 chr15:30962385:G:A rs35784593 G A G EBF1_EBF_1 9 1 + 5.836727321859877 3.181788056412274 ATCCCCAATGGAAT chr15 30991384 30991385 chr15:30991385:G:T rs146920979 G T G EBF1_EBF_1 0 1 - 4.47172019639724 6.726978680093841 CGACCCCCGGGAAC chr15 31040614 31040615 chr15:31040615:C:G rs2911850 C G C EBF1_EBF_1 4 1 + 8.61683081875672 2.991065717607175 AGACCCCAGGGACA chr15 31071488 31071489 chr15:31071489:C:T rs11633683 C T C EBF1_EBF_1 31 0 + 0 0 . chr15 31078242 31078243 chr15:31078243:T:C rs55821493 T C T EBF1_EBF_1 18 0 - 0 0 . chr15 31091700 31091701 chr15:31091701:T:C rs528564520 T C T EBF1_EBF_1 0 1 - 5.6392557162469386 3.746971846682105 AGCCCCAGAGGAAC chr15 31135661 31135662 chr15:31135662:G:A rs8031296 G A . EBF1_EBF_1 4 1 - 5.358292922058488 0.9889059771135638 ACTCCTGAGGGACC chr15 31147881 31147882 chr15:31147882:T:C rs12902517 T C . EBF1_EBF_1 -12 0 - 0 0 . chr15 31177950 31177951 chr15:31177951:T:A rs1223886 T A A EBF1_EBF_1 32 0 - 0 0 . chr15 31178574 31178575 chr15:31178575:A:G rs60548563 A G C EBF1_EBF_1 18 0 - 0 0 . chr15 31178575 31178576 chr15:31178576:A:T rs1647993 A T A EBF1_EBF_1 17 0 - 0 0 . chr15 31197679 31197680 chr15:31197680:A:G rs766726325 A G A EBF1_EBF_1 -16 0 + 0 0 . chr15 31215051 31215052 chr15:31215052:G:A rs2164565 G A G EBF1_EBF_1 6 1 - 5.493354655281988 5.794640784143746 TATCCCCTGGGTCA chr15 31235086 31235087 chr15:31235087:G:A rs11630314 G A G EBF1_EBF_1 -9 0 + 0 0 . chr15 31256494 31256495 chr15:31256495:C:T rs4779854 C T C EBF1_EBF_1 21 0 - 0 0 . chr15 31261538 31261539 chr15:31261539:T:G rs11854121 T G T EBF1_EBF_1 -18 0 + 0 0 . chr15 31267457 31267458 chr15:31267458:C:T rs57103659 C T C EBF1_EBF_1 -1 0 + 0 0 . chr15 31267480 31267481 chr15:31267481:C:T rs76134343 C T C EBF1_EBF_1 22 0 + 0 0 . chr15 31280336 31280337 chr15:31280337:G:A rs191936359 G A G EBF1_EBF_1 6 1 - 7.528919368408779 7.830205497270537 CCTCCCCGGGGAGC chr15 31298486 31298487 chr15:31298487:C:T rs4420495 C T C EBF1_EBF_1 -4 0 - 0 0 . chr15 31307425 31307426 chr15:31307426:G:A rs140352009 G A G EBF1_EBF_1 17 0 - 0 0 . chr15 31307457 31307458 chr15:31307458:C:A rs7178168 C A A EBF1_EBF_1 -15 0 - 0 0 . chr15 31311766 31311767 chr15:31311767:G:C chr15:31311767:G:C G C G EBF1_EBF_1 -19 0 + 0 0 . chr15 31326111 31326112 chr15:31326112:C:T rs577079449 C T C EBF1_EBF_1 -5 0 + 0 0 . chr15 31326123 31326124 chr15:31326124:C:T rs371599707 C T C EBF1_EBF_1 7 1 + 4.7424067238542245 6.559911470136848 CCTCCCGCGGGAGC chr15 31329117 31329118 chr15:31329118:T:C rs1465778 T C C EBF1_EBF_1 -14 0 - 0 0 . chr15 31329279 31329280 chr15:31329280:A:T rs567400258 A T A EBF1_EBF_1 1 1 - 4.446916599193984 3.4805559814431537 CTCCCCCAGGGCCA chr15 31339065 31339066 chr15:31339066:C:A rs59048973 C A C EBF1_EBF_1 10 1 - 8.78866153198878 4.605909345761516 ACACCCAAGGGACA chr15 31367793 31367794 chr15:31367794:A:G rs577275836 A G A EBF1_EBF_1 0 1 + 9.645282001362292 7.752998131797459 AGCCCCATGGGATC chr15 31378521 31378522 chr15:31378522:G:A rs11855557 G A A EBF1_EBF_1 28 0 + 0 0 . chr15 31391165 31391166 chr15:31391166:C:T rs59970767 C T C EBF1_EBF_1 -10 0 - 0 0 . chr15 31392080 31392081 chr15:31392081:G:C rs12902234 G C C EBF1_EBF_1 -13 0 + 0 0 . chr15 31393966 31393967 chr15:31393967:G:A rs547156905 G A G EBF1_EBF_1 4 1 - 5.1406693467579965 0.7712824018130724 AGCCCCCTGAGATG chr15 31398518 31398519 chr15:31398519:C:T rs4261489 C T T EBF1_EBF_1 -14 0 + 0 0 . chr15 31401382 31401383 chr15:31401383:T:C rs146503666 T C T EBF1_EBF_1 19 0 + 0 0 . chr15 31435441 31435442 chr15:31435442:C:T rs74010636 C T C EBF1_EBF_1 -9 0 + 0 0 . chr15 31435450 31435451 chr15:31435451:G:A rs76241746 G A G EBF1_EBF_1 0 1 + 4.907536792828404 6.799820662393236 GCCCCCTAGGGTCC chr15 31439721 31439722 chr15:31439722:C:T rs76228647 C T T EBF1_EBF_1 28 0 - 0 0 . chr15 31452769 31452770 chr15:31452770:G:T rs182539563 G T G EBF1_EBF_1 -11 0 - 0 0 . chr15 31461540 31461541 chr15:31461541:C:T rs28367155 C T T EBF1_EBF_1 4 1 + 6.789207794822199 2.4198208498772753 ATTCCCAGGGTAAG chr15 31461551 31461552 chr15:31461552:G:A rs75231390 G A G EBF1_EBF_1 15 0 + 0 0 . chr15 31467722 31467723 chr15:31467723:A:G rs28655541 A G G EBF1_EBF_1 -18 0 - 0 0 . chr15 31478636 31478637 chr15:31478637:C:T rs117435931 C T C EBF1_EBF_1 10 1 - 8.488431029908813 3.1704025706418477 GACCCCTTGGGAAC chr15 31489784 31489785 chr15:31489785:C:T rs7166243 C T C EBF1_EBF_1 18 0 - 0 0 . chr15 31504789 31504790 chr15:31504790:G:A rs61118115 G A G EBF1_EBF_1 10 1 + 5.329880695243915 0.011852235976948622 AGCCACCTGGGACT chr15 31517825 31517826 chr15:31517826:A:G rs140592863 A G A EBF1_EBF_1 -1 0 - 0 0 . chr15 31531939 31531940 chr15:31531940:A:G rs79723022 A G A EBF1_EBF_1 -9 0 + 0 0 . chr15 31538003 31538004 chr15:31538004:G:C rs12902788 G C G EBF1_EBF_1 -3 0 + 0 0 . chr15 31685958 31685959 chr15:31685959:G:C rs552103972 G C G EBF1_EBF_1 28 0 + 0 0 . chr15 31978498 31978499 chr15:31978499:G:T rs115074378 G T G EBF1_EBF_1 22 0 - 0 0 . chr15 32029082 32029083 chr15:32029083:T:G rs6494165 T G T EBF1_EBF_1 -5 0 + 0 0 . chr15 32097158 32097159 chr15:32097159:A:C rs8036104 A C A EBF1_EBF_1 -19 0 + 0 0 . chr15 32149357 32149358 chr15:32149358:G:A rs531406193 G A G EBF1_EBF_1 -3 0 + 0 0 . chr15 32149391 32149392 chr15:32149392:C:T rs2611604 C T C EBF1_EBF_1 31 0 + 0 0 . chr15 32315434 32315435 chr15:32315435:C:T rs868183202 C T C EBF1_EBF_1 24 0 - 0 0 . chr15 32344092 32344093 chr15:32344093:T:C rs1847114 T C . EBF1_EBF_1 7 1 - 8.085542798626243 6.773084393337418 GGTCCCTAGAGAAA chr15 32346892 32346893 chr15:32346893:C:T rs369712398 C T . EBF1_EBF_1 10 1 - 5.664135170573723 0.34610671130675635 CCTCCCCGGGGTCT chr15 32347172 32347173 chr15:32347173:G:C rs754986864 G C . EBF1_EBF_1 16 0 - 0 0 . chr15 32372252 32372253 chr15:32372253:G:T rs147273354 G T . EBF1_EBF_1 -16 0 - 0 0 . chr15 32394190 32394191 chr15:32394191:T:C rs113064986 T C . EBF1_EBF_1 -13 0 - 0 0 . chr15 32394328 32394329 chr15:32394329:A:T rs62005480 A T . EBF1_EBF_1 -4 0 + 0 0 . chr15 32438747 32438748 chr15:32438748:G:A rs879626568 G A . EBF1_EBF_1 -14 0 + 0 0 . chr15 32451461 32451462 chr15:32451462:G:T rs77268609 G T . EBF1_EBF_1 26 0 + 0 0 . chr15 32536981 32536982 chr15:32536982:A:C rs1456457234 A C . EBF1_EBF_1 30 0 - 0 0 . chr15 32536986 32536987 chr15:32536987:C:T rs1236265832 C T . EBF1_EBF_1 25 0 - 0 0 . chr15 32659669 32659670 chr15:32659670:A:G rs74413477 A G A EBF1_EBF_1 -7 0 - 0 0 . chr15 32739936 32739937 chr15:32739937:G:A rs117661029 G A G EBF1_EBF_1 23 0 - 0 0 . chr15 32803693 32803694 chr15:32803694:C:A rs9806641 C A C EBF1_EBF_1 10 1 - 7.35196183041267 3.169209644185406 ACACCCAAGAGACT chr15 32826288 32826289 chr15:32826289:G:A rs28580622 G A A EBF1_EBF_1 5 1 - 5.45746829255351 0.13568107701283988 TCTCCCTGGAGAGC chr15 32826540 32826541 chr15:32826541:C:T rs56064734 C T T EBF1_EBF_1 10 1 - 6.016360625248707 0.6983321659817414 CTTCCCTGGGGTCC chr15 32829005 32829006 chr15:32829006:G:A rs74011843 G A G EBF1_EBF_1 8 1 + 5.901958198974443 1.6756681100881816 ATCCCCTAGAGGAA chr15 32843229 32843230 chr15:32843230:T:A rs4779591 T A T EBF1_EBF_1 13 1 + 7.7509145897566984 6.532675025885204 TCTCCCAGGAGACT chr15 32898935 32898936 chr15:32898936:G:C rs139757565 G C G EBF1_EBF_1 10 1 + 11.455588618322732 4.564786230993259 ATTCCCATGAGACT chr15 32907554 32907555 chr15:32907555:G:A rs2339163 G A G EBF1_EBF_1 -9 0 + 0 0 . chr15 32907561 32907562 chr15:32907562:G:C rs66919620 G C G EBF1_EBF_1 -2 0 + 0 0 . chr15 32914880 32914881 chr15:32914881:C:T rs12904870 C T C EBF1_EBF_1 4 1 + 10.444092475049635 6.074705530104711 GTCCCCCAGGGACT chr15 32936383 32936384 chr15:32936384:C:G rs78973701 C G C EBF1_EBF_1 10 1 - 8.46319751529537 1.5723951279658959 ATCCCCCAGAGACA chr15 33019655 33019656 chr15:33019656:G:C rs12594962 G C G EBF1_EBF_1 -5 0 - 0 0 . chr15 33075256 33075257 chr15:33075257:C:T rs4780075 C T T EBF1_EBF_1 -14 0 + 0 0 . chr15 33083437 33083438 chr15:33083438:T:C rs61999991 T C T EBF1_EBF_1 28 0 + 0 0 . chr15 33115137 33115138 chr15:33115138:G:A rs11855782 G A G EBF1_EBF_1 22 0 - 0 0 . chr15 33115270 33115271 chr15:33115271:G:A rs11072234 G A G EBF1_EBF_1 27 0 - 0 0 . chr15 33137304 33137305 chr15:33137305:C:T rs12442498 C T T EBF1_EBF_1 18 0 + 0 0 . chr15 33160799 33160800 chr15:33160800:A:G rs8040565 A G A EBF1_EBF_1 -14 0 - 0 0 . chr15 33226849 33226850 chr15:33226850:G:C rs144605835 G C G EBF1_EBF_1 4 1 - 7.36974958841564 1.7439844872660961 GCTCCCCAGGGTAT chr15 33242447 33242448 chr15:33242448:C:T rs150237601 C T C EBF1_EBF_1 1 1 - 5.578986489664818 5.318287657743516 CGTCCCCTGGTATT chr15 33242448 33242449 chr15:33242449:G:A rs138874776 G A G EBF1_EBF_1 0 1 - 5.578986489664818 5.861188550395395 CGTCCCCTGGTATT chr15 33279023 33279024 chr15:33279024:G:A rs561271041 G A G EBF1_EBF_1 10 1 + 7.656422697924664 2.3383942386576977 TTTCCCAAGAGAAG chr15 33279029 33279030 chr15:33279030:G:A rs920967037 G A G EBF1_EBF_1 26 0 - 0 0 . chr15 33298852 33298853 chr15:33298853:G:A rs76113404 G A G EBF1_EBF_1 18 0 - 0 0 . chr15 33298867 33298868 chr15:33298868:G:C rs4779616 G C G EBF1_EBF_1 3 1 - 6.317965354156879 0.49020090604166283 AATCCCTTGAGGCA chr15 33323778 33323779 chr15:33323779:G:A rs57740853 G A A EBF1_EBF_1 -11 0 + 0 0 . chr15 33323792 33323793 chr15:33323793:C:A rs28758195 C A C EBF1_EBF_1 3 1 + 5.627979038770271 -1.278194990695536 TGTCCCCTGGGCTT chr15 33405366 33405367 chr15:33405367:T:A rs12440407 T A T EBF1_EBF_1 7 1 - 7.183984922100858 6.707710682013301 AATCCCTAGAGAGG chr15 33459109 33459110 chr15:33459110:A:G rs1155606 A G a EBF1_EBF_1 7 1 + 8.288735436361566 6.9762770310727396 CTTCCCCAGAGATT chr15 33500747 33500748 chr15:33500748:C:G rs56704101 C G C EBF1_EBF_1 -3 0 - 0 0 . chr15 33552208 33552209 chr15:33552209:A:G rs892773 A G G EBF1_EBF_1 -16 0 - 0 0 . chr15 33552211 33552212 chr15:33552212:C:T rs1077409 C T c EBF1_EBF_1 -19 0 - 0 0 . chr15 33581699 33581700 chr15:33581700:C:T rs748298 C T C EBF1_EBF_1 -9 0 - 0 0 . chr15 33592286 33592287 chr15:33592287:A:G rs640152 A G G EBF1_EBF_1 20 0 - 0 0 . chr15 33700070 33700071 chr15:33700071:G:A rs17817536 G A G EBF1_EBF_1 29 0 - 0 0 . chr15 33757784 33757785 chr15:33757785:C:T rs191114948 C T C EBF1_EBF_1 23 0 - 0 0 . chr15 33789290 33789291 chr15:33789291:C:T rs8042469 C T T EBF1_EBF_1 14 0 + 0 0 . chr15 33810743 33810744 chr15:33810744:G:A rs114513817 G A G EBF1_EBF_1 0 1 - 4.51362730341249 4.795829364143068 CCCCCCAGGGGAGG chr15 33822886 33822887 chr15:33822887:C:T rs8032198 C T T EBF1_EBF_1 27 0 + 0 0 . chr15 34122792 34122793 chr15:34122793:T:G rs78344461 T G T EBF1_EBF_1 20 0 - 0 0 . chr15 34122805 34122806 chr15:34122806:C:A rs951272896 C A C EBF1_EBF_1 7 1 - 4.972030278959099 5.808214444160366 ACTCCCCGGGTGAT chr15 34122806 34122807 chr15:34122807:G:A rs138453259 G A G EBF1_EBF_1 6 1 - 4.972030278959099 5.2733164078208565 ACTCCCCGGGTGAT chr15 34343482 34343483 chr15:34343483:A:T rs60281344 A T A EBF1_EBF_1 6 1 - 6.985254979672881 7.045571218612402 CTTCCCTAGGGTAA chr15 34360481 34360482 chr15:34360482:T:C rs17236875 T C T EBF1_EBF_1 25 0 + 0 0 . chr15 34373976 34373977 chr15:34373977:G:A rs75698118 G A . EBF1_EBF_1 -6 0 - 0 0 . chr15 34374561 34374562 chr15:34374562:G:A rs4299123 G A . EBF1_EBF_1 28 0 - 0 0 . chr15 34408650 34408651 chr15:34408651:C:T rs202104272 C T c EBF1_EBF_1 -9 0 + 0 0 . chr15 34420690 34420691 chr15:34420691:C:T rs62014585 C T c EBF1_EBF_1 -12 0 + 0 0 . chr15 34423664 34423665 chr15:34423665:C:T rs28565523 C T t EBF1_EBF_1 -8 0 + 0 0 . chr15 34434658 34434659 chr15:34434659:G:A rs114505481 G A . EBF1_EBF_1 -10 0 + 0 0 . chr15 34434692 34434693 chr15:34434693:C:T rs79141346 C T . EBF1_EBF_1 24 0 + 0 0 . chr15 34434757 34434758 chr15:34434758:T:C rs1874377 T C . EBF1_EBF_1 -14 0 + 0 0 . chr15 34514555 34514556 chr15:34514556:G:A rs12911397 G A g EBF1_EBF_1 30 0 - 0 0 . chr15 34516430 34516431 chr15:34516431:A:G chr15:34516431:A:G A G . EBF1_EBF_1 1 1 - 7.95316087080819 7.057727430409444 ATTCCCTTAGGATC chr15 34648841 34648842 chr15:34648842:C:T rs1436914 C T C EBF1_EBF_1 12 1 + 4.59818191305587 3.5719153425050205 CATTCCCAGGGACT chr15 34649755 34649756 chr15:34649756:C:T rs1347638 C T C EBF1_EBF_1 -12 0 + 0 0 . chr15 34708785 34708786 chr15:34708786:G:A rs12442965 G A G EBF1_EBF_1 -10 0 + 0 0 . chr15 34719716 34719717 chr15:34719717:T:C rs809870 T C C EBF1_EBF_1 33 0 - 0 0 . chr15 34721493 34721494 chr15:34721494:A:C rs62006119 A C A EBF1_EBF_1 19 0 + 0 0 . chr15 34812550 34812551 chr15:34812551:C:G rs4594213 C G C EBF1_EBF_1 25 0 - 0 0 . chr15 34841904 34841905 chr15:34841905:C:G rs8040542 C G G EBF1_EBF_1 -8 0 + 0 0 . chr15 34855547 34855548 chr15:34855548:T:C rs4924301 T C T EBF1_EBF_1 -15 0 + 0 0 . chr15 34855569 34855570 chr15:34855570:A:G chr15:34855570:A:G A G A EBF1_EBF_1 7 1 + 4.331322091908453 3.0188636866196275 CATGCCCAGGGACC chr15 34855688 34855689 chr15:34855689:A:T chr15:34855689:A:T A T A EBF1_EBF_1 31 0 - 0 0 . chr15 34988135 34988136 chr15:34988136:T:G chr15:34988136:T:G T G T EBF1_EBF_1 19 0 - 0 0 . chr15 34988141 34988142 chr15:34988142:C:T rs941651042 C T C EBF1_EBF_1 13 1 - 5.756530777679721 6.87085634974916 GACCCCCAGGGAGG chr15 35088457 35088458 chr15:35088458:T:C rs61480765 T C T EBF1_EBF_1 -6 0 - 0 0 . chr15 35752155 35752156 chr15:35752156:C:G rs12912177 C G G EBF1_EBF_1 -13 0 + 0 0 . chr15 35876902 35876903 chr15:35876903:A:G rs7168897 A G G EBF1_EBF_1 32 0 + 0 0 . chr15 35950776 35950777 chr15:35950777:C:T rs11073134 C T C EBF1_EBF_1 24 0 - 0 0 . chr15 35953632 35953633 chr15:35953633:C:G rs16962088 C G C EBF1_EBF_1 5 1 + 4.263787595813487 -2.641093556821682 GCTCCCTGGGAACC chr15 35973524 35973525 chr15:35973525:C:T rs17617168 C T C EBF1_EBF_1 -16 0 + 0 0 . chr15 36001026 36001027 chr15:36001027:A:G rs16962261 A G A EBF1_EBF_1 31 0 - 0 0 . chr15 36160729 36160730 chr15:36160730:T:C rs12908542 T C T EBF1_EBF_1 14 0 - 0 0 . chr15 36175631 36175632 chr15:36175632:G:T rs761956593 G T G EBF1_EBF_1 -20 0 - 0 0 . chr15 36300451 36300452 chr15:36300452:T:A rs17699258 T A T EBF1_EBF_1 20 0 + 0 0 . chr15 36357678 36357679 chr15:36357679:C:T rs34471260 C T C EBF1_EBF_1 -9 0 - 0 0 . chr15 36545599 36545600 chr15:36545600:C:T rs7168191 C T C EBF1_EBF_1 -8 0 - 0 0 . chr15 37057600 37057601 chr15:37057601:T:C rs1568679 T C T EBF1_EBF_1 -5 0 - 0 0 . chr15 37172042 37172043 chr15:37172043:T:C rs1356780 T C C EBF1_EBF_1 16 0 - 0 0 . chr15 37241233 37241234 chr15:37241234:C:T rs28546965 C T c EBF1_EBF_1 -11 0 - 0 0 . chr15 37372077 37372078 chr15:37372078:A:T rs79871701 A T A EBF1_EBF_1 -16 0 + 0 0 . chr15 37458337 37458338 chr15:37458338:A:T rs72714842 A T A EBF1_EBF_1 -9 0 - 0 0 . chr15 37512058 37512059 chr15:37512059:G:C rs1824186 G C G EBF1_EBF_1 29 0 + 0 0 . chr15 37653246 37653247 chr15:37653247:C:T rs143689135 C T C EBF1_EBF_1 10 1 - 7.463156517879564 2.1451280586125967 GGTCCCAAGAGATC chr15 37765950 37765951 chr15:37765951:C:T rs74006790 C T A EBF1_EBF_1 28 0 - 0 0 . chr15 37783270 37783271 chr15:37783271:G:A rs73384236 G A G EBF1_EBF_1 -1 0 - 0 0 . chr15 37866413 37866414 chr15:37866414:C:T rs34137565 C T C EBF1_EBF_1 0 1 + 4.115000262650433 4.397202323381011 CGCCCCCAGGGCCC chr15 37886441 37886442 chr15:37886442:C:T rs17637185 C T C EBF1_EBF_1 30 0 + 0 0 . chr15 38070062 38070063 chr15:38070063:T:C rs77124518 T C T EBF1_EBF_1 13 1 - 10.14694345853897 9.03261788646953 CATCCCAAGGGACA chr15 38076943 38076944 chr15:38076944:T:A rs115746729 T A t EBF1_EBF_1 11 1 + 5.879399827959611 9.977314084058337 CATCCCCAGGGTTT chr15 38113275 38113276 chr15:38113276:G:C rs78089918 G C G EBF1_EBF_1 12 1 + 7.591677302573068 8.980313246251217 ACTCCCCTGAGAGA chr15 38127268 38127269 chr15:38127269:G:T rs16966249 G T G EBF1_EBF_1 24 0 - 0 0 . chr15 38129998 38129999 chr15:38129999:A:G rs1948789 A G G EBF1_EBF_1 -7 0 - 0 0 . chr15 38288559 38288560 chr15:38288560:C:G rs10520055 C G C EBF1_EBF_1 22 0 - 0 0 . chr15 38409761 38409762 chr15:38409762:C:T rs61484499 C T T EBF1_EBF_1 7 1 - 7.498393096431364 8.81085150172019 ATTCCCCGAGGAAA chr15 38560025 38560026 chr15:38560026:G:A rs74009835 G A G EBF1_EBF_1 -12 0 - 0 0 . chr15 38564511 38564512 chr15:38564512:G:C rs529893737 G C g EBF1_EBF_1 5 1 - 5.007181442174233 -1.8976997104609343 CACCCCGAGGGAAG chr15 38611050 38611051 chr15:38611051:C:T rs548603745 C T C EBF1_EBF_1 -5 0 - 0 0 . chr15 38623111 38623112 chr15:38623112:G:C rs56279249 G C G EBF1_EBF_1 -6 0 + 0 0 . chr15 38683091 38683092 chr15:38683092:G:A rs7177672 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 38699505 38699506 chr15:38699506:G:C rs1495187 G C g EBF1_EBF_1 8 1 + 7.488675804350216 2.1700169434355314 TTTCCCCAGGGGTC chr15 38818141 38818142 chr15:38818142:C:T rs116293064 C T C EBF1_EBF_1 -5 0 + 0 0 . chr15 38880554 38880555 chr15:38880555:G:C rs1529324 G C A EBF1_EBF_1 26 0 - 0 0 . chr15 38941250 38941251 chr15:38941251:G:A rs16967792 G A A EBF1_EBF_1 17 0 - 0 0 . chr15 38941284 38941285 chr15:38941285:A:C rs7178890 A C A EBF1_EBF_1 -17 0 - 0 0 . chr15 38993588 38993589 chr15:38993589:G:A rs143276197 G A G EBF1_EBF_1 33 0 - 0 0 . chr15 39027713 39027714 chr15:39027714:T:G rs4244568 T G T EBF1_EBF_1 6 1 - 8.720996106237118 8.35939373843584 AATCCCAAGAGATA chr15 39075312 39075313 chr15:39075313:C:T rs12915964 C T C EBF1_EBF_1 -11 0 - 0 0 . chr15 39089284 39089285 chr15:39089285:C:T rs985451 C T C EBF1_EBF_1 25 0 + 0 0 . chr15 39142943 39142944 chr15:39142944:C:T rs73399773 C T T EBF1_EBF_1 -15 0 + 0 0 . chr15 39206279 39206280 chr15:39206280:A:T rs79743941 A T A EBF1_EBF_1 -4 0 + 0 0 . chr15 39232667 39232668 chr15:39232668:T:C rs59122632 T C T EBF1_EBF_1 25 0 - 0 0 . chr15 39232690 39232691 chr15:39232691:G:T rs748731313 G T G EBF1_EBF_1 2 1 - 8.46319751529537 6.667553339138644 ATCCCCCAGAGACA chr15 39243174 39243175 chr15:39243175:G:A rs156658 G A A EBF1_EBF_1 27 0 - 0 0 . chr15 39269820 39269821 chr15:39269821:G:A rs560329277 G A G EBF1_EBF_1 8 1 + 10.949413295354146 6.7231232064678865 TTTCCCATGGGAAA chr15 39360616 39360617 chr15:39360617:T:C rs79347560 T C T EBF1_EBF_1 -2 0 - 0 0 . chr15 39474233 39474234 chr15:39474234:C:T rs1158917 C T T EBF1_EBF_1 1 1 + 6.781445571987587 7.676879012386332 GCTCCCTAGAGAAG chr15 39497720 39497721 chr15:39497721:A:C rs562620202 A C A EBF1_EBF_1 -18 0 + 0 0 . chr15 39498706 39498707 chr15:39498707:G:A rs4924363 G A G EBF1_EBF_1 9 1 + 5.737450150613148 3.082510885165544 AGCCCCAGGGGTCC chr15 39498761 39498762 chr15:39498762:T:C rs61345111 T C T EBF1_EBF_1 -18 0 - 0 0 . chr15 39498763 39498764 chr15:39498764:C:T rs60601222 C T T EBF1_EBF_1 -20 0 - 0 0 . chr15 39511468 39511469 chr15:39511469:C:G rs12708397 C G G EBF1_EBF_1 32 0 - 0 0 . chr15 39511473 39511474 chr15:39511474:C:T rs143637896 C T C EBF1_EBF_1 27 0 - 0 0 . chr15 39574121 39574122 chr15:39574122:C:G rs1361827725 C G C EBF1_EBF_1 28 0 + 0 0 . chr15 39708195 39708196 chr15:39708196:T:A rs2631703 T A A EBF1_EBF_1 -14 0 - 0 0 . chr15 39765042 39765043 chr15:39765043:C:T rs8182052 C T C EBF1_EBF_1 -7 0 - 0 0 . chr15 39768070 39768071 chr15:39768071:C:A rs776294574 C A C EBF1_EBF_1 4 1 + 5.516569310120009 -0.30799031333345833 ACTGCCATGGGATC chr15 39768071 39768072 chr15:39768072:C:T rs759860817 C T C EBF1_EBF_1 5 1 + 5.516569310120009 0.19478209457933854 ACTGCCATGGGATC chr15 40003757 40003758 chr15:40003758:C:T rs2412459 C T T EBF1_EBF_1 -17 0 + 0 0 . chr15 40045142 40045143 chr15:40045143:C:T rs77895962 C T C EBF1_EBF_1 -5 0 - 0 0 . chr15 40045150 40045151 chr15:40045151:A:C rs566937631 A C A EBF1_EBF_1 -13 0 - 0 0 . chr15 40047602 40047603 chr15:40047603:C:T rs116747359 C T C EBF1_EBF_1 26 0 + 0 0 . chr15 40054082 40054083 chr15:40054083:T:C rs144192154 T C T EBF1_EBF_1 15 0 + 0 0 . chr15 40055233 40055234 chr15:40055234:A:C rs28736699 A C A EBF1_EBF_1 20 0 + 0 0 . chr15 40069565 40069566 chr15:40069566:C:A rs80071636 C A C EBF1_EBF_1 -18 0 - 0 0 . chr15 40083896 40083897 chr15:40083897:C:A rs76875574 C A C EBF1_EBF_1 -13 0 + 0 0 . chr15 40088731 40088732 chr15:40088732:T:C rs648289 T C T EBF1_EBF_1 -13 0 + 0 0 . chr15 40095769 40095770 chr15:40095770:A:G rs11637681 A G A EBF1_EBF_1 21 0 + 0 0 . chr15 40103376 40103377 chr15:40103377:G:A rs182673252 G A G EBF1_EBF_1 -15 0 + 0 0 . chr15 40103386 40103387 chr15:40103387:G:A rs150736909 G A G EBF1_EBF_1 -5 0 + 0 0 . chr15 40103402 40103403 chr15:40103403:A:G rs11631335 A G G EBF1_EBF_1 11 1 + 5.836107131099289 2.943400287495277 AGTGCCTGGGGACC chr15 40104045 40104046 chr15:40104046:C:T rs117982341 C T C EBF1_EBF_1 -1 0 - 0 0 . chr15 40106588 40106589 chr15:40106589:T:A rs144511634 T A T EBF1_EBF_1 -12 0 - 0 0 . chr15 40125662 40125663 chr15:40125663:C:G rs73392641 C G c EBF1_EBF_1 5 1 + 6.747106106739799 -0.15777504589536856 TCCCCCCTGGGATA chr15 40245681 40245682 chr15:40245682:C:G rs113790209 C G C EBF1_EBF_1 -12 0 - 0 0 . chr15 40246491 40246492 chr15:40246492:A:T rs60667177 A T A EBF1_EBF_1 21 0 - 0 0 . chr15 40271786 40271787 chr15:40271787:G:C rs115304647 G C G EBF1_EBF_1 10 1 + 4.491578791680366 -2.39922359564911 GCCCCCATGGGTCC chr15 40277682 40277683 chr15:40277683:C:T rs28676999 C T C EBF1_EBF_1 9 1 - 10.170840426005503 7.515901160557901 ATTCCCAAGGGCCT chr15 40279729 40279730 chr15:40279730:C:T rs193256085 C T C EBF1_EBF_1 25 0 - 0 0 . chr15 40279742 40279743 chr15:40279743:G:A rs73391360 G A G EBF1_EBF_1 12 1 - 7.060881818903359 6.034615248352509 ACTCCCAGGGAACT chr15 40304664 40304665 chr15:40304665:C:T rs3784398 C T c EBF1_EBF_1 -18 0 + 0 0 . chr15 40307812 40307813 chr15:40307813:A:T rs376360229 A T A EBF1_EBF_1 15 0 - 0 0 . chr15 40310275 40310276 chr15:40310276:C:T rs150692908 C T C EBF1_EBF_1 7 1 + 5.115524624929095 6.933029371211719 TGACCCACGGGAAC chr15 40310283 40310284 chr15:40310284:C:T rs139940817 C T C EBF1_EBF_1 15 0 + 0 0 . chr15 40315064 40315065 chr15:40315065:G:T rs140510714 G T G EBF1_EBF_1 -7 0 - 0 0 . chr15 40334776 40334777 chr15:40334777:G:C rs56289107 G C G EBF1_EBF_1 5 1 - 4.7697227226667325 -2.1351584299684356 CCCCCCCAGGGCCT chr15 40336341 40336342 chr15:40336342:C:T rs62017974 C T C EBF1_EBF_1 18 0 - 0 0 . chr15 40340505 40340506 chr15:40340506:G:A rs73397308 G A G EBF1_EBF_1 -13 0 + 0 0 . chr15 40412959 40412960 chr15:40412960:T:C rs2289329 T C T EBF1_EBF_1 -7 0 - 0 0 . chr15 40437467 40437468 chr15:40437468:C:G rs537336077 C G C EBF1_EBF_1 16 0 + 0 0 . chr15 40442292 40442293 chr15:40442293:G:A rs374728676 G A G EBF1_EBF_1 6 1 - 5.488734129566268 5.790020258428026 AGTCCCCGGGGCAG chr15 40464835 40464836 chr15:40464836:A:C rs603104 A C C EBF1_EBF_1 10 1 + 5.724300470973069 4.151526542910561 GTTCCCCTGGAAAC chr15 40471608 40471609 chr15:40471609:G:T chr15:40471609:G:T G T G EBF1_EBF_1 -11 0 - 0 0 . chr15 40478175 40478176 chr15:40478176:T:C rs77486107 T C T EBF1_EBF_1 -2 0 - 0 0 . chr15 40510469 40510470 chr15:40510470:G:C rs58903833 G C G EBF1_EBF_1 -8 0 - 0 0 . chr15 40511662 40511663 chr15:40511663:T:C rs56282449 T C T EBF1_EBF_1 -18 0 + 0 0 . chr15 40511695 40511696 chr15:40511696:G:C rs960006647 G C G EBF1_EBF_1 15 0 + 0 0 . chr15 40565416 40565417 chr15:40565417:G:C rs8024802 G C C EBF1_EBF_1 -18 0 + 0 0 . chr15 40565446 40565447 chr15:40565447:C:T rs73386627 C T C EBF1_EBF_1 12 1 + 7.7509145897566984 6.724648019205849 TCTCCCAGGAGACT chr15 40569037 40569038 chr15:40569038:A:G rs113154967 A G A EBF1_EBF_1 14 0 + 0 0 . chr15 40569528 40569529 chr15:40569529:G:T chr15:40569529:G:T G T G EBF1_EBF_1 -8 0 + 0 0 . chr15 40582921 40582922 chr15:40582922:G:A rs145217234 G A G EBF1_EBF_1 3 1 - 7.272524922509652 0.867126180956335 AGTCCCATGGAAAC chr15 40590902 40590903 chr15:40590903:G:T rs10400890 G T T EBF1_EBF_1 -1 0 - 0 0 . chr15 40591808 40591809 chr15:40591809:T:G rs11070282 T G T EBF1_EBF_1 17 0 - 0 0 . chr15 40594732 40594733 chr15:40594733:A:C rs931438720 A C A EBF1_EBF_1 -12 0 + 0 0 . chr15 40633015 40633016 chr15:40633016:T:A rs11856802 T A T EBF1_EBF_1 22 0 - 0 0 . chr15 40747278 40747279 chr15:40747279:C:T chr15:40747279:C:T C T C EBF1_EBF_1 21 0 + 0 0 . chr15 40764241 40764242 chr15:40764242:G:T rs2016546 G T G EBF1_EBF_1 25 0 - 0 0 . chr15 40764300 40764301 chr15:40764301:G:A rs943211404 G A G EBF1_EBF_1 -2 0 + 0 0 . chr15 40807505 40807506 chr15:40807506:G:A chr15:40807506:G:A G A G EBF1_EBF_1 31 0 + 0 0 . chr15 40807512 40807513 chr15:40807513:C:G rs78819718 C G C EBF1_EBF_1 26 0 - 0 0 . chr15 40855886 40855887 chr15:40855887:C:T rs658752 C T C EBF1_EBF_1 4 1 + 6.210668490199142 1.8412815452542175 ACCCCCCAGGGCAC chr15 40862282 40862283 chr15:40862283:T:C rs530859007 T C T EBF1_EBF_1 16 0 + 0 0 . chr15 40894709 40894710 chr15:40894710:G:C rs539047105 G C G EBF1_EBF_1 6 1 - 4.383696389105163 2.578504325631964 ACCCCCCCGGGGTC chr15 40894717 40894718 chr15:40894718:G:A rs556138686 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 40906715 40906716 chr15:40906716:C:T rs116686131 C T C EBF1_EBF_1 -13 0 + 0 0 . chr15 40906720 40906721 chr15:40906721:G:A chr15:40906721:G:A G A G EBF1_EBF_1 -8 0 + 0 0 . chr15 40906724 40906725 chr15:40906725:G:C rs112533327 G C G EBF1_EBF_1 -4 0 + 0 0 . chr15 40917543 40917544 chr15:40917544:C:G rs7162246 C G C EBF1_EBF_1 -11 0 - 0 0 . chr15 40918841 40918842 chr15:40918842:G:A rs57240185 G A G EBF1_EBF_1 -18 0 + 0 0 . chr15 40932081 40932082 chr15:40932082:G:T rs3212279 G T G EBF1_EBF_1 -7 0 + 0 0 . chr15 40938376 40938377 chr15:40938377:G:T rs55805015 G T G EBF1_EBF_1 11 1 + 7.778841728309131 6.573634315814417 AGCCCCATGGGGCC chr15 40953833 40953834 chr15:40953834:C:T rs146412247 C T C EBF1_EBF_1 8 1 - 7.623217661553733 3.3969275726674732 ACTCCCCTGGGCAC chr15 40961341 40961342 chr15:40961342:C:G rs35536506 C G C EBF1_EBF_1 33 0 + 0 0 . chr15 41233905 41233906 chr15:41233906:G:A rs6492993 G A G EBF1_EBF_1 19 0 + 0 0 . chr15 41330204 41330205 chr15:41330205:C:G rs61127691 C G C EBF1_EBF_1 16 0 - 0 0 . chr15 41330210 41330211 chr15:41330211:C:T rs1385155941 C T C EBF1_EBF_1 10 1 - 6.760336991766626 1.4423085324996594 AATCCCAGGAGGCT chr15 41338300 41338301 chr15:41338301:C:G rs8036026 C G c EBF1_EBF_1 -3 0 + 0 0 . chr15 41497536 41497537 chr15:41497537:T:C rs2026945 T C T EBF1_EBF_1 29 0 - 0 0 . chr15 41501629 41501630 chr15:41501630:C:T rs181690872 C T C EBF1_EBF_1 9 1 - 7.247809835759027 4.592870570311423 ACTCCCTGAGGACC chr15 41559041 41559042 chr15:41559042:G:A rs1005914380 G A C EBF1_EBF_1 20 0 - 0 0 . chr15 41568291 41568292 chr15:41568292:T:A rs12148316 T A T EBF1_EBF_1 -20 0 + 0 0 . chr15 41568469 41568470 chr15:41568470:C:T rs117053817 C T C EBF1_EBF_1 15 0 - 0 0 . chr15 41568499 41568500 chr15:41568500:C:G rs2289743 C G C EBF1_EBF_1 -15 0 - 0 0 . chr15 41585761 41585762 chr15:41585762:G:C rs12915829 G C G EBF1_EBF_1 28 0 - 0 0 . chr15 41585807 41585808 chr15:41585808:C:T rs146497290 C T C EBF1_EBF_1 -18 0 - 0 0 . chr15 41660269 41660270 chr15:41660270:G:A chr15:41660270:G:A G A G EBF1_EBF_1 5 1 - 8.815588156816895 3.4938009412762243 AGACCCTTGGGACC chr15 41774138 41774139 chr15:41774139:C:G rs1337073575 C G C EBF1_EBF_1 12 1 + 5.218799545301444 3.8301636016232923 GGTCCCTCGGGCCT chr15 41804442 41804443 chr15:41804443:A:G rs141902041 A G . EBF1_EBF_1 -4 0 - 0 0 . chr15 41841686 41841687 chr15:41841687:T:C rs1648837 T C C EBF1_EBF_1 0 1 - 6.2684359808896986 4.376152111324865 AGTCCCCCGAGAAG chr15 41841687 41841688 chr15:41841688:G:A rs1648836 G A G EBF1_EBF_1 -1 0 - 0 0 . chr15 41854513 41854514 chr15:41854514:T:C rs890506 T C T EBF1_EBF_1 33 0 - 0 0 . chr15 41855440 41855441 chr15:41855441:A:C rs2305655 A C A EBF1_EBF_1 -11 0 - 0 0 . chr15 41866599 41866600 chr15:41866600:C:T rs8025684 C T C EBF1_EBF_1 30 0 + 0 0 . chr15 41867303 41867304 chr15:41867304:C:T rs2290555 C T c EBF1_EBF_1 17 0 + 0 0 . chr15 41876930 41876931 chr15:41876931:G:A rs55757140 G A G EBF1_EBF_1 25 0 - 0 0 . chr15 41883308 41883309 chr15:41883309:T:C rs1197692 T C C EBF1_EBF_1 -8 0 + 0 0 . chr15 41905284 41905285 chr15:41905285:A:G rs1704386 A G G EBF1_EBF_1 -19 0 - 0 0 . chr15 41911697 41911698 chr15:41911698:C:T rs1648814 C T C EBF1_EBF_1 0 1 + 7.17397587344871 7.456177934179286 CACCCCAAGGGAAG chr15 41913323 41913324 chr15:41913324:T:C rs7183264 T C C EBF1_EBF_1 17 0 - 0 0 . chr15 41920412 41920413 chr15:41920413:G:A rs139142986 G A G EBF1_EBF_1 -12 0 - 0 0 . chr15 41921531 41921532 chr15:41921532:G:A rs551564022 G A g EBF1_EBF_1 3 1 - 4.854944852452339 -1.5504538891009798 ATCCGCTGGGGACC chr15 41928417 41928418 chr15:41928418:C:T rs80216585 C T C EBF1_EBF_1 -2 0 - 0 0 . chr15 41929312 41929313 chr15:41929313:A:T rs12101334 A T A EBF1_EBF_1 1 1 - 5.600672070112686 4.634311452361856 ATCCCCCAGAGGAA chr15 41935606 41935607 chr15:41935607:G:A rs544317795 G A G EBF1_EBF_1 -14 0 - 0 0 . chr15 41958982 41958983 chr15:41958983:C:T rs1668591 C T C EBF1_EBF_1 -12 0 - 0 0 . chr15 41985734 41985735 chr15:41985735:T:C rs1704350 T C c EBF1_EBF_1 -4 0 - 0 0 . chr15 42009517 42009518 chr15:42009518:G:C rs12439677 G C G EBF1_EBF_1 11 1 + 5.074282556065533 3.551027701817155 ATCCTCCAGGGGCT chr15 42013799 42013800 chr15:42013800:T:C rs1668565 T C C EBF1_EBF_1 24 0 - 0 0 . chr15 42016665 42016666 chr15:42016666:A:G rs7175498 A G A EBF1_EBF_1 -20 0 - 0 0 . chr15 42017291 42017292 chr15:42017292:C:T rs62004286 C T C EBF1_EBF_1 12 1 + 10.33722766688053 9.310961096329681 TCTCCCTTGGGACC chr15 42018754 42018755 chr15:42018755:G:C rs1704376 G C C EBF1_EBF_1 -13 0 + 0 0 . chr15 42035567 42035568 chr15:42035568:A:C rs373588772 A C T EBF1_EBF_1 -8 0 - 0 0 . chr15 42037217 42037218 chr15:42037218:G:A rs111516317 G A G EBF1_EBF_1 3 1 - 4.4054540939222875 -1.9999446476310312 CCTCACCAGGGACC chr15 42041598 42041599 chr15:42041599:G:A rs72727711 G A G EBF1_EBF_1 28 0 + 0 0 . chr15 42045144 42045145 chr15:42045145:G:A rs72727715 G A G EBF1_EBF_1 12 1 - 8.49950105324978 7.473234482698929 AGCCCCCTGAGACT chr15 42062681 42062682 chr15:42062682:A:T rs76245568 A T A EBF1_EBF_1 10 1 + 5.731238174026831 6.866514447066532 CTTCCCAGGGAAAT chr15 42070410 42070411 chr15:42070411:G:A rs74993075 G A G EBF1_EBF_1 12 1 - 5.610729190485178 4.584462619934328 CCTCCCCTGAGACG chr15 42081233 42081234 chr15:42081234:C:T rs600415 C T C EBF1_EBF_1 -5 0 - 0 0 . chr15 42081324 42081325 chr15:42081325:G:A rs113023310 G A G EBF1_EBF_1 5 1 - 10.921648146168403 5.599860930627734 ACTCCCTTGGGAGC chr15 42087853 42087854 chr15:42087854:A:G rs76354757 A G A EBF1_EBF_1 15 0 + 0 0 . chr15 42089977 42089978 chr15:42089978:C:T rs113126509 C T C EBF1_EBF_1 19 0 + 0 0 . chr15 42094143 42094144 chr15:42094144:C:T rs78171718 C T C EBF1_EBF_1 -18 0 + 0 0 . chr15 42094186 42094187 chr15:42094187:G:A rs76779748 G A A EBF1_EBF_1 25 0 + 0 0 . chr15 42098814 42098815 chr15:42098815:G:A rs776722 G A A EBF1_EBF_1 -17 0 + 0 0 . chr15 42100578 42100579 chr15:42100579:G:A rs74512700 G A G EBF1_EBF_1 -9 0 - 0 0 . chr15 42108356 42108357 chr15:42108357:A:T rs687352 A T A EBF1_EBF_1 -15 0 - 0 0 . chr15 42140955 42140956 chr15:42140956:G:T rs147366469 G T G EBF1_EBF_1 30 0 - 0 0 . chr15 42140982 42140983 chr15:42140983:G:A rs72703015 G A G EBF1_EBF_1 3 1 - 5.815177803066178 -0.5902209384871371 ACCCGCCAGGGACT chr15 42151433 42151434 chr15:42151434:A:G rs558341069 A G A EBF1_EBF_1 -8 0 + 0 0 . chr15 42348663 42348664 chr15:42348664:G:A rs111825482 G A A EBF1_EBF_1 33 0 + 0 0 . chr15 42363643 42363644 chr15:42363644:T:C rs728508 T C T EBF1_EBF_1 -4 0 - 0 0 . chr15 42365363 42365364 chr15:42365364:C:T rs12441700 C T T EBF1_EBF_1 0 1 + 6.983380340376135 7.2655824011067125 CTTCCCTAGGAAAT chr15 42402745 42402746 chr15:42402746:T:C rs2241827 T C C EBF1_EBF_1 -4 0 + 0 0 . chr15 42686906 42686907 chr15:42686907:A:G rs28680600 A G A EBF1_EBF_1 7 1 + 9.138559166696115 7.826100761407292 ACCCCCCAGGGAAG chr15 42692089 42692090 chr15:42692090:A:G rs16957063 A G A EBF1_EBF_1 -4 0 - 0 0 . chr15 42801445 42801446 chr15:42801446:C:A rs115790423 C A C EBF1_EBF_1 -18 0 - 0 0 . chr15 42920619 42920620 chr15:42920620:C:G rs12437637 C G C EBF1_EBF_1 -2 0 - 0 0 . chr15 43123174 43123175 chr15:43123175:C:G rs11632120 C G C EBF1_EBF_1 15 0 + 0 0 . chr15 43267761 43267762 chr15:43267762:T:A rs505249 T A A EBF1_EBF_1 -4 0 - 0 0 . chr15 43296689 43296690 chr15:43296690:C:A rs28594657 C A C EBF1_EBF_1 16 0 + 0 0 . chr15 43346556 43346557 chr15:43346557:C:T rs566379476 C T C EBF1_EBF_1 -11 0 - 0 0 . chr15 43371165 43371166 chr15:43371166:C:T rs572882246 C T C EBF1_EBF_1 3 1 + 5.11580406835671 -1.2895946731966073 ACTCCCCCGCGACC chr15 43371175 43371176 chr15:43371176:C:T rs544809743 C T C EBF1_EBF_1 13 1 + 5.11580406835671 5.960298182942228 ACTCCCCCGCGACC chr15 43510356 43510357 chr15:43510357:A:T rs557990365 A T A EBF1_EBF_1 -7 0 + 0 0 . chr15 43510702 43510703 chr15:43510703:T:A rs1013978514 T A T EBF1_EBF_1 -15 0 + 0 0 . chr15 43520258 43520259 chr15:43520259:C:G rs57938858 C G C EBF1_EBF_1 4 1 + 4.792268804683733 -0.8334962964658121 CATCCCAGGGGCCC chr15 43531831 43531832 chr15:43531832:A:G rs570933 A G G EBF1_EBF_1 2 1 - 4.626945011235337 2.7381215997931863 CTTCCCAGGAGGCC chr15 43538268 43538269 chr15:43538269:T:C rs2255440 T C T EBF1_EBF_1 20 0 + 0 0 . chr15 43601534 43601535 chr15:43601535:C:T rs74643365 C T C EBF1_EBF_1 7 1 - 6.846959444679447 8.159417849968273 CCCCCCCGGGGATT chr15 43904081 43904082 chr15:43904082:C:G rs76847582 C G C EBF1_EBF_1 9 1 - 4.835174331980365 -0.24051481436509747 CCTCCCAGCGGAAT chr15 43916014 43916015 chr15:43916015:G:C rs188963930 G C G EBF1_EBF_1 1 1 - 5.677555256103142 5.867326910672359 CCTCCCTGGGGCAT chr15 43919714 43919715 chr15:43919715:G:A rs2016837 G A A EBF1_EBF_1 -7 0 + 0 0 . chr15 43955292 43955293 chr15:43955293:T:C rs72716043 T C T EBF1_EBF_1 -10 0 + 0 0 . chr15 44034571 44034572 chr15:44034572:C:T rs149482085 C T C EBF1_EBF_1 12 1 - 8.076442650894741 9.495259992994217 ACTCCCAAAGGAGT chr15 44289401 44289402 chr15:44289402:C:T rs59997416 C T T EBF1_EBF_1 4 1 + 5.946337231304301 1.5769502863593763 TCTCCCCGGGGTCT chr15 44530967 44530968 chr15:44530968:G:A rs75914697 G A G EBF1_EBF_1 27 0 + 0 0 . chr15 44735521 44735522 chr15:44735522:C:T rs112460070 C T C EBF1_EBF_1 -3 0 + 0 0 . chr15 44765238 44765239 chr15:44765239:C:T rs3110183 C T C EBF1_EBF_1 8 1 - 6.1394347983525135 1.9131447094662537 ATTCCCATGAGTCA chr15 44784300 44784301 chr15:44784301:G:T rs143301907 G T N EBF1_EBF_1 3 1 - 4.073019301854169 -2.8331547276116393 GGTCCCCCGGGCCC chr15 44784746 44784747 chr15:44784747:G:A rs764602295 G A N EBF1_EBF_1 18 0 + 0 0 . chr15 44839355 44839356 chr15:44839356:A:G rs147138413 A G a EBF1_EBF_1 -8 0 - 0 0 . chr15 45100815 45100816 chr15:45100816:G:C rs61730030 G C G EBF1_EBF_1 18 0 - 0 0 . chr15 45106115 45106116 chr15:45106116:G:A rs73406337 G A G EBF1_EBF_1 -17 0 + 0 0 . chr15 45106134 45106135 chr15:45106135:T:C rs773744645 T C T EBF1_EBF_1 2 1 + 6.438504543842593 4.549681132400442 ACTCCTTAGGGATC chr15 45116492 45116493 chr15:45116493:C:G rs2576091 C G C EBF1_EBF_1 -14 0 + 0 0 . chr15 45116511 45116512 chr15:45116512:A:G rs2554459 A G G EBF1_EBF_1 5 1 + 3.6915676369950354 3.6915676369950354 ACCCCACAGGGACC chr15 45117344 45117345 chr15:45117345:A:G rs373506799 A G A EBF1_EBF_1 -9 0 - 0 0 . chr15 45118330 45118331 chr15:45118331:G:A rs375788832 G A G EBF1_EBF_1 30 0 + 0 0 . chr15 45143018 45143019 chr15:45143019:T:C rs2292465 T C T EBF1_EBF_1 7 1 + 6.607614282139806 4.790109535857181 CTCCCCATGGGATG chr15 45156853 45156854 chr15:45156854:C:T rs74011376 C T C EBF1_EBF_1 19 0 + 0 0 . chr15 45163470 45163471 chr15:45163471:C:A rs192647100 C A C EBF1_EBF_1 3 1 + 5.250051073816578 -1.6561229556492296 ACACCCCTGGGGTT chr15 45164022 45164023 chr15:45164023:C:G rs1706813 C G C EBF1_EBF_1 -2 0 + 0 0 . chr15 45169202 45169203 chr15:45169203:A:G rs2162246 A G A EBF1_EBF_1 25 0 + 0 0 . chr15 45189809 45189810 chr15:45189810:G:A rs113196204 G A G EBF1_EBF_1 -12 0 - 0 0 . chr15 45249367 45249368 chr15:45249368:T:C rs55771002 T C T EBF1_EBF_1 15 0 - 0 0 . chr15 45249378 45249379 chr15:45249379:G:A rs55920042 G A G EBF1_EBF_1 4 1 - 10.742985015755504 6.373598070810583 TTTCCCAAGGGATC chr15 45262867 45262868 chr15:45262868:G:A rs12913645 G A A EBF1_EBF_1 3 1 - 5.9220256159832 -0.48337312557011747 GATCCCCAAGGACA chr15 45387219 45387220 chr15:45387220:T:G rs149323827 T G T EBF1_EBF_1 -8 0 + 0 0 . chr15 45443085 45443086 chr15:45443086:A:C rs1288865 A C C EBF1_EBF_1 16 0 - 0 0 . chr15 45448736 45448737 chr15:45448737:T:G chr15:45448737:T:G T G T EBF1_EBF_1 2 1 + 7.729090639994347 0.9807649497938782 CCTCCCAAGGGGAC chr15 45458138 45458139 chr15:45458139:T:G rs568572385 T G T EBF1_EBF_1 28 0 - 0 0 . chr15 45458161 45458162 chr15:45458162:G:C rs184764518 G C G EBF1_EBF_1 5 1 - 6.473135358562238 -0.4317457940729295 TTTCCCTTGGGCAA chr15 45520992 45520993 chr15:45520993:A:C rs140824560 A C A EBF1_EBF_1 16 0 - 0 0 . chr15 45653275 45653276 chr15:45653276:C:T rs622441 C T C EBF1_EBF_1 10 1 - 6.531903405634306 1.2138749463673395 GTCCCCTTGGGGCC chr15 45702347 45702348 chr15:45702348:C:G rs11854554 C G G EBF1_EBF_1 19 0 - 0 0 . chr15 45704142 45704143 chr15:45704143:G:A chr15:45704143:G:A G A G EBF1_EBF_1 21 0 + 0 0 . chr15 45704142 45704143 chr15:45704143:G:C rs8042519 G C G EBF1_EBF_1 21 0 + 0 0 . chr15 45720364 45720365 chr15:45720365:A:G rs694299 A G G EBF1_EBF_1 18 0 + 0 0 . chr15 45760191 45760192 chr15:45760192:G:A rs11632150 G A G EBF1_EBF_1 15 0 - 0 0 . chr15 45796658 45796659 chr15:45796659:G:A rs4774765 G A G EBF1_EBF_1 -18 0 + 0 0 . chr15 45803892 45803893 chr15:45803893:G:A rs8040361 G A G EBF1_EBF_1 -17 0 - 0 0 . chr15 45829619 45829620 chr15:45829620:T:A rs4410018 T A A EBF1_EBF_1 -2 0 + 0 0 . chr15 45829624 45829625 chr15:45829625:C:T rs56198909 C T T EBF1_EBF_1 3 1 + 4.833061141517624 -1.5723376000356935 CCTCCCTGGGGCAC chr15 45837369 45837370 chr15:45837370:G:C rs4774811 G C G EBF1_EBF_1 -7 0 - 0 0 . chr15 45946376 45946377 chr15:45946377:A:G rs62007733 A G G EBF1_EBF_1 19 0 - 0 0 . chr15 46036574 46036575 chr15:46036575:T:A rs74013036 T A T EBF1_EBF_1 24 0 - 0 0 . chr15 46053488 46053489 chr15:46053489:G:A rs7179883 G A G EBF1_EBF_1 9 1 + 6.01446445318564 3.3595251877380363 ATTCCCCAGGAGCT chr15 46091837 46091838 chr15:46091838:A:G rs2037386 A G A EBF1_EBF_1 -16 0 - 0 0 . chr15 46281628 46281629 chr15:46281629:T:C rs11853036 T C C EBF1_EBF_1 32 0 + 0 0 . chr15 46316371 46316372 chr15:46316372:C:A rs4774366 C A C EBF1_EBF_1 -3 0 + 0 0 . chr15 46390595 46390596 chr15:46390596:C:T rs4775379 C T T EBF1_EBF_1 26 0 + 0 0 . chr15 46409935 46409936 chr15:46409936:A:G rs11070517 A G A EBF1_EBF_1 -7 0 - 0 0 . chr15 46486631 46486632 chr15:46486632:C:T rs11070526 C T T EBF1_EBF_1 -12 0 - 0 0 . chr15 46545713 46545714 chr15:46545714:G:C rs8035842 G C G EBF1_EBF_1 -9 0 + 0 0 . chr15 46545745 46545746 chr15:46545746:C:T rs8035574 C T C EBF1_EBF_1 23 0 + 0 0 . chr15 46587851 46587852 chr15:46587852:C:T rs12593784 C T C EBF1_EBF_1 27 0 - 0 0 . chr15 46614605 46614606 chr15:46614606:A:G rs541237 A G G EBF1_EBF_1 27 0 + 0 0 . chr15 46627662 46627663 chr15:46627663:G:A rs117601949 G A G EBF1_EBF_1 14 0 - 0 0 . chr15 46704023 46704024 chr15:46704024:C:T rs8042620 C T C EBF1_EBF_1 10 1 - 9.970167603840068 4.6521391445731 ACTCCCAAGGGAGG chr15 46709047 46709048 chr15:46709048:C:T rs576870064 C T C EBF1_EBF_1 15 0 - 0 0 . chr15 46815896 46815897 chr15:46815897:T:C rs11634116 T C C EBF1_EBF_1 32 0 - 0 0 . chr15 46815933 46815934 chr15:46815934:G:A rs76934579 G A G EBF1_EBF_1 -5 0 - 0 0 . chr15 47026915 47026916 chr15:47026916:A:G rs56073945 A G A EBF1_EBF_1 -16 0 - 0 0 . chr15 47196239 47196240 chr15:47196240:G:A chr15:47196240:G:A G A G EBF1_EBF_1 -20 0 + 0 0 . chr15 47196248 47196249 chr15:47196249:T:G rs77603112 T G T EBF1_EBF_1 -11 0 + 0 0 . chr15 47196271 47196272 chr15:47196272:A:G rs76128746 A G A EBF1_EBF_1 12 1 + 7.245680680445642 5.826863338346165 AGTGCCCTGGGAAT chr15 47258794 47258795 chr15:47258795:A:G rs77159975 A G A EBF1_EBF_1 29 0 - 0 0 . chr15 47289521 47289522 chr15:47289522:G:A rs185273244 G A G EBF1_EBF_1 4 1 - 6.495842515229473 2.126455570284549 AATCCCCTGGGCTC chr15 47300412 47300413 chr15:47300413:A:C rs12908686 A C A EBF1_EBF_1 22 0 - 0 0 . chr15 47301536 47301537 chr15:47301537:C:A rs7178329 C A A EBF1_EBF_1 9 1 - 4.985737458852874 -1.851251949235936 TCTGCCTAGGGACC chr15 47358857 47358858 chr15:47358858:A:T chr15:47358858:A:T A T A EBF1_EBF_1 29 0 - 0 0 . chr15 47409252 47409253 chr15:47409253:G:A rs396945 G A A EBF1_EBF_1 26 0 - 0 0 . chr15 47409273 47409274 chr15:47409274:G:A rs403871 G A A EBF1_EBF_1 5 1 - 5.0350212270759584 -0.2867659884647103 GTTCCCTGGGGCTC chr15 47445009 47445010 chr15:47445010:G:C rs12909486 G C G EBF1_EBF_1 26 0 - 0 0 . chr15 47473820 47473821 chr15:47473821:C:T rs112763820 C T C EBF1_EBF_1 -14 0 + 0 0 . chr15 47473845 47473846 chr15:47473846:A:T rs73388941 A T T EBF1_EBF_1 11 1 + 4.8844363040258445 0.7865220479271189 TTTCCCCTTGGACT chr15 47504843 47504844 chr15:47504844:G:A rs79399997 G A G EBF1_EBF_1 6 1 - 9.71492417284944 10.016210301711197 ACTCCCCGGGGAAG chr15 47504846 47504847 chr15:47504847:G:A rs12594780 G A G EBF1_EBF_1 3 1 - 9.71492417284944 3.309525431296123 ACTCCCCGGGGAAG chr15 47737250 47737251 chr15:47737251:A:C rs544346 A C A EBF1_EBF_1 -15 0 + 0 0 . chr15 47811536 47811537 chr15:47811537:G:C rs12912564 G C G EBF1_EBF_1 -10 0 + 0 0 . chr15 47861353 47861354 chr15:47861354:T:C rs79365849 T C C EBF1_EBF_1 -3 0 - 0 0 . chr15 47873101 47873102 chr15:47873102:G:A rs912062829 G A G EBF1_EBF_1 16 0 - 0 0 . chr15 47886769 47886770 chr15:47886770:C:A rs482624 C A C EBF1_EBF_1 -10 0 - 0 0 . chr15 47968715 47968716 chr15:47968716:C:T rs12441431 C T C EBF1_EBF_1 12 1 + 6.908138827396964 5.881872256846115 CTTCCCAGGGGGCA chr15 48060856 48060857 chr15:48060857:G:C rs1896152 G C G EBF1_EBF_1 27 0 + 0 0 . chr15 48066674 48066675 chr15:48066675:T:C rs2469583 T C C EBF1_EBF_1 31 0 - 0 0 . chr15 48265375 48265376 chr15:48265376:T:C rs11854679 T C T EBF1_EBF_1 -15 0 - 0 0 . chr15 48395970 48395971 chr15:48395971:A:G rs1012240021 A G A EBF1_EBF_1 10 1 + 5.581491261468507 10.899519720735471 CCTCCCTTGGAACT chr15 48439914 48439915 chr15:48439915:G:A rs16960940 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 48447804 48447805 chr15:48447805:A:G rs114710102 A G A EBF1_EBF_1 6 1 + 4.826736942029748 2.6599425107552728 AACCCAAAGGGACT chr15 48505109 48505110 chr15:48505110:A:G rs25458 A G A EBF1_EBF_1 22 0 - 0 0 . chr15 48582624 48582625 chr15:48582625:T:C rs16961190 T C T EBF1_EBF_1 -19 0 + 0 0 . chr15 48614983 48614984 chr15:48614984:C:T rs17462641 C T c EBF1_EBF_1 26 0 - 0 0 . chr15 48617656 48617657 chr15:48617657:G:T rs185102964 G T G EBF1_EBF_1 2 1 - 6.84982614483759 5.054181968680864 ATCCCCCAGGGTGT chr15 48646848 48646849 chr15:48646849:T:C rs6493333 T C C EBF1_EBF_1 -6 0 - 0 0 . chr15 48671635 48671636 chr15:48671636:G:A rs143560027 G A G EBF1_EBF_1 25 0 + 0 0 . chr15 48698462 48698463 chr15:48698463:C:T rs12101602 C T T EBF1_EBF_1 -20 0 + 0 0 . chr15 48698475 48698476 chr15:48698476:T:C rs73398346 T C C EBF1_EBF_1 -7 0 + 0 0 . chr15 48877820 48877821 chr15:48877821:G:A rs1426203 G A g EBF1_EBF_1 -7 0 - 0 0 . chr15 48877820 48877821 chr15:48877821:G:T chr15:48877821:G:T G T g EBF1_EBF_1 -7 0 - 0 0 . chr15 48915842 48915843 chr15:48915843:G:A rs144641246 G A G EBF1_EBF_1 33 0 - 0 0 . chr15 48939860 48939861 chr15:48939861:C:T rs8027252 C T T EBF1_EBF_1 29 0 + 0 0 . chr15 49170433 49170434 chr15:49170434:G:A rs191988621 G A G EBF1_EBF_1 30 0 - 0 0 . chr15 49170440 49170441 chr15:49170441:G:A rs57815447 G A G EBF1_EBF_1 23 0 - 0 0 . chr15 49170510 49170511 chr15:49170511:G:T rs574699393 G T G EBF1_EBF_1 -7 0 - 0 0 . chr15 49436993 49436994 chr15:49436994:G:A rs79883822 G A G EBF1_EBF_1 13 1 + 6.53634402930525 7.650669601374689 CTTCCCCTGAGAAG chr15 49500832 49500833 chr15:49500833:G:A rs11070697 G A G EBF1_EBF_1 4 1 - 4.9265526409725195 0.5571656960275956 ACCACCAAGGGAAT chr15 49500835 49500836 chr15:49500836:G:A rs7162351 G A A EBF1_EBF_1 1 1 - 4.9265526409725195 5.821986081371265 ACCACCAAGGGAAT chr15 49538510 49538511 chr15:49538511:G:A rs1911612 G A G EBF1_EBF_1 -20 0 - 0 0 . chr15 49549482 49549483 chr15:49549483:A:G rs7182948 A G - EBF1_EBF_1 -10 0 + 0 0 . chr15 49623208 49623209 chr15:49623209:T:C rs17402569 T C T EBF1_EBF_1 -17 0 - 0 0 . chr15 49623977 49623978 chr15:49623978:G:A rs1055135 G A A EBF1_EBF_1 25 0 + 0 0 . chr15 49696580 49696581 chr15:49696581:G:C rs34764936 G C G EBF1_EBF_1 -8 0 - 0 0 . chr15 49700701 49700702 chr15:49700702:G:C rs35293062 G C G EBF1_EBF_1 25 0 - 0 0 . chr15 49877207 49877208 chr15:49877208:A:C rs12594650 A C C EBF1_EBF_1 24 0 + 0 0 . chr15 49920904 49920905 chr15:49920905:C:A rs16963083 C A A EBF1_EBF_1 32 0 + 0 0 . chr15 49953727 49953728 chr15:49953728:C:A rs13329614 C A C EBF1_EBF_1 15 0 - 0 0 . chr15 49991463 49991464 chr15:49991464:T:C rs10519252 T C T EBF1_EBF_1 26 0 + 0 0 . chr15 50183509 50183510 chr15:50183510:C:T rs2554884 C T T EBF1_EBF_1 19 0 + 0 0 . chr15 50391758 50391759 chr15:50391759:C:G rs192301330 C G C EBF1_EBF_1 19 0 - 0 0 . chr15 50516109 50516110 chr15:50516110:A:G rs78395963 A G A EBF1_EBF_1 -5 0 + 0 0 . chr15 50547029 50547030 chr15:50547030:C:G rs59332097 C G C EBF1_EBF_1 6 1 + 4.126653841540478 2.3214617780672806 TCACCCCGGGGAGC chr15 50710329 50710330 chr15:50710330:T:C rs113570839 T C T EBF1_EBF_1 10 1 + 8.231592837493004 5.523542636390795 AATCCCCAGGTACC chr15 50789088 50789089 chr15:50789089:G:A rs35862680 G A g EBF1_EBF_1 -18 0 - 0 0 . chr15 50886068 50886069 chr15:50886069:G:C rs8032866 G C G EBF1_EBF_1 21 0 + 0 0 . chr15 50886078 50886079 chr15:50886079:C:T rs9806467 C T C EBF1_EBF_1 31 0 + 0 0 . chr15 50996594 50996595 chr15:50996595:C:T rs2619684 C T C EBF1_EBF_1 5 1 + 4.679398008078947 -0.6423892074617219 CCCCCCGGGGGAGT chr15 51071686 51071687 chr15:51071687:C:T rs12914185 C T C EBF1_EBF_1 14 0 - 0 0 . chr15 51076377 51076378 chr15:51076378:T:C rs8026318 T C C EBF1_EBF_1 -19 0 - 0 0 . chr15 51095050 51095051 chr15:51095051:G:A rs28637301 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 51161175 51161176 chr15:51161176:A:G rs11070838 A G A EBF1_EBF_1 13 1 - 7.342377337111169 6.497883222525651 GATCCCAAGGGCAT chr15 51163617 51163618 chr15:51163618:T:G rs720316 T G G EBF1_EBF_1 -5 0 + 0 0 . chr15 51184089 51184090 chr15:51184090:G:A rs35373992 G A G EBF1_EBF_1 6 1 - 6.0126345945891595 6.313920723450917 TGCCCCCGGGGACG chr15 51184092 51184093 chr15:51184093:G:A rs12911314 G A G EBF1_EBF_1 3 1 - 6.0126345945891595 -0.3927641469641583 TGCCCCCGGGGACG chr15 51267819 51267820 chr15:51267820:C:T rs147120433 C T c EBF1_EBF_1 23 0 - 0 0 . chr15 51314512 51314513 chr15:51314513:A:G rs3751591 A G A EBF1_EBF_1 -17 0 + 0 0 . chr15 51331393 51331394 chr15:51331394:G:T rs144615599 G T . EBF1_EBF_1 22 0 - 0 0 . chr15 51340614 51340615 chr15:51340615:G:C rs1071955 G C G EBF1_EBF_1 -3 0 - 0 0 . chr15 51460330 51460331 chr15:51460331:C:G rs138945149 C G C EBF1_EBF_1 28 0 - 0 0 . chr15 51799608 51799609 chr15:51799609:G:A rs75464249 G A G EBF1_EBF_1 22 0 - 0 0 . chr15 51801654 51801655 chr15:51801655:G:A rs143935255 G A G EBF1_EBF_1 10 1 + 7.131603424845003 1.8135749655780367 GCCCCCATGGGAAG chr15 51814103 51814104 chr15:51814104:G:A rs2009972 G A A EBF1_EBF_1 -7 0 - 0 0 . chr15 51866952 51866953 chr15:51866953:C:G rs116279151 C G C EBF1_EBF_1 13 1 - 8.060936184128842 9.549007205484255 TTCCCCCAGGGAAG chr15 51875490 51875491 chr15:51875491:C:T rs189763938 C T c EBF1_EBF_1 -19 0 - 0 0 . chr15 52038352 52038353 chr15:52038353:C:A rs1449784689 C A C EBF1_EBF_1 15 0 - 0 0 . chr15 52077035 52077036 chr15:52077036:A:G rs79390749 A G A EBF1_EBF_1 12 1 + 7.296172857371149 5.877355515271671 CTACCCTTGGGAAC chr15 52100592 52100593 chr15:52100593:G:T chr15:52100593:G:T G T G EBF1_EBF_1 -8 0 - 0 0 . chr15 52111554 52111555 chr15:52111555:A:G rs2414131 A G G EBF1_EBF_1 17 0 + 0 0 . chr15 52131873 52131874 chr15:52131874:G:A rs115089061 G A G EBF1_EBF_1 17 0 - 0 0 . chr15 52144170 52144171 chr15:52144171:C:T rs114896518 C T C EBF1_EBF_1 -4 0 + 0 0 . chr15 52144181 52144182 chr15:52144182:T:C rs115274961 T C T EBF1_EBF_1 7 1 + 11.356452430350767 9.538947684068145 ACCCCCATGGGAAT chr15 52144193 52144194 chr15:52144194:C:T rs4238385 C T T EBF1_EBF_1 19 0 + 0 0 . chr15 52144939 52144940 chr15:52144940:C:T rs74730909 C T C EBF1_EBF_1 -5 0 - 0 0 . chr15 52144944 52144945 chr15:52144945:G:A rs72734909 G A G EBF1_EBF_1 -10 0 - 0 0 . chr15 52150698 52150699 chr15:52150699:C:G rs532895647 C G C EBF1_EBF_1 8 1 - 5.809425776153633 0.4907669152389458 ACACCCATGGGAGG chr15 52172010 52172011 chr15:52172011:G:C rs190182314 G C G EBF1_EBF_1 23 0 + 0 0 . chr15 52217475 52217476 chr15:52217476:T:C rs4776020 T C C EBF1_EBF_1 -4 0 + 0 0 . chr15 52254510 52254511 chr15:52254511:C:T rs116658947 C T C EBF1_EBF_1 -8 0 - 0 0 . chr15 52257833 52257834 chr15:52257834:T:C rs58479271 T C C EBF1_EBF_1 18 0 - 0 0 . chr15 52260023 52260024 chr15:52260024:C:G rs11638448 C G C EBF1_EBF_1 20 0 - 0 0 . chr15 52275026 52275027 chr15:52275027:C:T rs61058363 C T T EBF1_EBF_1 14 0 + 0 0 . chr15 52289625 52289626 chr15:52289626:C:T rs78325121 C T T EBF1_EBF_1 -19 0 + 0 0 . chr15 52445777 52445778 chr15:52445778:C:A rs10851516 C A C EBF1_EBF_1 15 0 - 0 0 . chr15 52486872 52486873 chr15:52486873:C:T rs1693494 C T C EBF1_EBF_1 13 1 + 5.931064312271907 6.775558426857425 ATTCCCACGAGGAC chr15 52488888 52488889 chr15:52488889:G:T rs4776051 G T T EBF1_EBF_1 18 0 - 0 0 . chr15 52528206 52528207 chr15:52528207:C:A rs977134178 C A C EBF1_EBF_1 -20 0 + 0 0 . chr15 52539646 52539647 chr15:52539647:C:T rs1693527 C T C EBF1_EBF_1 5 1 + 6.196368430934614 0.8745812153939432 CCTCCCATGGGGTC chr15 52561183 52561184 chr15:52561184:C:T rs56947153 C T T EBF1_EBF_1 21 0 - 0 0 . chr15 52725794 52725795 chr15:52725795:G:T rs71474808 G T G EBF1_EBF_1 14 0 + 0 0 . chr15 52735617 52735618 chr15:52735618:C:A rs145217386 C A C EBF1_EBF_1 26 0 - 0 0 . chr15 52745651 52745652 chr15:52745652:C:T rs72624001 C T C EBF1_EBF_1 12 1 + 7.522109085780323 6.495842515229473 AATCCCCTGGGCCC chr15 52779548 52779549 chr15:52779549:T:G rs2440322 T G T EBF1_EBF_1 9 1 - 5.017597063729031 2.59684718283117 TCCCCCAGGAGACC chr15 52785510 52785511 chr15:52785511:C:A rs113948177 C A C EBF1_EBF_1 -12 0 + 0 0 . chr15 52790565 52790566 chr15:52790566:C:A rs374984783 C A C EBF1_EBF_1 -20 0 + 0 0 . chr15 52790789 52790790 chr15:52790790:A:G rs376211071 A G A EBF1_EBF_1 24 0 - 0 0 . chr15 52791341 52791342 chr15:52791342:G:C chr15:52791342:G:C G C G EBF1_EBF_1 -20 0 - 0 0 . chr15 52808647 52808648 chr15:52808648:G:C rs2414183 G C G EBF1_EBF_1 33 0 - 0 0 . chr15 52880494 52880495 chr15:52880495:G:C rs2440331 G C G EBF1_EBF_1 32 0 - 0 0 . chr15 52915550 52915551 chr15:52915551:C:T rs75277928 C T C EBF1_EBF_1 -2 0 + 0 0 . chr15 53123145 53123146 chr15:53123146:G:T rs74954208 G T G EBF1_EBF_1 -14 0 - 0 0 . chr15 53142766 53142767 chr15:53142767:C:T rs72728989 C T C EBF1_EBF_1 -20 0 + 0 0 . chr15 53196505 53196506 chr15:53196506:C:T rs192100018 C T C EBF1_EBF_1 -10 0 - 0 0 . chr15 53204586 53204587 chr15:53204587:C:G rs80135682 C G C EBF1_EBF_1 9 1 - 4.8733199940959455 -0.20236915224951835 AGCCCCAAAGGGCT chr15 53259926 53259927 chr15:53259927:C:T rs16965823 C T C EBF1_EBF_1 24 0 - 0 0 . chr15 53296822 53296823 chr15:53296823:T:G rs17711603 T G T EBF1_EBF_1 -3 0 - 0 0 . chr15 53507458 53507459 chr15:53507459:A:G rs936918 A G A EBF1_EBF_1 7 1 - 6.177218235115874 4.35971348883325 ATTCCCATAGGGAC chr15 53530974 53530975 chr15:53530975:T:C rs12443219 T C T EBF1_EBF_1 13 1 - 7.177709821994849 6.06338424992541 TTTCCCTTGAGATA chr15 53530993 53530994 chr15:53530994:T:C chr15:53530994:T:C T C T EBF1_EBF_1 -6 0 - 0 0 . chr15 53587002 53587003 chr15:53587003:G:T rs140118545 G T G EBF1_EBF_1 4 1 - 4.643335708243779 -1.1812239152096897 TCCCCCTAGGGGGC chr15 53868499 53868500 chr15:53868500:A:G rs1038056 A G G EBF1_EBF_1 -8 0 - 0 0 . chr15 54227650 54227651 chr15:54227651:C:T rs11635830 C T C EBF1_EBF_1 10 1 - 6.684392777506922 1.3663643182399559 ACTCCCTGGGGCCA chr15 54454277 54454278 chr15:54454278:G:A rs7496778 G A A EBF1_EBF_1 25 0 + 0 0 . chr15 54462565 54462566 chr15:54462566:C:T rs62010141 C T C EBF1_EBF_1 13 1 - 5.268781076575725 6.383106648645164 ACTCCCCGGGGCCG chr15 54618394 54618395 chr15:54618395:C:G rs1851000 C G G EBF1_EBF_1 16 0 + 0 0 . chr15 55011260 55011261 chr15:55011261:T:C rs7167661 T C T EBF1_EBF_1 -2 0 - 0 0 . chr15 55061127 55061128 chr15:55061128:A:T rs190502894 A T A EBF1_EBF_1 25 0 + 0 0 . chr15 55113054 55113055 chr15:55113055:A:T rs11071167 A T A EBF1_EBF_1 29 0 - 0 0 . chr15 55221481 55221482 chr15:55221482:G:A rs74634605 G A G EBF1_EBF_1 -6 0 - 0 0 . chr15 55498151 55498152 chr15:55498152:G:A rs145449531 G A G EBF1_EBF_1 -17 0 + 0 0 . chr15 55498153 55498154 chr15:55498154:A:G rs74475478 A G A EBF1_EBF_1 -15 0 + 0 0 . chr15 55498182 55498183 chr15:55498183:G:C rs12907654 G C G EBF1_EBF_1 14 0 + 0 0 . chr15 55552835 55552836 chr15:55552836:A:G rs11630020 A G G EBF1_EBF_1 -15 0 + 0 0 . chr15 55553241 55553242 chr15:55553242:T:G rs6493796 T G G EBF1_EBF_1 -6 0 + 0 0 . chr15 55627850 55627851 chr15:55627851:T:C rs16976434 T C T EBF1_EBF_1 17 0 - 0 0 . chr15 55627880 55627881 chr15:55627881:C:T rs75698294 C T C EBF1_EBF_1 -13 0 - 0 0 . chr15 55986962 55986963 chr15:55986963:T:C rs7179050 T C - EBF1_EBF_1 17 0 - 0 0 . chr15 56019651 56019652 chr15:56019652:A:G rs544508804 A G A EBF1_EBF_1 18 0 + 0 0 . chr15 56167072 56167073 chr15:56167073:G:C rs11629483 G C G EBF1_EBF_1 -9 0 - 0 0 . chr15 56234964 56234965 chr15:56234965:T:A rs2414467 T A T EBF1_EBF_1 0 1 - 11.564597562006131 9.59154113904011 ACTCCCAGGGGAAC chr15 56243736 56243737 chr15:56243737:G:A rs1465240088 G A - EBF1_EBF_1 23 0 + 0 0 . chr15 56547651 56547652 chr15:56547652:T:C rs1871862 T C T EBF1_EBF_1 -14 0 - 0 0 . chr15 56740135 56740136 chr15:56740136:G:A rs578014802 G A G EBF1_EBF_1 -13 0 - 0 0 . chr15 56817334 56817335 chr15:56817335:G:A rs1657938 G A G EBF1_EBF_1 18 0 + 0 0 . chr15 56824358 56824359 chr15:56824359:G:T rs12441769 G T G EBF1_EBF_1 -4 0 + 0 0 . chr15 56887495 56887496 chr15:56887496:G:T rs77680640 G T G EBF1_EBF_1 24 0 - 0 0 . chr15 56887529 56887530 chr15:56887530:A:C rs935095 A C A EBF1_EBF_1 -10 0 - 0 0 . chr15 57080573 57080574 chr15:57080574:G:A rs2252289 G A A EBF1_EBF_1 6 1 - 6.648154056819348 6.949440185681106 CTTCCTCAGGGACT chr15 57299055 57299056 chr15:57299056:C:T rs117067022 C T C EBF1_EBF_1 8 1 - 7.096620530523587 2.870330441637326 GGTCCCATGGGCCT chr15 57307665 57307666 chr15:57307666:C:T rs113267208 C T C EBF1_EBF_1 -12 0 + 0 0 . chr15 57307672 57307673 chr15:57307673:G:A rs571767400 G A G EBF1_EBF_1 -5 0 + 0 0 . chr15 57313075 57313076 chr15:57313076:T:G rs10518910 T G T EBF1_EBF_1 -19 0 - 0 0 . chr15 57321014 57321015 chr15:57321015:A:G rs1353513573 A G A EBF1_EBF_1 12 1 - 6.011722827093379 7.037989397644229 CGTCCCCAAGGATT chr15 57330975 57330976 chr15:57330976:C:A rs149763730 C A C EBF1_EBF_1 25 0 - 0 0 . chr15 57335912 57335913 chr15:57335913:G:A rs2243806 G A A EBF1_EBF_1 5 1 - 6.241856103754748 0.9200688882140784 TGTCCCGAGAGACC chr15 57376812 57376813 chr15:57376813:G:A rs182491770 G A G EBF1_EBF_1 4 1 - 10.462155201355415 6.092768256410493 GTTCCCCAGGGATC chr15 57377068 57377069 chr15:57377069:A:C chr15:57377069:A:C A C A EBF1_EBF_1 -5 0 + 0 0 . chr15 57381861 57381862 chr15:57381862:G:A rs76074970 G A A EBF1_EBF_1 -8 0 + 0 0 . chr15 57413485 57413486 chr15:57413486:G:T rs12592520 G T G EBF1_EBF_1 4 1 - 10.4530622918539 4.628502668400435 AACCCCTAGGGACA chr15 57418479 57418480 chr15:57418480:G:T rs10083566 G T G EBF1_EBF_1 5 1 - 5.837600096928861 -1.0672810557063082 CTTCCCCAGGAAAC chr15 57475158 57475159 chr15:57475159:G:A rs4774945 G A G EBF1_EBF_1 30 0 - 0 0 . chr15 57476715 57476716 chr15:57476716:C:T rs143671233 C T C EBF1_EBF_1 18 0 - 0 0 . chr15 57476721 57476722 chr15:57476722:A:G rs73421814 A G A EBF1_EBF_1 12 1 - 6.620431043414157 7.646697613965006 GTTCCCTAGAGATG chr15 57476964 57476965 chr15:57476965:G:C rs1511950 G C G EBF1_EBF_1 -17 0 - 0 0 . chr15 57510526 57510527 chr15:57510527:T:G rs4545749 T G T EBF1_EBF_1 -10 0 + 0 0 . chr15 57538541 57538542 chr15:57538542:T:C rs12439665 T C T EBF1_EBF_1 16 0 - 0 0 . chr15 57546740 57546741 chr15:57546741:T:C rs16977593 T C T EBF1_EBF_1 28 0 - 0 0 . chr15 57570459 57570460 chr15:57570460:C:T rs79667171 C T C EBF1_EBF_1 10 1 - 5.575294880080958 0.2572664208139919 ATTTCCCAGGGATA chr15 57575415 57575416 chr15:57575416:C:T rs192939864 C T C EBF1_EBF_1 8 1 - 6.8601686211515585 2.633878532265298 GTCCCCATGAGAAC chr15 57579015 57579016 chr15:57579016:C:T rs2635385 C T C EBF1_EBF_1 -5 0 - 0 0 . chr15 57667310 57667311 chr15:57667311:G:A rs112740043 G A G EBF1_EBF_1 26 0 + 0 0 . chr15 57707202 57707203 chr15:57707203:A:G rs150284835 A G A EBF1_EBF_1 31 0 - 0 0 . chr15 57707554 57707555 chr15:57707555:C:T rs138857438 C T C EBF1_EBF_1 5 1 + 6.164585824472017 0.8427986089313468 CTTCCCACGAGACA chr15 57762163 57762164 chr15:57762164:C:T rs12440670 C T C EBF1_EBF_1 -7 0 + 0 0 . chr15 57817064 57817065 chr15:57817065:T:C rs682053 T C T EBF1_EBF_1 -12 0 + 0 0 . chr15 58022480 58022481 chr15:58022481:G:A rs12910752 G A G EBF1_EBF_1 9 1 + 5.066510012254063 2.411570746806458 GCTGCCTAGGGACC chr15 58124760 58124761 chr15:58124761:T:A rs140196610 T A T EBF1_EBF_1 -17 0 - 0 0 . chr15 58139856 58139857 chr15:58139857:A:G rs73426139 A G A EBF1_EBF_1 -2 0 - 0 0 . chr15 58169022 58169023 chr15:58169023:A:T rs2899617 A T T EBF1_EBF_1 27 0 - 0 0 . chr15 58224212 58224213 chr15:58224213:T:C rs574474865 T C T EBF1_EBF_1 17 0 - 0 0 . chr15 58244770 58244771 chr15:58244771:T:G rs938508 T G G EBF1_EBF_1 25 0 + 0 0 . chr15 58298647 58298648 chr15:58298648:C:T rs1711043 C T T EBF1_EBF_1 8 1 - 5.206139321103886 0.9798492322176249 TTTGCCCAGGGACA chr15 58324865 58324866 chr15:58324866:G:T rs261279 G T G EBF1_EBF_1 -2 0 - 0 0 . chr15 58327149 58327150 chr15:58327150:A:C rs261276 A C C EBF1_EBF_1 15 0 + 0 0 . chr15 58346501 58346502 chr15:58346502:G:A rs59377826 G A G EBF1_EBF_1 27 0 + 0 0 . chr15 58346590 58346591 chr15:58346591:T:C rs187246113 T C T EBF1_EBF_1 33 0 - 0 0 . chr15 58346611 58346612 chr15:58346612:T:C rs111872095 T C T EBF1_EBF_1 12 1 - 5.862867749931103 4.444050407831625 TTCCCCATGGGCAT chr15 58361388 58361389 chr15:58361389:C:T rs549185917 C T C EBF1_EBF_1 -14 0 - 0 0 . chr15 58374473 58374474 chr15:58374474:G:A rs116828163 G A G EBF1_EBF_1 28 0 - 0 0 . chr15 58392476 58392477 chr15:58392477:G:A rs12185093 G A G EBF1_EBF_1 12 1 - 5.027342259209717 4.001075688658867 TTTCCCCTGGGCCG chr15 58396361 58396362 chr15:58396362:C:G rs76432367 C G C EBF1_EBF_1 8 1 - 5.3986185924718555 0.07995973155717029 ACTCCACAGGGATT chr15 58406810 58406811 chr15:58406811:C:T rs11856159 C T C EBF1_EBF_1 6 1 + 4.762459000003182 5.06374512886494 CTCCCCCACGGACT chr15 58423823 58423824 chr15:58423824:C:T rs538569002 C T C EBF1_EBF_1 -8 0 - 0 0 . chr15 58433779 58433780 chr15:58433780:G:T rs569259832 G T G EBF1_EBF_1 19 0 + 0 0 . chr15 58433786 58433787 chr15:58433787:T:G rs17269250 T G T EBF1_EBF_1 26 0 + 0 0 . chr15 58459742 58459743 chr15:58459743:C:G rs75870940 C G C EBF1_EBF_1 -6 0 + 0 0 . chr15 58469836 58469837 chr15:58469837:G:A rs183818879 G A G EBF1_EBF_1 -9 0 + 0 0 . chr15 58475801 58475802 chr15:58475802:T:A rs190603621 T A T EBF1_EBF_1 32 0 + 0 0 . chr15 58496835 58496836 chr15:58496836:C:A rs2414587 C A C EBF1_EBF_1 -13 0 - 0 0 . chr15 58507941 58507942 chr15:58507942:G:A rs2414588 G A A EBF1_EBF_1 15 0 - 0 0 . chr15 58544108 58544109 chr15:58544109:C:T rs11852861 C T c EBF1_EBF_1 -14 0 - 0 0 . chr15 58554969 58554970 chr15:58554970:G:C rs72743035 G C G EBF1_EBF_1 1 1 - 4.79861010647538 4.9883817610445975 GCTCACCAGGGAAC chr15 58562871 58562872 chr15:58562872:A:G rs17301857 A G A EBF1_EBF_1 16 0 - 0 0 . chr15 58564406 58564407 chr15:58564407:G:A rs67897154 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 58589588 58589589 chr15:58589589:G:A rs72743058 G A G EBF1_EBF_1 2 1 - 5.683971313565905 7.572794725008055 GCCCCCAAAGGACT chr15 58606128 58606129 chr15:58606129:G:A chr15:58606129:G:A G A G EBF1_EBF_1 8 1 + 6.539115580103519 2.3128254912172572 CTTCCCATGGGTCA chr15 58770804 58770805 chr15:58770805:T:C rs76901380 T C T EBF1_EBF_1 -17 0 + 0 0 . chr15 58770811 58770812 chr15:58770812:T:A rs554942593 T A T EBF1_EBF_1 -10 0 + 0 0 . chr15 58770838 58770839 chr15:58770839:A:T rs529900770 A T A EBF1_EBF_1 17 0 + 0 0 . chr15 58771705 58771706 chr15:58771706:G:A rs182620597 G A G EBF1_EBF_1 -18 0 + 0 0 . chr15 58771739 58771740 chr15:58771740:C:T rs555945171 C T C EBF1_EBF_1 16 0 + 0 0 . chr15 58864898 58864899 chr15:58864899:C:A rs61730176 C A C EBF1_EBF_1 5 1 + 5.561199820852953 -1.3436813317822152 GATCCCACGAGACA chr15 58887064 58887065 chr15:58887065:G:A rs2124203 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 59063152 59063153 chr15:59063153:C:T rs78271469 C T C EBF1_EBF_1 18 0 - 0 0 . chr15 59164732 59164733 chr15:59164733:A:G rs11630106 A G A EBF1_EBF_1 16 0 + 0 0 . chr15 59240224 59240225 chr15:59240225:T:C rs67037466 T C T EBF1_EBF_1 2 1 + 7.931911461410673 6.043088049968523 AGTCTCCAGGGACA chr15 59339553 59339554 chr15:59339554:T:C rs67498027 T C T EBF1_EBF_1 26 0 + 0 0 . chr15 59348253 59348254 chr15:59348254:T:G rs111740863 T G T EBF1_EBF_1 14 0 + 0 0 . chr15 59372945 59372946 chr15:59372946:C:A rs113915226 C A C EBF1_EBF_1 -4 0 + 0 0 . chr15 59372978 59372979 chr15:59372979:A:C rs111309933 A C A EBF1_EBF_1 29 0 + 0 0 . chr15 59413075 59413076 chr15:59413076:C:G rs148989400 C G C EBF1_EBF_1 -3 0 - 0 0 . chr15 59686220 59686221 chr15:59686221:G:A rs183802526 G A G EBF1_EBF_1 5 1 - 5.302771425665421 -0.019015789875247935 ACTGCCTGGGGAAA chr15 59876820 59876821 chr15:59876821:A:G rs2960806 A G A EBF1_EBF_1 -16 0 - 0 0 . chr15 59919692 59919693 chr15:59919693:G:A rs16941929 G A G EBF1_EBF_1 16 0 + 0 0 . chr15 59965685 59965686 chr15:59965686:C:T rs73421196 C T C EBF1_EBF_1 11 1 + 5.644730189282034 5.9627776310356975 AATCCCTAGAGCCC chr15 60003767 60003768 chr15:60003768:G:A rs55973018 G A G EBF1_EBF_1 -14 0 - 0 0 . chr15 60044385 60044386 chr15:60044386:T:C rs335788 T C C EBF1_EBF_1 20 0 - 0 0 . chr15 60053230 60053231 chr15:60053231:T:G rs2007037 T G T EBF1_EBF_1 23 0 - 0 0 . chr15 60094136 60094137 chr15:60094137:G:C rs17191246 G C G EBF1_EBF_1 -11 0 + 0 0 . chr15 60259899 60259900 chr15:60259900:A:G rs11637825 A G A EBF1_EBF_1 -19 0 + 0 0 . chr15 60325223 60325224 chr15:60325224:G:C rs2136553 G C C EBF1_EBF_1 -11 0 + 0 0 . chr15 60325245 60325246 chr15:60325246:A:G chr15:60325246:A:G A G A EBF1_EBF_1 11 1 + 7.742392885078193 4.849686041474182 CTCCCCCGGGGATT chr15 60371283 60371284 chr15:60371284:A:G rs891082983 A G A EBF1_EBF_1 12 1 + 6.816162651197984 5.397345309098506 TTTGCCAAGGGAAT chr15 60371286 60371287 chr15:60371287:C:T rs12594524 C T C EBF1_EBF_1 15 0 + 0 0 . chr15 60371291 60371292 chr15:60371292:G:A rs141460793 G A G EBF1_EBF_1 20 0 + 0 0 . chr15 60371292 60371293 chr15:60371293:G:A rs78502385 G A G EBF1_EBF_1 21 0 + 0 0 . chr15 60551964 60551965 chr15:60551965:C:G rs12439380 C G C EBF1_EBF_1 -8 0 - 0 0 . chr15 60591923 60591924 chr15:60591924:A:G rs188819730 A G A EBF1_EBF_1 -9 0 - 0 0 . chr15 60710794 60710795 chr15:60710795:C:T rs541858683 C T C EBF1_EBF_1 20 0 - 0 0 . chr15 60726677 60726678 chr15:60726678:C:T rs1834335 C T C EBF1_EBF_1 -8 0 - 0 0 . chr15 60778755 60778756 chr15:60778756:C:T rs6494222 C T T EBF1_EBF_1 -20 0 + 0 0 . chr15 60786636 60786637 chr15:60786637:C:T rs16943117 C T C EBF1_EBF_1 25 0 - 0 0 . chr15 60855363 60855364 chr15:60855364:C:T rs7169557 C T T EBF1_EBF_1 1 1 + 6.254307285826599 7.1497407262253425 ACTCCCCAGGTGCT chr15 60857291 60857292 chr15:60857292:T:C rs72750654 T C T EBF1_EBF_1 27 0 - 0 0 . chr15 60918960 60918961 chr15:60918961:C:T rs8028648 C T C EBF1_EBF_1 29 0 + 0 0 . chr15 60972388 60972389 chr15:60972389:G:A rs140716178 G A g EBF1_EBF_1 6 1 + 6.369118415998078 8.535912847272554 ACTCCCGGGAGAAA chr15 60982476 60982477 chr15:60982477:G:A rs2899665 G A A EBF1_EBF_1 15 0 - 0 0 . chr15 61070300 61070301 chr15:61070301:G:C rs782934 G C C EBF1_EBF_1 24 0 + 0 0 . chr15 61076596 61076597 chr15:61076597:T:C rs72739503 T C T EBF1_EBF_1 26 0 - 0 0 . chr15 61112422 61112423 chr15:61112423:A:G rs782926 A G G EBF1_EBF_1 -17 0 + 0 0 . chr15 61174008 61174009 chr15:61174009:T:C rs11853392 T C T EBF1_EBF_1 18 0 + 0 0 . chr15 61205705 61205706 chr15:61205706:A:G rs10851692 A G G EBF1_EBF_1 0 1 - 6.277738428682222 5.995536367951645 TGCCCCTAGAGATT chr15 61322287 61322288 chr15:61322288:A:G rs4438251 A G G EBF1_EBF_1 1 1 + 6.1175279044765345 6.378226736397836 CACCCCAAGGGATG chr15 61352467 61352468 chr15:61352468:G:T rs72741672 G T G EBF1_EBF_1 15 0 - 0 0 . chr15 61383603 61383604 chr15:61383604:T:C rs9788703 T C A EBF1_EBF_1 22 0 + 0 0 . chr15 61514626 61514627 chr15:61514627:A:G rs7171924 A G G EBF1_EBF_1 -11 0 - 0 0 . chr15 61514674 61514675 chr15:61514675:T:G rs4369599 T G G EBF1_EBF_1 -15 0 + 0 0 . chr15 61527105 61527106 chr15:61527106:A:G rs11855945 A G G EBF1_EBF_1 29 0 + 0 0 . chr15 61527921 61527922 chr15:61527922:G:C rs34908380 G C G EBF1_EBF_1 -19 0 - 0 0 . chr15 61578777 61578778 chr15:61578778:T:C rs12595508 T C T EBF1_EBF_1 2 1 - 6.231763252193917 3.1679051495923263 CAACCCTAGGGAGT chr15 61604513 61604514 chr15:61604514:A:G rs28431446 A G A EBF1_EBF_1 24 0 - 0 0 . chr15 61654008 61654009 chr15:61654009:T:A rs16944466 T A T EBF1_EBF_1 -4 0 + 0 0 . chr15 61660832 61660833 chr15:61660833:T:A chr15:61660833:T:A T A T EBF1_EBF_1 -7 0 + 0 0 . chr15 61731318 61731319 chr15:61731319:T:G rs12914538 T G T EBF1_EBF_1 -14 0 + 0 0 . chr15 61834290 61834291 chr15:61834291:C:A chr15:61834291:C:A C A C EBF1_EBF_1 -15 0 + 0 0 . chr15 61834290 61834291 chr15:61834291:C:T rs28755956 C T C EBF1_EBF_1 -15 0 + 0 0 . chr15 61834308 61834309 chr15:61834309:C:G chr15:61834309:C:G C G C EBF1_EBF_1 3 1 + 6.652730658645146 0.8249662105299289 CTTCCCGCGGGACA chr15 61835599 61835600 chr15:61835600:G:C rs76454572 G C G EBF1_EBF_1 8 1 + 5.666554593073851 0.347895732159165 TCTCTCCTGGGACC chr15 62050355 62050356 chr15:62050356:T:C rs34311301 T C T EBF1_EBF_1 -5 0 - 0 0 . chr15 62067108 62067109 chr15:62067109:C:G chr15:62067109:C:G C G T EBF1_EBF_1 24 0 - 0 0 . chr15 62067124 62067125 chr15:62067125:C:T rs988205263 C T C EBF1_EBF_1 8 1 - 12.366557743035793 8.140267654149534 ATCCCCCAGGGAAT chr15 62067150 62067151 chr15:62067151:C:T rs8039651 C T C EBF1_EBF_1 -18 0 - 0 0 . chr15 62068735 62068736 chr15:62068736:C:T rs57359401 C T C EBF1_EBF_1 25 0 - 0 0 . chr15 62087771 62087772 chr15:62087772:A:G rs7177711 A G A EBF1_EBF_1 -9 0 + 0 0 . chr15 62164558 62164559 chr15:62164559:G:T chr15:62164559:G:T G T . EBF1_EBF_1 13 1 - 7.330018029506537 6.956272580220561 CCCCCCTGGGGACC chr15 62348251 62348252 chr15:62348252:C:A rs11071667 C A C EBF1_EBF_1 5 1 + 4.206054148611178 -2.6988270040239906 CCACCCAGGGGAGC chr15 62429015 62429016 chr15:62429016:A:G rs11635879 A G A EBF1_EBF_1 -3 0 - 0 0 . chr15 62465971 62465972 chr15:62465972:C:T rs151207567 C T C EBF1_EBF_1 10 1 - 5.606861851787684 0.28883339252071816 AGTCCCTCGCGACC chr15 62495369 62495370 chr15:62495370:G:A rs569427242 G A G EBF1_EBF_1 25 0 + 0 0 . chr15 62527796 62527797 chr15:62527797:T:G rs8030359 T G T EBF1_EBF_1 -18 0 - 0 0 . chr15 62548772 62548773 chr15:62548773:T:C rs57884824 T C C EBF1_EBF_1 -8 0 + 0 0 . chr15 62652248 62652249 chr15:62652249:G:C rs10775179 G C G EBF1_EBF_1 -11 0 + 0 0 . chr15 62695879 62695880 chr15:62695880:G:A rs8042804 G A G EBF1_EBF_1 12 1 + 5.395849252923807 6.814666595023285 CTTCCCATAGGAGC chr15 62743872 62743873 chr15:62743873:A:G rs16945618 A G A EBF1_EBF_1 -9 0 + 0 0 . chr15 62840635 62840636 chr15:62840636:C:T rs57314391 C T C EBF1_EBF_1 8 1 - 7.634599570917619 3.408309482031358 CATCCCCTGGGGCT chr15 62851051 62851052 chr15:62851052:G:A rs7162758 G A G EBF1_EBF_1 -16 0 - 0 0 . chr15 62856955 62856956 chr15:62856956:A:C rs580621 A C A EBF1_EBF_1 9 1 + 5.577801048722886 3.157051167825025 CTTCCCCAGAGGCC chr15 62868504 62868505 chr15:62868505:G:A rs4775554 G A A EBF1_EBF_1 -17 0 - 0 0 . chr15 62884815 62884816 chr15:62884816:T:C rs35700572 T C T EBF1_EBF_1 21 0 - 0 0 . chr15 62896492 62896493 chr15:62896493:G:T rs35446924 G T G EBF1_EBF_1 -18 0 - 0 0 . chr15 62938765 62938766 chr15:62938766:G:A rs8042790 G A G EBF1_EBF_1 -14 0 - 0 0 . chr15 62938765 62938766 chr15:62938766:G:T chr15:62938766:G:T G T G EBF1_EBF_1 -14 0 - 0 0 . chr15 62940376 62940377 chr15:62940377:C:T rs79617411 C T C EBF1_EBF_1 -11 0 + 0 0 . chr15 62958111 62958112 chr15:62958112:T:C rs4775571 T C C EBF1_EBF_1 -18 0 + 0 0 . chr15 62958134 62958135 chr15:62958135:C:G rs35317361 C G C EBF1_EBF_1 5 1 + 4.677946281139407 -2.2269348714957613 ACCCCCCTGGGCTC chr15 62968885 62968886 chr15:62968886:C:T rs16946185 C T T EBF1_EBF_1 24 0 - 0 0 . chr15 62976836 62976837 chr15:62976837:A:G rs4775585 A G G EBF1_EBF_1 0 1 + 4.653286854854031 2.7610029852891964 AGTCCCAGGAGCCC chr15 62985912 62985913 chr15:62985913:T:C rs72757509 T C T EBF1_EBF_1 -7 0 - 0 0 . chr15 62995453 62995454 chr15:62995454:G:A rs115267876 G A G EBF1_EBF_1 -3 0 - 0 0 . chr15 62999674 62999675 chr15:62999675:A:G rs12903994 A G A EBF1_EBF_1 7 1 + 8.181800988357262 6.869342583068437 CCTCCCCAGGGGCT chr15 63003921 63003922 chr15:63003922:C:T rs16946240 C T C EBF1_EBF_1 7 1 + 6.689619991449978 8.5071247377326 TATCCCCCGGGAAG chr15 63004542 63004543 chr15:63004543:G:A rs78429103 G A G EBF1_EBF_1 5 1 - 5.501684104365392 0.17989688882472066 GTCCCCCAGGGTCC chr15 63041419 63041420 chr15:63041420:A:G rs3809565 A G A EBF1_EBF_1 -7 0 - 0 0 . chr15 63041524 63041525 chr15:63041525:A:G rs3809566 A G G EBF1_EBF_1 11 1 + 6.686022862474256 3.793316018870244 TCCCCCTTGGGAGA chr15 63041525 63041526 chr15:63041526:G:T rs187449744 G T G EBF1_EBF_1 12 1 + 6.686022862474256 7.048392235601558 TCCCCCTTGGGAGA chr15 63068571 63068572 chr15:63068572:C:G rs142287645 C G C EBF1_EBF_1 -16 0 - 0 0 . chr15 63121916 63121917 chr15:63121917:G:A rs34925488 G A G EBF1_EBF_1 7 1 + 5.170290826195504 6.48274923148433 CGCCCCCGGGGGCT chr15 63121919 63121920 chr15:63121920:G:A rs139879323 G A G EBF1_EBF_1 10 1 + 5.170290826195504 -0.14773763307146281 CGCCCCCGGGGGCT chr15 63121920 63121921 chr15:63121921:G:T chr15:63121921:G:T G T G EBF1_EBF_1 11 1 + 5.170290826195504 3.965083413700789 CGCCCCCGGGGGCT chr15 63122462 63122463 chr15:63122463:A:T chr15:63122463:A:T A T A EBF1_EBF_1 18 0 + 0 0 . chr15 63157622 63157623 chr15:63157623:G:T rs28366053 G T G EBF1_EBF_1 27 0 - 0 0 . chr15 63157665 63157666 chr15:63157666:G:T rs8036501 G T G EBF1_EBF_1 -16 0 - 0 0 . chr15 63175914 63175915 chr15:63175915:T:C rs11071735 T C C EBF1_EBF_1 32 0 - 0 0 . chr15 63178217 63178218 chr15:63178218:C:G rs55831627 C G C EBF1_EBF_1 6 1 + 4.770469143758498 2.9652770802853006 CCTGCCCTGGGACT chr15 63291843 63291844 chr15:63291844:G:C rs59171519 G C G EBF1_EBF_1 21 0 - 0 0 . chr15 63362587 63362588 chr15:63362588:A:G rs16946916 A G A EBF1_EBF_1 -15 0 - 0 0 . chr15 63372549 63372550 chr15:63372550:G:A rs949268048 G A G EBF1_EBF_1 -16 0 - 0 0 . chr15 63405365 63405366 chr15:63405366:G:A rs78934404 G A G EBF1_EBF_1 14 0 - 0 0 . chr15 63474262 63474263 chr15:63474263:G:A rs73439199 G A G EBF1_EBF_1 9 1 + 6.308213281900586 3.6532740164529818 AACCCCAGGGGGCA chr15 63491209 63491210 chr15:63491210:C:T rs531513516 C T C EBF1_EBF_1 -13 0 + 0 0 . chr15 63504083 63504084 chr15:63504084:C:T rs933690868 C T C EBF1_EBF_1 -11 0 + 0 0 . chr15 63601044 63601045 chr15:63601045:G:T rs114092296 G T g EBF1_EBF_1 23 0 - 0 0 . chr15 63623345 63623346 chr15:63623346:C:T rs4603505 C T T EBF1_EBF_1 1 1 + 5.664702931166981 6.560136371565727 ACTCCCCTGGAATC chr15 63833107 63833108 chr15:63833108:G:A rs755962355 G A G EBF1_EBF_1 29 0 - 0 0 . chr15 63833606 63833607 chr15:63833607:C:G rs146651976 C G C EBF1_EBF_1 16 0 - 0 0 . chr15 63842129 63842130 chr15:63842130:G:A rs79055244 G A G EBF1_EBF_1 10 1 + 7.408134480551411 2.0901060212844444 ATTGCCTTGGGAAC chr15 63881091 63881092 chr15:63881092:C:G rs146293488 C G C EBF1_EBF_1 5 1 + 9.966382153247215 3.0615010006120467 AGCCCCCTGGGAAA chr15 63883714 63883715 chr15:63883715:G:T rs8041178 G T G EBF1_EBF_1 9 1 + 6.433270025042545 -0.4037193830462644 ATCCCCGAGGGTCT chr15 63891995 63891996 chr15:63891996:C:T rs141967043 C T C EBF1_EBF_1 6 1 - 4.554724717825586 6.721519149100062 CTCCCCGAGGGAGG chr15 63892585 63892586 chr15:63892586:G:T rs186571100 G T G EBF1_EBF_1 12 1 - 7.390534819918126 7.420716218339452 ACTCACATGGGACT chr15 63896452 63896453 chr15:63896453:C:A rs4776643 C A C EBF1_EBF_1 10 1 - 8.893311626598813 4.71055944037155 TTTCCCCAGGGATG chr15 63900539 63900540 chr15:63900540:G:A rs4620909 G A G EBF1_EBF_1 -10 0 - 0 0 . chr15 63902854 63902855 chr15:63902855:G:A rs72752952 G A G EBF1_EBF_1 17 0 - 0 0 . chr15 63933691 63933692 chr15:63933692:A:G rs11635291 A G a EBF1_EBF_1 7 1 + 5.39980864255641 4.087350237267586 ACCCCCTAGGGTTA chr15 63933708 63933709 chr15:63933709:T:C rs11630587 T C t EBF1_EBF_1 24 0 + 0 0 . chr15 63940081 63940082 chr15:63940082:A:G rs8028927 A G G EBF1_EBF_1 21 0 + 0 0 . chr15 63940910 63940911 chr15:63940911:C:T rs4238401 C T C EBF1_EBF_1 6 1 + 7.790707070702422 8.091993199564179 AGTCCCCTAGGATT chr15 63945561 63945562 chr15:63945562:T:G chr15:63945562:T:G T G T EBF1_EBF_1 1 1 + 5.988620856673968 5.282959070844442 GTTCCCCAGGAATT chr15 63971654 63971655 chr15:63971655:C:T rs7179912 C T C EBF1_EBF_1 2 1 + 10.596448789630204 12.485272201072354 ACCCCCCAGGGACC chr15 63981800 63981801 chr15:63981801:T:G rs11631058 T G T EBF1_EBF_1 30 0 - 0 0 . chr15 64009460 64009461 chr15:64009461:G:A rs118139034 G A G EBF1_EBF_1 9 1 + 4.3716129538801685 1.7166736884325653 GGCCCCAGGGGCCT chr15 64041406 64041407 chr15:64041407:C:A rs7173139 C A C EBF1_EBF_1 33 0 - 0 0 . chr15 64045080 64045081 chr15:64045081:T:C rs4776296 T C T EBF1_EBF_1 11 1 - 10.098413581897626 7.205706738293614 CTTCCCAAGGGAGC chr15 64093769 64093770 chr15:64093770:T:G rs111678513 T G T EBF1_EBF_1 -12 0 + 0 0 . chr15 64093778 64093779 chr15:64093779:A:C rs550787928 A C A EBF1_EBF_1 -3 0 + 0 0 . chr15 64093790 64093791 chr15:64093791:C:G rs199904809 C G C EBF1_EBF_1 9 1 + 4.916719231836655 9.99240837818212 CATCCCTGGCGACT chr15 64093811 64093812 chr15:64093812:C:T rs75061678 C T C EBF1_EBF_1 30 0 + 0 0 . chr15 64094366 64094367 chr15:64094367:C:T rs189104037 C T C EBF1_EBF_1 -2 0 + 0 0 . chr15 64095881 64095882 chr15:64095882:C:G rs12910521 C G C EBF1_EBF_1 31 0 - 0 0 . chr15 64095884 64095885 chr15:64095885:G:C rs28547198 G C C EBF1_EBF_1 28 0 - 0 0 . chr15 64097388 64097389 chr15:64097389:T:G rs113150841 T G T EBF1_EBF_1 -12 0 - 0 0 . chr15 64110299 64110300 chr15:64110300:A:T rs16947778 A T A EBF1_EBF_1 -4 0 + 0 0 . chr15 64145904 64145905 chr15:64145905:C:T rs72756905 C T C EBF1_EBF_1 -9 0 + 0 0 . chr15 64224700 64224701 chr15:64224701:A:G rs147237107 A G A EBF1_EBF_1 25 0 - 0 0 . chr15 64224729 64224730 chr15:64224730:A:C rs16947828 A C A EBF1_EBF_1 -4 0 - 0 0 . chr15 64572002 64572003 chr15:64572003:A:G rs1021255073 A G A EBF1_EBF_1 32 0 + 0 0 . chr15 64599933 64599934 chr15:64599934:C:T rs588379 C T C EBF1_EBF_1 31 0 - 0 0 . chr15 64680480 64680481 chr15:64680481:G:A rs12437786 G A G EBF1_EBF_1 -3 0 + 0 0 . chr15 64681580 64681581 chr15:64681581:C:G rs893127435 C G C EBF1_EBF_1 4 1 + 9.900884489325872 4.27511938817633 GTCCCCTAGGGACC chr15 64731522 64731523 chr15:64731523:C:T rs73457938 C T C EBF1_EBF_1 30 0 + 0 0 . chr15 64732004 64732005 chr15:64732005:G:A rs6494488 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 64775370 64775371 chr15:64775371:G:A rs769320229 G A - EBF1_EBF_1 12 1 - 4.1337692643271104 3.10750269377626 CTTCCCGCGGGGCC chr15 64842259 64842260 chr15:64842260:T:G chr15:64842260:T:G T G t EBF1_EBF_1 21 0 - 0 0 . chr15 64865555 64865556 chr15:64865556:C:G rs148450625 C G C EBF1_EBF_1 16 0 + 0 0 . chr15 64865568 64865569 chr15:64865569:A:T rs142633037 A T A EBF1_EBF_1 29 0 + 0 0 . chr15 64874855 64874856 chr15:64874856:A:G rs115775443 A G A EBF1_EBF_1 19 0 - 0 0 . chr15 64884098 64884099 chr15:64884099:C:G rs73469191 C G C EBF1_EBF_1 10 1 - 5.235582470762451 -1.6552199165670236 CCTCCCAGGAGAGC chr15 64896082 64896083 chr15:64896083:C:G rs16948351 C G C EBF1_EBF_1 -8 0 - 0 0 . chr15 64896083 64896084 chr15:64896084:C:T rs943470530 C T C EBF1_EBF_1 -9 0 - 0 0 . chr15 64899577 64899578 chr15:64899578:G:A rs35487660 G A G EBF1_EBF_1 1 1 - 7.770564790613838 8.665998231012583 ACTCCCATAGGACA chr15 64905726 64905727 chr15:64905727:G:A rs1379907898 G A G EBF1_EBF_1 -19 0 + 0 0 . chr15 64905747 64905748 chr15:64905748:T:A rs116637455 T A T EBF1_EBF_1 2 1 + 6.146197270977479 2.461729683378601 ACTCCCCTCGGAGT chr15 64906109 64906110 chr15:64906110:G:C rs1684051 G C C EBF1_EBF_1 28 0 - 0 0 . chr15 64936763 64936764 chr15:64936764:A:G rs56373690 A G A EBF1_EBF_1 -20 0 - 0 0 . chr15 64946689 64946690 chr15:64946690:C:T rs151234834 C T C EBF1_EBF_1 -10 0 + 0 0 . chr15 64950103 64950104 chr15:64950104:T:C rs56253822 T C T EBF1_EBF_1 -14 0 + 0 0 . chr15 64950132 64950133 chr15:64950133:C:G rs146330761 C G C EBF1_EBF_1 15 0 + 0 0 . chr15 64990107 64990108 chr15:64990108:G:A rs56016750 G A G EBF1_EBF_1 -13 0 + 0 0 . chr15 64990134 64990135 chr15:64990135:T:G rs28608888 T G T EBF1_EBF_1 14 0 + 0 0 . chr15 64997212 64997213 chr15:64997213:C:T rs76379382 C T C EBF1_EBF_1 -10 0 - 0 0 . chr15 65065152 65065153 chr15:65065153:G:A rs2232753 G A A EBF1_EBF_1 14 0 - 0 0 . chr15 65066584 65066585 chr15:65066585:A:C rs140895250 A C A EBF1_EBF_1 17 0 - 0 0 . chr15 65071781 65071782 chr15:65071782:G:A rs144862856 G A G EBF1_EBF_1 32 0 - 0 0 . chr15 65071809 65071810 chr15:65071810:G:C rs79779732 G C G EBF1_EBF_1 4 1 - 8.641709319980793 3.015944218831251 ACTCCCAGGGGGCC chr15 65092313 65092314 chr15:65092314:C:T rs559906835 C T C EBF1_EBF_1 -15 0 - 0 0 . chr15 65184616 65184617 chr15:65184617:C:T rs948550845 C T C EBF1_EBF_1 -1 0 - 0 0 . chr15 65184936 65184937 chr15:65184937:T:G rs966631124 T G T EBF1_EBF_1 22 0 + 0 0 . chr15 65201873 65201874 chr15:65201874:A:G rs2073711 A G A EBF1_EBF_1 -16 0 - 0 0 . chr15 65228684 65228685 chr15:65228685:A:G rs6494513 A G A EBF1_EBF_1 -19 0 + 0 0 . chr15 65246260 65246261 chr15:65246261:G:A rs543697431 G A G EBF1_EBF_1 3 1 - 5.566761510176232 -0.8386372313770845 ATCCCCTAGAGCCT chr15 65286807 65286808 chr15:65286808:G:A rs974243021 G A G EBF1_EBF_1 -5 0 + 0 0 . chr15 65286825 65286826 chr15:65286826:C:A chr15:65286826:C:A C A C EBF1_EBF_1 13 1 + 6.611236593727912 6.237491144441936 AGCCCCCGGGGGAC chr15 65286826 65286827 chr15:65286827:G:A rs536236219 G A G EBF1_EBF_1 13 1 + 4.098443429947933 5.212769002017372 GCCCCCGGGGGACG chr15 65337306 65337307 chr15:65337307:G:A rs183999657 G A G EBF1_EBF_1 4 1 - 5.986770054533192 1.6173831095882683 ACTCCCAGGAGGCC chr15 65337843 65337844 chr15:65337844:T:G rs581427 T G G EBF1_EBF_1 -12 0 + 0 0 . chr15 65365588 65365589 chr15:65365589:C:A rs73470883 C A C EBF1_EBF_1 4 1 + 4.769588812107645 -1.0549708113458227 TGCCCCCAGAGAGC chr15 65386126 65386127 chr15:65386127:T:C chr15:65386127:T:C T C T EBF1_EBF_1 22 0 - 0 0 . chr15 65393629 65393630 chr15:65393630:G:A rs11638647 G A G EBF1_EBF_1 13 1 - 4.275031413507554 5.119525528093072 GCTCCCACGGGCAC chr15 65405934 65405935 chr15:65405935:G:A rs115555903 G A G EBF1_EBF_1 -14 0 + 0 0 . chr15 65417387 65417388 chr15:65417388:A:C rs997330021 A C A EBF1_EBF_1 -15 0 + 0 0 . chr15 65421913 65421914 chr15:65421914:C:T rs112265757 C T C EBF1_EBF_1 33 0 + 0 0 . chr15 65550994 65550995 chr15:65550995:C:T rs1003103 C T C EBF1_EBF_1 22 0 - 0 0 . chr15 65611543 65611544 chr15:65611544:G:A rs62013112 G A G EBF1_EBF_1 25 0 + 0 0 . chr15 65624188 65624189 chr15:65624189:A:T rs3743171 A T A EBF1_EBF_1 -18 0 + 0 0 . chr15 65821325 65821326 chr15:65821326:A:C rs2727087 A C C EBF1_EBF_1 -13 0 + 0 0 . chr15 65832775 65832776 chr15:65832776:A:G rs190031385 A G A EBF1_EBF_1 -5 0 + 0 0 . chr15 65917214 65917215 chr15:65917215:G:C rs112590305 G C G EBF1_EBF_1 27 0 - 0 0 . chr15 65940451 65940452 chr15:65940452:T:C rs28582399 T C C EBF1_EBF_1 21 0 - 0 0 . chr15 65947428 65947429 chr15:65947429:G:A rs61120158 G A G EBF1_EBF_1 -4 0 - 0 0 . chr15 65979521 65979522 chr15:65979522:G:A rs1221467782 G A G EBF1_EBF_1 -5 0 - 0 0 . chr15 65981690 65981691 chr15:65981691:A:G rs748203 A G A EBF1_EBF_1 13 1 - 8.289340677366031 7.444846562780512 GTTCCCCAGAGAGT chr15 65983207 65983208 chr15:65983208:G:C rs17239740 G C G EBF1_EBF_1 32 0 - 0 0 . chr15 66047839 66047840 chr15:66047840:C:A rs333605 C A C EBF1_EBF_1 -5 0 + 0 0 . chr15 66080138 66080139 chr15:66080139:G:A rs8035662 G A G EBF1_EBF_1 -9 0 + 0 0 . chr15 66092777 66092778 chr15:66092778:C:T rs117492424 C T C EBF1_EBF_1 5 1 + 5.776286105872565 0.4544988903318949 GGCCCCGGGGGACC chr15 66092786 66092787 chr15:66092787:C:T rs566185509 C T C EBF1_EBF_1 14 0 + 0 0 . chr15 66093878 66093879 chr15:66093879:C:T rs12900856 C T C EBF1_EBF_1 26 0 + 0 0 . chr15 66095915 66095916 chr15:66095916:T:C rs559636183 T C T EBF1_EBF_1 0 1 + 5.735242838209174 5.453040777478596 TGACCCCGGGGAAC chr15 66095922 66095923 chr15:66095923:G:A rs8035864 G A G EBF1_EBF_1 7 1 + 5.735242838209174 7.047701243497999 TGACCCCGGGGAAC chr15 66104683 66104684 chr15:66104684:A:G rs6494552 A G A EBF1_EBF_1 31 0 - 0 0 . chr15 66109918 66109919 chr15:66109919:C:T rs4776731 C T T EBF1_EBF_1 -5 0 - 0 0 . chr15 66135628 66135629 chr15:66135629:G:C rs12442373 G C C EBF1_EBF_1 8 1 + 6.069925559189548 0.7512666982748617 TGTCCCAGGAGATC chr15 66151397 66151398 chr15:66151398:A:G rs74021651 A G A EBF1_EBF_1 20 0 + 0 0 . chr15 66151408 66151409 chr15:66151409:A:G rs11071860 A G A EBF1_EBF_1 31 0 + 0 0 . chr15 66181705 66181706 chr15:66181706:C:T rs4776758 C T C EBF1_EBF_1 5 1 + 6.400739416972403 1.0789522014317325 CCACCCTTGGGAAC chr15 66202542 66202543 chr15:66202543:T:C rs28688502 T C C EBF1_EBF_1 1 1 - 4.652575843288417 4.91327467520972 CACCCCTAGGGGTC chr15 66216975 66216976 chr15:66216976:T:A rs59868486 T A A EBF1_EBF_1 -10 0 + 0 0 . chr15 66216988 66216989 chr15:66216989:C:G rs75342967 C G C EBF1_EBF_1 3 1 + 9.000085370880758 3.1723209227655413 CACCCCATGGGACT chr15 66232408 66232409 chr15:66232409:C:T rs34026282 C T C EBF1_EBF_1 32 0 - 0 0 . chr15 66244906 66244907 chr15:66244907:T:C rs1399013 T C C EBF1_EBF_1 20 0 - 0 0 . chr15 66255302 66255303 chr15:66255303:G:A rs1871006 G A G EBF1_EBF_1 27 0 + 0 0 . chr15 66400789 66400790 chr15:66400790:A:G rs10851758 A G G EBF1_EBF_1 -3 0 + 0 0 . chr15 66404719 66404720 chr15:66404720:G:A rs1025453 G A A EBF1_EBF_1 12 1 - 4.951311151138533 3.9250445805876835 TCTTCCCAGGGACT chr15 66567667 66567668 chr15:66567668:G:A rs56311235 G A G EBF1_EBF_1 20 0 - 0 0 . chr15 66587980 66587981 chr15:66587981:C:T rs988903207 C T C EBF1_EBF_1 28 0 + 0 0 . chr15 66587981 66587982 chr15:66587982:T:C rs2469093 T C T EBF1_EBF_1 29 0 + 0 0 . chr15 66591609 66591610 chr15:66591610:T:G rs34938911 T G T EBF1_EBF_1 29 0 - 0 0 . chr15 66619517 66619518 chr15:66619518:T:G chr15:66619518:T:G T G T EBF1_EBF_1 21 0 - 0 0 . chr15 66619519 66619520 chr15:66619520:G:A rs78056355 G A G EBF1_EBF_1 19 0 - 0 0 . chr15 66621958 66621959 chr15:66621959:A:G chr15:66621959:A:G A G A EBF1_EBF_1 7 1 + 3.744061393120348 2.431602987831523 GCCCCCCAGGGCGT chr15 66622988 66622989 chr15:66622989:C:T rs80184818 C T C EBF1_EBF_1 -8 0 + 0 0 . chr15 66632138 66632139 chr15:66632139:G:A rs59256765 G A G EBF1_EBF_1 33 0 + 0 0 . chr15 66632561 66632562 chr15:66632562:C:T rs76378538 C T C EBF1_EBF_1 -19 0 + 0 0 . chr15 66632568 66632569 chr15:66632569:G:T rs117151114 G T G EBF1_EBF_1 -12 0 + 0 0 . chr15 66647660 66647661 chr15:66647661:C:T rs116783670 C T C EBF1_EBF_1 -9 0 - 0 0 . chr15 66654767 66654768 chr15:66654768:A:T rs4776813 A T A EBF1_EBF_1 0 1 + 6.269645114088255 4.296588691122232 AGTTCCCAGGGACC chr15 66655342 66655343 chr15:66655343:G:T rs76135874 G T G EBF1_EBF_1 -19 0 - 0 0 . chr15 66680051 66680052 chr15:66680052:T:C rs2469154 T C C EBF1_EBF_1 -7 0 + 0 0 . chr15 66683810 66683811 chr15:66683811:G:T rs2414910 G T G EBF1_EBF_1 12 1 + 4.553357472560314 4.915726845687615 ACTCCAAAGGGAGC chr15 66683819 66683820 chr15:66683820:C:T rs2439420 C T C EBF1_EBF_1 21 0 + 0 0 . chr15 66700625 66700626 chr15:66700626:C:G rs8042578 C G C EBF1_EBF_1 6 1 + 6.071901655809325 4.2667095923361265 TATCTCCAGGGACC chr15 66700934 66700935 chr15:66700935:G:A rs545249351 G A G EBF1_EBF_1 -20 0 + 0 0 . chr15 66700985 66700986 chr15:66700986:C:G rs373949045 C G C EBF1_EBF_1 31 0 + 0 0 . chr15 66704824 66704825 chr15:66704825:C:G rs12902065 C G C EBF1_EBF_1 24 0 - 0 0 . chr15 66722482 66722483 chr15:66722483:T:C rs7180324 T C T EBF1_EBF_1 -4 0 + 0 0 . chr15 66722822 66722823 chr15:66722823:A:G rs2469119 A G G EBF1_EBF_1 -3 0 - 0 0 . chr15 66725371 66725372 chr15:66725372:C:T rs939869874 C T C EBF1_EBF_1 9 1 - 4.429134293810322 1.7741950283627177 CCTCCCTGGGGCCA chr15 66754297 66754298 chr15:66754298:G:A rs78731134 G A G EBF1_EBF_1 3 1 + 6.521010989536915 5.4426014081863245 ACTGCCTGGGGAAT chr15 66761734 66761735 chr15:66761735:A:G rs7180265 A G G EBF1_EBF_1 -16 0 + 0 0 . chr15 66763424 66763425 chr15:66763425:A:G rs571018401 A G A EBF1_EBF_1 -20 0 - 0 0 . chr15 66784701 66784702 chr15:66784702:T:C rs118141141 T C C EBF1_EBF_1 -2 0 + 0 0 . chr15 66796515 66796516 chr15:66796516:A:G rs11071916 A G A EBF1_EBF_1 0 1 + 6.584532053138184 4.692248183573351 ACTCACAGGGGAAT chr15 66802428 66802429 chr15:66802429:T:C rs34100135 T C T EBF1_EBF_1 -9 0 - 0 0 . chr15 66820774 66820775 chr15:66820775:G:A rs6494604 G A G EBF1_EBF_1 -9 0 - 0 0 . chr15 66821286 66821287 chr15:66821287:A:G rs7495343 A G G EBF1_EBF_1 33 0 - 0 0 . chr15 66826021 66826022 chr15:66826022:A:G rs2091822 A G a EBF1_EBF_1 32 0 - 0 0 . chr15 66826032 66826033 chr15:66826033:C:G rs74434967 C G C EBF1_EBF_1 21 0 - 0 0 . chr15 66834889 66834890 chr15:66834890:C:T rs80263963 C T c EBF1_EBF_1 14 0 + 0 0 . chr15 66835223 66835224 chr15:66835224:T:A rs56384969 T A T EBF1_EBF_1 24 0 - 0 0 . chr15 66836730 66836731 chr15:66836731:G:C rs17272675 G C g EBF1_EBF_1 13 1 - 5.859975751155059 4.3719047297996445 AGCCCCAAGGAAAC chr15 66842720 66842721 chr15:66842721:A:G rs28521380 A G - EBF1_EBF_1 -1 0 - 0 0 . chr15 66845995 66845996 chr15:66845996:G:A rs1987316 G A G EBF1_EBF_1 1 1 + 6.353675319531719 6.092976487610417 AGACCCAAGAGAAA chr15 66848933 66848934 chr15:66848934:C:T rs28570970 C T C EBF1_EBF_1 -15 0 - 0 0 . chr15 66850610 66850611 chr15:66850611:C:G rs72741011 C G C EBF1_EBF_1 -8 0 + 0 0 . chr15 66876546 66876547 chr15:66876547:C:T rs80076171 C T C EBF1_EBF_1 16 0 - 0 0 . chr15 66876885 66876886 chr15:66876886:C:A rs77147996 C A C EBF1_EBF_1 16 0 + 0 0 . chr15 66876889 66876890 chr15:66876890:T:C rs114550150 T C T EBF1_EBF_1 20 0 + 0 0 . chr15 66877774 66877775 chr15:66877775:G:A rs4077234 G A G EBF1_EBF_1 10 1 + 5.7344445255304 0.41641606626343425 AGTCCCCTGCGAGC chr15 66900836 66900837 chr15:66900837:A:G rs3101487 A G G EBF1_EBF_1 1 1 + 5.789699595078746 6.050398427000048 CATCTCCAGGGACC chr15 66919669 66919670 chr15:66919670:C:A rs190313898 C A C EBF1_EBF_1 15 0 + 0 0 . chr15 66927860 66927861 chr15:66927861:C:T rs266363 C T T EBF1_EBF_1 23 0 + 0 0 . chr15 66932146 66932147 chr15:66932147:A:G rs266368 A G G EBF1_EBF_1 8 1 + 6.777290565722926 11.003580654609186 CATCCCCAAGGACT chr15 66932153 66932154 chr15:66932154:C:A rs62005928 C A C EBF1_EBF_1 15 0 + 0 0 . chr15 66936525 66936526 chr15:66936526:G:T rs79689375 G T G EBF1_EBF_1 8 1 + 6.529214058251552 -0.36221873072564276 ATTTCCCTGGGAAC chr15 66960105 66960106 chr15:66960106:T:C rs12438061 T C C EBF1_EBF_1 -9 0 - 0 0 . chr15 66961944 66961945 chr15:66961945:C:T rs57277847 C T C EBF1_EBF_1 -10 0 - 0 0 . chr15 67000501 67000502 chr15:67000502:G:A rs2927179 G A A EBF1_EBF_1 -15 0 + 0 0 . chr15 67013739 67013740 chr15:67013740:T:C rs181832431 T C T EBF1_EBF_1 16 0 + 0 0 . chr15 67023968 67023969 chr15:67023969:T:G rs8039785 T G G EBF1_EBF_1 22 0 + 0 0 . chr15 67039044 67039045 chr15:67039045:C:A rs8023420 C A T EBF1_EBF_1 -18 0 + 0 0 . chr15 67048743 67048744 chr15:67048744:C:T rs112859357 C T C EBF1_EBF_1 -9 0 + 0 0 . chr15 67054931 67054932 chr15:67054932:G:A rs67535429 G A G EBF1_EBF_1 -14 0 - 0 0 . chr15 67076320 67076321 chr15:67076321:C:T rs28613758 C T T EBF1_EBF_1 23 0 - 0 0 . chr15 67085684 67085685 chr15:67085685:A:G rs58419901 A G A EBF1_EBF_1 14 0 + 0 0 . chr15 67085694 67085695 chr15:67085695:A:G rs11856909 A G G EBF1_EBF_1 24 0 + 0 0 . chr15 67089597 67089598 chr15:67089598:G:A rs116542540 G A G EBF1_EBF_1 11 1 - 5.580665689200524 5.898713130954189 CTCCCCATGGGCAT chr15 67093612 67093613 chr15:67093613:A:C rs190035753 A C A EBF1_EBF_1 19 0 - 0 0 . chr15 67093636 67093637 chr15:67093637:A:G rs881861 A G a EBF1_EBF_1 -5 0 - 0 0 . chr15 67098568 67098569 chr15:67098569:C:T rs767466481 C T C EBF1_EBF_1 9 1 + 6.42859217672115 4.667291914977804 ACTCCCTGGCGAAC chr15 67099466 67099467 chr15:67099467:T:A rs9972423 T A T EBF1_EBF_1 30 0 + 0 0 . chr15 67106877 67106878 chr15:67106878:G:A rs113514844 G A G EBF1_EBF_1 -19 0 + 0 0 . chr15 67106919 67106920 chr15:67106920:G:T rs752198882 G T G EBF1_EBF_1 23 0 + 0 0 . chr15 67107262 67107263 chr15:67107263:A:G rs148900142 A G A EBF1_EBF_1 -14 0 + 0 0 . chr15 67110189 67110190 chr15:67110190:C:T rs62006018 C T T EBF1_EBF_1 -18 0 - 0 0 . chr15 67121482 67121483 chr15:67121483:G:C rs79868654 G C G EBF1_EBF_1 -14 0 - 0 0 . chr15 67121482 67121483 chr15:67121483:G:T chr15:67121483:G:T G T G EBF1_EBF_1 -14 0 - 0 0 . chr15 67126066 67126067 chr15:67126067:C:G rs117471927 C G C EBF1_EBF_1 20 0 - 0 0 . chr15 67162039 67162040 chr15:67162040:T:G rs79483120 T G T EBF1_EBF_1 -9 0 - 0 0 . chr15 67191790 67191791 chr15:67191791:A:G rs569581429 A G A EBF1_EBF_1 -15 0 + 0 0 . chr15 67207991 67207992 chr15:67207992:T:C rs4776905 T C T EBF1_EBF_1 -2 0 + 0 0 . chr15 67208003 67208004 chr15:67208004:G:A rs760505673 G A G EBF1_EBF_1 10 1 + 6.069168574696153 0.7511401154291879 GTTCCCCAGCGAAA chr15 67230704 67230705 chr15:67230705:A:G rs12900758 A G g EBF1_EBF_1 -11 0 - 0 0 . chr15 67433533 67433534 chr15:67433534:C:G rs62016030 C G C EBF1_EBF_1 -5 0 + 0 0 . chr15 67433558 67433559 chr15:67433559:A:G rs79171522 A G A EBF1_EBF_1 20 0 + 0 0 . chr15 67521716 67521717 chr15:67521717:C:T rs547735233 C T C EBF1_EBF_1 -14 0 - 0 0 . chr15 67587079 67587080 chr15:67587080:G:A rs28730805 G A G EBF1_EBF_1 24 0 - 0 0 . chr15 67670898 67670899 chr15:67670899:G:A rs141679994 G A G EBF1_EBF_1 -6 0 + 0 0 . chr15 67737231 67737232 chr15:67737232:A:G rs12592101 A G A EBF1_EBF_1 12 1 - 7.5971257961133345 8.623392366664183 TCCCCCCAGGGATC chr15 67799740 67799741 chr15:67799741:G:A rs2045960 G A G EBF1_EBF_1 -10 0 + 0 0 . chr15 67804301 67804302 chr15:67804302:G:A chr15:67804302:G:A G A G EBF1_EBF_1 5 1 - 5.26518391349328 -0.056603302047390835 AATCACAGGGGACA chr15 67834802 67834803 chr15:67834803:A:G rs1478941 A G G EBF1_EBF_1 16 0 + 0 0 . chr15 67835731 67835732 chr15:67835732:G:T rs62015253 G T G EBF1_EBF_1 10 1 + 6.2234764629570005 2.0407242767297364 AGTCCCTAGAGTCC chr15 67836957 67836958 chr15:67836958:C:G rs1478943 C G C EBF1_EBF_1 32 0 + 0 0 . chr15 67841273 67841274 chr15:67841274:C:T rs553050419 C T C EBF1_EBF_1 8 1 - 5.445573957284993 1.2192838683987326 ATCCCCCAGGCACT chr15 67841278 67841279 chr15:67841279:G:A rs12917587 G A G EBF1_EBF_1 3 1 - 5.445573957284993 -0.9598247842683234 ATCCCCCAGGCACT chr15 67843838 67843839 chr15:67843839:C:T rs4258559 C T T EBF1_EBF_1 12 1 + 6.028877198289011 5.002610627738161 GTTGCCCTGGGACT chr15 67860561 67860562 chr15:67860562:C:T rs117450874 C T C EBF1_EBF_1 5 1 + 7.397973625765757 2.0761864102250875 TTTCCCTGGAGAAA chr15 67917806 67917807 chr15:67917807:C:T rs8025791 C T C EBF1_EBF_1 2 1 + 5.271877836387855 7.160701247830004 TGCCCCCAGGGCAT chr15 67922515 67922516 chr15:67922516:C:T rs12148770 C T C EBF1_EBF_1 10 1 - 7.779021398633845 2.4609929393668786 AAACCCAGGGGACC chr15 67944410 67944411 chr15:67944411:G:A rs76164334 G A G EBF1_EBF_1 14 0 - 0 0 . chr15 67961612 67961613 chr15:67961613:C:T rs338402 C T T EBF1_EBF_1 -3 0 + 0 0 . chr15 67967875 67967876 chr15:67967876:G:T rs338388 G T G EBF1_EBF_1 8 1 + 5.280276356019834 -1.6111564329573609 ATACCCCAGGGCCC chr15 67967893 67967894 chr15:67967894:T:G rs55828115 T G T EBF1_EBF_1 26 0 + 0 0 . chr15 68016536 68016537 chr15:68016537:C:G rs60829364 C G G EBF1_EBF_1 27 0 + 0 0 . chr15 68026257 68026258 chr15:68026258:A:G rs146516949 A G A EBF1_EBF_1 23 0 + 0 0 . chr15 68054805 68054806 chr15:68054806:T:C rs113185252 T C T EBF1_EBF_1 -2 0 + 0 0 . chr15 68054810 68054811 chr15:68054811:C:T rs544368662 C T C EBF1_EBF_1 3 1 + 6.726978680093841 0.3215799385405234 AGACCCCCGGGAAC chr15 68199421 68199422 chr15:68199422:G:A rs35964710 G A G EBF1_EBF_1 -1 0 + 0 0 . chr15 68218166 68218167 chr15:68218167:G:A rs947047904 G A G EBF1_EBF_1 33 0 + 0 0 . chr15 68257244 68257245 chr15:68257245:G:A rs559018487 G A G EBF1_EBF_1 -14 0 - 0 0 . chr15 68257353 68257354 chr15:68257354:A:G rs574012321 A G A EBF1_EBF_1 0 1 + 4.842144118972238 2.9498602494074038 ACCCTCTGGGGACA chr15 68257361 68257362 chr15:68257362:G:A rs376475196 G A G EBF1_EBF_1 8 1 + 4.842144118972238 0.6158540300859758 ACCCTCTGGGGACA chr15 68257365 68257366 chr15:68257366:C:A rs945282472 C A C EBF1_EBF_1 12 1 + 4.842144118972238 4.872325517393564 ACCCTCTGGGGACA chr15 68302522 68302523 chr15:68302523:G:A rs1122247 G A G EBF1_EBF_1 -3 0 + 0 0 . chr15 68306730 68306731 chr15:68306731:T:C rs9672383 T C T EBF1_EBF_1 29 0 - 0 0 . chr15 68306755 68306756 chr15:68306756:G:A rs116407905 G A G EBF1_EBF_1 4 1 - 4.78316473681275 0.41377779186782687 CCACCCCAGGGAGA chr15 68311478 68311479 chr15:68311479:G:T rs2271729 G T G EBF1_EBF_1 12 1 - 6.2220503995630265 6.2522317979843525 CACCCCCAGGGGCT chr15 68311497 68311498 chr15:68311498:C:T rs141060751 C T C EBF1_EBF_1 -7 0 - 0 0 . chr15 68316452 68316453 chr15:68316453:T:C rs144712378 T C T EBF1_EBF_1 28 0 + 0 0 . chr15 68319132 68319133 chr15:68319133:G:A rs76455347 G A G EBF1_EBF_1 16 0 + 0 0 . chr15 68331836 68331837 chr15:68331837:C:T rs7167822 C T C EBF1_EBF_1 -2 0 + 0 0 . chr15 68345762 68345763 chr15:68345763:C:T rs28469639 C T C EBF1_EBF_1 -12 0 + 0 0 . chr15 68366600 68366601 chr15:68366601:G:C chr15:68366601:G:C G C G EBF1_EBF_1 26 0 + 0 0 . chr15 68368069 68368070 chr15:68368070:A:G rs8042862 A G A EBF1_EBF_1 19 0 - 0 0 . chr15 68370260 68370261 chr15:68370261:C:T rs11856183 C T T EBF1_EBF_1 9 1 - 7.152437711853595 4.4974984464059915 TTTCCCTAGGTACA chr15 68371008 68371009 chr15:68371009:T:C rs72743279 T C T EBF1_EBF_1 -20 0 + 0 0 . chr15 68375958 68375959 chr15:68375959:C:T rs12914609 C T C EBF1_EBF_1 1 1 + 7.056522289837701 7.951955730236447 CCTCCCTTGAGAAA chr15 68376477 68376478 chr15:68376478:G:T rs7183704 G T G EBF1_EBF_1 27 0 + 0 0 . chr15 68386489 68386490 chr15:68386490:G:A rs74898005 G A G EBF1_EBF_1 12 1 - 5.35867323024005 4.3324066596892 ACTCCCCAGAGTCA chr15 68389404 68389405 chr15:68389405:G:A rs148938320 G A G EBF1_EBF_1 -7 0 - 0 0 . chr15 68390479 68390480 chr15:68390480:C:T rs78063008 C T C EBF1_EBF_1 15 0 - 0 0 . chr15 68405034 68405035 chr15:68405035:C:T rs72745268 C T C EBF1_EBF_1 -16 0 + 0 0 . chr15 68405041 68405042 chr15:68405042:G:A rs370627780 G A C EBF1_EBF_1 -9 0 + 0 0 . chr15 68406534 68406535 chr15:68406535:G:C rs59747683 G C G EBF1_EBF_1 -9 0 + 0 0 . chr15 68413710 68413711 chr15:68413711:T:C rs28713626 T C T EBF1_EBF_1 6 1 + 5.439582339893986 5.13829621103223 GTTCCCTTGGGCAG chr15 68422400 68422401 chr15:68422401:C:T rs6494744 C T C EBF1_EBF_1 -12 0 + 0 0 . chr15 68430893 68430894 chr15:68430894:G:A rs1077731 G A G EBF1_EBF_1 -1 0 - 0 0 . chr15 68441706 68441707 chr15:68441707:T:C rs12439415 T C T EBF1_EBF_1 -2 0 - 0 0 . chr15 68441716 68441717 chr15:68441717:A:C rs12442318 A C C EBF1_EBF_1 -12 0 - 0 0 . chr15 68462787 68462788 chr15:68462788:C:T rs4777055 C T T EBF1_EBF_1 10 1 - 5.222970795540426 -0.09505766372654101 GGTCCCAAGAGGCA chr15 68462792 68462793 chr15:68462793:G:T rs4777056 G T T EBF1_EBF_1 5 1 - 5.222970795540426 -1.681910357094743 GGTCCCAAGAGGCA chr15 68497262 68497263 chr15:68497263:A:C rs72747050 A C A EBF1_EBF_1 26 0 - 0 0 . chr15 68497263 68497264 chr15:68497264:C:T rs72747053 C T C EBF1_EBF_1 25 0 - 0 0 . chr15 68518693 68518694 chr15:68518694:A:G rs62002075 A G A EBF1_EBF_1 20 0 - 0 0 . chr15 68551081 68551082 chr15:68551082:G:T rs62002102 G T G EBF1_EBF_1 -4 0 - 0 0 . chr15 68551325 68551326 chr15:68551326:G:C rs62002103 G C C EBF1_EBF_1 -5 0 + 0 0 . chr15 68552446 68552447 chr15:68552447:C:T rs142629279 C T C EBF1_EBF_1 12 1 + 9.580422275983643 8.554155705432795 ACTCCCAAGGGGCA chr15 68559714 68559715 chr15:68559715:G:C rs147535460 G C G EBF1_EBF_1 4 1 - 7.360942727412433 1.735177626262887 GTCCCCCTGGGAGA chr15 68559723 68559724 chr15:68559724:G:C rs117486863 G C G EBF1_EBF_1 -5 0 - 0 0 . chr15 68567575 68567576 chr15:68567576:A:G rs687209 A G A EBF1_EBF_1 16 0 + 0 0 . chr15 68569877 68569878 chr15:68569878:G:A rs554662915 G A G EBF1_EBF_1 9 1 + 4.753540880566651 2.0986016151190476 CTTGCCCAGGGAGT chr15 68579436 68579437 chr15:68579437:G:T rs73440291 G T G EBF1_EBF_1 0 1 + 5.512140600333845 5.431368046932657 GGCCCCCAAGGACT chr15 68596436 68596437 chr15:68596437:C:T rs16952287 C T C EBF1_EBF_1 23 0 + 0 0 . chr15 68609967 68609968 chr15:68609968:T:C rs12904875 T C T EBF1_EBF_1 23 0 + 0 0 . chr15 68617156 68617157 chr15:68617157:T:G rs56257732 T G T EBF1_EBF_1 19 0 - 0 0 . chr15 68617175 68617176 chr15:68617176:T:C rs55725109 T C C EBF1_EBF_1 0 1 - 5.298632401744038 3.406348532179204 ATTCCCTGGAGCCC chr15 68617180 68617181 chr15:68617181:T:C rs56327959 T C C EBF1_EBF_1 -5 0 - 0 0 . chr15 68627518 68627519 chr15:68627519:G:A rs28477950 G A G EBF1_EBF_1 2 1 - 7.6880428855391605 9.576866296981311 AACCCCAAGAGAGT chr15 68631774 68631775 chr15:68631775:C:T rs148804596 C T C EBF1_EBF_1 -17 0 - 0 0 . chr15 68635497 68635498 chr15:68635498:G:A rs563984957 G A G EBF1_EBF_1 33 0 + 0 0 . chr15 68637763 68637764 chr15:68637764:G:C rs893845 G C C EBF1_EBF_1 14 0 - 0 0 . chr15 68642341 68642342 chr15:68642342:G:A rs12911725 G A G EBF1_EBF_1 9 1 + 6.041572791343262 3.3866335258956592 GTACCCCAGGGAGA chr15 68646291 68646292 chr15:68646292:G:C rs28526517 G C G EBF1_EBF_1 -19 0 - 0 0 . chr15 68655975 68655976 chr15:68655976:G:A rs76863230 G A G EBF1_EBF_1 -1 0 - 0 0 . chr15 68700579 68700580 chr15:68700580:C:T rs8023344 C T C EBF1_EBF_1 4 1 + 9.077234428756007 4.707847483811084 CTTCCCCAGGGGCT chr15 68725749 68725750 chr15:68725750:G:A rs1310366204 G A G EBF1_EBF_1 -19 0 + 0 0 . chr15 68730406 68730407 chr15:68730407:C:T rs28618154 C T C EBF1_EBF_1 -15 0 + 0 0 . chr15 68732461 68732462 chr15:68732462:T:C rs4485297 T C C EBF1_EBF_1 6 1 - 10.14694345853897 7.9801490272644955 CATCCCAAGGGACA chr15 68775982 68775983 chr15:68775983:G:C chr15:68775983:G:C G C G EBF1_EBF_1 1 1 + 6.179663811921129 5.989892157351913 TGTCCCAGGGGCCT chr15 68775995 68775996 chr15:68775996:A:G rs74020504 A G G EBF1_EBF_1 14 0 + 0 0 . chr15 68781021 68781022 chr15:68781022:T:G rs28587923 T G T EBF1_EBF_1 -6 0 + 0 0 . chr15 68818707 68818708 chr15:68818708:C:A rs372384205 C A C EBF1_EBF_1 -19 0 - 0 0 . chr15 68925373 68925374 chr15:68925374:C:T rs12901663 C T c EBF1_EBF_1 -15 0 - 0 0 . chr15 68998543 68998544 chr15:68998544:C:T rs181503072 C T C EBF1_EBF_1 9 1 - 6.209511084772037 3.5545718193244333 ACCCCCCGGGGGTT chr15 69037282 69037283 chr15:69037283:G:A rs34924807 G A G EBF1_EBF_1 -13 0 + 0 0 . chr15 69064438 69064439 chr15:69064439:A:G rs118058981 A G A EBF1_EBF_1 15 0 + 0 0 . chr15 69104931 69104932 chr15:69104932:T:C rs4551983 T C C EBF1_EBF_1 0 1 - 9.177195527851936 7.2849116582871005 ACTCCCCAGGTAAT chr15 69125570 69125571 chr15:69125571:A:T rs8041602 A T T EBF1_EBF_1 7 1 + 4.63439826792736 4.158124027839802 TCCCCCAAGGGGAG chr15 69125670 69125671 chr15:69125671:C:T rs8041898 C T C EBF1_EBF_1 -19 0 - 0 0 . chr15 69131689 69131690 chr15:69131690:C:T rs150914642 C T C EBF1_EBF_1 10 1 - 6.010696642646947 0.6926681833799814 TTTCCCTGGGGCAC chr15 69288176 69288177 chr15:69288177:C:G rs7171160 C G G EBF1_EBF_1 -6 0 - 0 0 . chr15 69291181 69291182 chr15:69291182:A:C rs7178924 A C C EBF1_EBF_1 13 1 - 6.205839845562434 3.8732747096215014 TTCCCCCTGAGATT chr15 69298417 69298418 chr15:69298418:G:T rs76448631 G T G EBF1_EBF_1 -3 0 - 0 0 . chr15 69298884 69298885 chr15:69298885:C:T rs375994112 C T C EBF1_EBF_1 25 0 + 0 0 . chr15 69303068 69303069 chr15:69303069:C:T rs7176610 C T C EBF1_EBF_1 -12 0 + 0 0 . chr15 69319524 69319525 chr15:69319525:G:A rs145215811 G A G EBF1_EBF_1 8 1 + 8.631865496382797 4.405575407496537 CACCCCAAGGGACC chr15 69325956 69325957 chr15:69325957:G:A rs1156855 G A G EBF1_EBF_1 -3 0 + 0 0 . chr15 69329060 69329061 chr15:69329061:G:A rs28620268 G A G EBF1_EBF_1 22 0 - 0 0 . chr15 69342761 69342762 chr15:69342762:G:A rs2047825 G A A EBF1_EBF_1 1 1 - 7.705526748269703 8.60096018866845 CCTCCCCTGGGGCT chr15 69386714 69386715 chr15:69386715:C:T rs66510533 C T c EBF1_EBF_1 -7 0 + 0 0 . chr15 69478473 69478474 chr15:69478474:T:G rs115685239 T G T EBF1_EBF_1 -14 0 - 0 0 . chr15 69531757 69531758 chr15:69531758:C:T rs12440069 C T C EBF1_EBF_1 8 1 - 6.183529896889446 1.9572398080031845 AACCCCCCGGGGCT chr15 69566484 69566485 chr15:69566485:A:G rs7164944 A G A EBF1_EBF_1 -16 0 + 0 0 . chr15 69623502 69623503 chr15:69623503:C:T rs572105461 C T C EBF1_EBF_1 20 0 - 0 0 . chr15 69667003 69667004 chr15:69667004:A:G rs74021158 A G A EBF1_EBF_1 -11 0 - 0 0 . chr15 69679821 69679822 chr15:69679822:C:T rs532308744 C T C EBF1_EBF_1 -19 0 + 0 0 . chr15 69690355 69690356 chr15:69690356:A:G rs35187679 A G A EBF1_EBF_1 31 0 - 0 0 . chr15 69699989 69699990 chr15:69699990:A:G rs4776470 A G G EBF1_EBF_1 -11 0 - 0 0 . chr15 69747815 69747816 chr15:69747816:G:A rs7176072 G A G EBF1_EBF_1 33 0 + 0 0 . chr15 69806711 69806712 chr15:69806712:C:G rs114078981 C G C EBF1_EBF_1 -7 0 - 0 0 . chr15 69831082 69831083 chr15:69831083:A:G rs9920326 A G A EBF1_EBF_1 15 0 + 0 0 . chr15 69868577 69868578 chr15:69868578:C:T rs11634829 C T C EBF1_EBF_1 26 0 - 0 0 . chr15 69893969 69893970 chr15:69893970:T:C rs762609606 T C T EBF1_EBF_1 11 1 - 6.507881735617392 3.615174892013381 CACCCCCAGGGAGA chr15 69893979 69893980 chr15:69893980:T:A rs944025920 T A T EBF1_EBF_1 1 1 - 6.507881735617392 7.474242353368223 CACCCCCAGGGAGA chr15 69916626 69916627 chr15:69916627:G:A rs78835193 G A G EBF1_EBF_1 7 1 + 4.098037613737296 5.410496019026122 AGTCCCCGGGCACA chr15 69925220 69925221 chr15:69925221:C:T rs56185704 C T C EBF1_EBF_1 5 1 + 4.893522561294704 -0.4282646542459665 GTTCCCGAGGGCCA chr15 69926693 69926694 chr15:69926694:C:T rs73441755 C T C EBF1_EBF_1 9 1 - 9.98450871493737 7.3295694494897665 CTTCCCATGGGATC chr15 69944198 69944199 chr15:69944199:T:A rs12906647 T A T EBF1_EBF_1 -14 0 + 0 0 . chr15 69991726 69991727 chr15:69991727:C:T rs66780964 C T C EBF1_EBF_1 12 1 + 7.0215207482406505 5.995254177689801 GCTCCCCAGGGCCT chr15 69998650 69998651 chr15:69998651:C:T rs8039350 C T C EBF1_EBF_1 2 1 + 4.645673937254161 6.53449734869631 CTCCCCTTGGGCCC chr15 70007108 70007109 chr15:70007109:G:C rs56265943 G C G EBF1_EBF_1 33 0 - 0 0 . chr15 70017930 70017931 chr15:70017931:G:A rs12595647 G A G EBF1_EBF_1 29 0 - 0 0 . chr15 70023187 70023188 chr15:70023188:G:C rs12441546 G C G EBF1_EBF_1 -13 0 + 0 0 . chr15 70047041 70047042 chr15:70047042:C:A rs61999922 C A C EBF1_EBF_1 22 0 - 0 0 . chr15 70047051 70047052 chr15:70047052:C:T rs75280189 C T C EBF1_EBF_1 12 1 - 6.21987381494177 7.638691157041247 TTACCCATGGGAGC chr15 70048151 70048152 chr15:70048152:G:T rs75902277 G T G EBF1_EBF_1 -4 0 - 0 0 . chr15 70064718 70064719 chr15:70064719:C:T rs562788576 C T C EBF1_EBF_1 7 1 - 6.378867967935328 7.691326373224153 CCACCCTGGGGACT chr15 70079437 70079438 chr15:70079438:C:G rs78212770 C G C EBF1_EBF_1 -13 0 + 0 0 . chr15 70095220 70095221 chr15:70095221:A:C rs12441129 A C A EBF1_EBF_1 33 0 + 0 0 . chr15 70098109 70098110 chr15:70098110:G:A rs529462001 G A G EBF1_EBF_1 1 1 - 6.951347131575962 7.846780571974707 ACTCCCATGCGAAA chr15 70100956 70100957 chr15:70100957:C:T rs67275882 C T C EBF1_EBF_1 4 1 + 9.22219051466507 4.852803569720145 ACTCCCGAGGGAAG chr15 70100964 70100965 chr15:70100965:A:G rs114459233 A G A EBF1_EBF_1 12 1 + 9.22219051466507 7.803373172565592 ACTCCCGAGGGAAG chr15 70120958 70120959 chr15:70120959:C:T rs74541108 C T C EBF1_EBF_1 7 1 + 4.727741761870469 6.545246508153092 GCTCCCCCGGGCCT chr15 70141822 70141823 chr15:70141823:C:T rs143276982 C T C EBF1_EBF_1 1 1 + 12.370600328786074 13.266033769184817 ACTCCCATGGGACC chr15 70165562 70165563 chr15:70165563:T:C rs2415080 T C T EBF1_EBF_1 30 0 - 0 0 . chr15 70195765 70195766 chr15:70195766:C:G rs6494851 C G C EBF1_EBF_1 15 0 - 0 0 . chr15 70196519 70196520 chr15:70196520:C:T rs8034183 C T T EBF1_EBF_1 -17 0 - 0 0 . chr15 70197068 70197069 chr15:70197069:C:A rs913950183 C A C EBF1_EBF_1 20 0 - 0 0 . chr15 70198124 70198125 chr15:70198125:T:C rs10162924 T C C EBF1_EBF_1 18 0 - 0 0 . chr15 70198125 70198126 chr15:70198126:G:A rs74023239 G A G EBF1_EBF_1 17 0 - 0 0 . chr15 70199026 70199027 chr15:70199027:A:G rs181323460 A G A EBF1_EBF_1 6 1 + 9.45204988867039 7.285255457395916 GCTCCCATGGGATC chr15 70200024 70200025 chr15:70200025:G:A rs373458146 G A G EBF1_EBF_1 31 0 + 0 0 . chr15 70217974 70217975 chr15:70217975:G:C rs140142767 G C G EBF1_EBF_1 -4 0 - 0 0 . chr15 70218323 70218324 chr15:70218324:G:A rs11638329 G A G EBF1_EBF_1 9 1 - 7.640352292376064 5.879052030632717 ATTCCCAAGCGATC chr15 70227251 70227252 chr15:70227252:C:T rs11630974 C T C EBF1_EBF_1 5 1 + 5.14412674208845 -0.17766047345221875 GTTCCCCAGGAATC chr15 70277043 70277044 chr15:70277044:A:G rs17690060 A G A EBF1_EBF_1 28 0 + 0 0 . chr15 70298114 70298115 chr15:70298115:C:T rs28376815 C T C EBF1_EBF_1 10 1 - 5.230397443055603 -0.08763101621136271 AGTCCCCAGAGCCA chr15 70315915 70315916 chr15:70315916:T:C rs744035 T C t EBF1_EBF_1 -13 0 - 0 0 . chr15 70323774 70323775 chr15:70323775:G:A rs185267727 G A G EBF1_EBF_1 9 1 + 7.6488146472445555 4.993875381796951 AACCCCCAGGGAGG chr15 70360620 70360621 chr15:70360621:A:G rs11638325 A G A EBF1_EBF_1 6 1 + 8.277576669232742 6.110782237958267 ATACCCAAGAGAAT chr15 70376942 70376943 chr15:70376943:C:T rs937961637 C T T EBF1_EBF_1 30 0 - 0 0 . chr15 70376954 70376955 chr15:70376955:G:A rs79892842 G A G EBF1_EBF_1 18 0 - 0 0 . chr15 70376974 70376975 chr15:70376975:T:C rs185175211 T C T EBF1_EBF_1 -2 0 - 0 0 . chr15 70376979 70376980 chr15:70376980:C:T rs1008308370 C T C EBF1_EBF_1 -7 0 - 0 0 . chr15 70385503 70385504 chr15:70385504:T:C rs2007507 T C T EBF1_EBF_1 -12 0 - 0 0 . chr15 70386509 70386510 chr15:70386510:G:A rs12900871 G A G EBF1_EBF_1 -17 0 + 0 0 . chr15 70387438 70387439 chr15:70387439:T:C rs6494866 T C t EBF1_EBF_1 14 0 + 0 0 . chr15 70398355 70398356 chr15:70398356:G:A rs138943313 G A G EBF1_EBF_1 -8 0 + 0 0 . chr15 70419601 70419602 chr15:70419602:C:G rs16954459 C G C EBF1_EBF_1 24 0 - 0 0 . chr15 70444712 70444713 chr15:70444713:C:T rs925480 C T C EBF1_EBF_1 15 0 - 0 0 . chr15 70463387 70463388 chr15:70463388:A:G rs560066120 A G A EBF1_EBF_1 19 0 - 0 0 . chr15 70477100 70477101 chr15:70477101:G:C rs113134613 G C G EBF1_EBF_1 -8 0 + 0 0 . chr15 70492803 70492804 chr15:70492804:C:T rs1305317475 C T C EBF1_EBF_1 14 0 + 0 0 . chr15 70492870 70492871 chr15:70492871:G:A rs1247972263 G A G EBF1_EBF_1 33 0 - 0 0 . chr15 70495484 70495485 chr15:70495485:C:T rs8025857 C T C EBF1_EBF_1 16 0 + 0 0 . chr15 70497709 70497710 chr15:70497710:T:G rs11072197 T G T EBF1_EBF_1 -13 0 - 0 0 . chr15 70505802 70505803 chr15:70505803:C:T rs3743313 C T C EBF1_EBF_1 0 1 + 6.277488450503929 6.559690511234507 CTTCCCTTGAGGAT chr15 70512857 70512858 chr15:70512858:T:C rs376718887 T C T EBF1_EBF_1 -3 0 - 0 0 . chr15 70526563 70526564 chr15:70526564:G:A rs78442607 G A G EBF1_EBF_1 1 1 - 6.4706571425591966 7.36609058295794 TCCCCCAAGGGATG chr15 70536220 70536221 chr15:70536221:C:T rs114242147 C T C EBF1_EBF_1 -16 0 + 0 0 . chr15 70539474 70539475 chr15:70539475:T:C rs6494876 T C C EBF1_EBF_1 2 1 + 5.079065880426174 3.190242468984023 GATCCCAAGGGCGC chr15 70541221 70541222 chr15:70541222:G:A rs1896434 G A A EBF1_EBF_1 8 1 + 6.653787452389799 2.427497363503538 CTTCCCCAGGGTCA chr15 70601563 70601564 chr15:70601564:C:A rs60503391 C A C EBF1_EBF_1 15 0 + 0 0 . chr15 70641232 70641233 chr15:70641233:T:C rs2162555 T C C EBF1_EBF_1 -12 0 - 0 0 . chr15 70670234 70670235 chr15:70670235:A:G rs553611216 A G A EBF1_EBF_1 -9 0 + 0 0 . chr15 70670257 70670258 chr15:70670258:A:C rs55982271 A C A EBF1_EBF_1 14 0 + 0 0 . chr15 70766816 70766817 chr15:70766817:G:A rs72755486 G A A EBF1_EBF_1 -9 0 + 0 0 . chr15 70794815 70794816 chr15:70794816:G:C rs9672704 G C C EBF1_EBF_1 1 1 - 5.335183836373802 5.52495549094302 GCCCCCCTGGGGCC chr15 70794880 70794881 chr15:70794881:T:C rs9672763 T C T EBF1_EBF_1 26 0 + 0 0 . chr15 71150405 71150406 chr15:71150406:C:T rs139245361 C T C EBF1_EBF_1 32 0 - 0 0 . chr15 71188454 71188455 chr15:71188455:C:A rs28693764 C A C EBF1_EBF_1 8 1 - 6.344742137236047 -0.5466906517411467 AGCCCCCAGGGTAA chr15 71191135 71191136 chr15:71191136:T:C rs28679342 T C T EBF1_EBF_1 -18 0 + 0 0 . chr15 71193861 71193862 chr15:71193862:C:T rs145833335 C T C EBF1_EBF_1 26 0 + 0 0 . chr15 71193862 71193863 chr15:71193863:G:A rs181720231 G A g EBF1_EBF_1 27 0 + 0 0 . chr15 71208986 71208987 chr15:71208987:A:G rs569845976 A G A EBF1_EBF_1 15 0 - 0 0 . chr15 71248659 71248660 chr15:71248660:G:C rs72759647 G C G EBF1_EBF_1 -6 0 - 0 0 . chr15 71250112 71250113 chr15:71250113:G:C rs1442784 G C C EBF1_EBF_1 19 0 - 0 0 . chr15 71342611 71342612 chr15:71342612:A:G rs35718929 A G A EBF1_EBF_1 15 0 - 0 0 . chr15 71445173 71445174 chr15:71445174:T:C rs1230722072 T C T EBF1_EBF_1 -16 0 + 0 0 . chr15 71452013 71452014 chr15:71452014:T:G rs34202695 T G G EBF1_EBF_1 6 1 + 4.906664211379815 2.8001860190448595 CTTCCCTCAGGACC chr15 71494565 71494566 chr15:71494566:C:T rs7181587 C T C EBF1_EBF_1 -5 0 + 0 0 . chr15 71496736 71496737 chr15:71496737:C:T rs76063808 C T C EBF1_EBF_1 14 0 - 0 0 . chr15 71512666 71512667 chr15:71512667:C:T rs7183859 C T C EBF1_EBF_1 24 0 + 0 0 . chr15 71589821 71589822 chr15:71589822:T:C rs12906213 T C T EBF1_EBF_1 13 1 + 7.779441859019286 6.934947744433768 ATCCCCACGAGAAT chr15 71591528 71591529 chr15:71591529:T:C rs4322617 T C t EBF1_EBF_1 17 0 + 0 0 . chr15 71611871 71611872 chr15:71611872:G:A rs537527559 G A G EBF1_EBF_1 -4 0 - 0 0 . chr15 71634564 71634565 chr15:71634565:G:A rs4777424 G A G EBF1_EBF_1 -8 0 + 0 0 . chr15 71634593 71634594 chr15:71634594:G:A rs4777425 G A A EBF1_EBF_1 21 0 + 0 0 . chr15 71634707 71634708 chr15:71634708:A:G rs2279788 A G G EBF1_EBF_1 27 0 - 0 0 . chr15 71659728 71659729 chr15:71659729:G:A rs954459 G A A EBF1_EBF_1 -14 0 + 0 0 . chr15 71660705 71660706 chr15:71660706:G:A rs62024298 G A G EBF1_EBF_1 32 0 + 0 0 . chr15 71691712 71691713 chr15:71691713:T:C rs143232223 T C T EBF1_EBF_1 7 1 + 8.295849854354106 6.478345108071486 CCTCCCCTGGGAAG chr15 71691862 71691863 chr15:71691863:G:A rs143711724 G A G EBF1_EBF_1 -16 0 + 0 0 . chr15 71691867 71691868 chr15:71691868:T:A rs907973215 T A T EBF1_EBF_1 -11 0 + 0 0 . chr15 71780649 71780650 chr15:71780650:A:T rs200841286 A T A EBF1_EBF_1 -14 0 + 0 0 . chr15 71780663 71780664 chr15:71780664:C:T rs2291275 C T C EBF1_EBF_1 0 1 + 8.561143358924149 8.843345419654726 CGCCCCCAGGGAAC chr15 71792235 71792236 chr15:71792236:C:T rs916177646 C T C EBF1_EBF_1 17 0 - 0 0 . chr15 71806530 71806531 chr15:71806531:T:G rs138799667 T G T EBF1_EBF_1 13 1 - 7.2987908798906584 7.672536329176634 TCCCCCAGGGGACA chr15 71835224 71835225 chr15:71835225:T:G rs143997418 T G T EBF1_EBF_1 7 1 - 6.5779686722497885 4.284189685879607 AGCCCCAAAGGAAA chr15 72117380 72117381 chr15:72117381:T:A rs191373443 T A T EBF1_EBF_1 18 0 - 0 0 . chr15 72117387 72117388 chr15:72117388:T:C rs375204548 T C T EBF1_EBF_1 11 1 - 9.118259770115028 6.225552926511018 AGTCCCTTAGGACT chr15 72117427 72117428 chr15:72117428:T:C rs2278987 T C T EBF1_EBF_1 31 0 - 0 0 . chr15 72168172 72168173 chr15:72168173:G:A rs949069380 G A G EBF1_EBF_1 1 1 + 5.603298805767806 5.342599973846504 TGCCCCAAGGGCCT chr15 72184131 72184132 chr15:72184132:G:T rs28478343 G T G EBF1_EBF_1 -15 0 - 0 0 . chr15 72229352 72229353 chr15:72229353:G:A rs8192353 G A G EBF1_EBF_1 -5 0 - 0 0 . chr15 72303229 72303230 chr15:72303230:A:T rs12914971 A T A EBF1_EBF_1 17 0 + 0 0 . chr15 72474014 72474015 chr15:72474015:T:C rs532576113 T C T EBF1_EBF_1 26 0 + 0 0 . chr15 72607649 72607650 chr15:72607650:C:T rs28435710 C T C EBF1_EBF_1 4 1 + 6.451130852233117 2.0817439072881916 TTCCCCTTGGGGCC chr15 72664375 72664376 chr15:72664376:C:T rs4777525 C T C EBF1_EBF_1 -19 0 - 0 0 . chr15 72758478 72758479 chr15:72758479:C:G rs7171728 C G G EBF1_EBF_1 33 0 + 0 0 . chr15 72782814 72782815 chr15:72782815:A:G rs4777539 A G G EBF1_EBF_1 -10 0 + 0 0 . chr15 72826316 72826317 chr15:72826317:C:G rs890357 C G G EBF1_EBF_1 18 0 - 0 0 . chr15 72857946 72857947 chr15:72857947:C:T rs12439108 C T C EBF1_EBF_1 31 0 - 0 0 . chr15 72857968 72857969 chr15:72857969:C:G rs11854133 C G C EBF1_EBF_1 9 1 - 6.132099461277029 1.056410314931565 CCTCCCCAGGGTCC chr15 72857994 72857995 chr15:72857995:T:G rs12442394 T G G EBF1_EBF_1 -17 0 - 0 0 . chr15 72866653 72866654 chr15:72866654:G:A rs895903 G A G EBF1_EBF_1 -6 0 - 0 0 . chr15 72873660 72873661 chr15:72873661:T:C rs28391359 T C C EBF1_EBF_1 -6 0 - 0 0 . chr15 72888990 72888991 chr15:72888991:T:C rs11638999 T C C EBF1_EBF_1 30 0 - 0 0 . chr15 72890278 72890279 chr15:72890279:G:A rs8041735 G A G EBF1_EBF_1 -15 0 - 0 0 . chr15 72913237 72913238 chr15:72913238:C:A rs8037573 C A C EBF1_EBF_1 13 1 + 10.060691887134421 9.686946437848446 AATCCCTAGAGACC chr15 72939340 72939341 chr15:72939341:T:C rs75104845 T C T EBF1_EBF_1 -15 0 - 0 0 . chr15 72940420 72940421 chr15:72940421:A:T rs2053857 A T A EBF1_EBF_1 25 0 - 0 0 . chr15 72940429 72940430 chr15:72940430:C:T rs4777569 C T C EBF1_EBF_1 16 0 - 0 0 . chr15 72946166 72946167 chr15:72946167:C:T rs60557800 C T C EBF1_EBF_1 -7 0 + 0 0 . chr15 72979622 72979623 chr15:72979623:T:A rs7166470 T A T EBF1_EBF_1 23 0 - 0 0 . chr15 73052777 73052778 chr15:73052778:A:T rs773350771 A T A EBF1_EBF_1 -19 0 + 0 0 . chr15 73052807 73052808 chr15:73052808:A:G rs541034605 A G A EBF1_EBF_1 11 1 + 7.03440204648022 4.141695202876209 AGTCCCCAGGTAAG chr15 73330696 73330697 chr15:73330697:C:T rs535296017 C T C EBF1_EBF_1 2 1 + 7.549949549730761 9.43877296117291 AGCCCCCAGGGGAA chr15 73330851 73330852 chr15:73330852:C:T rs62015504 C T C EBF1_EBF_1 22 0 + 0 0 . chr15 73356004 73356005 chr15:73356005:A:C rs112257443 A C A EBF1_EBF_1 31 0 + 0 0 . chr15 73371839 73371840 chr15:73371840:G:A rs79165297 G A G EBF1_EBF_1 20 0 - 0 0 . chr15 73390525 73390526 chr15:73390526:T:C rs6495064 T C T EBF1_EBF_1 -16 0 - 0 0 . chr15 73685754 73685755 chr15:73685755:C:G rs55984094 C G C EBF1_EBF_1 -19 0 - 0 0 . chr15 73689507 73689508 chr15:73689508:A:C rs182902595 A C A EBF1_EBF_1 2 1 - 5.823552272422023 -0.9247734177784436 AGTTCCCTGGGAAC chr15 73689510 73689511 chr15:73689511:C:G rs74026254 C G C EBF1_EBF_1 -1 0 - 0 0 . chr15 73692214 73692215 chr15:73692215:C:T rs75219305 C T C EBF1_EBF_1 -3 0 + 0 0 . chr15 73699382 73699383 chr15:73699383:T:G rs111277087 T G T EBF1_EBF_1 -14 0 + 0 0 . chr15 73703089 73703090 chr15:73703090:G:T rs11574480 G T . EBF1_EBF_1 26 0 - 0 0 . chr15 73717934 73717935 chr15:73717935:C:T rs2415228 C T C EBF1_EBF_1 22 0 - 0 0 . chr15 73717952 73717953 chr15:73717953:G:T rs149892606 G T G EBF1_EBF_1 4 1 - 6.809697240401431 0.9851376169479638 ACTCCCCCAGGACT chr15 73717959 73717960 chr15:73717960:G:A rs4327001 G A G EBF1_EBF_1 -3 0 - 0 0 . chr15 73729363 73729364 chr15:73729364:C:G rs16958181 C G C EBF1_EBF_1 -6 0 + 0 0 . chr15 73769802 73769803 chr15:73769803:C:T rs3743098 C T C EBF1_EBF_1 22 0 - 0 0 . chr15 73813951 73813952 chr15:73813952:C:G rs874956 C G C EBF1_EBF_1 -10 0 - 0 0 . chr15 73841589 73841590 chr15:73841590:T:G rs16958378 T G T EBF1_EBF_1 -3 0 + 0 0 . chr15 73849214 73849215 chr15:73849215:C:A rs12909231 C A C EBF1_EBF_1 -10 0 + 0 0 . chr15 73852611 73852612 chr15:73852612:C:T rs60302365 C T C EBF1_EBF_1 -2 0 - 0 0 . chr15 73899869 73899870 chr15:73899870:G:A rs7162560 G A G EBF1_EBF_1 27 0 - 0 0 . chr15 73924263 73924264 chr15:73924264:C:T rs12914565 C T T EBF1_EBF_1 7 1 - 9.71492417284944 11.027382578138266 ACTCCCCGGGGAAG chr15 73939236 73939237 chr15:73939237:G:A chr15:73939237:G:A G A G EBF1_EBF_1 -1 0 - 0 0 . chr15 73941181 73941182 chr15:73941182:C:A rs139628639 C A C EBF1_EBF_1 -7 0 - 0 0 . chr15 73941185 73941186 chr15:73941186:C:T rs192602685 C T C EBF1_EBF_1 -11 0 - 0 0 . chr15 73949164 73949165 chr15:73949165:G:A rs750460 G A G EBF1_EBF_1 8 1 + 5.514361463176197 1.2880713742899368 ATTCCCTCGGGCAG chr15 73950904 73950905 chr15:73950905:T:C rs12437465 T C T EBF1_EBF_1 -15 0 + 0 0 . chr15 73951272 73951273 chr15:73951273:C:T rs74684534 C T C EBF1_EBF_1 -3 0 + 0 0 . chr15 73951281 73951282 chr15:73951282:C:T rs779057037 C T c EBF1_EBF_1 6 1 + 6.387823082614686 6.689109211476442 GCTCCCCGGGGGCC chr15 73951288 73951289 chr15:73951289:C:T rs144785122 C T C EBF1_EBF_1 13 1 + 6.387823082614686 7.232317197200204 GCTCCCCGGGGGCC chr15 73951303 73951304 chr15:73951304:G:C rs959981329 G C G EBF1_EBF_1 28 0 + 0 0 . chr15 73957514 73957515 chr15:73957515:A:T rs11072453 A T A EBF1_EBF_1 -1 0 + 0 0 . chr15 73964832 73964833 chr15:73964833:C:A rs58693533 C A C EBF1_EBF_1 27 0 - 0 0 . chr15 73982606 73982607 chr15:73982607:T:G rs7174985 T G G EBF1_EBF_1 6 1 - 5.0660213203105995 4.7044189525093225 TCCCCCAAGGGGTC chr15 73982625 73982626 chr15:73982626:G:A rs73437801 G A G EBF1_EBF_1 -13 0 - 0 0 . chr15 73983446 73983447 chr15:73983447:T:C rs2289411 T C T EBF1_EBF_1 -12 0 + 0 0 . chr15 73985324 73985325 chr15:73985325:C:T rs34353835 C T C EBF1_EBF_1 7 1 - 4.317619819517781 5.630078224806606 CGTCCCCGGGGCCA chr15 73989620 73989621 chr15:73989621:C:G rs2415188 C G G EBF1_EBF_1 -15 0 + 0 0 . chr15 73994893 73994894 chr15:73994894:A:C rs28504405 A C A EBF1_EBF_1 11 1 + 4.287905494514565 -0.1280562033378253 CTCCCCCCGAGACC chr15 74000175 74000176 chr15:74000176:G:A rs56194867 G A a EBF1_EBF_1 -13 0 + 0 0 . chr15 74035799 74035800 chr15:74035800:G:T rs743581 G T G EBF1_EBF_1 -4 0 + 0 0 . chr15 74071808 74071809 chr15:74071809:C:G rs891449 C G C EBF1_EBF_1 1 1 + 4.543800634886633 4.73357228945585 ACTCCCTGGGGCTG chr15 74173929 74173930 chr15:74173930:G:T rs923118 G T G EBF1_EBF_1 16 0 + 0 0 . chr15 74178721 74178722 chr15:74178722:A:G rs11630317 A G A EBF1_EBF_1 31 0 + 0 0 . chr15 74197071 74197072 chr15:74197072:C:T rs733163 C T C EBF1_EBF_1 32 0 - 0 0 . chr15 74198469 74198470 chr15:74198470:G:A rs11633687 G A A EBF1_EBF_1 10 1 + 4.47199082875268 -0.8460376305142864 CCTCTCCAGGGAGC chr15 74200651 74200652 chr15:74200652:T:G rs142706613 T G T EBF1_EBF_1 -19 0 - 0 0 . chr15 74207738 74207739 chr15:74207739:G:C rs77704192 G C A EBF1_EBF_1 30 0 + 0 0 . chr15 74240230 74240231 chr15:74240231:G:C rs548861085 G C G EBF1_EBF_1 13 1 - 4.3816054436412415 2.8935344222858266 CTCCCCTCGGGGAC chr15 74242020 74242021 chr15:74242021:T:A rs351154 T A T EBF1_EBF_1 30 0 + 0 0 . chr15 74245629 74245630 chr15:74245630:C:G rs144228093 C G C EBF1_EBF_1 -4 0 + 0 0 . chr15 74251310 74251311 chr15:74251311:G:A rs995984172 G A G EBF1_EBF_1 15 0 + 0 0 . chr15 74258771 74258772 chr15:74258772:T:C rs428161 T C C EBF1_EBF_1 -20 0 + 0 0 . chr15 74259261 74259262 chr15:74259262:G:T rs12441994 G T g EBF1_EBF_1 18 0 - 0 0 . chr15 74259304 74259305 chr15:74259305:A:G rs112908618 A G G EBF1_EBF_1 9 1 + 4.9374531716004695 7.592392437048074 AGCCCCGAGAGAGC chr15 74274683 74274684 chr15:74274684:T:C rs2959019 T C T EBF1_EBF_1 13 1 - 8.264430460721623 7.1501048886521845 TGCCCCTTGGGACA chr15 74308078 74308079 chr15:74308079:G:C rs183224559 G C G EBF1_EBF_1 -10 0 + 0 0 . chr15 74327804 74327805 chr15:74327805:T:C rs2930305 T C C EBF1_EBF_1 27 0 + 0 0 . chr15 74335900 74335901 chr15:74335901:C:T rs900802 C T T EBF1_EBF_1 26 0 + 0 0 . chr15 74344030 74344031 chr15:74344031:G:A rs199862135 G A G EBF1_EBF_1 -19 0 + 0 0 . chr15 74380844 74380845 chr15:74380845:G:T rs111904833 G T T EBF1_EBF_1 9 1 + 10.727734662503053 3.890745254414246 AATCCCAAGGGGCT chr15 74382475 74382476 chr15:74382476:C:T rs543250103 C T C EBF1_EBF_1 7 1 + 5.961336982026507 7.778841728309131 AGCCCCACGGGGCC chr15 74384768 74384769 chr15:74384769:G:A rs144982376 G A G EBF1_EBF_1 -6 0 + 0 0 . chr15 74386742 74386743 chr15:74386743:G:C rs111321280 G C G EBF1_EBF_1 -8 0 + 0 0 . chr15 74396862 74396863 chr15:74396863:A:T rs74023500 A T A EBF1_EBF_1 11 1 + 7.594317909436524 3.4964036533377976 ACTCCCAAAGGATC chr15 74420186 74420187 chr15:74420187:C:T rs146786166 C T C EBF1_EBF_1 28 0 + 0 0 . chr15 74420350 74420351 chr15:74420351:G:A chr15:74420351:G:A G A G EBF1_EBF_1 4 1 - 5.37860755439152 1.009220609446596 TCTCCCAGGGTACC chr15 74447399 74447400 chr15:74447400:T:C rs11854461 T C C EBF1_EBF_1 -12 0 + 0 0 . chr15 74530154 74530155 chr15:74530155:C:A rs8023786 C A C EBF1_EBF_1 12 1 - 8.619325565031119 8.98169493815842 AGTCCCAAGAGAGA chr15 74540956 74540957 chr15:74540957:G:A rs576291850 G A G EBF1_EBF_1 7 1 + 4.396265311934755 5.708723717223581 ACTCCCCGCGGAAG chr15 74610806 74610807 chr15:74610807:C:T rs7182476 C T C EBF1_EBF_1 21 0 + 0 0 . chr15 74614684 74614685 chr15:74614685:C:T rs34848782 C T C EBF1_EBF_1 12 1 + 4.667279495788524 3.641012925237674 GCTCCCCTGCGACA chr15 74770860 74770861 chr15:74770861:G:A rs12593213 G A G EBF1_EBF_1 25 0 + 0 0 . chr15 74774143 74774144 chr15:74774144:G:A rs141102832 G A G EBF1_EBF_1 -10 0 + 0 0 . chr15 74777632 74777633 chr15:74777633:A:G rs56066141 A G G EBF1_EBF_1 -17 0 + 0 0 . chr15 74777854 74777855 chr15:74777855:A:G rs12905199 A G A EBF1_EBF_1 20 0 + 0 0 . chr15 74783978 74783979 chr15:74783979:C:A rs35197434 C A C EBF1_EBF_1 1 1 + 4.957241732381919 4.886314555029834 ACACCCAGGGGGCC chr15 74784984 74784985 chr15:74784985:G:A rs35331038 G A G EBF1_EBF_1 16 0 - 0 0 . chr15 74790181 74790182 chr15:74790182:G:A rs7180820 G A G EBF1_EBF_1 -4 0 - 0 0 . chr15 74817563 74817564 chr15:74817564:C:T rs562651133 C T C EBF1_EBF_1 16 0 + 0 0 . chr15 74817568 74817569 chr15:74817569:C:T rs73434488 C T C EBF1_EBF_1 21 0 + 0 0 . chr15 74821616 74821617 chr15:74821617:G:C rs3743486 G C G EBF1_EBF_1 22 0 + 0 0 . chr15 74833783 74833784 chr15:74833784:G:A rs933084485 G A G EBF1_EBF_1 18 0 + 0 0 . chr15 74843997 74843998 chr15:74843998:T:C rs113212382 T C T EBF1_EBF_1 -6 0 + 0 0 . chr15 74873165 74873166 chr15:74873166:T:C rs73436505 T C T EBF1_EBF_1 -1 0 - 0 0 . chr15 74888779 74888780 chr15:74888780:A:G rs8031937 A G G EBF1_EBF_1 16 0 + 0 0 . chr15 74898348 74898349 chr15:74898349:A:G rs7497201 A G G EBF1_EBF_1 2 1 - 6.078664326320482 4.189840914878331 ACTCCCCCGAGAAG chr15 74905127 74905128 chr15:74905128:G:C rs201390671 G C G EBF1_EBF_1 4 1 - 8.963571055470315 3.337805954320771 ACCCCCTTGGGAAG chr15 74907550 74907551 chr15:74907551:A:G rs11856413 A G A EBF1_EBF_1 7 1 + 6.551429695960384 5.238971290671558 GCCCCCAAGGGATG chr15 74935507 74935508 chr15:74935508:G:C chr15:74935508:G:C G C G EBF1_EBF_1 -12 0 + 0 0 . chr15 74954814 74954815 chr15:74954815:C:G rs34063670 C G C EBF1_EBF_1 -13 0 - 0 0 . chr15 74957608 74957609 chr15:74957609:C:T rs146866433 C T C EBF1_EBF_1 14 0 + 0 0 . chr15 75025590 75025591 chr15:75025591:C:T rs58235768 C T C EBF1_EBF_1 -11 0 + 0 0 . chr15 75030041 75030042 chr15:75030042:T:C rs547838437 T C T EBF1_EBF_1 15 0 - 0 0 . chr15 75046992 75046993 chr15:75046993:G:A rs1443904720 G A G EBF1_EBF_1 15 0 + 0 0 . chr15 75051465 75051466 chr15:75051466:T:C rs7167261 T C C EBF1_EBF_1 -16 0 - 0 0 . chr15 75069119 75069120 chr15:75069120:C:A rs71401673 C A C EBF1_EBF_1 1 1 - 5.522561198121895 6.228222983951424 TGTCCCCTGAGGCT chr15 75108398 75108399 chr15:75108399:A:G rs4421941 A G A EBF1_EBF_1 1 1 - 6.621141279223415 5.725707838824669 CTACCCCTGGGAAA chr15 75110054 75110055 chr15:75110055:C:T rs59392744 C T C EBF1_EBF_1 -17 0 - 0 0 . chr15 75113101 75113102 chr15:75113102:T:C chr15:75113102:T:C T C T EBF1_EBF_1 2 1 + 8.203976392545698 6.315152981103548 TTTCCCTTGAGACA chr15 75113732 75113733 chr15:75113733:C:G rs113262055 C G c EBF1_EBF_1 32 0 + 0 0 . chr15 75145637 75145638 chr15:75145638:T:G rs146904709 T G T EBF1_EBF_1 -15 0 - 0 0 . chr15 75178516 75178517 chr15:75178517:T:C rs536999787 T C T EBF1_EBF_1 24 0 + 0 0 . chr15 75184760 75184761 chr15:75184761:C:A rs73437031 C A c EBF1_EBF_1 3 1 + 5.17034120219438 -1.7358328272714267 TGTCCCCAGAGAGG chr15 75224993 75224994 chr15:75224994:G:A rs766426800 G A G EBF1_EBF_1 -6 0 - 0 0 . chr15 75224994 75224995 chr15:75224995:G:A rs778553302 G A G EBF1_EBF_1 -7 0 - 0 0 . chr15 75230668 75230669 chr15:75230669:A:T rs58490197 A T A EBF1_EBF_1 26 0 - 0 0 . chr15 75263551 75263552 chr15:75263552:C:T rs781069475 C T - EBF1_EBF_1 -2 0 - 0 0 . chr15 75300761 75300762 chr15:75300762:G:T rs11631300 G T g EBF1_EBF_1 -1 0 - 0 0 . chr15 75328650 75328651 chr15:75328651:G:T rs118008392 G T g EBF1_EBF_1 11 1 - 5.237630252894152 9.653591950746542 AGCCCCAGGGGCTT chr15 75462080 75462081 chr15:75462081:C:G rs529773053 C G C EBF1_EBF_1 25 0 - 0 0 . chr15 75464708 75464709 chr15:75464709:G:C rs76550488 G C G EBF1_EBF_1 -15 0 + 0 0 . chr15 75464726 75464727 chr15:75464727:C:A rs79703513 C A C EBF1_EBF_1 3 1 + 8.034905085743247 1.1287310562774406 TGTCCCAAGAGACA chr15 75559592 75559593 chr15:75559593:A:C chr15:75559593:A:C A C A EBF1_EBF_1 31 0 - 0 0 . chr15 75640424 75640425 chr15:75640425:C:G chr15:75640425:C:G C G C EBF1_EBF_1 8 1 - 5.069970470376746 -0.2486883905379399 ACCGCCTAGGGACC chr15 75640435 75640436 chr15:75640436:C:T rs11072553 C T C EBF1_EBF_1 -3 0 - 0 0 . chr15 75652116 75652117 chr15:75652117:C:T rs8037385 C T T EBF1_EBF_1 7 1 - 9.684742774428113 10.997201179716939 ACTCCCCGGGGACG chr15 75673126 75673127 chr15:75673127:G:A rs75121730 G A G EBF1_EBF_1 -10 0 - 0 0 . chr15 75673906 75673907 chr15:75673907:T:C rs1003367125 T C T EBF1_EBF_1 23 0 + 0 0 . chr15 75674543 75674544 chr15:75674544:G:A rs62027238 G A G EBF1_EBF_1 1 1 + 3.842610940742083 3.581912108820781 CGACCCCAGGGGCC chr15 75697714 75697715 chr15:75697715:C:T rs76807356 C T C EBF1_EBF_1 23 0 - 0 0 . chr15 75700318 75700319 chr15:75700319:C:G rs145889193 C G G EBF1_EBF_1 32 0 - 0 0 . chr15 75711735 75711736 chr15:75711736:G:C rs565548213 G C G EBF1_EBF_1 -19 0 - 0 0 . chr15 75736956 75736957 chr15:75736957:T:C rs2405896 T C T EBF1_EBF_1 -11 0 + 0 0 . chr15 75736978 75736979 chr15:75736979:A:G rs2405895 A G G EBF1_EBF_1 11 1 + 5.7234695066847285 2.8307626630807174 TTTCCCCAAGGAAG chr15 75737906 75737907 chr15:75737907:A:G rs28404806 A G A EBF1_EBF_1 19 0 + 0 0 . chr15 75751732 75751733 chr15:75751733:C:T rs2593286 C T c EBF1_EBF_1 20 0 - 0 0 . chr15 75751737 75751738 chr15:75751738:G:A rs2604411 G A A EBF1_EBF_1 15 0 - 0 0 . chr15 75752308 75752309 chr15:75752309:C:T rs2004920 C T C EBF1_EBF_1 7 1 + 4.886352130810429 6.7038568770930524 AGACCCCCGAGACT chr15 75761857 75761858 chr15:75761858:T:C rs995959281 T C C EBF1_EBF_1 22 0 + 0 0 . chr15 75765135 75765136 chr15:75765136:G:A rs111522856 G A G EBF1_EBF_1 16 0 - 0 0 . chr15 75776258 75776259 chr15:75776259:T:G rs35032880 T G t EBF1_EBF_1 1 1 + 4.927215429911624 4.221553644082095 GTCCCCCTAGGAAC chr15 75785988 75785989 chr15:75785989:C:A rs182031048 C A c EBF1_EBF_1 3 1 + 4.25525496440872 -2.6509190650570886 CAGCCCCAGGGACT chr15 75821703 75821704 chr15:75821704:C:T rs79202573 C T C EBF1_EBF_1 -8 0 + 0 0 . chr15 75822834 75822835 chr15:75822835:C:G rs183946512 C G C EBF1_EBF_1 33 0 - 0 0 . chr15 75822879 75822880 chr15:75822880:A:G rs2121555 A G a EBF1_EBF_1 -12 0 - 0 0 . chr15 75843995 75843996 chr15:75843996:A:T rs970547675 A T . EBF1_EBF_1 24 0 + 0 0 . chr15 75844426 75844427 chr15:75844427:C:T rs72734531 C T . EBF1_EBF_1 7 1 + 4.64696920846928 6.464473954751904 TCTCCCCCGGGCCT chr15 75904174 75904175 chr15:75904175:G:C chr15:75904175:G:C G C G EBF1_EBF_1 -8 0 + 0 0 . chr15 75904174 75904175 chr15:75904175:G:T rs563093265 G T G EBF1_EBF_1 -8 0 + 0 0 . chr15 75904367 75904368 chr15:75904368:C:T rs2255701 C T C EBF1_EBF_1 3 1 + 6.973015279472316 0.5676165379189992 CTACCCCGGGGACT chr15 76059480 76059481 chr15:76059481:C:T rs1328939341 C T C EBF1_EBF_1 26 0 - 0 0 . chr15 76066713 76066714 chr15:76066714:C:T rs7183492 C T c EBF1_EBF_1 29 0 - 0 0 . chr15 76118123 76118124 chr15:76118124:C:T rs2959827 C T C EBF1_EBF_1 22 0 + 0 0 . chr15 76118134 76118135 chr15:76118135:T:G rs2935966 T G G EBF1_EBF_1 33 0 + 0 0 . chr15 76178615 76178616 chr15:76178616:A:G rs55812581 A G A EBF1_EBF_1 -16 0 + 0 0 . chr15 76206773 76206774 chr15:76206774:C:T rs527494038 C T C EBF1_EBF_1 16 0 - 0 0 . chr15 76206775 76206776 chr15:76206776:C:T rs182175948 C T C EBF1_EBF_1 14 0 - 0 0 . chr15 76208234 76208235 chr15:76208235:G:A rs62030191 G A G EBF1_EBF_1 28 0 + 0 0 . chr15 76310997 76310998 chr15:76310998:C:A rs114715150 C A C EBF1_EBF_1 6 1 - 5.606976606322129 7.713454798657086 ACACCCGAGGGAGC chr15 76341651 76341652 chr15:76341652:C:G rs77613271 C G C EBF1_EBF_1 31 0 + 0 0 . chr15 76418822 76418823 chr15:76418823:C:T chr15:76418823:C:T C T C EBF1_EBF_1 -5 0 + 0 0 . chr15 76471172 76471173 chr15:76471173:C:A rs11072597 C A C EBF1_EBF_1 24 0 + 0 0 . chr15 76552939 76552940 chr15:76552940:T:C rs62030371 T C T EBF1_EBF_1 2 1 + 4.187589589954204 2.298766178512053 GCTTCCCAGGGACC chr15 76589846 76589847 chr15:76589847:C:G rs1331538655 C G C EBF1_EBF_1 -10 0 - 0 0 . chr15 76700047 76700048 chr15:76700048:C:T rs576427006 C T C EBF1_EBF_1 -8 0 - 0 0 . chr15 76732623 76732624 chr15:76732624:A:G rs2165793 A G A EBF1_EBF_1 33 0 - 0 0 . chr15 76799900 76799901 chr15:76799901:T:C rs117509483 T C T EBF1_EBF_1 30 0 + 0 0 . chr15 76961307 76961308 chr15:76961308:C:T rs139363386 C T C EBF1_EBF_1 20 0 + 0 0 . chr15 76974069 76974070 chr15:76974070:G:A rs75249771 G A G EBF1_EBF_1 13 1 - 6.562803503189063 7.407297617774581 ACCCCCATGGGGTC chr15 76997283 76997284 chr15:76997284:T:C rs62027301 T C T EBF1_EBF_1 7 1 - 11.083169235771607 9.770710830482782 CTTCCCTAGGGAAA chr15 77015568 77015569 chr15:77015569:C:T rs4886508 C T C EBF1_EBF_1 9 1 - 9.645592752142656 6.990653486695053 ACCCCCAGGGGACC chr15 77015913 77015914 chr15:77015914:C:T rs78138579 C T C EBF1_EBF_1 17 0 - 0 0 . chr15 77018003 77018004 chr15:77018004:C:G rs12900395 C G C EBF1_EBF_1 -4 0 + 0 0 . chr15 77019721 77019722 chr15:77019722:T:C rs35075154 T C T EBF1_EBF_1 -8 0 + 0 0 . chr15 77020109 77020110 chr15:77020110:A:G rs16968625 A G G EBF1_EBF_1 -13 0 - 0 0 . chr15 77024417 77024418 chr15:77024418:C:G rs1025793043 C G C EBF1_EBF_1 33 0 + 0 0 . chr15 77028089 77028090 chr15:77028090:G:T rs148152818 G T G EBF1_EBF_1 18 0 - 0 0 . chr15 77032438 77032439 chr15:77032439:C:G rs116895455 C G C EBF1_EBF_1 10 1 - 4.732362851883712 -2.1584395354457624 CTTCCCAGGGGCTC chr15 77035229 77035230 chr15:77035230:T:C rs9806416 T C C EBF1_EBF_1 2 1 + 6.175654387324642 4.286830975882493 CCTCCCAAGGGCCC chr15 77038225 77038226 chr15:77038226:C:T rs534616046 C T C EBF1_EBF_1 21 0 - 0 0 . chr15 77083840 77083841 chr15:77083841:C:A rs17466257 C A A EBF1_EBF_1 30 0 - 0 0 . chr15 77083845 77083846 chr15:77083846:C:A rs150677844 C A C EBF1_EBF_1 25 0 - 0 0 . chr15 77144652 77144653 chr15:77144653:T:G rs11632999 T G T EBF1_EBF_1 16 0 - 0 0 . chr15 77144920 77144921 chr15:77144921:G:A rs77672125 G A G EBF1_EBF_1 8 1 + 5.189745093432244 0.9634550045459833 AAGCCCCTGGGACC chr15 77353995 77353996 chr15:77353996:A:C rs17385296 A C A EBF1_EBF_1 21 0 - 0 0 . chr15 77390904 77390905 chr15:77390905:T:G rs8035526 T G T EBF1_EBF_1 7 1 + 5.092697978959507 4.25651381375824 GATGCCCTGGGAAT chr15 77419903 77419904 chr15:77419904:T:C rs776002964 T C - EBF1_EBF_1 1 1 + 6.615266949716216 5.719833509317472 ATTCCCCCGGGTCA chr15 77470508 77470509 chr15:77470509:G:C rs74026918 G C G EBF1_EBF_1 17 0 - 0 0 . chr15 77516667 77516668 chr15:77516668:A:C rs12902698 A C A EBF1_EBF_1 -18 0 - 0 0 . chr15 77543477 77543478 chr15:77543478:A:T rs184281854 A T A EBF1_EBF_1 29 0 - 0 0 . chr15 77549498 77549499 chr15:77549499:A:G rs75159723 A G A EBF1_EBF_1 7 1 + 6.963561424485768 5.651103019196943 CCTCCCCAGGGGCA chr15 77579696 77579697 chr15:77579697:G:C rs907381 G C t EBF1_EBF_1 -14 0 - 0 0 . chr15 77581206 77581207 chr15:77581207:G:A rs868299 G A G EBF1_EBF_1 14 0 + 0 0 . chr15 77591885 77591886 chr15:77591886:C:G rs61240175 C G G EBF1_EBF_1 25 0 - 0 0 . chr15 77600080 77600081 chr15:77600081:C:T rs73450931 C T C EBF1_EBF_1 13 1 - 9.1230234823818 10.237349054451238 ATTCCCATGAGACG chr15 77620828 77620829 chr15:77620829:C:G rs11856978 C G G EBF1_EBF_1 26 0 + 0 0 . chr15 77652099 77652100 chr15:77652100:C:T rs2292415 C T c EBF1_EBF_1 -16 0 - 0 0 . chr15 77668890 77668891 chr15:77668891:T:G rs115120371 T G T EBF1_EBF_1 -8 0 - 0 0 . chr15 77691459 77691460 chr15:77691460:T:C rs12898861 T C C EBF1_EBF_1 -16 0 + 0 0 . chr15 77717847 77717848 chr15:77717848:C:A rs12907305 C A C EBF1_EBF_1 31 0 - 0 0 . chr15 77820264 77820265 chr15:77820265:G:T rs148756623 G T G EBF1_EBF_1 29 0 - 0 0 . chr15 77827487 77827488 chr15:77827488:G:C rs11637937 G C G EBF1_EBF_1 15 0 - 0 0 . chr15 77829144 77829145 chr15:77829145:C:T rs11852322 C T C EBF1_EBF_1 22 0 - 0 0 . chr15 77836596 77836597 chr15:77836597:T:G rs1328171893 T G T EBF1_EBF_1 6 1 - 5.698021186677743 5.336418818876465 CCTCCCATGAGAGA chr15 77852126 77852127 chr15:77852127:C:T rs8034948 C T C EBF1_EBF_1 -14 0 - 0 0 . chr15 77852702 77852703 chr15:77852703:G:A rs72730774 G A G EBF1_EBF_1 -14 0 - 0 0 . chr15 77852741 77852742 chr15:77852742:T:C rs750524921 T C T EBF1_EBF_1 16 0 + 0 0 . chr15 77862963 77862964 chr15:77862964:G:T rs185340332 G T G EBF1_EBF_1 -9 0 - 0 0 . chr15 77868110 77868111 chr15:77868111:C:T rs28522551 C T C EBF1_EBF_1 -1 0 + 0 0 . chr15 77891409 77891410 chr15:77891410:A:G rs11633401 A G a EBF1_EBF_1 14 0 + 0 0 . chr15 77891427 77891428 chr15:77891428:G:C rs28470180 G C c EBF1_EBF_1 32 0 + 0 0 . chr15 77896261 77896262 chr15:77896262:G:A rs61639754 G A G EBF1_EBF_1 10 1 + 4.653286854854031 -0.664741604412936 AGTCCCAGGAGCCC chr15 77899822 77899823 chr15:77899823:G:A rs12909806 G A G EBF1_EBF_1 -8 0 - 0 0 . chr15 77899918 77899919 chr15:77899919:G:T rs537861931 G T G EBF1_EBF_1 32 0 - 0 0 . chr15 77901993 77901994 chr15:77901994:T:C rs1292157046 T C T EBF1_EBF_1 26 0 + 0 0 . chr15 77901994 77901995 chr15:77901995:C:A rs1109888 C A C EBF1_EBF_1 27 0 + 0 0 . chr15 77906333 77906334 chr15:77906334:C:T rs6495264 C T C EBF1_EBF_1 23 0 + 0 0 . chr15 77909246 77909247 chr15:77909247:C:T rs12911348 C T C EBF1_EBF_1 -20 0 + 0 0 . chr15 77909573 77909574 chr15:77909574:C:G rs28694582 C G C EBF1_EBF_1 -1 0 + 0 0 . chr15 77916293 77916294 chr15:77916294:G:T rs550933654 G T - EBF1_EBF_1 -19 0 + 0 0 . chr15 77917093 77917094 chr15:77917094:C:T rs4444305 C T c EBF1_EBF_1 8 1 - 9.928258175124997 5.701968086238737 ATCCCCTTGGGAGC chr15 77917178 77917179 chr15:77917179:G:A rs12916569 G A g EBF1_EBF_1 8 1 + 6.500319335774988 2.274029246888728 CCTCCCCTGGGTCT chr15 77917199 77917200 chr15:77917200:G:T rs12916578 G T g EBF1_EBF_1 29 0 + 0 0 . chr15 77918148 77918149 chr15:77918149:A:C rs4550415 A C a EBF1_EBF_1 -18 0 + 0 0 . chr15 77919636 77919637 chr15:77919637:C:T rs4530095 C T c EBF1_EBF_1 -8 0 + 0 0 . chr15 77921878 77921879 chr15:77921879:A:G rs4886972 A G a EBF1_EBF_1 28 0 - 0 0 . chr15 77936348 77936349 chr15:77936349:G:A rs4644826 G A g EBF1_EBF_1 -6 0 + 0 0 . chr15 77939285 77939286 chr15:77939286:A:T rs4372659 A T a EBF1_EBF_1 19 0 - 0 0 . chr15 77944347 77944348 chr15:77944348:G:C rs191932966 G C g EBF1_EBF_1 17 0 + 0 0 . chr15 77944357 77944358 chr15:77944358:A:T rs183197007 A T a EBF1_EBF_1 27 0 + 0 0 . chr15 77944361 77944362 chr15:77944362:G:A rs17476095 G A g EBF1_EBF_1 31 0 + 0 0 . chr15 77974977 77974978 chr15:77974978:G:A rs28401184 G A - EBF1_EBF_1 5 1 - 4.816826094917106 -0.5049611206235646 GGACCCGTGGGACC chr15 77980186 77980187 chr15:77980187:T:C rs12595494 T C - EBF1_EBF_1 7 1 + 5.619979840166386 3.8024750938837615 TCTCCCCTGGGCCC chr15 77980193 77980194 chr15:77980194:A:C rs12592934 A C - EBF1_EBF_1 14 0 + 0 0 . chr15 77980326 77980327 chr15:77980327:G:A rs28559633 G A - EBF1_EBF_1 5 1 - 4.840609086763907 -0.4811781287767633 CTCCCCATGGGGGC chr15 77983857 77983858 chr15:77983858:A:G rs116850092 A G - EBF1_EBF_1 13 1 - 6.378867967935328 5.53437385334981 CCACCCTGGGGACT chr15 77984689 77984690 chr15:77984690:G:C rs140896028 G C - EBF1_EBF_1 4 1 - 3.7753117591315712 -1.8504533420179732 CCCCCCCGGGGTCT chr15 77986141 77986142 chr15:77986142:G:A rs11072717 G A a EBF1_EBF_1 -11 0 + 0 0 . chr15 77988151 77988152 chr15:77988152:T:A rs28391804 T A - EBF1_EBF_1 26 0 - 0 0 . chr15 77988155 77988156 chr15:77988156:T:C rs7165391 T C - EBF1_EBF_1 22 0 - 0 0 . chr15 78019092 78019093 chr15:78019093:C:T rs8030873 C T C EBF1_EBF_1 -1 0 + 0 0 . chr15 78051040 78051041 chr15:78051041:G:A rs145550211 G A G EBF1_EBF_1 11 1 + 5.0038108356915325 7.896517679295544 CACCCCCAGGGGCA chr15 78064719 78064720 chr15:78064720:G:C rs80294711 G C G EBF1_EBF_1 18 0 - 0 0 . chr15 78077755 78077756 chr15:78077756:C:T chr15:78077756:C:T C T C EBF1_EBF_1 24 0 - 0 0 . chr15 78077795 78077796 chr15:78077796:G:C rs138752727 G C G EBF1_EBF_1 -16 0 - 0 0 . chr15 78082238 78082239 chr15:78082239:T:C rs11631180 T C T EBF1_EBF_1 28 0 + 0 0 . chr15 78103019 78103020 chr15:78103020:T:C rs9806257 T C C EBF1_EBF_1 -8 0 - 0 0 . chr15 78105503 78105504 chr15:78105504:T:C rs7164338 T C T EBF1_EBF_1 11 1 - 4.583297537315813 1.6905906937118014 ACTCCCTGGGCACC chr15 78108402 78108403 chr15:78108403:C:A rs982179532 C A C EBF1_EBF_1 -5 0 + 0 0 . chr15 78120854 78120855 chr15:78120855:G:A rs1542100 G A G EBF1_EBF_1 27 0 - 0 0 . chr15 78133773 78133774 chr15:78133774:C:T rs116740195 C T C EBF1_EBF_1 -2 0 + 0 0 . chr15 78157405 78157406 chr15:78157406:G:C rs12904688 G C . EBF1_EBF_1 6 1 - 4.998581897932069 3.1933898344588703 CCTCCCCGGGGGTC chr15 78192634 78192635 chr15:78192635:A:G rs28461704 A G G EBF1_EBF_1 22 0 + 0 0 . chr15 78192830 78192831 chr15:78192831:G:C rs142004961 G C G EBF1_EBF_1 6 1 - 5.542811489238817 3.7376194257656197 AGTCCTCAGAGACT chr15 78205014 78205015 chr15:78205015:G:A rs146777334 G A G EBF1_EBF_1 -5 0 - 0 0 . chr15 78236195 78236196 chr15:78236196:C:T rs12708524 C T T EBF1_EBF_1 -9 0 + 0 0 . chr15 78236218 78236219 chr15:78236219:A:C rs11637289 A C C EBF1_EBF_1 14 0 + 0 0 . chr15 78244812 78244813 chr15:78244813:T:C rs1879154 T C C EBF1_EBF_1 28 0 + 0 0 . chr15 78244813 78244814 chr15:78244814:G:A rs1879155 G A G EBF1_EBF_1 29 0 + 0 0 . chr15 78245530 78245531 chr15:78245531:G:A rs35901171 G A G EBF1_EBF_1 18 0 + 0 0 . chr15 78287937 78287938 chr15:78287938:C:T rs7179979 C T T EBF1_EBF_1 -5 0 + 0 0 . chr15 78308843 78308844 chr15:78308844:G:A rs16969709 G A G EBF1_EBF_1 -5 0 + 0 0 . chr15 78331413 78331414 chr15:78331414:G:A rs62010361 G A G EBF1_EBF_1 32 0 - 0 0 . chr15 78339016 78339017 chr15:78339017:C:T rs3813573 C T C EBF1_EBF_1 18 0 - 0 0 . chr15 78363071 78363072 chr15:78363072:G:A rs117618552 G A G EBF1_EBF_1 3 1 - 6.809915064687357 0.40451632313403985 TTTCCCCAGGGCTT chr15 78397100 78397101 chr15:78397101:A:G rs3743086 A G G EBF1_EBF_1 17 0 - 0 0 . chr15 78430176 78430177 chr15:78430177:T:C rs2656056 T C C EBF1_EBF_1 -11 0 + 0 0 . chr15 78438475 78438476 chr15:78438476:G:A rs1167269678 G A G EBF1_EBF_1 -4 0 - 0 0 . chr15 78540940 78540941 chr15:78540941:G:A chr15:78540941:G:A G A G EBF1_EBF_1 -2 0 + 0 0 . chr15 78540943 78540944 chr15:78540944:A:G rs113882269 A G A EBF1_EBF_1 1 1 + 5.482055642993348 5.7427544749146495 AATCCCCGGCGAGT chr15 78618918 78618919 chr15:78618919:T:C rs2869547 T C c EBF1_EBF_1 30 0 - 0 0 . chr15 78620600 78620601 chr15:78620601:G:A rs7170068 G A G EBF1_EBF_1 13 1 + 4.798825282651868 5.913150854721307 AGTCCCCGGCGACG chr15 78628592 78628593 chr15:78628593:G:C rs12593950 G C G EBF1_EBF_1 -1 0 - 0 0 . chr15 78632046 78632047 chr15:78632047:A:C rs145847617 A C C EBF1_EBF_1 26 0 + 0 0 . chr15 78641583 78641584 chr15:78641584:C:T rs71653606 C T C EBF1_EBF_1 20 0 - 0 0 . chr15 78660788 78660789 chr15:78660789:C:T rs4887075 C T T EBF1_EBF_1 -12 0 + 0 0 . chr15 78671860 78671861 chr15:78671861:G:T rs191230914 G T G EBF1_EBF_1 17 0 - 0 0 . chr15 78681729 78681730 chr15:78681730:T:C rs74565367 T C T EBF1_EBF_1 7 1 + 5.646195049195217 3.8286903029125936 TCCCCCATGGGGAC chr15 78729411 78729412 chr15:78729412:G:A rs182393409 G A - EBF1_EBF_1 2 1 - 7.533392544975042 9.422215956417192 TTCCCCTTGAGACT chr15 78731124 78731125 chr15:78731125:T:C rs1825083 T C - EBF1_EBF_1 -3 0 + 0 0 . chr15 78734331 78734332 chr15:78734332:G:A rs4886586 G A - EBF1_EBF_1 -6 0 - 0 0 . chr15 78740729 78740730 chr15:78740730:A:G rs113991567 A G N EBF1_EBF_1 11 1 + 5.271201115966851 2.378494272362839 GCTCCTCAGGGACC chr15 78741534 78741535 chr15:78741535:C:G rs57947815 C G N EBF1_EBF_1 -1 0 + 0 0 . chr15 78757775 78757776 chr15:78757776:T:C rs369123619 T C - EBF1_EBF_1 26 0 + 0 0 . chr15 78773037 78773038 chr15:78773038:T:C rs7177201 T C N EBF1_EBF_1 -15 0 - 0 0 . chr15 78778095 78778096 chr15:78778096:A:G rs12907764 A G G EBF1_EBF_1 26 0 + 0 0 . chr15 78793756 78793757 chr15:78793757:G:A rs2904228 G A g EBF1_EBF_1 6 1 + 8.747050489103565 10.91384492037804 GATCCCGAGGGAAC chr15 78812450 78812451 chr15:78812451:T:C rs35969124 T C C EBF1_EBF_1 -9 0 - 0 0 . chr15 78825953 78825954 chr15:78825954:C:T rs7178051 C T C EBF1_EBF_1 -2 0 - 0 0 . chr15 78841396 78841397 chr15:78841397:C:T rs7166501 C T C EBF1_EBF_1 9 1 - 6.4685149251020055 3.8135756596544024 ACTCCCCATGGAAT chr15 78841579 78841580 chr15:78841580:G:A rs766243208 G A G EBF1_EBF_1 -3 0 - 0 0 . chr15 78857638 78857639 chr15:78857639:G:A rs78201944 G A G EBF1_EBF_1 8 1 + 5.059969854695862 0.8336797658096016 CCTCCCAAGAGAGG chr15 78872554 78872555 chr15:78872555:C:G rs146224356 C G C EBF1_EBF_1 -4 0 - 0 0 . chr15 78926430 78926431 chr15:78926431:C:T rs12441725 C T C EBF1_EBF_1 -6 0 + 0 0 . chr15 78929564 78929565 chr15:78929565:T:C rs2289697 T C t EBF1_EBF_1 -5 0 - 0 0 . chr15 78929570 78929571 chr15:78929571:G:A rs532770763 G A G EBF1_EBF_1 -11 0 - 0 0 . chr15 78930160 78930161 chr15:78930161:C:T rs184322618 C T C EBF1_EBF_1 22 0 + 0 0 . chr15 78944472 78944473 chr15:78944473:G:A rs11072817 G A G EBF1_EBF_1 32 0 - 0 0 . chr15 78981031 78981032 chr15:78981032:C:T rs1036378 C T C EBF1_EBF_1 15 0 + 0 0 . chr15 78998580 78998581 chr15:78998581:T:C rs4778773 T C T EBF1_EBF_1 28 0 + 0 0 . chr15 78999107 78999108 chr15:78999108:G:A rs56267258 G A G EBF1_EBF_1 -2 0 + 0 0 . chr15 79003569 79003570 chr15:79003570:G:A rs58051566 G A G EBF1_EBF_1 3 1 + 5.092697978959507 4.014288397608917 GATGCCCTGGGAAT chr15 79016753 79016754 chr15:79016754:C:T rs28626563 C T C EBF1_EBF_1 33 0 + 0 0 . chr15 79027251 79027252 chr15:79027252:T:A rs56202352 T A A EBF1_EBF_1 26 0 + 0 0 . chr15 79054926 79054927 chr15:79054927:T:C rs62011240 T C T EBF1_EBF_1 27 0 + 0 0 . chr15 79066523 79066524 chr15:79066524:A:G rs736827 A G A EBF1_EBF_1 26 0 + 0 0 . chr15 79074138 79074139 chr15:79074139:G:A rs117748283 G A G EBF1_EBF_1 0 1 - 8.710791872338769 8.992993933069346 CGCCCCAAGGGATT chr15 79089999 79090000 chr15:79090000:G:A rs111331469 G A G EBF1_EBF_1 33 0 + 0 0 . chr15 79090189 79090190 chr15:79090190:C:A rs143444827 C A C EBF1_EBF_1 23 0 + 0 0 . chr15 79099921 79099922 chr15:79099922:T:C rs2049175 T C T EBF1_EBF_1 28 0 - 0 0 . chr15 79154670 79154671 chr15:79154671:C:T rs150575360 C T C EBF1_EBF_1 -2 0 - 0 0 . chr15 79171635 79171636 chr15:79171636:C:T rs74600570 C T C EBF1_EBF_1 -15 0 + 0 0 . chr15 79246205 79246206 chr15:79246206:T:C rs6495385 T C C EBF1_EBF_1 -11 0 + 0 0 . chr15 79258292 79258293 chr15:79258293:G:A rs8038485 G A G EBF1_EBF_1 29 0 - 0 0 . chr15 79258293 79258294 chr15:79258294:T:C rs8039464 T C T EBF1_EBF_1 28 0 - 0 0 . chr15 79310752 79310753 chr15:79310753:G:A rs559751698 G A G EBF1_EBF_1 0 1 - 6.508196433239799 6.790398493970376 CTTCCCTCGAGAAC chr15 79431992 79431993 chr15:79431993:T:C rs11858958 T C T EBF1_EBF_1 20 0 + 0 0 . chr15 79493257 79493258 chr15:79493258:C:T rs7172153 C T C EBF1_EBF_1 15 0 + 0 0 . chr15 79527571 79527572 chr15:79527572:G:A rs11637912 G A G EBF1_EBF_1 13 1 + 7.405865553279173 8.520191125348612 GGCCCCCAGGGACG chr15 79542798 79542799 chr15:79542799:C:T rs1685146 C T T EBF1_EBF_1 8 1 - 6.960005445483432 2.7337153565971715 CATCCCCAGAGAGT chr15 79615434 79615435 chr15:79615435:A:G rs1872826 A G A EBF1_EBF_1 -11 0 - 0 0 . chr15 79659129 79659130 chr15:79659130:G:T rs78563687 G T T EBF1_EBF_1 -4 0 - 0 0 . chr15 79743227 79743228 chr15:79743228:G:A rs12903985 G A G EBF1_EBF_1 -12 0 + 0 0 . chr15 79757818 79757819 chr15:79757819:G:A rs2866105 G A g EBF1_EBF_1 1 1 - 7.2987908798906584 8.194224320289404 TCCCCCAGGGGACA chr15 79757821 79757822 chr15:79757822:C:T rs372523841 C T c EBF1_EBF_1 -2 0 - 0 0 . chr15 79881365 79881366 chr15:79881366:T:A rs78700463 T A T EBF1_EBF_1 24 0 + 0 0 . chr15 79897180 79897181 chr15:79897181:C:G rs2903105 C G . EBF1_EBF_1 1 1 - 6.3546552067396505 6.1648835521704335 GGTCCCAAGGGCCA chr15 79897190 79897191 chr15:79897191:G:A rs2865825 G A . EBF1_EBF_1 -9 0 - 0 0 . chr15 79966593 79966594 chr15:79966594:G:A rs116361676 G A G EBF1_EBF_1 20 0 + 0 0 . chr15 80003181 80003182 chr15:80003182:T:C rs74824877 T C T EBF1_EBF_1 29 0 + 0 0 . chr15 80003281 80003282 chr15:80003282:C:A rs34309449 C A C EBF1_EBF_1 15 0 - 0 0 . chr15 80035480 80035481 chr15:80035481:C:T rs62006299 C T C EBF1_EBF_1 -4 0 + 0 0 . chr15 80173420 80173421 chr15:80173421:G:A rs148725050 G A G EBF1_EBF_1 -3 0 - 0 0 . chr15 80178300 80178301 chr15:80178301:A:G rs2866591 A G G EBF1_EBF_1 -20 0 + 0 0 . chr15 80203567 80203568 chr15:80203568:T:C rs8026850 T C C EBF1_EBF_1 15 0 - 0 0 . chr15 80209355 80209356 chr15:80209356:G:A rs77673954 G A G EBF1_EBF_1 4 1 - 4.411003101067431 0.0416161561225082 AGCTCCCAGGGAAC chr15 80210199 80210200 chr15:80210200:T:C rs4778762 T C T EBF1_EBF_1 25 0 - 0 0 . chr15 80212103 80212104 chr15:80212104:G:A rs74027271 G A G EBF1_EBF_1 5 1 - 4.8557248855665875 -0.4660623299740816 CCTCCCTCAGGACT chr15 80212112 80212113 chr15:80212113:A:G rs80003524 A G A EBF1_EBF_1 -4 0 - 0 0 . chr15 80224040 80224041 chr15:80224041:A:C rs12905402 A C A EBF1_EBF_1 2 1 - 8.065086484164574 1.316760793964106 TGTCCCAAGAGAAA chr15 80267075 80267076 chr15:80267076:T:C rs1347649 T C C EBF1_EBF_1 19 0 - 0 0 . chr15 80277175 80277176 chr15:80277176:C:T rs60421456 C T C EBF1_EBF_1 6 1 - 4.901699453386908 7.068493884661383 GTCCCCGAGGGGCC chr15 80278270 80278271 chr15:80278271:C:T rs75878850 C T C EBF1_EBF_1 32 0 - 0 0 . chr15 80310564 80310565 chr15:80310565:C:G rs3848189 C G G EBF1_EBF_1 20 0 - 0 0 . chr15 80358244 80358245 chr15:80358245:G:A rs3848201 G A a EBF1_EBF_1 -19 0 - 0 0 . chr15 80432147 80432148 chr15:80432148:A:G rs3848170 A G G EBF1_EBF_1 16 0 - 0 0 . chr15 80473481 80473482 chr15:80473482:G:A rs74027981 G A A EBF1_EBF_1 9 1 + 4.234644770445026 1.579705504997422 CTTCCCCAGGCACC chr15 80490611 80490612 chr15:80490612:C:T rs4503750 C T C EBF1_EBF_1 -16 0 + 0 0 . chr15 80585697 80585698 chr15:80585698:C:T rs28505490 C T C EBF1_EBF_1 31 0 - 0 0 . chr15 80596441 80596442 chr15:80596442:A:G rs6495511 A G G EBF1_EBF_1 13 1 - 5.9730631510055066 5.1285690364199885 AACCCCTGGGGCAT chr15 80605387 80605388 chr15:80605388:G:A rs7169061 G A G EBF1_EBF_1 -1 0 + 0 0 . chr15 80614243 80614244 chr15:80614244:A:G rs78660006 A G A EBF1_EBF_1 23 0 + 0 0 . chr15 80673871 80673872 chr15:80673872:C:T rs184007885 C T C EBF1_EBF_1 -4 0 + 0 0 . chr15 80692532 80692533 chr15:80692533:C:T rs28368913 C T C EBF1_EBF_1 -15 0 - 0 0 . chr15 80779914 80779915 chr15:80779915:G:A rs56226940 G A G EBF1_EBF_1 25 0 + 0 0 . chr15 80780844 80780845 chr15:80780845:G:T rs79680128 G T G EBF1_EBF_1 -3 0 - 0 0 . chr15 80823193 80823194 chr15:80823194:G:T rs12592465 G T G EBF1_EBF_1 18 0 - 0 0 . chr15 80823215 80823216 chr15:80823216:G:A rs76536976 G A G EBF1_EBF_1 -4 0 - 0 0 . chr15 80832923 80832924 chr15:80832924:G:A rs28434766 G A G EBF1_EBF_1 -4 0 + 0 0 . chr15 80832955 80832956 chr15:80832956:G:A rs4277309 G A A EBF1_EBF_1 28 0 + 0 0 . chr15 80834565 80834566 chr15:80834566:C:T rs7172022 C T T EBF1_EBF_1 -6 0 - 0 0 . chr15 80850732 80850733 chr15:80850733:G:T rs906428 G T G EBF1_EBF_1 27 0 + 0 0 . chr15 80861977 80861978 chr15:80861978:G:A chr15:80861978:G:A G A G EBF1_EBF_1 -18 0 + 0 0 . chr15 80892512 80892513 chr15:80892513:G:A rs922935760 G A G EBF1_EBF_1 -4 0 - 0 0 . chr15 80906362 80906363 chr15:80906363:T:C rs75747236 T C T EBF1_EBF_1 -6 0 - 0 0 . chr15 80906642 80906643 chr15:80906643:G:A rs185839832 G A G EBF1_EBF_1 24 0 - 0 0 . chr15 80945783 80945784 chr15:80945784:T:G rs60128578 T G T EBF1_EBF_1 16 0 - 0 0 . chr15 80980022 80980023 chr15:80980023:G:A rs76877681 G A G EBF1_EBF_1 -4 0 + 0 0 . chr15 81000640 81000641 chr15:81000641:G:C rs112712565 G C G EBF1_EBF_1 28 0 - 0 0 . chr15 81000642 81000643 chr15:81000643:G:C rs546471270 G C G EBF1_EBF_1 26 0 - 0 0 . chr15 81000684 81000685 chr15:81000685:G:C rs55694026 G C C EBF1_EBF_1 -16 0 - 0 0 . chr15 81023751 81023752 chr15:81023752:G:A rs13379745 G A G EBF1_EBF_1 10 1 + 5.2499482085078055 -0.06808025075916002 CCTCACCAGGGACT chr15 81039301 81039302 chr15:81039302:G:A rs146117101 G A G EBF1_EBF_1 -17 0 + 0 0 . chr15 81050829 81050830 chr15:81050830:T:G rs11072975 T G G EBF1_EBF_1 -6 0 - 0 0 . chr15 81097937 81097938 chr15:81097938:T:G rs2457383 T G T EBF1_EBF_1 -18 0 - 0 0 . chr15 81146203 81146204 chr15:81146204:T:G rs181074461 T G T EBF1_EBF_1 23 0 + 0 0 . chr15 81157448 81157449 chr15:81157449:G:A rs113433825 G A g EBF1_EBF_1 13 1 - 4.121345144489235 4.965839259074753 GTTCCCCTGGCACC chr15 81160601 81160602 chr15:81160602:C:A rs116353633 C A C EBF1_EBF_1 31 0 + 0 0 . chr15 81162251 81162252 chr15:81162252:C:T rs960969567 C T C EBF1_EBF_1 9 1 - 5.991314309470627 3.3363750440230246 ATCCCCAAGGAAGT chr15 81186871 81186872 chr15:81186872:A:G rs2063309 A G G EBF1_EBF_1 2 1 - 5.357188342702924 3.468364931260774 GCTCCCCTGGGCAA chr15 81261342 81261343 chr15:81261343:C:T rs72746149 C T C EBF1_EBF_1 21 0 + 0 0 . chr15 81263930 81263931 chr15:81263931:G:A rs8039027 G A G EBF1_EBF_1 -16 0 + 0 0 . chr15 81283076 81283077 chr15:81283077:G:T rs28682371 G T G EBF1_EBF_1 23 0 + 0 0 . chr15 81294524 81294525 chr15:81294525:T:G chr15:81294525:T:G T G T EBF1_EBF_1 8 1 - 5.514239343175196 4.421870571146771 CTTCCCTGAGGACA chr15 81334058 81334059 chr15:81334059:G:T rs7177778 G T T EBF1_EBF_1 9 1 + 6.0418170279710335 -0.7951723801177772 GACCCCTAGGGGCC chr15 81372514 81372515 chr15:81372515:G:A rs73434841 G A G EBF1_EBF_1 0 1 + 6.614224150902946 8.50650802046778 GTTCCCATGGGCAA chr15 81415167 81415168 chr15:81415168:A:T rs28524673 A T G EBF1_EBF_1 2 1 + 5.791495173502458 9.475962761101336 AGACCCCAGAGAGT chr15 81755708 81755709 chr15:81755709:G:A rs12907803 G A G EBF1_EBF_1 22 0 + 0 0 . chr15 81755987 81755988 chr15:81755988:T:C rs8035833 T C T EBF1_EBF_1 27 0 + 0 0 . chr15 81950261 81950262 chr15:81950262:T:C rs17259177 T C T EBF1_EBF_1 29 0 + 0 0 . chr15 82047289 82047290 chr15:82047290:A:T rs76124610 A T a EBF1_EBF_1 0 1 + 5.086483488475633 3.11342706550961 ATCTCCCAGGGACC chr15 82186899 82186900 chr15:82186900:A:G rs75884951 A G A EBF1_EBF_1 33 0 + 0 0 . chr15 82232202 82232203 chr15:82232203:T:C rs2134046 T C C EBF1_EBF_1 -13 0 + 0 0 . chr15 82300762 82300763 chr15:82300763:G:A rs781150907 G A g EBF1_EBF_1 16 0 + 0 0 . chr15 82325930 82325931 chr15:82325931:T:C rs4778677 T C - EBF1_EBF_1 -13 0 - 0 0 . chr15 82333419 82333420 chr15:82333420:T:C rs376610838 T C - EBF1_EBF_1 -2 0 + 0 0 . chr15 82489055 82489056 chr15:82489056:T:C rs1267666 T C . EBF1_EBF_1 0 1 - 7.820916450934785 5.928632581369953 ATTCCCAAGAGGCA chr15 82531950 82531951 chr15:82531951:C:A rs1298879243 C A A EBF1_EBF_1 -14 0 - 0 0 . chr15 82562585 82562586 chr15:82562586:T:C rs75311361 T C T EBF1_EBF_1 17 0 - 0 0 . chr15 82572335 82572336 chr15:82572336:C:T rs939852124 C T C EBF1_EBF_1 -5 0 - 0 0 . chr15 82613833 82613834 chr15:82613834:T:C rs576592057 T C T EBF1_EBF_1 31 0 + 0 0 . chr15 82613870 82613871 chr15:82613871:C:T rs114286701 C T C EBF1_EBF_1 -7 0 + 0 0 . chr15 82613876 82613877 chr15:82613877:T:C rs1269134 T C C EBF1_EBF_1 -1 0 + 0 0 . chr15 82648176 82648177 chr15:82648177:G:T rs186702267 G T G EBF1_EBF_1 13 1 - 4.4228221327832085 4.0490766834972325 GCCCCCCAGGGGGC chr15 82656776 82656777 chr15:82656777:G:A rs66793760 G A G EBF1_EBF_1 6 1 - 6.912971531850138 7.214257660711894 ATCCCCCGGGGGCA chr15 82675518 82675519 chr15:82675519:A:G rs7163990 A G A EBF1_EBF_1 -10 0 - 0 0 . chr15 82695419 82695420 chr15:82695420:C:T rs28864981 C T C EBF1_EBF_1 21 0 - 0 0 . chr15 82764955 82764956 chr15:82764956:C:A rs66978683 C A C EBF1_EBF_1 13 1 - 8.82187518275645 11.154440318697382 AGCCCCCTGGGACG chr15 82771591 82771592 chr15:82771592:C:T rs1533136 C T T EBF1_EBF_1 0 1 - 6.424736846037026 8.31702071560186 GTTCCCAGGAGAAG chr15 82918173 82918174 chr15:82918174:G:A rs17294476 G A A EBF1_EBF_1 -3 0 + 0 0 . chr15 82958899 82958900 chr15:82958900:G:C rs72755940 G C G EBF1_EBF_1 -8 0 + 0 0 . chr15 82999710 82999711 chr15:82999711:T:C rs4842859 T C T EBF1_EBF_1 -20 0 - 0 0 . chr15 83066701 83066702 chr15:83066702:G:A rs1185852658 G A - EBF1_EBF_1 -10 0 - 0 0 . chr15 83066711 83066712 chr15:83066712:G:A rs1211659972 G A - EBF1_EBF_1 -20 0 - 0 0 . chr15 83116970 83116971 chr15:83116971:C:T rs72755992 C T C EBF1_EBF_1 2 1 + 6.94825383746769 8.837077248909841 TGCCCCCTGGGAGC chr15 83163387 83163388 chr15:83163388:A:G rs980784856 A G A EBF1_EBF_1 -18 0 + 0 0 . chr15 83289082 83289083 chr15:83289083:C:T rs114059987 C T C EBF1_EBF_1 -19 0 - 0 0 . chr15 83301059 83301060 chr15:83301060:G:T rs75333727 G T G EBF1_EBF_1 -1 0 + 0 0 . chr15 83380042 83380043 chr15:83380043:G:A rs301854 G A G EBF1_EBF_1 20 0 - 0 0 . chr15 83436621 83436622 chr15:83436622:G:A rs17841199 G A G EBF1_EBF_1 -2 0 + 0 0 . chr15 83436633 83436634 chr15:83436634:G:C chr15:83436634:G:C G C G EBF1_EBF_1 10 1 + 6.836041413195002 -0.05476097413447349 TGCCCCAGGGGATC chr15 83583308 83583309 chr15:83583309:T:A rs10152514 T A T EBF1_EBF_1 7 1 + 6.558127806392661 7.03440204648022 AGTCCCCTGGTAAG chr15 83696035 83696036 chr15:83696036:G:A rs7162845 G A G EBF1_EBF_1 30 0 - 0 0 . chr15 83698521 83698522 chr15:83698522:A:T rs145279861 A T A EBF1_EBF_1 14 0 + 0 0 . chr15 83709090 83709091 chr15:83709091:T:C rs2554381 T C T EBF1_EBF_1 -1 0 + 0 0 . chr15 83734769 83734770 chr15:83734770:T:C rs2554386 T C C EBF1_EBF_1 -1 0 + 0 0 . chr15 83842453 83842454 chr15:83842454:C:T rs28612730 C T C EBF1_EBF_1 -11 0 + 0 0 . chr15 83860810 83860811 chr15:83860811:G:A rs11636302 G A A EBF1_EBF_1 -7 0 - 0 0 . chr15 83945449 83945450 chr15:83945450:G:A rs12898206 G A g EBF1_EBF_1 29 0 - 0 0 . chr15 84117397 84117398 chr15:84117398:G:A rs79318564 G A G EBF1_EBF_1 -8 0 - 0 0 . chr15 84391589 84391590 chr15:84391590:G:T rs113031444 G T . EBF1_EBF_1 23 0 - 0 0 . chr15 84391627 84391628 chr15:84391628:G:A rs1808567 G A . EBF1_EBF_1 -15 0 - 0 0 . chr15 84396333 84396334 chr15:84396334:T:C rs1864257 T C . EBF1_EBF_1 -19 0 - 0 0 . chr15 84402426 84402427 chr15:84402427:T:G rs2401427 T G . EBF1_EBF_1 -18 0 + 0 0 . chr15 84571315 84571316 chr15:84571316:T:C rs12899953 T C t EBF1_EBF_1 0 1 + 10.903999544328935 10.621797483598357 TCTCCCAAGGGAAC chr15 84598727 84598728 chr15:84598728:G:A rs3762169 G A G EBF1_EBF_1 -19 0 - 0 0 . chr15 84631240 84631241 chr15:84631241:C:T rs542303796 C T C EBF1_EBF_1 19 0 + 0 0 . chr15 84631252 84631253 chr15:84631253:C:G rs116407630 C G C EBF1_EBF_1 31 0 + 0 0 . chr15 84658232 84658233 chr15:84658233:C:G rs924969019 C G C EBF1_EBF_1 15 0 - 0 0 . chr15 84660160 84660161 chr15:84660161:A:T rs11637728 A T T EBF1_EBF_1 13 1 - 5.038852299860695 3.820612735989201 ATTCCAGTGGGACT chr15 84750698 84750699 chr15:84750699:G:A rs72630463 G A G EBF1_EBF_1 25 0 - 0 0 . chr15 84796977 84796978 chr15:84796978:C:G rs55691012 C G C EBF1_EBF_1 4 1 + 5.674107959001303 0.04834285785175729 CCCCCCAAGAGACA chr15 84811364 84811365 chr15:84811365:C:T rs11073730 C T C EBF1_EBF_1 -16 0 + 0 0 . chr15 84812421 84812422 chr15:84812422:C:T rs67320428 C T C EBF1_EBF_1 -11 0 - 0 0 . chr15 84858187 84858188 chr15:84858188:T:C rs167379 T C C EBF1_EBF_1 -11 0 - 0 0 . chr15 84858365 84858366 chr15:84858366:C:T rs55752937 C T C EBF1_EBF_1 -7 0 - 0 0 . chr15 84888334 84888335 chr15:84888335:C:T rs34886124 C T C EBF1_EBF_1 31 0 - 0 0 . chr15 84912437 84912438 chr15:84912438:C:G rs554141569 C G C EBF1_EBF_1 4 1 + 6.215767753150369 0.5900026520008252 TCTCCCTAGGGCTT chr15 84912606 84912607 chr15:84912607:G:A rs572349591 G A G EBF1_EBF_1 23 0 + 0 0 . chr15 84914467 84914468 chr15:84914468:G:A rs57129316 G A G EBF1_EBF_1 16 0 + 0 0 . chr15 84915674 84915675 chr15:84915675:C:A rs12438877 C A C EBF1_EBF_1 -14 0 - 0 0 . chr15 84926893 84926894 chr15:84926894:C:G rs4513067 C G C EBF1_EBF_1 9 1 - 9.785341090737692 4.709651944392229 CATCCCCAGGGACA chr15 84930391 84930392 chr15:84930392:G:A rs72754945 G A G EBF1_EBF_1 22 0 - 0 0 . chr15 84969801 84969802 chr15:84969802:T:C rs59269598 T C g EBF1_EBF_1 11 1 - 5.5957515878394535 2.703044744235442 GGACCCCTGGGATC chr15 84974209 84974210 chr15:84974210:T:C rs186953530 T C T EBF1_EBF_1 13 1 - 7.903533366891638 6.789207794822199 ATTCCCAGGGTAAA chr15 84976091 84976092 chr15:84976092:C:T rs7173177 C T C EBF1_EBF_1 31 0 + 0 0 . chr15 84978952 84978953 chr15:84978953:A:T rs7178415 A T A EBF1_EBF_1 26 0 - 0 0 . chr15 84981164 84981165 chr15:84981165:C:T rs1470685033 C T C EBF1_EBF_1 32 0 - 0 0 . chr15 84981189 84981190 chr15:84981190:T:C rs184500139 T C T EBF1_EBF_1 7 1 - 4.923937260373308 3.6114788550844823 CTTGCCCAGGGACA chr15 84981770 84981771 chr15:84981771:G:A rs377172411 G A G EBF1_EBF_1 -17 0 - 0 0 . chr15 85010598 85010599 chr15:85010599:A:G rs68115999 A G A EBF1_EBF_1 30 0 - 0 0 . chr15 85097050 85097051 chr15:85097051:C:A rs17612860 C A C EBF1_EBF_1 32 0 - 0 0 . chr15 85125715 85125716 chr15:85125716:G:T rs16974907 G T G EBF1_EBF_1 -3 0 - 0 0 . chr15 85156045 85156046 chr15:85156046:G:C rs73454216 G C G EBF1_EBF_1 29 0 + 0 0 . chr15 85164909 85164910 chr15:85164910:A:G rs6496775 A G a EBF1_EBF_1 -15 0 - 0 0 . chr15 85168711 85168712 chr15:85168712:C:A rs8039756 C A C EBF1_EBF_1 33 0 + 0 0 . chr15 85234002 85234003 chr15:85234003:G:A rs182899593 G A G EBF1_EBF_1 29 0 - 0 0 . chr15 85384972 85384973 chr15:85384973:A:G rs35691335 A G A EBF1_EBF_1 -5 0 - 0 0 . chr15 85442032 85442033 chr15:85442033:T:A rs12148727 T A T EBF1_EBF_1 7 1 - 8.6979912759213 8.221717035833743 CATCCCTAGGGAGA chr15 85531340 85531341 chr15:85531341:G:C rs74025608 G C G EBF1_EBF_1 26 0 - 0 0 . chr15 85532230 85532231 chr15:85532231:A:C rs6496066 A C A EBF1_EBF_1 17 0 - 0 0 . chr15 85542570 85542571 chr15:85542571:G:A rs2169877 G A A EBF1_EBF_1 1 1 - 5.0444169572936435 5.93985039769239 CCTCCCACGGGTCT chr15 85643942 85643943 chr15:85643943:A:G rs399560 A G A EBF1_EBF_1 -15 0 - 0 0 . chr15 85707112 85707113 chr15:85707113:G:A rs117475244 G A G EBF1_EBF_1 -5 0 + 0 0 . chr15 85725225 85725226 chr15:85725226:A:G rs117164988 A G A EBF1_EBF_1 -10 0 + 0 0 . chr15 85750894 85750895 chr15:85750895:G:A rs76204898 G A g EBF1_EBF_1 -14 0 + 0 0 . chr15 85752555 85752556 chr15:85752556:G:A rs3803511 G A G EBF1_EBF_1 -2 0 - 0 0 . chr15 85759503 85759504 chr15:85759504:A:T rs3743336 A T A EBF1_EBF_1 -5 0 + 0 0 . chr15 85759533 85759534 chr15:85759534:C:T rs8040517 C T C EBF1_EBF_1 25 0 + 0 0 . chr15 85759653 85759654 chr15:85759654:C:T rs73456377 C T C EBF1_EBF_1 1 1 + 5.410405048379867 6.305838488778613 ACCCCCAAGAGGCC chr15 85760175 85760176 chr15:85760176:G:A rs2614661 G A G EBF1_EBF_1 20 0 - 0 0 . chr15 85760519 85760520 chr15:85760520:T:C rs3743332 T C C EBF1_EBF_1 -19 0 + 0 0 . chr15 85764883 85764884 chr15:85764884:G:C rs2455558 G C G EBF1_EBF_1 6 1 - 8.201279406917445 6.396087343444248 ATTCCCCTGAGGCT chr15 85800588 85800589 chr15:85800589:A:C rs72753630 A C A EBF1_EBF_1 33 0 - 0 0 . chr15 85800600 85800601 chr15:85800601:C:T rs146374352 C T C EBF1_EBF_1 21 0 - 0 0 . chr15 85803453 85803454 chr15:85803454:A:C rs4842897 A C A EBF1_EBF_1 -6 0 + 0 0 . chr15 85818184 85818185 chr15:85818185:T:C rs2937947 T C C EBF1_EBF_1 -5 0 - 0 0 . chr15 85827105 85827106 chr15:85827106:G:A rs11073580 G A A EBF1_EBF_1 12 1 - 6.574606160848718 5.548339590297869 ATTCCCCAGGAACG chr15 85840207 85840208 chr15:85840208:G:T rs149368570 G T G EBF1_EBF_1 25 0 - 0 0 . chr15 85860531 85860532 chr15:85860532:G:A rs73445838 G A G EBF1_EBF_1 33 0 - 0 0 . chr15 85864635 85864636 chr15:85864636:A:G rs4843125 A G T EBF1_EBF_1 -8 0 + 0 0 . chr15 85864646 85864647 chr15:85864647:C:T rs56091151 C T C EBF1_EBF_1 3 1 + 5.371749335187534 -1.033649406365783 ATCCCCAGGAGATG chr15 85886552 85886553 chr15:85886553:G:A rs114110300 G A G EBF1_EBF_1 -12 0 + 0 0 . chr15 85886553 85886554 chr15:85886554:C:A rs7182095 C A C EBF1_EBF_1 -11 0 + 0 0 . chr15 85890660 85890661 chr15:85890661:T:G rs555328077 T G T EBF1_EBF_1 -20 0 - 0 0 . chr15 85947180 85947181 chr15:85947181:T:C rs58414319 T C T EBF1_EBF_1 25 0 - 0 0 . chr15 85967687 85967688 chr15:85967688:C:T rs1496866 C T C EBF1_EBF_1 6 1 - 7.197304170162782 9.364098601437258 GGTCCCGAGAGAAT chr15 85974399 85974400 chr15:85974400:C:T rs870561 C T C EBF1_EBF_1 -8 0 - 0 0 . chr15 85977045 85977046 chr15:85977046:C:T rs113164292 C T C EBF1_EBF_1 22 0 - 0 0 . chr15 86054213 86054214 chr15:86054214:C:G rs4887245 C G c EBF1_EBF_1 22 0 - 0 0 . chr15 86054439 86054440 chr15:86054440:G:A rs76306754 G A G EBF1_EBF_1 11 1 - 5.866704214194854 6.184751655948518 AACCCCTAGGGCGT chr15 86063849 86063850 chr15:86063850:C:T rs16947632 C T C EBF1_EBF_1 19 0 - 0 0 . chr15 86078915 86078916 chr15:86078916:C:T rs74315599 C T C EBF1_EBF_1 32 0 + 0 0 . chr15 86232235 86232236 chr15:86232236:C:T rs76279077 C T C EBF1_EBF_1 -16 0 + 0 0 . chr15 86232244 86232245 chr15:86232245:C:T rs79685896 C T C EBF1_EBF_1 -7 0 + 0 0 . chr15 86300915 86300916 chr15:86300916:C:T rs552814924 C T C EBF1_EBF_1 -13 0 - 0 0 . chr15 86445075 86445076 chr15:86445076:C:T rs8034019 C T T EBF1_EBF_1 -20 0 + 0 0 . chr15 86553325 86553326 chr15:86553326:T:C rs8035285 T C C EBF1_EBF_1 -6 0 - 0 0 . chr15 86592972 86592973 chr15:86592973:G:A rs720736 G A A EBF1_EBF_1 27 0 + 0 0 . chr15 86593261 86593262 chr15:86593262:T:C rs720737 T C T EBF1_EBF_1 -16 0 + 0 0 . chr15 86743692 86743693 chr15:86743693:A:C rs1031409 A C C EBF1_EBF_1 12 1 - 7.397920704648286 7.035551331520985 ATCCCCTTGGGGTC chr15 86743712 86743713 chr15:86743713:C:T rs60572800 C T C EBF1_EBF_1 -8 0 - 0 0 . chr15 86783345 86783346 chr15:86783346:T:G rs12906045 T G T EBF1_EBF_1 18 0 - 0 0 . chr15 86871237 86871238 chr15:86871238:C:A rs17702916 C A C EBF1_EBF_1 15 0 + 0 0 . chr15 86939408 86939409 chr15:86939409:A:G rs113092885 A G A EBF1_EBF_1 -4 0 + 0 0 . chr15 87016247 87016248 chr15:87016248:T:A rs1897654 T A T EBF1_EBF_1 17 0 - 0 0 . chr15 87522986 87522987 chr15:87522987:G:A rs4448903 G A G EBF1_EBF_1 18 0 + 0 0 . chr15 87582525 87582526 chr15:87582526:C:T rs62021291 C T T EBF1_EBF_1 -9 0 - 0 0 . chr15 87597525 87597526 chr15:87597526:C:G rs79345074 C G C EBF1_EBF_1 2 1 + 5.737879143947069 0.8783768651887519 AACCCCATGAGGAT chr15 87609696 87609697 chr15:87609697:T:C rs4887190 T C C EBF1_EBF_1 31 0 - 0 0 . chr15 87619615 87619616 chr15:87619616:C:A rs4627305 C A C EBF1_EBF_1 27 0 + 0 0 . chr15 87624176 87624177 chr15:87624177:T:C rs73454873 T C T EBF1_EBF_1 -4 0 + 0 0 . chr15 87661841 87661842 chr15:87661842:G:A rs8023990 G A G EBF1_EBF_1 10 1 + 7.438269321069605 2.120240861802638 ATTGCCATGGGACC chr15 87735505 87735506 chr15:87735506:T:G rs78932439 T G T EBF1_EBF_1 7 1 - 4.20583387006473 1.912054883694548 ACTCCCCAGGCAGC chr15 87737141 87737142 chr15:87737142:T:G rs62020499 T G T EBF1_EBF_1 -7 0 + 0 0 . chr15 87777074 87777075 chr15:87777075:C:T rs1109084 C T T EBF1_EBF_1 7 1 - 8.255632868326607 9.568091273615433 CTCCCCTGGGGAAC chr15 87817051 87817052 chr15:87817052:G:C rs11632716 G C C EBF1_EBF_1 -14 0 + 0 0 . chr15 87855412 87855413 chr15:87855413:C:T rs75129124 C T C EBF1_EBF_1 25 0 - 0 0 . chr15 87910425 87910426 chr15:87910426:G:A rs6496455 G A G EBF1_EBF_1 20 0 + 0 0 . chr15 87932124 87932125 chr15:87932125:A:G rs8041239 A G G EBF1_EBF_1 -4 0 - 0 0 . chr15 87932934 87932935 chr15:87932935:A:C rs1006046 A C A EBF1_EBF_1 -19 0 + 0 0 . chr15 87941953 87941954 chr15:87941954:G:A rs9944184 G A g EBF1_EBF_1 -4 0 + 0 0 . chr15 87949838 87949839 chr15:87949839:A:C rs79665674 A C A EBF1_EBF_1 8 1 + 6.496600719221967 5.4042319471935425 ACTCCCCAAGGAGA chr15 88073767 88073768 chr15:88073768:T:C rs28584910 T C C EBF1_EBF_1 -10 0 - 0 0 . chr15 88084225 88084226 chr15:88084226:A:T rs114511778 A T A EBF1_EBF_1 17 0 + 0 0 . chr15 88084754 88084755 chr15:88084755:C:G rs79249705 C G G EBF1_EBF_1 -13 0 - 0 0 . chr15 88089376 88089377 chr15:88089377:G:A rs9672679 G A G EBF1_EBF_1 -16 0 - 0 0 . chr15 88090629 88090630 chr15:88090630:C:A rs542060182 C A C EBF1_EBF_1 -1 0 - 0 0 . chr15 88178874 88178875 chr15:88178875:G:A rs2162266 G A G EBF1_EBF_1 31 0 + 0 0 . chr15 88182132 88182133 chr15:88182133:G:A rs1529323 G A A EBF1_EBF_1 3 1 - 10.67985852129739 4.274459779744076 AGCCCCAGGGGACT chr15 88222912 88222913 chr15:88222913:G:A rs1863484 G A G EBF1_EBF_1 14 0 - 0 0 . chr15 88253649 88253650 chr15:88253650:G:T rs74027931 G T G EBF1_EBF_1 18 0 - 0 0 . chr15 88253671 88253672 chr15:88253672:T:G rs74027932 T G G EBF1_EBF_1 -4 0 - 0 0 . chr15 88262021 88262022 chr15:88262022:T:C rs28664949 T C C EBF1_EBF_1 22 0 - 0 0 . chr15 88284638 88284639 chr15:88284639:C:G rs12593596 C G C EBF1_EBF_1 6 1 - 7.311099053907589 9.116291117380783 ACTCCCGTGGGGCC chr15 88307081 88307082 chr15:88307082:T:C rs2165642 T C T EBF1_EBF_1 7 1 - 6.604073176576824 5.291614771288 TGTCCCCAGGGTCC chr15 88454913 88454914 chr15:88454914:G:A rs115739187 G A G EBF1_EBF_1 17 0 + 0 0 . chr15 88466760 88466761 chr15:88466761:A:G rs8039778 A G G EBF1_EBF_1 11 1 + 6.616597286628712 3.7238904430247013 AGCCCCATGAGATA chr15 88563435 88563436 chr15:88563436:G:A rs8037127 G A A EBF1_EBF_1 14 0 + 0 0 . chr15 88605802 88605803 chr15:88605803:C:A rs117314991 C A C EBF1_EBF_1 19 0 + 0 0 . chr15 88622143 88622144 chr15:88622144:C:T rs117296200 C T C EBF1_EBF_1 10 1 - 5.05943025197093 -0.2585982072960357 GGCCCCAAAGGAAC chr15 88637743 88637744 chr15:88637744:C:T rs72763862 C T C EBF1_EBF_1 9 1 - 7.150920268856408 4.495981003408805 ATCCCCATAGGACC chr15 88639364 88639365 chr15:88639365:A:G rs3200942 A G A EBF1_EBF_1 12 1 + 6.586651295611804 5.167833953512327 GGTCCCCAAGGAAC chr15 88693223 88693224 chr15:88693224:G:A rs61391407 G A G EBF1_EBF_1 24 0 + 0 0 . chr15 88708079 88708080 chr15:88708080:C:T rs55737225 C T T EBF1_EBF_1 15 0 + 0 0 . chr15 88708700 88708701 chr15:88708701:G:C rs10852111 G C C EBF1_EBF_1 30 0 - 0 0 . chr15 88715291 88715292 chr15:88715292:C:T rs73453856 C T T EBF1_EBF_1 5 1 + 7.247909881362115 1.926122665821445 AGTCCCTGGGGCCC chr15 88729605 88729606 chr15:88729606:C:T rs72765641 C T C EBF1_EBF_1 -4 0 - 0 0 . chr15 88746010 88746011 chr15:88746011:T:A rs4932416 T A T EBF1_EBF_1 0 1 - 6.018269638199567 4.045213215233547 ATTCCCAAGGTCAT chr15 88765731 88765732 chr15:88765732:G:A rs60629520 G A G EBF1_EBF_1 10 1 + 5.551141980277616 0.23311352101064942 AGTCCCCAGCGGCT chr15 88790758 88790759 chr15:88790759:C:G rs62023471 C G C EBF1_EBF_1 8 1 - 7.412384996070551 2.0937261351558636 TGCCCCAAGGGAGA chr15 88845673 88845674 chr15:88845674:C:T rs16942341 C T C EBF1_EBF_1 -7 0 + 0 0 . chr15 88849932 88849933 chr15:88849933:C:T rs146107952 C T C EBF1_EBF_1 12 1 + 8.459737057172687 7.433470486621838 GTTCCCCAGAGACA chr15 88878710 88878711 chr15:88878711:G:A rs181030740 G A G EBF1_EBF_1 21 0 + 0 0 . chr15 88894431 88894432 chr15:88894432:C:T rs561461157 C T C EBF1_EBF_1 3 1 + 5.416846174236852 -0.9885525673164655 GTCCCCCAGGTACC chr15 88894432 88894433 chr15:88894433:C:T rs1165455194 C T C EBF1_EBF_1 4 1 + 5.416846174236852 1.0474592292919278 GTCCCCCAGGTACC chr15 88894863 88894864 chr15:88894864:C:A rs78143744 C A C EBF1_EBF_1 -13 0 + 0 0 . chr15 88894889 88894890 chr15:88894890:A:G rs74029048 A G G EBF1_EBF_1 13 1 + 4.931289407531442 3.816963835462003 TGTCCCTGGGGCAA chr15 88894894 88894895 chr15:88894895:C:G rs76455597 C G C EBF1_EBF_1 18 0 + 0 0 . chr15 88903860 88903861 chr15:88903861:G:A rs11073821 G A G EBF1_EBF_1 -16 0 - 0 0 . chr15 88913109 88913110 chr15:88913110:C:G rs531683809 C G C EBF1_EBF_1 32 0 - 0 0 . chr15 88913110 88913111 chr15:88913111:C:A rs8033625 C A C EBF1_EBF_1 31 0 - 0 0 . chr15 88913124 88913125 chr15:88913125:G:C rs3743387 G C G EBF1_EBF_1 17 0 - 0 0 . chr15 88913387 88913388 chr15:88913388:C:G rs139643219 C G C EBF1_EBF_1 1 1 + 4.714154322478104 4.903925977047321 TCTCCTCTGGGACC chr15 88943666 88943667 chr15:88943667:C:G rs7350794 C G C EBF1_EBF_1 -14 0 + 0 0 . chr15 88954590 88954591 chr15:88954591:G:A rs12050555 G A G EBF1_EBF_1 -8 0 + 0 0 . chr15 88955179 88955180 chr15:88955180:G:C rs35392854 G C G EBF1_EBF_1 -5 0 - 0 0 . chr15 88973374 88973375 chr15:88973375:T:A rs35864015 T A T EBF1_EBF_1 27 0 + 0 0 . chr15 88986121 88986122 chr15:88986122:G:T rs12909922 G T T EBF1_EBF_1 -5 0 - 0 0 . chr15 89017928 89017929 chr15:89017929:G:A rs192330120 G A G EBF1_EBF_1 26 0 - 0 0 . chr15 89105392 89105393 chr15:89105393:C:A rs138685929 C A C EBF1_EBF_1 5 1 + 6.135591639218514 -0.7692895134166534 CTCCCCCTGAGAAC chr15 89119861 89119862 chr15:89119862:G:A rs60244965 G A G EBF1_EBF_1 33 0 - 0 0 . chr15 89119878 89119879 chr15:89119879:G:A rs528349743 G A G EBF1_EBF_1 16 0 - 0 0 . chr15 89129636 89129637 chr15:89129637:C:T rs73467772 C T C EBF1_EBF_1 7 1 + 7.394060547870063 9.211565294152686 TGCCCCCCGGGAAT chr15 89174870 89174871 chr15:89174871:T:G rs114676533 T G T EBF1_EBF_1 1 1 + 8.62452408039309 7.918862294563564 CTTCCCAAGGGGAC chr15 89199015 89199016 chr15:89199016:C:T rs779098557 C T C EBF1_EBF_1 30 0 - 0 0 . chr15 89218256 89218257 chr15:89218257:G:A rs2854516 G A G EBF1_EBF_1 -11 0 + 0 0 . chr15 89334419 89334420 chr15:89334420:G:T rs929928154 G T G EBF1_EBF_1 -14 0 + 0 0 . chr15 89347277 89347278 chr15:89347278:G:A rs117844640 G A G EBF1_EBF_1 21 0 + 0 0 . chr15 89362348 89362349 chr15:89362349:C:T rs80015997 C T C EBF1_EBF_1 32 0 + 0 0 . chr15 89364215 89364216 chr15:89364216:C:T rs117538444 C T c EBF1_EBF_1 8 1 - 5.618686410423092 1.3923963215368318 AGTCCCACGGGTTC chr15 89399481 89399482 chr15:89399482:G:A chr15:89399482:G:A G A G EBF1_EBF_1 21 0 + 0 0 . chr15 89400545 89400546 chr15:89400546:G:C rs208828 G C C EBF1_EBF_1 -3 0 - 0 0 . chr15 89408334 89408335 chr15:89408335:T:A rs184384038 T A T EBF1_EBF_1 -9 0 - 0 0 . chr15 89453006 89453007 chr15:89453007:G:A rs62020807 G A G EBF1_EBF_1 15 0 + 0 0 . chr15 89461601 89461602 chr15:89461602:A:G rs190643982 A G A EBF1_EBF_1 11 1 + 4.391478434106329 1.4987715905023173 GCTGCCCAGGGACA chr15 89471713 89471714 chr15:89471714:T:G rs2072693 T G G EBF1_EBF_1 -3 0 + 0 0 . chr15 89489558 89489559 chr15:89489559:C:G rs74032414 C G C EBF1_EBF_1 9 1 - 8.358184644385963 3.2824954980404977 AATCCCCCGGGAGA chr15 89499385 89499386 chr15:89499386:C:T rs62023065 C T C EBF1_EBF_1 2 1 + 5.766372441836266 7.655195853278416 ACCCCCTTGGGGGA chr15 89501441 89501442 chr15:89501442:C:T rs113333475 C T C EBF1_EBF_1 -12 0 + 0 0 . chr15 89515394 89515395 chr15:89515395:G:A rs73468585 G A A EBF1_EBF_1 -7 0 + 0 0 . chr15 89554260 89554261 chr15:89554261:G:A rs12442457 G A G EBF1_EBF_1 -2 0 + 0 0 . chr15 89575091 89575092 chr15:89575092:T:G rs114064865 T G T EBF1_EBF_1 -14 0 - 0 0 . chr15 89575475 89575476 chr15:89575476:C:A rs73484637 C A C EBF1_EBF_1 -7 0 + 0 0 . chr15 89575501 89575502 chr15:89575502:G:A rs193267001 G A G EBF1_EBF_1 19 0 + 0 0 . chr15 89575510 89575511 chr15:89575511:T:A rs140742750 T A T EBF1_EBF_1 28 0 + 0 0 . chr15 89630625 89630626 chr15:89630626:C:G rs4932238 C G G EBF1_EBF_1 21 0 + 0 0 . chr15 89631723 89631724 chr15:89631724:C:T rs9672296 C T C EBF1_EBF_1 3 1 + 6.408012944301471 0.002614202748154826 AATCACCAGGGATT chr15 89645718 89645719 chr15:89645719:C:T rs529990866 C T C EBF1_EBF_1 -20 0 + 0 0 . chr15 89663340 89663341 chr15:89663341:C:T rs72750758 C T C EBF1_EBF_1 -3 0 + 0 0 . chr15 89665473 89665474 chr15:89665474:C:T rs113590297 C T G EBF1_EBF_1 0 1 + 8.2857677088448 8.56796976957538 CTCCCCAGGGGACC chr15 89667896 89667897 chr15:89667897:G:A rs8179069 G A G EBF1_EBF_1 26 0 + 0 0 . chr15 89690709 89690710 chr15:89690710:G:C rs577395228 G C g EBF1_EBF_1 14 0 + 0 0 . chr15 89743216 89743217 chr15:89743217:C:T rs75562394 C T C EBF1_EBF_1 19 0 - 0 0 . chr15 89750728 89750729 chr15:89750729:T:C rs200810210 T C T EBF1_EBF_1 -16 0 + 0 0 . chr15 89758286 89758287 chr15:89758287:G:A rs12909833 G A G EBF1_EBF_1 33 0 + 0 0 . chr15 89767305 89767306 chr15:89767306:C:T rs35562959 C T T EBF1_EBF_1 9 1 - 6.942657563107862 4.287718297660259 AGCCCCAGGGGGCC chr15 89768517 89768518 chr15:89768518:C:T rs28475471 C T T EBF1_EBF_1 -1 0 + 0 0 . chr15 89776854 89776855 chr15:89776855:C:G rs200336355 C G C EBF1_EBF_1 -14 0 - 0 0 . chr15 89785073 89785074 chr15:89785074:T:C rs1042499 T C T EBF1_EBF_1 24 0 - 0 0 . chr15 89790914 89790915 chr15:89790915:G:A rs77935658 G A G EBF1_EBF_1 21 0 + 0 0 . chr15 89795280 89795281 chr15:89795281:T:C rs1439118 T C C EBF1_EBF_1 17 0 + 0 0 . chr15 89808989 89808990 chr15:89808990:A:G rs142760622 A G A EBF1_EBF_1 7 1 + 6.987721331260194 5.675262925971369 TTCCCCAAGGGGCC chr15 89822189 89822190 chr15:89822190:C:T rs12148357 C T T EBF1_EBF_1 -19 0 - 0 0 . chr15 89882610 89882611 chr15:89882611:G:T rs2083279 G T G EBF1_EBF_1 -2 0 + 0 0 . chr15 89893632 89893633 chr15:89893633:T:A rs187909706 T A T EBF1_EBF_1 15 0 + 0 0 . chr15 90000863 90000864 chr15:90000864:G:A rs113987380 G A G EBF1_EBF_1 0 1 - 7.2449030508007946 7.527105111531372 CCCCCCAAGGGAAG chr15 90001748 90001749 chr15:90001749:G:A chr15:90001749:G:A G A G EBF1_EBF_1 6 1 - 8.657403978980845 8.958690107842603 CGTCCCCAGGGAGA chr15 90031303 90031304 chr15:90031304:T:G rs75436823 T G T EBF1_EBF_1 14 0 - 0 0 . chr15 90031579 90031580 chr15:90031580:C:G rs745891860 C G C EBF1_EBF_1 10 1 - 7.131091612930065 0.24028922560059002 TCTCCCATGGGGCA chr15 90031586 90031587 chr15:90031587:G:A rs61536388 G A G EBF1_EBF_1 3 1 - 7.131091612930065 0.7256928713767472 TCTCCCATGGGGCA chr15 90044870 90044871 chr15:90044871:A:G rs1574411 A G G EBF1_EBF_1 21 0 + 0 0 . chr15 90061865 90061866 chr15:90061866:T:G rs139606144 T G T EBF1_EBF_1 28 0 - 0 0 . chr15 90061887 90061888 chr15:90061888:T:C rs34349834 T C T EBF1_EBF_1 6 1 - 4.600577892848394 2.4337834615739182 TGCCCCATGGGTCC chr15 90087950 90087951 chr15:90087951:G:A rs138310663 G A G EBF1_EBF_1 8 1 + 6.940748194839461 2.7144581059532005 TCTCCCCAGGGCCT chr15 90087972 90087973 chr15:90087973:G:C rs377072522 G C G EBF1_EBF_1 30 0 + 0 0 . chr15 90102588 90102589 chr15:90102589:T:A rs17523637 T A t EBF1_EBF_1 11 1 - 5.005946260308727 0.9080320042100016 GCTCCCGCGGGACG chr15 90102606 90102607 chr15:90102607:C:T rs568733618 C T c EBF1_EBF_1 -7 0 - 0 0 . chr15 90104233 90104234 chr15:90104234:G:C rs4316732 G C G EBF1_EBF_1 17 0 - 0 0 . chr15 90149855 90149856 chr15:90149856:A:G rs2970354 A G G EBF1_EBF_1 6 1 + 6.362832098831855 4.19603766755738 GGCCCCAAGGGGCC chr15 90175429 90175430 chr15:90175430:G:A rs143572813 G A G EBF1_EBF_1 -17 0 - 0 0 . chr15 90184986 90184987 chr15:90184987:G:A chr15:90184987:G:A G A G EBF1_EBF_1 -6 0 - 0 0 . chr15 90191270 90191271 chr15:90191271:C:T rs113758856 C T C EBF1_EBF_1 -9 0 + 0 0 . chr15 90201047 90201048 chr15:90201048:A:G rs34285875 A G A EBF1_EBF_1 33 0 - 0 0 . chr15 90204108 90204109 chr15:90204109:G:T rs73477807 G T G EBF1_EBF_1 6 1 - 7.7956086122056005 8.157210980006877 AGCCCCCTGGGATG chr15 90211721 90211722 chr15:90211722:A:G rs1533326 A G A EBF1_EBF_1 28 0 - 0 0 . chr15 90221325 90221326 chr15:90221326:G:A rs773771582 G A G EBF1_EBF_1 -14 0 + 0 0 . chr15 90228517 90228518 chr15:90228518:T:G rs3751655 T G G EBF1_EBF_1 12 1 + 4.573468043674381 4.211098670547079 AGCCCCCCGGGGTC chr15 90228772 90228773 chr15:90228773:G:A rs11547962 G A A EBF1_EBF_1 -6 0 - 0 0 . chr15 90233791 90233792 chr15:90233792:G:A rs1432209342 G A G EBF1_EBF_1 -15 0 + 0 0 . chr15 90257826 90257827 chr15:90257827:A:T rs55674131 A T A EBF1_EBF_1 13 1 + 7.209377142491235 8.427616706362729 ATTGCCCAGGGAAA chr15 90266031 90266032 chr15:90266032:C:T rs112524293 C T C EBF1_EBF_1 1 1 + 10.116714091419961 11.012147531818707 GCTCCCCTGGGACC chr15 90292511 90292512 chr15:90292512:G:A rs12101476 G A G EBF1_EBF_1 22 0 - 0 0 . chr15 90293483 90293484 chr15:90293484:C:T rs115081075 C T C EBF1_EBF_1 5 1 + 5.1758162064939714 -0.1459710090466968 CATGCCCAGGGACT chr15 90316376 90316377 chr15:90316377:C:T rs76770543 C T C EBF1_EBF_1 3 1 + 5.711974837489843 -0.6934239040634724 CATCCTCAGGGAAT chr15 90333436 90333437 chr15:90333437:C:T rs7163019 C T C EBF1_EBF_1 0 1 + 3.671459681744503 3.9536617424750813 CGGCCCCAGGGACC chr15 90336312 90336313 chr15:90336313:C:T rs4932324 C T T EBF1_EBF_1 30 0 - 0 0 . chr15 90336351 90336352 chr15:90336352:A:T rs4932325 A T T EBF1_EBF_1 -9 0 - 0 0 . chr15 90358939 90358940 chr15:90358940:C:T rs16944267 C T T EBF1_EBF_1 8 1 - 8.388889790445804 4.162599701559543 TTTCCCTCGGGATC chr15 90373154 90373155 chr15:90373155:A:G rs2589960 A G A EBF1_EBF_1 -11 0 - 0 0 . chr15 90388458 90388459 chr15:90388459:T:C rs145816873 T C T EBF1_EBF_1 12 1 - 5.124627934067807 3.70581059196833 AGCCCCCAGGAAAA chr15 90388487 90388488 chr15:90388488:G:A rs111592783 G A G EBF1_EBF_1 -17 0 - 0 0 . chr15 90449454 90449455 chr15:90449455:A:G rs2301829 A G A EBF1_EBF_1 24 0 - 0 0 . chr15 90451400 90451401 chr15:90451401:T:C rs2107435 T C T EBF1_EBF_1 25 0 - 0 0 . chr15 90451415 90451416 chr15:90451416:C:T rs77908365 C T C EBF1_EBF_1 10 1 - 5.102254594335948 -0.2157738649310184 CTACCCCGGGGATC chr15 90562072 90562073 chr15:90562073:A:C chr15:90562073:A:C A C A EBF1_EBF_1 19 0 - 0 0 . chr15 90584081 90584082 chr15:90584082:C:T rs12438950 C T C EBF1_EBF_1 16 0 + 0 0 . chr15 90608043 90608044 chr15:90608044:C:G rs4261511 C G G EBF1_EBF_1 -18 0 - 0 0 . chr15 90628800 90628801 chr15:90628801:G:A rs11638324 G A G EBF1_EBF_1 20 0 + 0 0 . chr15 90657501 90657502 chr15:90657502:T:G rs12439713 T G T EBF1_EBF_1 14 0 - 0 0 . chr15 90660650 90660651 chr15:90660651:G:A rs370716809 G A G EBF1_EBF_1 21 0 - 0 0 . chr15 90660662 90660663 chr15:90660663:C:G rs181074227 C G C EBF1_EBF_1 9 1 - 4.743463517598878 -0.3322256287465863 CCTCCCCAGGGTGC chr15 90666146 90666147 chr15:90666147:G:T rs12438266 G T T EBF1_EBF_1 -17 0 - 0 0 . chr15 90673910 90673911 chr15:90673911:G:A rs62025080 G A G EBF1_EBF_1 16 0 - 0 0 . chr15 90681013 90681014 chr15:90681014:C:T rs144652781 C T C EBF1_EBF_1 29 0 - 0 0 . chr15 90681467 90681468 chr15:90681468:T:G rs76875199 T G T EBF1_EBF_1 7 1 - 8.651276059649915 6.357497073279733 GACCCCAAGGGAAA chr15 90742251 90742252 chr15:90742252:T:C rs77891053 T C T EBF1_EBF_1 12 1 - 5.636951193360971 4.218133851261493 TTTCCCTGGGGCAA chr15 90818643 90818644 chr15:90818644:C:T rs9282618 C T C EBF1_EBF_1 -18 0 + 0 0 . chr15 90818678 90818679 chr15:90818679:G:A rs9282619 G A G EBF1_EBF_1 17 0 + 0 0 . chr15 90818679 90818680 chr15:90818680:C:T rs9282620 C T C EBF1_EBF_1 18 0 + 0 0 . chr15 90819540 90819541 chr15:90819541:C:A rs140802801 C A C EBF1_EBF_1 26 0 + 0 0 . chr15 90819542 90819543 chr15:90819543:G:C rs79753541 G C G EBF1_EBF_1 28 0 + 0 0 . chr15 90820832 90820833 chr15:90820833:C:T rs371402 C T C EBF1_EBF_1 12 1 + 4.25525496440872 3.22898839385787 CAGCCCCAGGGACT chr15 90825897 90825898 chr15:90825898:C:G rs116569123 C G C EBF1_EBF_1 10 1 - 3.7985089044912668 -3.0922934828382083 TCCCCCACGGGGCC chr15 90825903 90825904 chr15:90825904:G:C rs12439690 G C C EBF1_EBF_1 4 1 - 3.7985089044912668 -1.8272561966582788 TCCCCCACGGGGCC chr15 90839495 90839496 chr15:90839496:T:C rs113378190 T C T EBF1_EBF_1 -13 0 + 0 0 . chr15 90839537 90839538 chr15:90839538:C:T rs147456756 C T C EBF1_EBF_1 29 0 + 0 0 . chr15 90854442 90854443 chr15:90854443:G:T rs11631655 G T G EBF1_EBF_1 5 1 - 7.015389820191076 0.11050866755590799 CTTCCCAAGGGTCA chr15 90861520 90861521 chr15:90861521:G:A chr15:90861521:G:A G A G EBF1_EBF_1 -14 0 + 0 0 . chr15 90861557 90861558 chr15:90861558:T:C rs4932371 T C C EBF1_EBF_1 23 0 + 0 0 . chr15 90861560 90861561 chr15:90861561:A:T rs60622628 A T A EBF1_EBF_1 26 0 + 0 0 . chr15 90869753 90869754 chr15:90869754:T:C rs11073956 T C C EBF1_EBF_1 16 0 + 0 0 . chr15 90871775 90871776 chr15:90871776:G:C rs111417372 G C g EBF1_EBF_1 19 0 - 0 0 . chr15 90872402 90872403 chr15:90872403:C:G rs78569252 C G C EBF1_EBF_1 -11 0 - 0 0 . chr15 90878052 90878053 chr15:90878053:T:C rs1573644 T C T EBF1_EBF_1 19 0 + 0 0 . chr15 90880594 90880595 chr15:90880595:G:T rs75493298 G T G EBF1_EBF_1 -8 0 - 0 0 . chr15 90883885 90883886 chr15:90883886:C:T rs544149165 C T C EBF1_EBF_1 1 1 + 9.963482217594555 10.8589156579933 TCTCCCTTGGGACA chr15 90885059 90885060 chr15:90885060:C:T rs11539637 C T C EBF1_EBF_1 -10 0 + 0 0 . chr15 90888625 90888626 chr15:90888626:A:C rs76792278 A C A EBF1_EBF_1 -16 0 - 0 0 . chr15 90907119 90907120 chr15:90907120:G:A rs2239293 G A G EBF1_EBF_1 18 0 + 0 0 . chr15 90912871 90912872 chr15:90912872:T:C rs1266492 T C C EBF1_EBF_1 -10 0 + 0 0 . chr15 90932896 90932897 chr15:90932897:G:A rs77369465 G A G EBF1_EBF_1 -7 0 - 0 0 . chr15 90942020 90942021 chr15:90942021:C:T rs982324316 C T C EBF1_EBF_1 -17 0 + 0 0 . chr15 90956681 90956682 chr15:90956682:C:A rs963657175 C A C EBF1_EBF_1 2 1 + 4.78214154580638 2.986497369649652 ATCCCCCCGGGCCC chr15 90956700 90956701 chr15:90956701:C:G rs564632308 C G C EBF1_EBF_1 21 0 + 0 0 . chr15 90957511 90957512 chr15:90957512:A:G rs768168529 A G G EBF1_EBF_1 -16 0 - 0 0 . chr15 90959964 90959965 chr15:90959965:C:T rs75390535 C T C EBF1_EBF_1 33 0 - 0 0 . chr15 90960847 90960848 chr15:90960848:G:A rs113379049 G A G EBF1_EBF_1 8 1 + 8.388889790445804 4.162599701559543 TTTCCCTCGGGATC chr15 90961434 90961435 chr15:90961435:G:C rs12914031 G C G EBF1_EBF_1 31 0 - 0 0 . chr15 91001186 91001187 chr15:91001187:A:G rs72759321 A G A EBF1_EBF_1 21 0 - 0 0 . chr15 91016902 91016903 chr15:91016903:G:A rs67954926 G A . EBF1_EBF_1 -4 0 + 0 0 . chr15 91033320 91033321 chr15:91033321:A:T rs186680494 A T a EBF1_EBF_1 17 0 - 0 0 . chr15 91051478 91051479 chr15:91051479:G:T rs76099252 G T a EBF1_EBF_1 -20 0 + 0 0 . chr15 91051490 91051491 chr15:91051491:T:C rs6496751 T C t EBF1_EBF_1 -8 0 + 0 0 . chr15 91051495 91051496 chr15:91051496:C:T rs6496752 C T c EBF1_EBF_1 -3 0 + 0 0 . chr15 91056081 91056082 chr15:91056082:C:T rs881431 C T t EBF1_EBF_1 -6 0 + 0 0 . chr15 91102968 91102969 chr15:91102969:A:G rs76068675 A G A EBF1_EBF_1 27 0 + 0 0 . chr15 91116553 91116554 chr15:91116554:T:C rs73503163 T C C EBF1_EBF_1 11 1 - 10.399303186208018 7.5065963426040065 AGTCCCACGGGAAA chr15 91116557 91116558 chr15:91116558:G:A rs541796208 G A G EBF1_EBF_1 7 1 - 10.399303186208018 12.216807932490642 AGTCCCACGGGAAA chr15 91134326 91134327 chr15:91134327:T:C rs1003759 T C C EBF1_EBF_1 -5 0 + 0 0 . chr15 91141175 91141176 chr15:91141176:C:T rs72764736 C T C EBF1_EBF_1 19 0 + 0 0 . chr15 91286866 91286867 chr15:91286867:C:T rs74038450 C T C EBF1_EBF_1 10 1 - 8.259082157789182 2.941053698522216 AGTCCCCAGGGCCC chr15 91295825 91295826 chr15:91295826:C:G rs7169918 C G G EBF1_EBF_1 18 0 - 0 0 . chr15 91423500 91423501 chr15:91423501:C:G rs8036969 C G C EBF1_EBF_1 25 0 + 0 0 . chr15 91456671 91456672 chr15:91456672:C:T rs139062118 C T C EBF1_EBF_1 12 1 + 5.002052511332661 3.975785940781811 CTACCCAGGGGACG chr15 91483093 91483094 chr15:91483094:A:C rs367768680 A C A EBF1_EBF_1 7 1 - 4.70599017619368 3.869806010992411 CTCCCCATGGGCCC chr15 91537151 91537152 chr15:91537152:C:T chr15:91537152:C:T C T C EBF1_EBF_1 15 0 - 0 0 . chr15 91572305 91572306 chr15:91572306:G:A rs74028462 G A G EBF1_EBF_1 4 1 - 10.085207004571279 5.715820059626357 CCTCCCTTGGGAAC chr15 91620080 91620081 chr15:91620081:C:G rs4267285 C G C EBF1_EBF_1 12 1 + 6.955073581251555 5.5664376375734035 CTTCCCTAGGGTCA chr15 91621663 91621664 chr15:91621664:G:T rs11854976 G T T EBF1_EBF_1 -20 0 + 0 0 . chr15 91733943 91733944 chr15:91733944:G:T rs7176293 G T G EBF1_EBF_1 25 0 - 0 0 . chr15 91759916 91759917 chr15:91759917:G:A rs35136146 G A A EBF1_EBF_1 -14 0 - 0 0 . chr15 91761555 91761556 chr15:91761556:C:T rs4932574 C T T EBF1_EBF_1 7 1 - 5.570240387950276 6.8826987932391 AATCCCCGGAGAGG chr15 91863279 91863280 chr15:91863280:C:G rs12908754 C G G EBF1_EBF_1 26 0 + 0 0 . chr15 91916664 91916665 chr15:91916665:G:A rs12439297 G A G EBF1_EBF_1 -14 0 - 0 0 . chr15 91928458 91928459 chr15:91928459:G:A rs4598887 G A A EBF1_EBF_1 -2 0 + 0 0 . chr15 91940436 91940437 chr15:91940437:T:A rs8037463 T A A EBF1_EBF_1 2 1 + 9.782337012037559 6.097869424438684 AGTCCCCAGGGGCC chr15 91950994 91950995 chr15:91950995:T:C rs72754014 T C T EBF1_EBF_1 21 0 - 0 0 . chr15 91956217 91956218 chr15:91956218:A:G rs72754086 A G A EBF1_EBF_1 16 0 - 0 0 . chr15 91980247 91980248 chr15:91980248:A:C rs12439826 A C C EBF1_EBF_1 15 0 + 0 0 . chr15 92091190 92091191 chr15:92091191:A:G rs1298705205 A G A EBF1_EBF_1 28 0 + 0 0 . chr15 92098693 92098694 chr15:92098694:C:T rs207966 C T C EBF1_EBF_1 -11 0 - 0 0 . chr15 92114052 92114053 chr15:92114053:C:G rs207955 C G G EBF1_EBF_1 23 0 - 0 0 . chr15 92133204 92133205 chr15:92133205:C:T rs4777704 C T T EBF1_EBF_1 6 1 - 6.444454853905009 8.611249285179484 TCCCCCGAGGGACA chr15 92133212 92133213 chr15:92133213:A:G rs6496895 A G A EBF1_EBF_1 -2 0 - 0 0 . chr15 92160949 92160950 chr15:92160950:A:G rs2238342 A G G EBF1_EBF_1 15 0 + 0 0 . chr15 92211632 92211633 chr15:92211633:A:G rs56101854 A G A EBF1_EBF_1 18 0 + 0 0 . chr15 92306131 92306132 chr15:92306132:A:C rs12595295 A C A EBF1_EBF_1 -11 0 - 0 0 . chr15 92401604 92401605 chr15:92401605:G:A rs11074065 G A G EBF1_EBF_1 -5 0 + 0 0 . chr15 92401633 92401634 chr15:92401634:A:C rs11074066 A C C EBF1_EBF_1 24 0 + 0 0 . chr15 92428580 92428581 chr15:92428581:T:G rs3858917 T G T EBF1_EBF_1 -10 0 - 0 0 . chr15 92438672 92438673 chr15:92438673:A:C rs112804054 A C A EBF1_EBF_1 -9 0 + 0 0 . chr15 92452410 92452411 chr15:92452411:G:A rs1352323 G A A EBF1_EBF_1 20 0 + 0 0 . chr15 92457210 92457211 chr15:92457211:T:A rs897463 T A T EBF1_EBF_1 -5 0 + 0 0 . chr15 92503082 92503083 chr15:92503083:C:A rs936930 C A A EBF1_EBF_1 -13 0 - 0 0 . chr15 92553583 92553584 chr15:92553584:G:A rs1378598 G A G EBF1_EBF_1 -13 0 + 0 0 . chr15 92570536 92570537 chr15:92570537:G:T rs62020300 G T G EBF1_EBF_1 16 0 - 0 0 . chr15 92573288 92573289 chr15:92573289:A:G rs17647421 A G A EBF1_EBF_1 -19 0 - 0 0 . chr15 92574860 92574861 chr15:92574861:G:T rs58332472 G T G EBF1_EBF_1 1 1 - 6.686022862474256 6.615095685122172 TCCCCCTTGGGAGA chr15 92584985 92584986 chr15:92584986:G:A rs17704025 G A G EBF1_EBF_1 -19 0 - 0 0 . chr15 92598839 92598840 chr15:92598840:G:A rs28692833 G A G EBF1_EBF_1 13 1 - 5.730685523060172 6.57517963764569 TCCCCCCAGGGGCC chr15 92624738 92624739 chr15:92624739:C:T rs11074083 C T T EBF1_EBF_1 19 0 + 0 0 . chr15 92630422 92630423 chr15:92630423:G:A rs2270632 G A G EBF1_EBF_1 15 0 + 0 0 . chr15 92645505 92645506 chr15:92645506:A:G rs141700001 A G A EBF1_EBF_1 -8 0 - 0 0 . chr15 92645725 92645726 chr15:92645726:C:A rs3743361 C A C EBF1_EBF_1 21 0 - 0 0 . chr15 92645746 92645747 chr15:92645747:C:T rs3743362 C T C EBF1_EBF_1 0 1 - 6.208571489890456 8.100855359455286 GGTCCCCTGGGTCC chr15 92666384 92666385 chr15:92666385:A:G rs389334 A G . EBF1_EBF_1 -17 0 - 0 0 . chr15 92666912 92666913 chr15:92666913:T:A chr15:92666913:T:A T A . EBF1_EBF_1 27 0 + 0 0 . chr15 92670600 92670601 chr15:92670601:G:T rs285747 G T . EBF1_EBF_1 -1 0 + 0 0 . chr15 92670635 92670636 chr15:92670636:C:T rs4778025 C T . EBF1_EBF_1 -9 0 + 0 0 . chr15 92687909 92687910 chr15:92687910:C:T rs1496724 C T T EBF1_EBF_1 -19 0 + 0 0 . chr15 92689185 92689186 chr15:92689186:G:A rs35479083 G A G EBF1_EBF_1 -3 0 + 0 0 . chr15 92707933 92707934 chr15:92707934:G:A rs35839849 G A G EBF1_EBF_1 27 0 - 0 0 . chr15 92719116 92719117 chr15:92719117:C:T rs8033443 C T C EBF1_EBF_1 18 0 + 0 0 . chr15 92820274 92820275 chr15:92820275:A:G rs62023095 A G A EBF1_EBF_1 2 1 - 4.9661776045133035 3.077354193071153 GTTCCCAGGGTAGC chr15 92832006 92832007 chr15:92832007:G:A rs112951085 G A G EBF1_EBF_1 11 1 + 10.143939379838836 13.036646223442848 AGTCCCAAGGGGCC chr15 92843532 92843533 chr15:92843533:A:G rs8042780 A G A EBF1_EBF_1 -5 0 + 0 0 . chr15 92904400 92904401 chr15:92904401:T:G rs1439619 T G G EBF1_EBF_1 6 1 - 6.001698324767905 5.640095956966627 CCCCCCAGGGGAGC chr15 92938115 92938116 chr15:92938116:A:T rs11074120 A T A EBF1_EBF_1 -4 0 - 0 0 . chr15 93025594 93025595 chr15:93025595:C:T rs112753914 C T C EBF1_EBF_1 10 1 - 5.485550150610151 0.16752169134318518 ATCCACCAGGGATT chr15 93029221 93029222 chr15:93029222:A:G rs116073870 A G A EBF1_EBF_1 30 0 - 0 0 . chr15 93032040 93032041 chr15:93032041:G:A rs181805065 G A G EBF1_EBF_1 -16 0 + 0 0 . chr15 93039315 93039316 chr15:93039316:C:G rs62021473 C G C EBF1_EBF_1 -11 0 - 0 0 . chr15 93050708 93050709 chr15:93050709:C:T rs56365918 C T T EBF1_EBF_1 -1 0 + 0 0 . chr15 93050713 93050714 chr15:93050714:C:G rs75047535 C G C EBF1_EBF_1 4 1 + 6.33185637168993 0.7060912705403855 ACCCCCTGGGGTCT chr15 93056125 93056126 chr15:93056126:T:C rs12916567 T C T EBF1_EBF_1 -5 0 - 0 0 . chr15 93057046 93057047 chr15:93057047:G:A rs72767218 G A G EBF1_EBF_1 6 1 - 4.670631541952709 4.971917670814467 CTACCCCGGGGAAG chr15 93066957 93066958 chr15:93066958:G:C rs4778093 G C G EBF1_EBF_1 30 0 - 0 0 . chr15 93089503 93089504 chr15:93089504:G:A rs571139779 G A G EBF1_EBF_1 -15 0 + 0 0 . chr15 93090048 93090049 chr15:93090049:C:T rs147902727 C T C EBF1_EBF_1 15 0 + 0 0 . chr15 93118338 93118339 chr15:93118339:G:T rs28555680 G T G EBF1_EBF_1 24 0 - 0 0 . chr15 93144803 93144804 chr15:93144804:G:A rs16947498 G A G EBF1_EBF_1 -8 0 - 0 0 . chr15 93146493 93146494 chr15:93146494:C:G chr15:93146494:C:G C G T EBF1_EBF_1 17 0 - 0 0 . chr15 93146493 93146494 chr15:93146494:C:T rs746225 C T T EBF1_EBF_1 17 0 - 0 0 . chr15 93150869 93150870 chr15:93150870:G:A rs7175579 G A G EBF1_EBF_1 3 1 - 8.457922722118344 2.052523980565026 CTTCCCCCGGGACA chr15 93150891 93150892 chr15:93150892:G:A rs28482421 G A G EBF1_EBF_1 -19 0 - 0 0 . chr15 93160154 93160155 chr15:93160155:A:G rs78752705 A G A EBF1_EBF_1 -6 0 + 0 0 . chr15 93166749 93166750 chr15:93166750:C:G rs34432372 C G G EBF1_EBF_1 -10 0 + 0 0 . chr15 93166791 93166792 chr15:93166792:C:T rs144651252 C T C EBF1_EBF_1 32 0 + 0 0 . chr15 93187660 93187661 chr15:93187661:C:T rs4297636 C T C EBF1_EBF_1 8 1 - 5.7283878176245775 1.5020977287383155 GACCCCAAGGGGCA chr15 93187676 93187677 chr15:93187677:T:C rs4426303 T C C EBF1_EBF_1 -8 0 - 0 0 . chr15 93251071 93251072 chr15:93251072:C:T rs8035542 C T C EBF1_EBF_1 -3 0 + 0 0 . chr15 93255741 93255742 chr15:93255742:T:C rs8038082 T C T EBF1_EBF_1 15 0 + 0 0 . chr15 93270958 93270959 chr15:93270959:C:T rs150331678 C T C EBF1_EBF_1 17 0 + 0 0 . chr15 93284354 93284355 chr15:93284355:G:A rs80216331 G A A EBF1_EBF_1 18 0 - 0 0 . chr15 93297033 93297034 chr15:93297034:C:T rs4777825 C T C EBF1_EBF_1 -3 0 - 0 0 . chr15 93305368 93305369 chr15:93305369:T:A rs77018881 T A T EBF1_EBF_1 31 0 - 0 0 . chr15 93307639 93307640 chr15:93307640:G:T rs111896508 G T G EBF1_EBF_1 -8 0 + 0 0 . chr15 93352444 93352445 chr15:93352445:C:G rs62044307 C G C EBF1_EBF_1 33 0 - 0 0 . chr15 93420454 93420455 chr15:93420455:G:T rs17542249 G T T EBF1_EBF_1 -1 0 - 0 0 . chr15 93498535 93498536 chr15:93498536:T:C rs114741095 T C T EBF1_EBF_1 -11 0 - 0 0 . chr15 93584600 93584601 chr15:93584601:T:C rs12899907 T C T EBF1_EBF_1 22 0 - 0 0 . chr15 93587562 93587563 chr15:93587563:T:G rs4777918 T G G EBF1_EBF_1 27 0 - 0 0 . chr15 93587592 93587593 chr15:93587593:T:C rs4777919 T C T EBF1_EBF_1 -3 0 - 0 0 . chr15 93664795 93664796 chr15:93664796:A:C rs763268704 A C A EBF1_EBF_1 17 0 + 0 0 . chr15 93708100 93708101 chr15:93708101:C:T rs12148885 C T C EBF1_EBF_1 7 1 + 6.092534398886563 7.910039145169186 AAACCCCCGGGAAA chr15 93728044 93728045 chr15:93728045:T:C rs7180028 T C C EBF1_EBF_1 -9 0 - 0 0 . chr15 93825929 93825930 chr15:93825930:C:A rs7181901 C A A EBF1_EBF_1 14 0 + 0 0 . chr15 93868397 93868398 chr15:93868398:G:T rs28650933 G T G EBF1_EBF_1 5 1 - 12.473129119587655 5.568247966952489 ACTCCCAAGGGACA chr15 93948678 93948679 chr15:93948679:G:A rs10852205 G A G EBF1_EBF_1 13 1 - 7.018678272727211 7.863172387312729 ACTCCTTTGGGAAC chr15 94075561 94075562 chr15:94075562:G:A rs28662203 G A G EBF1_EBF_1 -11 0 + 0 0 . chr15 94083609 94083610 chr15:94083610:G:A rs62026516 G A G EBF1_EBF_1 23 0 + 0 0 . chr15 94101175 94101176 chr15:94101176:A:T rs8025326 A T T EBF1_EBF_1 33 0 + 0 0 . chr15 94106927 94106928 chr15:94106928:A:T chr15:94106928:A:T A T A EBF1_EBF_1 17 0 - 0 0 . chr15 94118959 94118960 chr15:94118960:C:T rs1479834 C T C EBF1_EBF_1 -10 0 + 0 0 . chr15 94234161 94234162 chr15:94234162:A:C rs374364956 A C A EBF1_EBF_1 7 1 - 6.77939606775037 5.943211902549102 TTCCCCATGAGAAC chr15 94263774 94263775 chr15:94263775:T:A rs11857395 T A T EBF1_EBF_1 26 0 + 0 0 . chr15 94285236 94285237 chr15:94285237:G:A rs4984343 G A A EBF1_EBF_1 16 0 - 0 0 . chr15 94307615 94307616 chr15:94307616:G:A rs294541 G A A EBF1_EBF_1 1 1 + 5.229320303509903 4.9686214715886 AGCCCCATGGGCTC chr15 94324166 94324167 chr15:94324167:A:T rs141456079 A T A EBF1_EBF_1 -18 0 - 0 0 . chr15 94463667 94463668 chr15:94463668:A:C rs190496529 A C a EBF1_EBF_1 -9 0 - 0 0 . chr15 94692881 94692882 chr15:94692882:T:A rs28494784 T A T EBF1_EBF_1 -16 0 + 0 0 . chr15 94727675 94727676 chr15:94727676:G:A rs11633473 G A G EBF1_EBF_1 10 1 + 6.69773473755997 1.3797062782930043 ATACCCCAGAGAAA chr15 94732424 94732425 chr15:94732425:A:G rs539744546 A G a EBF1_EBF_1 24 0 + 0 0 . chr15 94774893 94774894 chr15:94774894:G:A rs12910145 G A G EBF1_EBF_1 -3 0 + 0 0 . chr15 94967970 94967971 chr15:94967971:T:C rs72758192 T C T EBF1_EBF_1 -3 0 - 0 0 . chr15 95018128 95018129 chr15:95018129:C:G rs73455877 C G C EBF1_EBF_1 -5 0 + 0 0 . chr15 95086438 95086439 chr15:95086439:T:C rs28576636 T C C EBF1_EBF_1 26 0 - 0 0 . chr15 95086441 95086442 chr15:95086442:A:C rs28369404 A C A EBF1_EBF_1 23 0 - 0 0 . chr15 95305508 95305509 chr15:95305509:A:G rs8043330 A G A EBF1_EBF_1 24 0 + 0 0 . chr15 95325735 95325736 chr15:95325736:G:C rs61272962 G C G EBF1_EBF_1 -16 0 + 0 0 . chr15 95325762 95325763 chr15:95325763:C:T rs180714640 C T C EBF1_EBF_1 11 1 + 7.383471286875292 7.7015187286289555 TTTCCCAAGGGCAC chr15 95348108 95348109 chr15:95348109:A:G rs7182500 A G G EBF1_EBF_1 2 1 - 5.066510012254063 3.177686600811912 GCTGCCTAGGGACC chr15 95414521 95414522 chr15:95414522:A:G rs1424744 A G G EBF1_EBF_1 -11 0 - 0 0 . chr15 95457697 95457698 chr15:95457698:G:C chr15:95457698:G:C G C g EBF1_EBF_1 19 0 + 0 0 . chr15 95459402 95459403 chr15:95459403:A:G rs1991147 A G G EBF1_EBF_1 -6 0 + 0 0 . chr15 95471606 95471607 chr15:95471607:C:T rs76523101 C T C EBF1_EBF_1 10 1 - 5.6472016617627645 0.3291732024957987 ACTCCCCAGCGAGA chr15 95596578 95596579 chr15:95596579:A:G rs116838201 A G A EBF1_EBF_1 7 1 - 5.690360316278039 3.872855569995415 GTTCCTCTGGGACC chr15 95616531 95616532 chr15:95616532:A:G rs7167725 A G G EBF1_EBF_1 -11 0 - 0 0 . chr15 95639858 95639859 chr15:95639859:A:T rs16975467 A T A EBF1_EBF_1 -6 0 + 0 0 . chr15 95887404 95887405 chr15:95887405:T:C rs725213 T C C EBF1_EBF_1 -4 0 + 0 0 . chr15 96049824 96049825 chr15:96049825:T:G rs78549587 T G T EBF1_EBF_1 -5 0 - 0 0 . chr15 96321839 96321840 chr15:96321840:C:T rs564726124 C T C EBF1_EBF_1 8 1 - 4.804005526639111 0.5777154377528504 ACCCGCTGGGGACT chr15 96321844 96321845 chr15:96321845:G:A rs562378791 G A G EBF1_EBF_1 3 1 - 4.804005526639111 -1.6013932149142056 ACCCGCTGGGGACT chr15 96321854 96321855 chr15:96321855:A:C chr15:96321855:A:C A C A EBF1_EBF_1 -7 0 - 0 0 . chr15 96324039 96324040 chr15:96324040:C:A rs189396603 C A C EBF1_EBF_1 15 0 + 0 0 . chr15 96345202 96345203 chr15:96345203:A:G rs113351118 A G G EBF1_EBF_1 32 0 - 0 0 . chr15 96346121 96346122 chr15:96346122:G:T rs181324006 G T G EBF1_EBF_1 32 0 - 0 0 . chr15 96346144 96346145 chr15:96346145:C:G rs79257006 C G C EBF1_EBF_1 9 1 - 6.922028090278039 1.8463389439325764 ATCCCCCAAGGAAA chr15 96354532 96354533 chr15:96354533:G:C rs142715716 G C C EBF1_EBF_1 32 0 - 0 0 . chr15 96355574 96355575 chr15:96355575:T:C rs79422287 T C T EBF1_EBF_1 -7 0 - 0 0 . chr15 96368891 96368892 chr15:96368892:T:G rs8042517 T G G EBF1_EBF_1 0 1 - 7.120108902324751 4.8648504186281505 AGCCCCTGGAGACC chr15 96408834 96408835 chr15:96408835:G:A rs4350537 G A G EBF1_EBF_1 1 1 + 5.508062970021218 5.247364138099915 TGTCCCTGGGTACC chr15 96409523 96409524 chr15:96409524:T:G rs4433780 T G G EBF1_EBF_1 17 0 - 0 0 . chr15 96441612 96441613 chr15:96441613:A:G rs4984437 A G G EBF1_EBF_1 -13 0 + 0 0 . chr15 96663350 96663351 chr15:96663351:A:C rs7163690 A C A EBF1_EBF_1 13 1 + 6.131549477115873 6.505294926401849 AATCACATGGGAAA chr15 96766580 96766581 chr15:96766581:G:A rs72755158 G A G EBF1_EBF_1 -1 0 + 0 0 . chr15 96863623 96863624 chr15:96863624:C:T rs1354317 C T C EBF1_EBF_1 9 1 - 6.975843040229322 4.320903774781718 CACCCCAGGGGAAA chr15 96903111 96903112 chr15:96903112:C:T rs7175648 C T C EBF1_EBF_1 29 0 + 0 0 . chr15 97083953 97083954 chr15:97083954:C:G rs6496209 C G C EBF1_EBF_1 -11 0 - 0 0 . chr15 97086203 97086204 chr15:97086204:C:T rs11852342 C T T EBF1_EBF_1 23 0 - 0 0 . chr15 97086218 97086219 chr15:97086219:C:T rs11073520 C T T EBF1_EBF_1 8 1 - 8.824555462221443 4.598265373335185 ATTCCCATGGGTAA chr15 97303957 97303958 chr15:97303958:T:C rs1350192 T C C EBF1_EBF_1 -11 0 + 0 0 . chr15 97629616 97629617 chr15:97629617:C:G rs140884831 C G C EBF1_EBF_1 28 0 + 0 0 . chr15 97695463 97695464 chr15:97695464:G:A rs73468018 G A G EBF1_EBF_1 5 1 - 8.676529428576771 3.3547422130361015 TTTCCCAGGAGAAT chr15 97759614 97759615 chr15:97759615:G:C rs11853701 G C G EBF1_EBF_1 30 0 - 0 0 . chr15 97839399 97839400 chr15:97839400:G:A rs7176642 G A A EBF1_EBF_1 -17 0 + 0 0 . chr15 97902084 97902085 chr15:97902085:C:A rs8030642 C A C EBF1_EBF_1 1 1 + 5.610070516005297 5.539143338653212 CCCCCCAGGAGAAT chr15 97959983 97959984 chr15:97959984:T:C rs546267927 T C t EBF1_EBF_1 23 0 - 0 0 . chr15 97979200 97979201 chr15:97979201:C:T rs74562210 C T C EBF1_EBF_1 -11 0 + 0 0 . chr15 97998878 97998879 chr15:97998879:G:A rs12913117 G A G EBF1_EBF_1 8 1 + 6.214772838889106 1.9884827500028432 AAACCCAAGGGAGG chr15 97998915 97998916 chr15:97998916:T:C rs72754694 T C T EBF1_EBF_1 21 0 + 0 0 . chr15 98107009 98107010 chr15:98107010:T:G rs111650134 T G T EBF1_EBF_1 2 1 + 4.72636951553998 -2.02195617466049 CCTCCCACGGGCCT chr15 98124308 98124309 chr15:98124309:C:T rs28566832 C T C EBF1_EBF_1 28 0 + 0 0 . chr15 98135598 98135599 chr15:98135599:G:C rs55692706 G C G EBF1_EBF_1 2 1 - 5.279651296587697 0.4201490178293792 CACCCCCTGGGATG chr15 98143314 98143315 chr15:98143315:T:C rs1480099 T C C EBF1_EBF_1 0 1 - 6.0848377945186725 4.192553924953841 ATCCCCCAGGGGTG chr15 98164708 98164709 chr15:98164709:G:A rs4965520 G A G EBF1_EBF_1 -15 0 + 0 0 . chr15 98164749 98164750 chr15:98164750:T:C rs11247107 T C T EBF1_EBF_1 26 0 + 0 0 . chr15 98194372 98194373 chr15:98194373:T:C rs73474072 T C T EBF1_EBF_1 33 0 + 0 0 . chr15 98257772 98257773 chr15:98257773:T:C rs12439574 T C T EBF1_EBF_1 28 0 - 0 0 . chr15 98283031 98283032 chr15:98283032:A:G rs7496642 A G G EBF1_EBF_1 20 0 + 0 0 . chr15 98348786 98348787 chr15:98348787:A:C rs9989287 A C A EBF1_EBF_1 -6 0 + 0 0 . chr15 98359244 98359245 chr15:98359245:T:G rs28788799 T G T EBF1_EBF_1 32 0 + 0 0 . chr15 98416594 98416595 chr15:98416595:C:T rs72764361 C T . EBF1_EBF_1 13 1 + 7.568076111420916 8.412570226006434 CTTCCCAAGGGGTC chr15 98420964 98420965 chr15:98420965:C:T rs60499127 C T . EBF1_EBF_1 13 1 - 5.240229589067122 6.354555161136561 GCTCCCAAAGGACG chr15 98421541 98421542 chr15:98421542:G:T rs917090680 G T . EBF1_EBF_1 25 0 + 0 0 . chr15 98432792 98432793 chr15:98432793:G:A rs144853704 G A . EBF1_EBF_1 -8 0 + 0 0 . chr15 98441217 98441218 chr15:98441218:G:T rs72764391 G T . EBF1_EBF_1 2 1 - 9.22259638929547 7.426952213138746 AGCCCCTTGGGAGC chr15 98446418 98446419 chr15:98446419:C:A rs955964321 C A C EBF1_EBF_1 -10 0 + 0 0 . chr15 98451851 98451852 chr15:98451852:A:G rs12101356 A G G EBF1_EBF_1 -7 0 + 0 0 . chr15 98504652 98504653 chr15:98504653:C:T rs8026856 C T T EBF1_EBF_1 -15 0 + 0 0 . chr15 98552502 98552503 chr15:98552503:G:C rs28657454 G C C EBF1_EBF_1 28 0 - 0 0 . chr15 98650405 98650406 chr15:98650406:C:T rs977755925 C T C EBF1_EBF_1 4 1 + 7.0345518348951535 2.665164889950228 AGCCCCCCGGGAAG chr15 98659482 98659483 chr15:98659483:C:T rs139156466 C T C EBF1_EBF_1 27 0 - 0 0 . chr15 98659506 98659507 chr15:98659507:G:A rs967155824 G A G EBF1_EBF_1 3 1 - 5.968379452696455 -0.43701928885686264 AGTCCCCAGGAAGC chr15 98659522 98659523 chr15:98659523:A:T rs143899950 A T A EBF1_EBF_1 -13 0 - 0 0 . chr15 98729058 98729059 chr15:98729059:A:G rs8034039 A G G EBF1_EBF_1 -11 0 + 0 0 . chr15 98775731 98775732 chr15:98775732:C:G rs62022087 C G C EBF1_EBF_1 3 1 + 5.325818935627722 -0.5019455124874939 AATCCCCTGGGTTG chr15 98779189 98779190 chr15:98779190:G:T rs2063672 G T G EBF1_EBF_1 -11 0 + 0 0 . chr15 98904655 98904656 chr15:98904656:G:A rs538758784 G A G EBF1_EBF_1 -8 0 + 0 0 . chr15 98906642 98906643 chr15:98906643:A:G rs74034285 A G A EBF1_EBF_1 7 1 + 5.305141270661882 3.9926828653730566 GCTCCCCAGGAAAC chr15 98920812 98920813 chr15:98920813:G:A rs76962018 G A g EBF1_EBF_1 18 0 - 0 0 . chr15 98928537 98928538 chr15:98928538:T:G rs11631260 T G T EBF1_EBF_1 4 1 - 5.130031075855332 10.954590699308797 GCTCACAAGGGACC chr15 98928636 98928637 chr15:98928637:G:A rs1546713 G A G EBF1_EBF_1 3 1 - 9.254662063268977 2.8492633217156604 CTCCCCAAGGGAAA chr15 98942701 98942702 chr15:98942702:T:A rs41497346 T A T EBF1_EBF_1 -17 0 - 0 0 . chr15 98954011 98954012 chr15:98954012:G:C rs62025669 G C G EBF1_EBF_1 31 0 - 0 0 . chr15 98954051 98954052 chr15:98954052:C:T rs116009318 C T C EBF1_EBF_1 -9 0 - 0 0 . chr15 98966338 98966339 chr15:98966339:G:A rs187970292 G A G EBF1_EBF_1 29 0 + 0 0 . chr15 98969005 98969006 chr15:98969006:C:T rs56247483 C T T EBF1_EBF_1 5 1 + 6.2014096907259155 0.8796224751852462 ATTCACTGGGGAAA chr15 99005552 99005553 chr15:99005553:C:G rs2593056 C G C EBF1_EBF_1 -16 0 + 0 0 . chr15 99014669 99014670 chr15:99014670:G:A chr15:99014670:G:A G A G EBF1_EBF_1 -9 0 - 0 0 . chr15 99014670 99014671 chr15:99014671:C:G chr15:99014671:C:G C G c EBF1_EBF_1 -10 0 - 0 0 . chr15 99015248 99015249 chr15:99015249:C:T rs187171681 C T C EBF1_EBF_1 2 1 + 7.913394505889315 9.802217917331465 GTCCCCCGGGGACA chr15 99029491 99029492 chr15:99029492:C:T rs7171069 C T C EBF1_EBF_1 -10 0 - 0 0 . chr15 99059564 99059565 chr15:99059565:C:A rs1467962763 C A C EBF1_EBF_1 -18 0 + 0 0 . chr15 99059609 99059610 chr15:99059610:C:T rs1973036 C T T EBF1_EBF_1 27 0 + 0 0 . chr15 99097112 99097113 chr15:99097113:A:C rs55747740 A C C EBF1_EBF_1 28 0 - 0 0 . chr15 99097123 99097124 chr15:99097124:C:T rs58286918 C T T EBF1_EBF_1 17 0 - 0 0 . chr15 99109883 99109884 chr15:99109884:T:C rs62025375 T C T EBF1_EBF_1 29 0 - 0 0 . chr15 99114725 99114726 chr15:99114726:T:C rs1670246 T C T EBF1_EBF_1 6 1 - 4.987423130803245 2.82062869952877 ACACCCAGGGGGAC chr15 99114738 99114739 chr15:99114739:C:T rs1703783 C T C EBF1_EBF_1 -7 0 - 0 0 . chr15 99114772 99114773 chr15:99114773:C:T rs2663105 C T C EBF1_EBF_1 24 0 - 0 0 . chr15 99114783 99114784 chr15:99114784:T:C rs1670245 T C C EBF1_EBF_1 13 1 - 5.614100655556447 4.499775083487008 GCACCCAGGGGAAA chr15 99180135 99180136 chr15:99180136:C:T rs7170268 C T C EBF1_EBF_1 -16 0 + 0 0 . chr15 99180275 99180276 chr15:99180276:T:A rs7176176 T A T EBF1_EBF_1 -2 0 - 0 0 . chr15 99181773 99181774 chr15:99181774:C:T rs115906805 C T C EBF1_EBF_1 23 0 - 0 0 . chr15 99251730 99251731 chr15:99251731:C:T chr15:99251731:C:T C T C EBF1_EBF_1 7 1 - 3.9278712293813856 5.2403296346702115 GGTCCCAGGGGCCG chr15 99406181 99406182 chr15:99406182:C:G chr15:99406182:C:G C G C EBF1_EBF_1 6 1 + 4.791794873569003 2.986602810095805 TGTCCCCGGGGCTT chr15 99408403 99408404 chr15:99408404:G:C rs183552741 G C G EBF1_EBF_1 15 0 - 0 0 . chr15 99408431 99408432 chr15:99408432:C:T rs4965483 C T C EBF1_EBF_1 -13 0 - 0 0 . chr15 99414072 99414073 chr15:99414073:G:A rs4965485 G A G EBF1_EBF_1 31 0 - 0 0 . chr15 99416509 99416510 chr15:99416510:T:A rs8026539 T A A EBF1_EBF_1 -7 0 + 0 0 . chr15 99439212 99439213 chr15:99439213:G:A rs12909171 G A A EBF1_EBF_1 3 1 - 6.864967252355684 0.4595685108023671 AAACCCCTGGGAGC chr15 99443155 99443156 chr15:99443156:G:A rs73474894 G A G EBF1_EBF_1 4 1 - 7.753308882577823 3.3839219376328993 GCCCCCAGGGGACC chr15 99460942 99460943 chr15:99460943:C:A rs117556679 C A C EBF1_EBF_1 -18 0 - 0 0 . chr15 99467930 99467931 chr15:99467931:G:T rs11632288 G T G EBF1_EBF_1 3 1 + 5.0526712044890765 4.475036911050975 TCTGCCCTGGGACT chr15 99475102 99475103 chr15:99475103:G:C rs4965249 G C C EBF1_EBF_1 28 0 - 0 0 . chr15 99475142 99475143 chr15:99475143:C:T rs566279945 C T C EBF1_EBF_1 -12 0 - 0 0 . chr15 99484492 99484493 chr15:99484493:G:C rs874009 G C C EBF1_EBF_1 16 0 - 0 0 . chr15 99485428 99485429 chr15:99485429:A:C rs2862091 A C C EBF1_EBF_1 -18 0 - 0 0 . chr15 99491988 99491989 chr15:99491989:G:T rs4965515 G T G EBF1_EBF_1 3 1 - 6.301413373668982 -0.6047606557968239 GTACCCCTGGGATC chr15 99495809 99495810 chr15:99495810:C:T rs2119946 C T C EBF1_EBF_1 26 0 - 0 0 . chr15 99495810 99495811 chr15:99495811:G:A rs4965257 G A G EBF1_EBF_1 25 0 - 0 0 . chr15 99631478 99631479 chr15:99631479:G:A rs11247118 G A G EBF1_EBF_1 32 0 - 0 0 . chr15 99709494 99709495 chr15:99709495:C:G rs325404 C G C EBF1_EBF_1 13 1 - 5.440301039027421 6.928372060382836 ACTCCCATGGGCTG chr15 99752567 99752568 chr15:99752568:G:C rs72762410 G C C EBF1_EBF_1 33 0 - 0 0 . chr15 99762987 99762988 chr15:99762988:T:C rs2958986 T C C EBF1_EBF_1 9 1 - 6.056457847895628 8.711397113343233 GGCCCCAAGAGAGT chr15 99791831 99791832 chr15:99791832:C:G rs57196130 C G C EBF1_EBF_1 -9 0 - 0 0 . chr15 99820553 99820554 chr15:99820554:T:C rs56186545 T C T EBF1_EBF_1 -5 0 + 0 0 . chr15 99826655 99826656 chr15:99826656:C:T rs12101584 C T C EBF1_EBF_1 -17 0 - 0 0 . chr15 99916271 99916272 chr15:99916272:G:A rs34508760 G A A EBF1_EBF_1 18 0 + 0 0 . chr15 99919418 99919419 chr15:99919419:G:A rs77633763 G A A EBF1_EBF_1 -4 0 + 0 0 . chr15 99932948 99932949 chr15:99932949:T:C rs1561050 T C C EBF1_EBF_1 13 1 + 4.322792438420305 3.478298323834786 AGTGCCCTGGGGCT chr15 99952951 99952952 chr15:99952952:G:C rs2581355 G C G EBF1_EBF_1 14 0 + 0 0 . chr15 99954714 99954715 chr15:99954715:C:T rs1435778 C T c EBF1_EBF_1 25 0 - 0 0 . chr15 99963303 99963304 chr15:99963304:G:A rs12593257 G A G EBF1_EBF_1 -14 0 + 0 0 . chr15 99963370 99963371 chr15:99963371:C:T rs72768029 C T C EBF1_EBF_1 5 1 + 7.507780720320501 2.1859935047798333 ATTCCCGAGGGTAC chr15 99973903 99973904 chr15:99973904:T:G rs2573651 T G G EBF1_EBF_1 -16 0 + 0 0 . chr15 99974408 99974409 chr15:99974409:T:C rs2573652 T C C EBF1_EBF_1 -13 0 - 0 0 . chr15 99995772 99995773 chr15:99995773:C:T rs529943900 C T C EBF1_EBF_1 17 0 - 0 0 . chr15 100020144 100020145 chr15:100020145:G:T rs2727108 G T T EBF1_EBF_1 32 0 + 0 0 . chr15 100053363 100053364 chr15:100053364:A:C rs28760316 A C A EBF1_EBF_1 10 1 - 5.439994569662407 9.622746755889668 ACTCCCCAGGTGAC chr15 100053383 100053384 chr15:100053384:A:G rs4633693 A G G EBF1_EBF_1 -10 0 - 0 0 . chr15 100095833 100095834 chr15:100095834:A:G rs9920439 A G G EBF1_EBF_1 -10 0 + 0 0 . chr15 100095866 100095867 chr15:100095867:A:G rs11853790 A G G EBF1_EBF_1 23 0 + 0 0 . chr15 100100318 100100319 chr15:100100319:C:T rs11247152 C T T EBF1_EBF_1 -13 0 - 0 0 . chr15 100109516 100109517 chr15:100109517:T:C rs34752568 T C T EBF1_EBF_1 -16 0 + 0 0 . chr15 100109531 100109532 chr15:100109532:C:A rs7167249 C A C EBF1_EBF_1 -1 0 + 0 0 . chr15 100142344 100142345 chr15:100142345:G:A rs9672868 G A G EBF1_EBF_1 19 0 - 0 0 . chr15 100160948 100160949 chr15:100160949:G:T rs9806684 G T T EBF1_EBF_1 24 0 - 0 0 . chr15 100172215 100172216 chr15:100172216:A:C rs76214641 A C A EBF1_EBF_1 24 0 + 0 0 . chr15 100193272 100193273 chr15:100193273:C:T rs141739044 C T C EBF1_EBF_1 -4 0 - 0 0 . chr15 100200337 100200338 chr15:100200338:C:T rs12901977 C T C EBF1_EBF_1 25 0 - 0 0 . chr15 100206629 100206630 chr15:100206630:G:C rs4338785 G C G EBF1_EBF_1 -7 0 - 0 0 . chr15 100209557 100209558 chr15:100209558:C:T rs35720439 C T C EBF1_EBF_1 -16 0 - 0 0 . chr15 100221677 100221678 chr15:100221678:A:G rs112819327 A G a EBF1_EBF_1 7 1 - 7.095640643315655 5.278135897033032 AGACCCATGAGAAT chr15 100230885 100230886 chr15:100230886:C:G rs373921310 C G C EBF1_EBF_1 25 0 + 0 0 . chr15 100237358 100237359 chr15:100237359:C:G rs11247171 C G C EBF1_EBF_1 -12 0 + 0 0 . chr15 100242691 100242692 chr15:100242692:T:C rs7180912 T C C EBF1_EBF_1 27 0 + 0 0 . chr15 100245658 100245659 chr15:100245659:T:C rs115421650 T C T EBF1_EBF_1 -12 0 - 0 0 . chr15 100247520 100247521 chr15:100247521:T:C rs118003516 T C C EBF1_EBF_1 30 0 + 0 0 . chr15 100259709 100259710 chr15:100259710:T:C rs61130264 T C T EBF1_EBF_1 1 1 - 6.250685430644081 6.511384262565383 AATCCCTTAGGAGA chr15 100281812 100281813 chr15:100281813:A:G rs7497112 A G G EBF1_EBF_1 -14 0 + 0 0 . chr15 100281846 100281847 chr15:100281847:C:A rs7496994 C A C EBF1_EBF_1 20 0 + 0 0 . chr15 100291486 100291487 chr15:100291487:T:C chr15:100291487:T:C T C T EBF1_EBF_1 -8 0 + 0 0 . chr15 100308806 100308807 chr15:100308807:C:G rs4965635 C G G EBF1_EBF_1 14 0 + 0 0 . chr15 100309555 100309556 chr15:100309556:T:C rs80125838 T C T EBF1_EBF_1 -13 0 + 0 0 . chr15 100316443 100316444 chr15:100316444:C:G rs878099 C G C EBF1_EBF_1 18 0 - 0 0 . chr15 100317618 100317619 chr15:100317619:T:C rs78515115 T C T EBF1_EBF_1 13 1 - 5.687542348340832 4.573216776271393 GTTCCCTGGGGCCA chr15 100330374 100330375 chr15:100330375:T:C rs75296146 T C T EBF1_EBF_1 11 1 + 4.956937034268293 4.638889592514628 CTCCCCCAGGGTTT chr15 100335065 100335066 chr15:100335066:C:T rs112923965 C T C EBF1_EBF_1 -13 0 + 0 0 . chr15 100335084 100335085 chr15:100335085:G:A rs2587816 G A T EBF1_EBF_1 6 1 + 7.508979719289507 9.675774150563983 ACCCCCGGGGGAAC chr15 100345622 100345623 chr15:100345623:G:T rs2587788 G T T EBF1_EBF_1 1 1 + 7.05641240502105 7.762074190850577 AGACCCCTGGGAAG chr15 100349705 100349706 chr15:100349706:G:A rs1289490371 G A G EBF1_EBF_1 -15 0 + 0 0 . chr15 100349724 100349725 chr15:100349725:C:G rs188157848 C G C EBF1_EBF_1 4 1 + 7.098800211840593 1.4730351106910484 CCTCCCCTGAGACC chr15 100350505 100350506 chr15:100350506:C:T rs1021513366 C T C EBF1_EBF_1 -10 0 + 0 0 . chr15 100350547 100350548 chr15:100350548:A:G rs12594498 A G A EBF1_EBF_1 32 0 + 0 0 . chr15 100383854 100383855 chr15:100383855:T:C rs80085342 T C C EBF1_EBF_1 31 0 + 0 0 . chr15 100383856 100383857 chr15:100383857:C:T rs371637038 C T C EBF1_EBF_1 33 0 + 0 0 . chr15 100468741 100468742 chr15:100468742:T:C rs12910887 T C t EBF1_EBF_1 16 0 + 0 0 . chr15 100512064 100512065 chr15:100512065:G:A rs2174165 G A - EBF1_EBF_1 -3 0 + 0 0 . chr15 100596741 100596742 chr15:100596742:A:G rs182431573 A G A EBF1_EBF_1 17 0 - 0 0 . chr15 100601690 100601691 chr15:100601691:C:A rs3794503 C A C EBF1_EBF_1 3 1 + 11.35670989269185 4.450535863226044 TCTCCCCAGGGACT chr15 100659016 100659017 chr15:100659017:G:C rs34305352 G C C EBF1_EBF_1 -6 0 + 0 0 . chr15 100659018 100659019 chr15:100659019:C:T rs35763572 C T C EBF1_EBF_1 -4 0 + 0 0 . chr15 100682722 100682723 chr15:100682723:G:A rs77256067 G A G EBF1_EBF_1 0 1 - 7.967444856647628 8.249646917378207 CCCCCCCAGGGACA chr15 100684120 100684121 chr15:100684121:A:C rs2012101 A C A EBF1_EBF_1 30 0 + 0 0 . chr15 100686020 100686021 chr15:100686021:G:A rs1661795 G A G EBF1_EBF_1 27 0 - 0 0 . chr15 100704042 100704043 chr15:100704043:A:G rs72763004 A G A EBF1_EBF_1 -6 0 + 0 0 . chr15 100726339 100726340 chr15:100726340:C:T rs74609871 C T C EBF1_EBF_1 8 1 - 5.793425897583187 1.5671358086969251 ACACCCATGGGGCC chr15 100726346 100726347 chr15:100726347:G:A rs79217209 G A G EBF1_EBF_1 1 1 - 5.793425897583187 6.688859337981933 ACACCCATGGGGCC chr15 100726360 100726361 chr15:100726361:G:A rs556159323 G A G EBF1_EBF_1 -13 0 - 0 0 . chr15 100748420 100748421 chr15:100748421:C:G rs10902582 C G C EBF1_EBF_1 -13 0 - 0 0 . chr15 100763904 100763905 chr15:100763905:G:A rs149647428 G A G EBF1_EBF_1 -7 0 + 0 0 . chr15 100765932 100765933 chr15:100765933:C:T rs7497103 C T C EBF1_EBF_1 27 0 - 0 0 . chr15 100765974 100765975 chr15:100765975:T:C rs6598383 T C T EBF1_EBF_1 -15 0 - 0 0 . chr15 100778886 100778887 chr15:100778887:G:A rs111519351 G A G EBF1_EBF_1 -15 0 + 0 0 . chr15 100780457 100780458 chr15:100780458:C:T rs34023462 C T C EBF1_EBF_1 9 1 - 6.881687020364228 4.226747754916624 ATTCCCAAGGCAAC chr15 100879201 100879202 chr15:100879202:G:C rs1249007527 G C G EBF1_EBF_1 -19 0 - 0 0 . chr15 100902796 100902797 chr15:100902797:G:A rs4246323 G A A EBF1_EBF_1 13 1 - 7.4305247155195335 8.275018830105052 ACTCCCTGGAGAGC chr15 100923871 100923872 chr15:100923872:C:T rs11854709 C T C EBF1_EBF_1 30 0 - 0 0 . chr15 100996155 100996156 chr15:100996156:A:T rs4995826 A T T EBF1_EBF_1 -2 0 - 0 0 . chr15 101005989 101005990 chr15:101005990:T:C rs7163635 T C C EBF1_EBF_1 7 1 + 5.348753270945126 3.531248524662502 AAACCCATGGGGCA chr15 101008690 101008691 chr15:101008691:C:T rs748143565 C T C EBF1_EBF_1 31 0 - 0 0 . chr15 101008726 101008727 chr15:101008727:C:G rs947284012 C G C EBF1_EBF_1 -5 0 - 0 0 . chr15 101027004 101027005 chr15:101027005:G:A rs876626 G A A EBF1_EBF_1 -5 0 - 0 0 . chr15 101049559 101049560 chr15:101049560:T:G rs897484 T G G EBF1_EBF_1 -3 0 - 0 0 . chr15 101049974 101049975 chr15:101049975:C:T rs72766853 C T C EBF1_EBF_1 6 1 - 7.799651557357112 9.966445988631587 CTCCCCGTGGGACT chr15 101049995 101049996 chr15:101049996:A:C rs897485 A C C EBF1_EBF_1 -15 0 - 0 0 . chr15 101062352 101062353 chr15:101062353:G:T rs2925203 G T T EBF1_EBF_1 -5 0 - 0 0 . chr15 101066611 101066612 chr15:101066612:C:T rs117861619 C T C EBF1_EBF_1 32 0 + 0 0 . chr15 101069433 101069434 chr15:101069434:G:A rs75669738 G A G EBF1_EBF_1 3 1 - 5.217379412124196 -1.1880193294291215 ATCCCCCAAGGGCT chr15 101069434 101069435 chr15:101069435:G:T rs144267311 G T G EBF1_EBF_1 2 1 - 5.217379412124196 3.4217352359674695 ATCCCCCAAGGGCT chr15 101069439 101069440 chr15:101069440:C:T rs1048326 C T T EBF1_EBF_1 -3 0 - 0 0 . chr15 101083687 101083688 chr15:101083688:T:C rs3986587 T C t EBF1_EBF_1 2 1 + 7.383471286875292 5.494647875433142 TTTCCCAAGGGCAC chr15 101083697 101083698 chr15:101083698:A:G rs3986586 A G a EBF1_EBF_1 12 1 + 7.383471286875292 5.964653944775814 TTTCCCAAGGGCAC chr15 101084968 101084969 chr15:101084969:C:T rs3863407 C T C EBF1_EBF_1 21 0 + 0 0 . chr15 101093145 101093146 chr15:101093146:G:A rs1205287712 G A G EBF1_EBF_1 16 0 - 0 0 . chr15 101102268 101102269 chr15:101102269:T:C rs73477121 T C T EBF1_EBF_1 -16 0 - 0 0 . chr15 101108760 101108761 chr15:101108761:C:T rs11635137 C T C EBF1_EBF_1 1 1 + 5.8225483584811055 6.71798179887985 ACTCTCAAGAGACC chr15 101108976 101108977 chr15:101108977:G:A rs11634928 G A G EBF1_EBF_1 -4 0 - 0 0 . chr15 101121749 101121750 chr15:101121750:G:A rs78218751 G A g EBF1_EBF_1 12 1 - 7.331089637842269 6.30482306729142 ACTCCCTGGAGACG chr15 101139499 101139500 chr15:101139500:A:G rs2898877 A G G EBF1_EBF_1 30 0 + 0 0 . chr15 101139867 101139868 chr15:101139868:T:C rs4965367 T C C EBF1_EBF_1 -7 0 + 0 0 . chr15 101158334 101158335 chr15:101158335:A:G rs2124135 A G G EBF1_EBF_1 -17 0 + 0 0 . chr15 101167733 101167734 chr15:101167734:C:G rs140211815 C G C EBF1_EBF_1 20 0 - 0 0 . chr15 101167746 101167747 chr15:101167747:A:C rs60365179 A C C EBF1_EBF_1 7 1 - 3.9459426516911846 3.1097584864899175 CCCCCCCTGGGGTC chr15 101179685 101179686 chr15:101179686:C:T rs545807059 C T C EBF1_EBF_1 33 0 - 0 0 . chr15 101181628 101181629 chr15:101181629:C:A rs141171679 C A C EBF1_EBF_1 12 1 + 6.1507337932634405 6.180915191684766 TTCCCCATGGGTCT chr15 101184103 101184104 chr15:101184104:G:C rs553070618 G C g EBF1_EBF_1 13 1 + 3.895281336462901 5.383352357818316 GCCCCCACGGGAGG chr15 101184104 101184105 chr15:101184105:C:T rs140457834 C T c EBF1_EBF_1 14 0 + 0 0 . chr15 101252466 101252467 chr15:101252467:C:A rs8042551 C A C EBF1_EBF_1 21 0 - 0 0 . chr15 101254267 101254268 chr15:101254268:G:C rs8032256 G C G EBF1_EBF_1 19 0 - 0 0 . chr15 101309683 101309684 chr15:101309684:C:T rs4965377 C T C EBF1_EBF_1 -6 0 - 0 0 . chr15 101309687 101309688 chr15:101309688:C:T rs900415 C T C EBF1_EBF_1 -10 0 - 0 0 . chr15 101313299 101313300 chr15:101313300:T:C rs181645304 T C t EBF1_EBF_1 -2 0 - 0 0 . chr15 101315803 101315804 chr15:101315804:C:T rs56823857 C T C EBF1_EBF_1 12 1 + 5.594901909772057 4.568635339221207 CGTCCCACGGGGCC chr15 101323303 101323304 chr15:101323304:G:A rs142951314 G A G EBF1_EBF_1 21 0 - 0 0 . chr15 101324087 101324088 chr15:101324088:C:T rs78547637 C T C EBF1_EBF_1 32 0 + 0 0 . chr15 101350776 101350777 chr15:101350777:G:T rs112798213 G T G EBF1_EBF_1 -19 0 + 0 0 . chr15 101355722 101355723 chr15:101355723:A:G rs7178801 A G G EBF1_EBF_1 13 1 - 5.0482068629335455 4.203712748348027 GCCCCCATGGGCAT chr15 101372547 101372548 chr15:101372548:G:C rs724541 G C C EBF1_EBF_1 27 0 - 0 0 . chr15 101372581 101372582 chr15:101372582:A:T rs4965835 A T T EBF1_EBF_1 -7 0 - 0 0 . chr15 101390740 101390741 chr15:101390741:G:A rs564409564 G A G EBF1_EBF_1 -9 0 - 0 0 . chr15 101392256 101392257 chr15:101392257:A:G rs4965381 A G G EBF1_EBF_1 -8 0 - 0 0 . chr15 101393020 101393021 chr15:101393021:G:A rs4965843 G A G EBF1_EBF_1 -7 0 + 0 0 . chr15 101393052 101393053 chr15:101393053:C:T rs4965844 C T C EBF1_EBF_1 25 0 + 0 0 . chr15 101426883 101426884 chr15:101426884:C:T rs191030559 C T C EBF1_EBF_1 6 1 + 4.952496310761101 5.253782439622859 GCCCCCCCGGGAAG chr15 101438666 101438667 chr15:101438667:G:A rs7167843 G A G EBF1_EBF_1 -7 0 + 0 0 . chr15 101446694 101446695 chr15:101446695:T:A rs11634270 T A T EBF1_EBF_1 23 0 - 0 0 . chr15 101446710 101446711 chr15:101446711:A:T rs116798969 A T T EBF1_EBF_1 7 1 - 6.015800623895448 6.492074863983006 ACTCCCCTGATACT chr15 101453198 101453199 chr15:101453199:T:C rs7167996 T C T EBF1_EBF_1 -7 0 - 0 0 . chr15 101480487 101480488 chr15:101480488:C:T rs7166284 C T C EBF1_EBF_1 20 0 + 0 0 . chr15 101485186 101485187 chr15:101485187:A:G rs4965391 A G G EBF1_EBF_1 12 1 + 5.480013864353983 4.061196522254505 AACCCCCAGCGAAC chr15 101495384 101495385 chr15:101495385:G:A rs56654128 G A G EBF1_EBF_1 3 1 - 8.467632324411628 2.06223358285831 CCTCCCCAGGGAGA chr15 101496605 101496606 chr15:101496606:C:T rs12904950 C T C EBF1_EBF_1 0 1 + 4.688807925836099 4.971009986566677 CTTCCCCGGGGTTC chr15 101499664 101499665 chr15:101499665:C:G rs4965394 C G G EBF1_EBF_1 24 0 + 0 0 . chr15 101522934 101522935 chr15:101522935:A:G rs137880496 A G A EBF1_EBF_1 7 1 + 8.470507892326896 7.158049487038071 CTTCCCCAGAGACC chr15 101540657 101540658 chr15:101540658:A:G rs56211533 A G A EBF1_EBF_1 22 0 + 0 0 . chr15 101540665 101540666 chr15:101540666:G:A rs58322177 G A G EBF1_EBF_1 30 0 + 0 0 . chr15 101541950 101541951 chr15:101541951:A:G rs74450339 A G A EBF1_EBF_1 7 1 + 7.353306752342189 6.040848347053364 CCTCCCCAGGGAGG chr15 101561032 101561033 chr15:101561033:G:C rs966260 G C G EBF1_EBF_1 21 0 - 0 0 . chr15 101564490 101564491 chr15:101564491:G:A rs1984528 G A G EBF1_EBF_1 14 0 - 0 0 . chr15 101564522 101564523 chr15:101564523:A:G rs11633132 A G G EBF1_EBF_1 -18 0 - 0 0 . chr15 101613401 101613402 chr15:101613402:C:T rs72761611 C T T EBF1_EBF_1 19 0 + 0 0 . chr15 101651715 101651716 chr15:101651716:G:C rs146538174 G C G EBF1_EBF_1 18 0 + 0 0 . chr15 101652819 101652820 chr15:101652820:C:T rs141403356 C T C EBF1_EBF_1 -17 0 + 0 0 . chr15 101693443 101693444 chr15:101693444:C:T rs77144212 C T c EBF1_EBF_1 29 0 - 0 0 . chr15 101748075 101748076 chr15:101748076:T:C rs352728 T C . EBF1_EBF_1 -16 0 - 0 0 . chr15 101766048 101766049 chr15:101766049:G:A rs74035815 G A . EBF1_EBF_1 -2 0 + 0 0 . chr15 101779015 101779016 chr15:101779016:G:C rs11631867 G C . EBF1_EBF_1 27 0 - 0 0 . chr15 101905477 101905478 chr15:101905478:A:G rs533149883 A G . EBF1_EBF_1 24 0 - 0 0 . chr15 101913196 101913197 chr15:101913197:C:A rs4898161 C A . EBF1_EBF_1 22 0 - 0 0 . chr16 34052 34053 chr16:34053:C:T rs117781963 C T c EBF1_EBF_1 -12 0 - 0 0 . chr16 35064 35065 chr16:35065:G:A rs3930588 G A g EBF1_EBF_1 -13 0 + 0 0 . chr16 35362 35363 chr16:35363:C:G rs2562137 C G N EBF1_EBF_1 -9 0 + 0 0 . chr16 35363 35364 chr16:35364:G:T rs3848343 G T g EBF1_EBF_1 -8 0 + 0 0 . chr16 56425 56426 chr16:56426:G:T rs60136132 G T G EBF1_EBF_1 29 0 - 0 0 . chr16 62113 62114 chr16:62114:G:A rs216601 G A G EBF1_EBF_1 8 1 + 4.636212524804767 0.40992243591850525 CCTCCCCGGGGGGC chr16 63408 63409 chr16:63409:T:A rs574395138 T A T EBF1_EBF_1 2 1 + 6.337936721597682 2.6534691339988052 CATCCCAAGGTACC chr16 72783 72784 chr16:72784:T:C rs2562151 T C C EBF1_EBF_1 17 0 + 0 0 . chr16 76944 76945 chr16:76945:G:A rs80061442 G A g EBF1_EBF_1 -1 0 - 0 0 . chr16 84705 84706 chr16:84706:C:T rs1046780373 C T C EBF1_EBF_1 28 0 - 0 0 . chr16 84745 84746 chr16:84746:T:C rs2858050 T C C EBF1_EBF_1 -12 0 - 0 0 . chr16 89087 89088 chr16:89088:T:C rs891145 T C T EBF1_EBF_1 -19 0 + 0 0 . chr16 180598 180599 chr16:180599:C:A chr16:180599:C:A C A c EBF1_EBF_1 -4 0 + 0 0 . chr16 181149 181150 chr16:181150:C:A rs111543239 C A c EBF1_EBF_1 19 0 + 0 0 . chr16 181255 181256 chr16:181256:G:A rs1157736617 G A g EBF1_EBF_1 25 0 + 0 0 . chr16 263406 263407 chr16:263407:A:G rs7189326 A G G EBF1_EBF_1 -4 0 + 0 0 . chr16 283029 283030 chr16:283030:T:A rs452819 T A T EBF1_EBF_1 -9 0 + 0 0 . chr16 287172 287173 chr16:287173:A:C rs137974462 A C A EBF1_EBF_1 17 0 + 0 0 . chr16 292079 292080 chr16:292080:C:G rs2685120 C G G EBF1_EBF_1 -2 0 - 0 0 . chr16 304916 304917 chr16:304917:C:T rs12444883 C T c EBF1_EBF_1 -17 0 + 0 0 . chr16 304942 304943 chr16:304943:G:A rs80134030 G A g EBF1_EBF_1 9 1 + 8.062046780556413 5.4071075151088115 ATTCCCCACGGACC chr16 325197 325198 chr16:325198:C:T rs426884 C T c EBF1_EBF_1 30 0 - 0 0 . chr16 351767 351768 chr16:351768:T:C rs12927169 T C T EBF1_EBF_1 -16 0 + 0 0 . chr16 357137 357138 chr16:357138:C:A rs74000543 C A C EBF1_EBF_1 -5 0 + 0 0 . chr16 360455 360456 chr16:360456:C:T rs148123720 C T c EBF1_EBF_1 13 1 + 4.72092397074758 5.565418085333098 AACCCCCAGGGCGC chr16 360458 360459 chr16:360459:C:T rs7204567 C T C EBF1_EBF_1 16 0 + 0 0 . chr16 366210 366211 chr16:366211:G:A rs60853631 G A G EBF1_EBF_1 20 0 + 0 0 . chr16 369804 369805 chr16:369805:A:C rs73490313 A C C EBF1_EBF_1 -20 0 + 0 0 . chr16 371722 371723 chr16:371723:A:C rs413390 A C A EBF1_EBF_1 27 0 - 0 0 . chr16 374694 374695 chr16:374695:A:G rs66485171 A G G EBF1_EBF_1 19 0 + 0 0 . chr16 377146 377147 chr16:377147:A:C rs145211537 A C A EBF1_EBF_1 26 0 - 0 0 . chr16 377924 377925 chr16:377925:T:C rs61261356 T C C EBF1_EBF_1 -14 0 + 0 0 . chr16 378851 378852 chr16:378852:G:A rs12445642 G A G EBF1_EBF_1 29 0 - 0 0 . chr16 381399 381400 chr16:381400:C:G rs376393059 C G T EBF1_EBF_1 25 0 + 0 0 . chr16 384022 384023 chr16:384023:G:A rs79380971 G A G EBF1_EBF_1 15 0 - 0 0 . chr16 399979 399980 chr16:399980:C:T rs28394836 C T C EBF1_EBF_1 18 0 + 0 0 . chr16 424453 424454 chr16:424454:T:C rs574146698 T C T EBF1_EBF_1 6 1 - 6.9069913507194824 4.740196919445007 AATCCCAGGGTACA chr16 487912 487913 chr16:487913:A:G rs2385130 A G A EBF1_EBF_1 14 0 - 0 0 . chr16 491379 491380 chr16:491380:C:T rs7185745 C T C EBF1_EBF_1 -6 0 + 0 0 . chr16 492359 492360 chr16:492360:T:C rs114810283 T C C EBF1_EBF_1 -1 0 + 0 0 . chr16 492361 492362 chr16:492362:T:C rs72767828 T C T EBF1_EBF_1 1 1 + 5.352857423564874 4.457423983166128 CTTCCCGGGAGACC chr16 492382 492383 chr16:492383:C:T rs200029990 C T T EBF1_EBF_1 22 0 + 0 0 . chr16 495464 495465 chr16:495465:G:A rs77217713 G A g EBF1_EBF_1 32 0 + 0 0 . chr16 514412 514413 chr16:514413:C:T rs140788672 C T c EBF1_EBF_1 -10 0 + 0 0 . chr16 526344 526345 chr16:526345:C:A rs531286996 C A C EBF1_EBF_1 23 0 + 0 0 . chr16 527375 527376 chr16:527376:C:G rs1053643614 C G C EBF1_EBF_1 28 0 + 0 0 . chr16 527379 527380 chr16:527380:C:T rs538175772 C T C EBF1_EBF_1 32 0 + 0 0 . chr16 535884 535885 chr16:535885:G:A rs74003960 G A G EBF1_EBF_1 26 0 - 0 0 . chr16 552798 552799 chr16:552799:C:T rs116628166 C T c EBF1_EBF_1 -5 0 - 0 0 . chr16 558970 558971 chr16:558971:C:T rs79653688 C T c EBF1_EBF_1 23 0 + 0 0 . chr16 559948 559949 chr16:559949:G:T rs62034767 G T G EBF1_EBF_1 25 0 + 0 0 . chr16 563167 563168 chr16:563168:A:G rs12597975 A G T EBF1_EBF_1 0 1 + 5.43746473050003 3.5451808609351962 AGTCCCTGGAGCCT chr16 575383 575384 chr16:575384:T:C rs2384978 T C C EBF1_EBF_1 13 1 - 5.855499581156082 4.741174009086643 CTCCCCATGGGGCA chr16 575387 575388 chr16:575388:C:T rs2384977 C T C EBF1_EBF_1 9 1 - 5.855499581156082 3.200560315708478 CTCCCCATGGGGCA chr16 582698 582699 chr16:582699:C:G rs3743901 C G C EBF1_EBF_1 -20 0 - 0 0 . chr16 588322 588323 chr16:588323:T:A rs62030903 T A A EBF1_EBF_1 -10 0 + 0 0 . chr16 591163 591164 chr16:591164:G:T rs4984898 G T G EBF1_EBF_1 13 1 - 6.9679670816884896 6.594221632402514 CTTCCCCTGAGATC chr16 622039 622040 chr16:622040:G:A rs62030917 G A G EBF1_EBF_1 5 1 - 6.3980930637955575 1.0763058482548877 GCTCCCAAGGTACA chr16 625066 625067 chr16:625067:C:A rs62623587 C A C EBF1_EBF_1 -4 0 - 0 0 . chr16 626988 626989 chr16:626989:C:T rs11639717 C T c EBF1_EBF_1 -14 0 - 0 0 . chr16 632441 632442 chr16:632442:A:C rs2269560 A C A EBF1_EBF_1 22 0 - 0 0 . chr16 656667 656668 chr16:656668:G:A rs34775748 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 661571 661572 chr16:661572:C:T rs8062682 C T C EBF1_EBF_1 -17 0 + 0 0 . chr16 661581 661582 chr16:661582:C:G rs8062685 C G C EBF1_EBF_1 -7 0 + 0 0 . chr16 664231 664232 chr16:664232:T:C rs4984679 T C C EBF1_EBF_1 -16 0 + 0 0 . chr16 681770 681771 chr16:681771:C:T rs1046112 C T . EBF1_EBF_1 -13 0 + 0 0 . chr16 701980 701981 chr16:701981:G:A rs147796694 G A G EBF1_EBF_1 -13 0 + 0 0 . chr16 717850 717851 chr16:717851:C:T rs149546272 C T C EBF1_EBF_1 10 1 - 5.998762120834193 0.6807336615672261 GTACCCGAGGGACC chr16 717861 717862 chr16:717862:G:A rs730624 G A G EBF1_EBF_1 -1 0 - 0 0 . chr16 727343 727344 chr16:727344:G:C rs147676844 G C G EBF1_EBF_1 17 0 - 0 0 . chr16 734506 734507 chr16:734507:C:T rs67935101 C T C EBF1_EBF_1 -15 0 + 0 0 . chr16 763916 763917 chr16:763917:C:T rs536023924 C T C EBF1_EBF_1 19 0 - 0 0 . chr16 764493 764494 chr16:764494:A:G rs7185523 A G G EBF1_EBF_1 7 1 + 5.618030909242471 4.3055725039536465 ATCCCCCAGGGCAG chr16 767240 767241 chr16:767241:T:C rs3765324 T C C EBF1_EBF_1 -20 0 + 0 0 . chr16 768801 768802 chr16:768802:G:A rs1057147 G A G EBF1_EBF_1 -10 0 + 0 0 . chr16 768806 768807 chr16:768807:C:T rs57272256 C T T EBF1_EBF_1 -5 0 + 0 0 . chr16 774140 774141 chr16:774141:T:C rs72759403 T C C EBF1_EBF_1 -4 0 - 0 0 . chr16 783040 783041 chr16:783041:C:T rs141724664 C T T EBF1_EBF_1 -4 0 + 0 0 . chr16 787931 787932 chr16:787932:G:A rs114138206 G A G EBF1_EBF_1 29 0 + 0 0 . chr16 787932 787933 chr16:787933:G:C rs534730963 G C G EBF1_EBF_1 30 0 + 0 0 . chr16 800595 800596 chr16:800596:C:T rs146039313 C T C EBF1_EBF_1 -3 0 - 0 0 . chr16 801657 801658 chr16:801658:C:T rs142575835 C T C EBF1_EBF_1 -6 0 + 0 0 . chr16 801692 801693 chr16:801693:C:T rs75987892 C T C EBF1_EBF_1 29 0 + 0 0 . chr16 804839 804840 chr16:804840:G:A rs12447088 G A G EBF1_EBF_1 -16 0 - 0 0 . chr16 813270 813271 chr16:813271:C:T rs79943061 C T C EBF1_EBF_1 28 0 + 0 0 . chr16 817888 817889 chr16:817889:C:A rs151023208 C A C EBF1_EBF_1 -3 0 + 0 0 . chr16 825049 825050 chr16:825050:T:C rs593569 T C C EBF1_EBF_1 -20 0 + 0 0 . chr16 825067 825068 chr16:825068:G:C rs593545 G C G EBF1_EBF_1 -2 0 + 0 0 . chr16 836286 836287 chr16:836287:C:G rs116854254 C G C EBF1_EBF_1 18 0 + 0 0 . chr16 836288 836289 chr16:836289:C:A rs478579 C A C EBF1_EBF_1 20 0 + 0 0 . chr16 840154 840155 chr16:840155:G:A rs62012367 G A G EBF1_EBF_1 13 1 - 5.698313183495043 6.542807298080561 CTTCCCTGGGGCCC chr16 866910 866911 chr16:866911:C:T rs9933026 C T C EBF1_EBF_1 0 1 + 4.198631610619385 4.480833671349963 CGTCCCGAGGGCCC chr16 873377 873378 chr16:873378:A:G rs778681186 A G A EBF1_EBF_1 13 1 - 5.032488348854909 4.187994234269391 ACCCCCTCGGGCCT chr16 873394 873395 chr16:873395:C:T rs536276510 C T C EBF1_EBF_1 -4 0 - 0 0 . chr16 874514 874515 chr16:874515:C:T rs224166 C T C EBF1_EBF_1 -10 0 - 0 0 . chr16 877274 877275 chr16:877275:C:A rs9928469 C A C EBF1_EBF_1 -11 0 + 0 0 . chr16 880179 880180 chr16:880180:G:A rs4984952 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 890289 890290 chr16:890290:C:G rs35954112 C G C EBF1_EBF_1 14 0 + 0 0 . chr16 893995 893996 chr16:893996:A:G rs62013778 A G G EBF1_EBF_1 32 0 + 0 0 . chr16 896653 896654 chr16:896654:T:C rs12599923 T C T EBF1_EBF_1 31 0 + 0 0 . chr16 902431 902432 chr16:902432:G:A rs56245114 G A A EBF1_EBF_1 27 0 - 0 0 . chr16 916361 916362 chr16:916362:C:T rs575495922 C T C EBF1_EBF_1 -17 0 + 0 0 . chr16 916401 916402 chr16:916402:G:A rs12928805 G A G EBF1_EBF_1 23 0 + 0 0 . chr16 919007 919008 chr16:919008:G:T rs113789267 G T g EBF1_EBF_1 19 0 + 0 0 . chr16 926798 926799 chr16:926799:C:T rs62013819 C T C EBF1_EBF_1 24 0 + 0 0 . chr16 928771 928772 chr16:928772:C:T rs112337377 C T C EBF1_EBF_1 -1 0 + 0 0 . chr16 940114 940115 chr16:940115:A:G rs117666374 A G A EBF1_EBF_1 6 1 - 5.071896173306258 4.7706100444445 AGCCCCTGGAGGCT chr16 951965 951966 chr16:951966:C:T rs3852757 C T C EBF1_EBF_1 -19 0 + 0 0 . chr16 951998 951999 chr16:951999:G:A rs3852758 G A G EBF1_EBF_1 14 0 + 0 0 . chr16 970731 970732 chr16:970732:G:A rs142343038 G A . EBF1_EBF_1 -6 0 - 0 0 . chr16 974587 974588 chr16:974588:C:T rs34309119 C T C EBF1_EBF_1 6 1 + 6.262616046639326 6.563902175501084 CCTCCCCGGAGACC chr16 977383 977384 chr16:977384:G:A rs117661550 G A G EBF1_EBF_1 15 0 + 0 0 . chr16 980983 980984 chr16:980984:A:C rs28665289 A C A EBF1_EBF_1 -2 0 - 0 0 . chr16 980986 980987 chr16:980987:G:A rs13336020 G A G EBF1_EBF_1 -5 0 - 0 0 . chr16 980987 980988 chr16:980988:C:A rs79541642 C A C EBF1_EBF_1 -6 0 - 0 0 . chr16 984674 984675 chr16:984675:C:A rs36079112 C A C EBF1_EBF_1 -17 0 + 0 0 . chr16 984675 984676 chr16:984676:C:T rs35880735 C T C EBF1_EBF_1 -16 0 + 0 0 . chr16 988082 988083 chr16:988083:T:C rs567685144 T C . EBF1_EBF_1 25 0 - 0 0 . chr16 990377 990378 chr16:990378:C:G rs75200950 C G . EBF1_EBF_1 3 1 + 8.52261197733939 2.6948475292241723 AGACCCCGGGGACT chr16 990688 990689 chr16:990689:G:C rs115300472 G C . EBF1_EBF_1 -3 0 + 0 0 . chr16 997906 997907 chr16:997907:C:T rs148931776 C T C EBF1_EBF_1 22 0 - 0 0 . chr16 999074 999075 chr16:999075:C:T rs4984745 C T C EBF1_EBF_1 -5 0 + 0 0 . chr16 1009705 1009706 chr16:1009706:G:A rs151464 G A G EBF1_EBF_1 33 0 - 0 0 . chr16 1019417 1019418 chr16:1019418:G:A rs1025979470 G A G EBF1_EBF_1 21 0 + 0 0 . chr16 1027055 1027056 chr16:1027056:A:C rs73489834 A C C EBF1_EBF_1 -8 0 + 0 0 . chr16 1027069 1027070 chr16:1027070:A:G rs73489837 A G G EBF1_EBF_1 6 1 + 5.333415522573785 3.166621091299309 ATCCCCACAGGACC chr16 1035313 1035314 chr16:1035314:A:T rs11863094 A T A EBF1_EBF_1 -13 0 - 0 0 . chr16 1036529 1036530 chr16:1036530:C:T rs62015592 C T C EBF1_EBF_1 -3 0 - 0 0 . chr16 1036536 1036537 chr16:1036537:T:G rs374366 T G G EBF1_EBF_1 -10 0 - 0 0 . chr16 1036648 1036649 chr16:1036649:G:A rs115322179 G A G EBF1_EBF_1 -2 0 - 0 0 . chr16 1039948 1039949 chr16:1039949:G:A rs17135129 G A A EBF1_EBF_1 13 1 - 5.686764160920488 6.531258275506006 GCTCCCGTGAGAAC chr16 1046074 1046075 chr16:1046075:A:G rs4984758 A G G EBF1_EBF_1 31 0 - 0 0 . chr16 1051871 1051872 chr16:1051872:G:A rs761050 G A A EBF1_EBF_1 18 0 + 0 0 . chr16 1078289 1078290 chr16:1078290:C:T rs185333872 C T C EBF1_EBF_1 5 1 + 5.9793990036670515 0.6576117881263819 AGTCCCTCGAGGCT chr16 1078894 1078895 chr16:1078895:G:A rs4988479 G A G EBF1_EBF_1 -17 0 + 0 0 . chr16 1078906 1078907 chr16:1078907:C:T rs761846302 C T C EBF1_EBF_1 -5 0 + 0 0 . chr16 1097798 1097799 chr16:1097799:C:T rs113979120 C T C EBF1_EBF_1 30 0 - 0 0 . chr16 1097808 1097809 chr16:1097809:G:A rs74001182 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 1097809 1097810 chr16:1097810:C:A rs74001183 C A C EBF1_EBF_1 19 0 - 0 0 . chr16 1102261 1102262 chr16:1102262:G:A rs1240489204 G A G EBF1_EBF_1 -15 0 - 0 0 . chr16 1106101 1106102 chr16:1106102:G:A rs114405116 G A G EBF1_EBF_1 -18 0 + 0 0 . chr16 1125825 1125826 chr16:1125826:T:C rs28470817 T C C EBF1_EBF_1 21 0 - 0 0 . chr16 1140654 1140655 chr16:1140655:T:C rs8044890 T C C EBF1_EBF_1 -19 0 + 0 0 . chr16 1140664 1140665 chr16:1140665:T:C rs8044896 T C C EBF1_EBF_1 -9 0 + 0 0 . chr16 1140671 1140672 chr16:1140672:G:A rs117717200 G A G EBF1_EBF_1 -2 0 + 0 0 . chr16 1140694 1140695 chr16:1140695:T:C rs35652329 T C T EBF1_EBF_1 21 0 + 0 0 . chr16 1152390 1152391 chr16:1152391:T:G rs145302028 T G t EBF1_EBF_1 2 1 + 6.321871115846246 -0.42645457435422174 CGTCCCCAGGGTCC chr16 1153829 1153830 chr16:1153830:G:A rs191613214 G A g EBF1_EBF_1 10 1 + 5.063751757530391 -0.25427670173657496 CGTCCCCGGAGAGC chr16 1154358 1154359 chr16:1154359:G:T chr16:1154359:G:T G T G EBF1_EBF_1 15 0 - 0 0 . chr16 1157140 1157141 chr16:1157141:G:A rs191609731 G A G EBF1_EBF_1 12 1 - 5.847093474856687 4.820826904305838 ATTCCCGGGGGCCC chr16 1158430 1158431 chr16:1158431:G:C rs114185271 G C G EBF1_EBF_1 15 0 - 0 0 . chr16 1158514 1158515 chr16:1158515:C:T rs148238943 C T C EBF1_EBF_1 -4 0 + 0 0 . chr16 1167502 1167503 chr16:1167503:A:T rs34777355 A T A EBF1_EBF_1 12 1 + 5.576166849926096 4.519718880953921 GTTGCCATGGGAAC chr16 1174875 1174876 chr16:1174876:G:A rs998952998 G A G EBF1_EBF_1 33 0 + 0 0 . chr16 1184581 1184582 chr16:1184582:A:G rs11248856 A G G EBF1_EBF_1 33 0 - 0 0 . chr16 1184628 1184629 chr16:1184629:A:G rs117134922 A G A EBF1_EBF_1 -14 0 - 0 0 . chr16 1189143 1189144 chr16:1189144:A:G rs79796885 A G A EBF1_EBF_1 -13 0 - 0 0 . chr16 1193193 1193194 chr16:1193194:T:C rs9926274 T C C EBF1_EBF_1 6 1 + 6.900566837959277 6.599280709097519 CTCCCCTCGGGAAA chr16 1197481 1197482 chr16:1197482:T:C rs3794619 T C . EBF1_EBF_1 0 1 - 4.993380083489788 3.1010962139249534 ATCCCCAGGAGGCC chr16 1221712 1221713 chr16:1221713:C:T rs78055822 C T . EBF1_EBF_1 13 1 - 6.2243987784988395 7.338724350568278 TCTCCCTTGAGAAG chr16 1231196 1231197 chr16:1231197:C:T rs59259516 C T . EBF1_EBF_1 26 0 + 0 0 . chr16 1231625 1231626 chr16:1231626:T:C rs62012865 T C . EBF1_EBF_1 -2 0 + 0 0 . chr16 1239084 1239085 chr16:1239085:G:A rs3809640 G A . EBF1_EBF_1 21 0 + 0 0 . chr16 1239541 1239542 chr16:1239542:G:C rs2745093 G C . EBF1_EBF_1 13 1 - 6.421464939158695 4.93339391780328 ACCCCCCGGGGGAC chr16 1239553 1239554 chr16:1239554:G:A rs144788565 G A . EBF1_EBF_1 1 1 - 6.421464939158695 7.31689837955744 ACCCCCCGGGGGAC chr16 1239748 1239749 chr16:1239749:G:C rs3760121 G C . EBF1_EBF_1 6 1 - 8.437530377717925 6.632338314244729 ACTCCCCTGGGCCT chr16 1254575 1254576 chr16:1254576:G:C rs74876233 G C . EBF1_EBF_1 13 1 - 6.421464939158695 4.93339391780328 ACCCCCCGGGGGAC chr16 1256678 1256679 chr16:1256679:C:T rs1969653 C T . EBF1_EBF_1 25 0 - 0 0 . chr16 1256679 1256680 chr16:1256680:G:A rs1141967 G A . EBF1_EBF_1 24 0 - 0 0 . chr16 1264090 1264091 chr16:1264091:T:C rs8058416 T C . EBF1_EBF_1 7 1 + 5.893984506259476 4.076479759976852 AGCCCCCTGGGCCC chr16 1265362 1265363 chr16:1265363:C:T rs79076781 C T . EBF1_EBF_1 6 1 + 7.365095241716179 7.666381370577934 ATTCCCCGGAGGCT chr16 1333325 1333326 chr16:1333326:G:A rs7185635 G A g EBF1_EBF_1 7 1 + 6.016360625248707 7.328819030537531 CTTCCCTGGGGTCC chr16 1333909 1333910 chr16:1333910:T:C rs4984812 T C N EBF1_EBF_1 19 0 - 0 0 . chr16 1335648 1335649 chr16:1335649:A:C rs4984814 A C N EBF1_EBF_1 22 0 - 0 0 . chr16 1357439 1357440 chr16:1357440:A:G rs6600137 A G G EBF1_EBF_1 0 1 + 6.73807569543061 4.845791825865778 ATTCCCAGGGAACA chr16 1379130 1379131 chr16:1379131:G:T chr16:1379131:G:T G T G EBF1_EBF_1 15 0 - 0 0 . chr16 1379131 1379132 chr16:1379132:C:T chr16:1379132:C:T C T C EBF1_EBF_1 14 0 - 0 0 . chr16 1406056 1406057 chr16:1406057:A:G rs190575556 A G A EBF1_EBF_1 6 1 - 5.159221036212233 4.857934907350477 GTTCCCTGGGAACC chr16 1408273 1408274 chr16:1408274:C:T rs185851937 C T C EBF1_EBF_1 24 0 - 0 0 . chr16 1413648 1413649 chr16:1413649:C:T rs149428975 C T C EBF1_EBF_1 3 1 + 10.45203356463317 4.046634823079855 AATCCCTCGGGAAC chr16 1436350 1436351 chr16:1436351:T:C rs909974 T C C EBF1_EBF_1 11 1 - 6.729052026854599 3.8363451832505873 AATCCCCTGGTATC chr16 1438433 1438434 chr16:1438434:T:C rs8058243 T C C EBF1_EBF_1 -11 0 - 0 0 . chr16 1448872 1448873 chr16:1448873:C:A rs528297027 C A C EBF1_EBF_1 2 1 + 6.258686202941916 4.46304202678519 TACCCCCCGGGACC chr16 1458544 1458545 chr16:1458545:G:A rs12918994 G A G EBF1_EBF_1 -20 0 + 0 0 . chr16 1459324 1459325 chr16:1459325:C:T rs117037259 C T C EBF1_EBF_1 18 0 - 0 0 . chr16 1459363 1459364 chr16:1459364:A:G rs12164970 A G G EBF1_EBF_1 14 0 - 0 0 . chr16 1467224 1467225 chr16:1467225:C:T rs35463091 C T C EBF1_EBF_1 29 0 - 0 0 . chr16 1480648 1480649 chr16:1480649:A:G rs12933998 A G G EBF1_EBF_1 7 1 + 6.3619437774169585 5.049485372128134 ATTCCCCAGTGATT chr16 1481240 1481241 chr16:1481241:T:C rs35949780 T C t EBF1_EBF_1 6 1 - 8.234828383031575 6.068033951757099 CCCCCCAGGGGACT chr16 1509963 1509964 chr16:1509964:T:C rs7191986 T C C EBF1_EBF_1 29 0 + 0 0 . chr16 1529481 1529482 chr16:1529482:C:T rs9934455 C T C EBF1_EBF_1 10 1 - 5.120927184849963 -0.1971012744170043 CCTCCCTGGGGTCC chr16 1532331 1532332 chr16:1532332:C:G rs185549103 C G C EBF1_EBF_1 19 0 + 0 0 . chr16 1543002 1543003 chr16:1543003:G:A rs2050118 G A G EBF1_EBF_1 13 1 - 6.390972789957004 7.235466904542522 AGCCCCCTGGGGTC chr16 1551329 1551330 chr16:1551330:C:A rs2235647 C A A EBF1_EBF_1 -17 0 + 0 0 . chr16 1598636 1598637 chr16:1598637:G:C rs4786368 G C G EBF1_EBF_1 1 1 - 7.614420812427853 7.80419246699707 TCTCCCTAGAGAGT chr16 1613084 1613085 chr16:1613085:T:A rs141830879 T A T EBF1_EBF_1 11 1 - 4.765223883392305 0.6673096272935792 GCTGCCCAGGGACC chr16 1659059 1659060 chr16:1659060:C:G rs529278454 C G C EBF1_EBF_1 -14 0 - 0 0 . chr16 1678677 1678678 chr16:1678678:C:T rs527827221 C T C EBF1_EBF_1 23 0 - 0 0 . chr16 1678687 1678688 chr16:1678688:G:T rs7196848 G T G EBF1_EBF_1 13 1 - 5.307971327136845 4.934225877850869 ACCCCCCACGGAAC chr16 1706999 1707000 chr16:1707000:T:C rs67816797 T C T EBF1_EBF_1 -10 0 + 0 0 . chr16 1734743 1734744 chr16:1734744:A:G rs117383277 A G A EBF1_EBF_1 -2 0 - 0 0 . chr16 1747973 1747974 chr16:1747974:G:A rs117024768 G A G EBF1_EBF_1 17 0 - 0 0 . chr16 1752230 1752231 chr16:1752231:T:C rs10459881 T C T EBF1_EBF_1 29 0 + 0 0 . chr16 1754928 1754929 chr16:1754929:C:T rs73501657 C T C EBF1_EBF_1 -14 0 + 0 0 . chr16 1775772 1775773 chr16:1775773:T:C rs13339350 T C T EBF1_EBF_1 28 0 - 0 0 . chr16 1787786 1787787 chr16:1787787:C:T rs116082507 C T C EBF1_EBF_1 -10 0 + 0 0 . chr16 1788035 1788036 chr16:1788036:C:G rs2272977 C G C EBF1_EBF_1 32 0 - 0 0 . chr16 1788048 1788049 chr16:1788049:A:G rs57822546 A G a EBF1_EBF_1 19 0 - 0 0 . chr16 1788638 1788639 chr16:1788639:T:C rs344359 T C C EBF1_EBF_1 6 1 - 5.168597949338526 3.00180351806405 GCTCCCAGGGAACT chr16 1789871 1789872 chr16:1789872:C:T rs978600765 C T C EBF1_EBF_1 -1 0 - 0 0 . chr16 1792579 1792580 chr16:1792580:C:A rs73483762 C A C EBF1_EBF_1 -1 0 + 0 0 . chr16 1792580 1792581 chr16:1792581:C:T rs145300804 C T C EBF1_EBF_1 0 1 + 7.7818458070092635 8.064047867739841 CACCCCATGGGACA chr16 1793898 1793899 chr16:1793899:G:A rs35587190 G A G EBF1_EBF_1 12 1 - 4.882187724991618 3.855921154440768 AGCCCCCAGGGCCG chr16 1793914 1793915 chr16:1793915:G:A rs150323168 G A G EBF1_EBF_1 -4 0 - 0 0 . chr16 1817038 1817039 chr16:1817039:T:C rs11860469 T C C EBF1_EBF_1 -6 0 + 0 0 . chr16 1817874 1817875 chr16:1817875:T:G rs59726966 T G G EBF1_EBF_1 -17 0 + 0 0 . chr16 1818131 1818132 chr16:1818132:G:A rs74002495 G A G EBF1_EBF_1 33 0 + 0 0 . chr16 1820639 1820640 chr16:1820640:G:T rs2268674 G T T EBF1_EBF_1 -16 0 - 0 0 . chr16 1859969 1859970 chr16:1859970:T:C rs7191695 T C T EBF1_EBF_1 -10 0 + 0 0 . chr16 1876631 1876632 chr16:1876632:T:G rs74002732 T G T EBF1_EBF_1 -2 0 + 0 0 . chr16 1876640 1876641 chr16:1876641:A:G rs6600181 A G A EBF1_EBF_1 7 1 + 4.592020923829756 3.2795625185409296 CGTGCCCAGGGACC chr16 1876661 1876662 chr16:1876662:C:T rs74002733 C T C EBF1_EBF_1 28 0 + 0 0 . chr16 1881054 1881055 chr16:1881055:T:C rs4420529 T C T EBF1_EBF_1 26 0 + 0 0 . chr16 1919081 1919082 chr16:1919082:T:C rs740466 T C C EBF1_EBF_1 -4 0 + 0 0 . chr16 1941511 1941512 chr16:1941512:G:C rs9934331 G C G EBF1_EBF_1 -15 0 + 0 0 . chr16 1959850 1959851 chr16:1959851:G:C rs338788 G C C EBF1_EBF_1 -20 0 + 0 0 . chr16 1964287 1964288 chr16:1964288:G:A rs61741761 G A g EBF1_EBF_1 -15 0 + 0 0 . chr16 1965243 1965244 chr16:1965244:C:T rs17135730 C T C EBF1_EBF_1 2 1 + 6.581055195306586 8.469878606748733 AGCCCCCGGGGGCC chr16 1972703 1972704 chr16:1972704:G:A rs116277930 G A G EBF1_EBF_1 32 0 - 0 0 . chr16 1973981 1973982 chr16:1973982:G:A rs375159688 G A G EBF1_EBF_1 30 0 - 0 0 . chr16 1978675 1978676 chr16:1978676:A:G rs34968293 A G G EBF1_EBF_1 27 0 + 0 0 . chr16 1979786 1979787 chr16:1979787:T:C rs12933767 T C T EBF1_EBF_1 -7 0 + 0 0 . chr16 1989790 1989791 chr16:1989791:G:A rs112521723 G A g EBF1_EBF_1 24 0 + 0 0 . chr16 1997269 1997270 chr16:1997270:G:C rs8056727 G C C EBF1_EBF_1 27 0 + 0 0 . chr16 1999726 1999727 chr16:1999727:C:T rs11248905 C T T EBF1_EBF_1 -7 0 - 0 0 . chr16 2015407 2015408 chr16:2015408:G:A rs72766650 G A G EBF1_EBF_1 21 0 - 0 0 . chr16 2021939 2021940 chr16:2021940:C:G rs8055656 C G G EBF1_EBF_1 24 0 + 0 0 . chr16 2021941 2021942 chr16:2021942:T:C rs112907630 T C T EBF1_EBF_1 26 0 + 0 0 . chr16 2035945 2035946 chr16:2035946:G:C rs56936901 G C G EBF1_EBF_1 -20 0 + 0 0 . chr16 2035956 2035957 chr16:2035957:C:T rs138862731 C T C EBF1_EBF_1 -9 0 + 0 0 . chr16 2035995 2035996 chr16:2035996:A:G rs2531210 A G G EBF1_EBF_1 30 0 + 0 0 . chr16 2060569 2060570 chr16:2060570:C:G rs2074968 C G G EBF1_EBF_1 33 0 - 0 0 . chr16 2061228 2061229 chr16:2061229:A:G rs2074969 A G A EBF1_EBF_1 23 0 - 0 0 . chr16 2085944 2085945 chr16:2085945:G:A rs994624304 G A G EBF1_EBF_1 -18 0 - 0 0 . chr16 2090552 2090553 chr16:2090553:G:A rs3209986 G A G EBF1_EBF_1 -18 0 - 0 0 . chr16 2128410 2128411 chr16:2128411:G:C chr16:2128411:G:C G C g EBF1_EBF_1 0 1 - 5.383218953840659 5.746193567972426 CTCCCCGAGGGGCT chr16 2169749 2169750 chr16:2169750:A:T rs67633992 A T . EBF1_EBF_1 15 0 - 0 0 . chr16 2190722 2190723 chr16:2190723:T:C rs139589663 T C T EBF1_EBF_1 33 0 + 0 0 . chr16 2195283 2195284 chr16:2195284:A:T rs258291 A T T EBF1_EBF_1 -15 0 - 0 0 . chr16 2238343 2238344 chr16:2238344:C:T rs160551 C T C EBF1_EBF_1 12 1 + 6.228222983951424 5.201956413400574 TTTCCCCTGAGGCT chr16 2238886 2238887 chr16:2238887:A:G rs26842 A G G EBF1_EBF_1 0 1 + 5.308009314870332 3.4157254453054984 ACTCCCAGGAGCCT chr16 2238901 2238902 chr16:2238902:T:A rs57663353 T A T EBF1_EBF_1 15 0 + 0 0 . chr16 2267775 2267776 chr16:2267776:C:G rs11646649 C G C EBF1_EBF_1 -8 0 + 0 0 . chr16 2267776 2267777 chr16:2267777:T:G rs11642797 T G T EBF1_EBF_1 -7 0 + 0 0 . chr16 2267778 2267779 chr16:2267779:G:C rs552534265 G C G EBF1_EBF_1 -5 0 + 0 0 . chr16 2276266 2276267 chr16:2276267:C:T rs181270047 C T C EBF1_EBF_1 -6 0 + 0 0 . chr16 2285409 2285410 chr16:2285410:G:A rs75808174 G A G EBF1_EBF_1 -17 0 - 0 0 . chr16 2423362 2423363 chr16:2423363:C:G rs753943421 C G C EBF1_EBF_1 31 0 - 0 0 . chr16 2433178 2433179 chr16:2433179:T:C rs9934102 T C T EBF1_EBF_1 25 0 - 0 0 . chr16 2450842 2450843 chr16:2450843:A:G rs12932646 A G G EBF1_EBF_1 -14 0 + 0 0 . chr16 2474353 2474354 chr16:2474354:T:A rs540982198 T A T EBF1_EBF_1 -15 0 + 0 0 . chr16 2474534 2474535 chr16:2474535:C:T rs116368766 C T C EBF1_EBF_1 19 0 - 0 0 . chr16 2501671 2501672 chr16:2501672:G:A rs774528459 G A G EBF1_EBF_1 20 0 + 0 0 . chr16 2557999 2558000 chr16:2558000:G:A rs62040723 G A G EBF1_EBF_1 15 0 - 0 0 . chr16 2599152 2599153 chr16:2599153:T:C rs28679688 T C t EBF1_EBF_1 23 0 + 0 0 . chr16 2656034 2656035 chr16:2656035:T:C rs4238839 T C T EBF1_EBF_1 19 0 - 0 0 . chr16 2660392 2660393 chr16:2660393:T:C rs4553633 T C N EBF1_EBF_1 -14 0 - 0 0 . chr16 2660796 2660797 chr16:2660797:A:G rs4238841 A G N EBF1_EBF_1 -17 0 + 0 0 . chr16 2673236 2673237 chr16:2673237:C:T rs1032099241 C T c EBF1_EBF_1 -2 0 + 0 0 . chr16 2673240 2673241 chr16:2673241:A:G rs117727070 A G N EBF1_EBF_1 2 1 + 4.742824926837671 1.6789668242360805 CGACCCTCGGGACC chr16 2673253 2673254 chr16:2673254:C:T rs140430061 C T N EBF1_EBF_1 15 0 + 0 0 . chr16 2691349 2691350 chr16:2691350:G:A rs78376026 G A g EBF1_EBF_1 0 1 - 5.886594458838645 6.168796519569221 CTTCCCATGGGTTC chr16 2696659 2696660 chr16:2696660:G:A rs3094479 G A g EBF1_EBF_1 -8 0 + 0 0 . chr16 2696694 2696695 chr16:2696695:G:A rs55857409 G A g EBF1_EBF_1 27 0 + 0 0 . chr16 2739483 2739484 chr16:2739484:G:T rs545387328 G T G EBF1_EBF_1 33 0 + 0 0 . chr16 2745805 2745806 chr16:2745806:C:T rs141899025 C T C EBF1_EBF_1 3 1 + 7.305845966518701 0.9004472249653828 ACTCCCCTGAGGCT chr16 2752770 2752771 chr16:2752771:C:T rs766223515 C T C EBF1_EBF_1 10 1 - 7.111083414866277 1.793054955599311 AGTCCCTCGGGCCT chr16 2752774 2752775 chr16:2752775:A:T chr16:2752775:A:T A T A EBF1_EBF_1 6 1 - 7.111083414866277 7.171399653805796 AGTCCCTCGGGCCT chr16 2771982 2771983 chr16:2771983:G:A rs201849867 G A G EBF1_EBF_1 4 1 - 5.410405048379867 1.0410181034349433 ACCCCCAAGAGGCC chr16 2798427 2798428 chr16:2798428:A:C rs28668368 A C C EBF1_EBF_1 15 0 + 0 0 . chr16 2798427 2798428 chr16:2798428:A:G chr16:2798428:A:G A G C EBF1_EBF_1 15 0 + 0 0 . chr16 2810840 2810841 chr16:2810841:C:A rs34864316 C A C EBF1_EBF_1 31 0 - 0 0 . chr16 2810840 2810841 chr16:2810841:C:T chr16:2810841:C:T C T C EBF1_EBF_1 31 0 - 0 0 . chr16 2852060 2852061 chr16:2852061:G:A rs10852647 G A A EBF1_EBF_1 -4 0 + 0 0 . chr16 2896323 2896324 chr16:2896324:A:G rs111441980 A G A EBF1_EBF_1 19 0 + 0 0 . chr16 2899674 2899675 chr16:2899675:T:C rs8051370 T C C EBF1_EBF_1 13 1 - 6.276478273501096 5.1621527014316575 AATCCCCTGGAAAA chr16 2905166 2905167 chr16:2905167:G:C rs902021516 G C G EBF1_EBF_1 -17 0 - 0 0 . chr16 2907083 2907084 chr16:2907084:G:A rs184025927 G A g EBF1_EBF_1 -17 0 + 0 0 . chr16 2907106 2907107 chr16:2907107:C:T rs1405368395 C T c EBF1_EBF_1 6 1 + 7.580601290178874 7.881887419040632 CTCCCCCGGGGAAA chr16 2907107 2907108 chr16:2907108:G:A rs8063347 G A g EBF1_EBF_1 7 1 + 7.580601290178874 8.8930596954677 CTCCCCCGGGGAAA chr16 2907131 2907132 chr16:2907132:T:C rs1360069279 T C t EBF1_EBF_1 31 0 + 0 0 . chr16 2924953 2924954 chr16:2924954:G:A rs115570208 G A g EBF1_EBF_1 19 0 - 0 0 . chr16 2926917 2926918 chr16:2926918:C:G rs11644538 C G C EBF1_EBF_1 -2 0 + 0 0 . chr16 2943286 2943287 chr16:2943287:G:C chr16:2943287:G:C G C G EBF1_EBF_1 20 0 - 0 0 . chr16 2943293 2943294 chr16:2943294:G:T rs190809998 G T G EBF1_EBF_1 13 1 - 5.834766063133335 5.461020613847359 CCTCCCCTGGGGTC chr16 2953762 2953763 chr16:2953763:A:C rs6500743 A C c EBF1_EBF_1 -12 0 - 0 0 . chr16 2953945 2953946 chr16:2953946:G:A rs57768809 G A a EBF1_EBF_1 14 0 + 0 0 . chr16 2954968 2954969 chr16:2954969:G:C rs116576246 G C g EBF1_EBF_1 26 0 - 0 0 . chr16 2964075 2964076 chr16:2964076:G:T rs561955483 G T G EBF1_EBF_1 23 0 - 0 0 . chr16 2970545 2970546 chr16:2970546:A:G rs73482261 A G a EBF1_EBF_1 -1 0 + 0 0 . chr16 2971367 2971368 chr16:2971368:C:G rs767703858 C G C EBF1_EBF_1 32 0 - 0 0 . chr16 2971415 2971416 chr16:2971416:G:T rs79505645 G T G EBF1_EBF_1 -16 0 - 0 0 . chr16 3012392 3012393 chr16:3012393:A:G rs28692868 A G A EBF1_EBF_1 -15 0 + 0 0 . chr16 3023065 3023066 chr16:3023066:C:T rs183306969 C T C EBF1_EBF_1 16 0 - 0 0 . chr16 3023085 3023086 chr16:3023086:G:A rs9931099 G A G EBF1_EBF_1 -4 0 - 0 0 . chr16 3046320 3046321 chr16:3046321:C:T rs187317457 C T C EBF1_EBF_1 14 0 - 0 0 . chr16 3064075 3064076 chr16:3064076:G:A rs1534864 G A G EBF1_EBF_1 10 1 + 5.731460935374645 0.41343247610767886 TCACCCAAGGGAAG chr16 3064079 3064080 chr16:3064080:A:C rs12448657 A C C EBF1_EBF_1 14 0 + 0 0 . chr16 3066254 3066255 chr16:3066255:T:G rs2239301 T G G EBF1_EBF_1 -12 0 + 0 0 . chr16 3066293 3066294 chr16:3066294:A:G rs115567031 A G A EBF1_EBF_1 27 0 + 0 0 . chr16 3068084 3068085 chr16:3068085:C:T rs148340020 C T c EBF1_EBF_1 16 0 - 0 0 . chr16 3073092 3073093 chr16:3073093:C:A rs112587566 C A c EBF1_EBF_1 25 0 + 0 0 . chr16 3099999 3100000 chr16:3100000:G:T rs72772572 G T G EBF1_EBF_1 30 0 + 0 0 . chr16 3102304 3102305 chr16:3102305:T:A rs8060421 T A G EBF1_EBF_1 -19 0 + 0 0 . chr16 3102416 3102417 chr16:3102417:G:C rs8051609 G C G EBF1_EBF_1 6 1 + 7.294824526151423 9.100016589624621 AGCCCCGGGGGACA chr16 3112614 3112615 chr16:3112615:C:T rs569640918 C T C EBF1_EBF_1 26 0 - 0 0 . chr16 3143459 3143460 chr16:3143460:G:A rs2238379 G A G EBF1_EBF_1 26 0 + 0 0 . chr16 3150814 3150815 chr16:3150815:T:C rs867577634 T C T EBF1_EBF_1 28 0 + 0 0 . chr16 3165555 3165556 chr16:3165556:G:A rs2741901 G A G EBF1_EBF_1 7 1 - 4.413112530489925 6.2306172767725485 GTCCCCCCGGGGCC chr16 3172307 3172308 chr16:3172308:T:C rs224196 T C C EBF1_EBF_1 0 1 - 5.7896273490978345 3.897343479533 ACTCCCTCGAGGCT chr16 3172326 3172327 chr16:3172327:C:A rs192349519 C A C EBF1_EBF_1 -19 0 - 0 0 . chr16 3192614 3192615 chr16:3192615:T:C rs427135 T C C EBF1_EBF_1 22 0 - 0 0 . chr16 3254738 3254739 chr16:3254739:A:G rs11466018 A G A EBF1_EBF_1 7 1 + 7.847987802654199 6.535529397365373 CTCCCCCAGGGAGC chr16 3254761 3254762 chr16:3254762:A:G rs224225 A G G EBF1_EBF_1 30 0 + 0 0 . chr16 3401650 3401651 chr16:3401651:A:C chr16:3401651:A:C A C A EBF1_EBF_1 -8 0 - 0 0 . chr16 3413940 3413941 chr16:3413941:G:A rs147181359 G A G EBF1_EBF_1 6 1 - 8.310288350600844 8.6115744794626 ACTCCCCGGGGGAC chr16 3443729 3443730 chr16:3443730:C:T rs12923869 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 3482132 3482133 chr16:3482133:C:T rs142081487 C T C EBF1_EBF_1 -16 0 + 0 0 . chr16 3491038 3491039 chr16:3491039:A:G rs1003330 A G G EBF1_EBF_1 1 1 - 9.23769535466808 8.342261914269336 ATTCCCCAGAGACG chr16 3494039 3494040 chr16:3494040:G:T rs56793723 G T t EBF1_EBF_1 -6 0 - 0 0 . chr16 3576456 3576457 chr16:3576457:C:T rs8061554 C T C EBF1_EBF_1 10 1 - 5.3498114686137574 0.03178300934679168 CCCCCCATGGGAGG chr16 3589128 3589129 chr16:3589129:C:T rs755864079 C T C EBF1_EBF_1 -9 0 + 0 0 . chr16 3589137 3589138 chr16:3589138:A:G rs3810812 A G G EBF1_EBF_1 0 1 + 11.992069697792948 10.099785828228114 ATTCCCCAGGGAGC chr16 3642757 3642758 chr16:3642758:T:C rs1286767113 T C T EBF1_EBF_1 -1 0 - 0 0 . chr16 3646232 3646233 chr16:3646233:A:G rs116960145 A G G EBF1_EBF_1 -12 0 - 0 0 . chr16 3657062 3657063 chr16:3657063:C:T rs59621760 C T C EBF1_EBF_1 -17 0 - 0 0 . chr16 3777806 3777807 chr16:3777807:G:A rs3025696 G A G EBF1_EBF_1 -16 0 + 0 0 . chr16 3907822 3907823 chr16:3907823:G:A rs2386820 G A g EBF1_EBF_1 -1 0 - 0 0 . chr16 3920635 3920636 chr16:3920636:C:T rs62039137 C T C EBF1_EBF_1 27 0 - 0 0 . chr16 3963465 3963466 chr16:3963466:C:T rs2531995 C T C EBF1_EBF_1 -18 0 - 0 0 . chr16 3964116 3964117 chr16:3964117:C:T rs2531994 C T T EBF1_EBF_1 8 1 - 7.201329002642175 2.9750389137559137 CCTCCCCAGAGACA chr16 3979575 3979576 chr16:3979576:T:C rs2531986 T C T EBF1_EBF_1 20 0 - 0 0 . chr16 3987963 3987964 chr16:3987964:C:G rs72762731 C G c EBF1_EBF_1 23 0 + 0 0 . chr16 4016488 4016489 chr16:4016489:G:C rs145523447 G C G EBF1_EBF_1 -19 0 + 0 0 . chr16 4091869 4091870 chr16:4091870:T:C rs747826912 T C T EBF1_EBF_1 21 0 - 0 0 . chr16 4179958 4179959 chr16:4179959:T:C rs76558202 T C T EBF1_EBF_1 17 0 + 0 0 . chr16 4207279 4207280 chr16:4207280:A:C rs9922726 A C C EBF1_EBF_1 2 1 - 7.5057669982877036 0.7574413080872366 AGTCCCCAGAGATG chr16 4207284 4207285 chr16:4207285:T:C rs9924822 T C C EBF1_EBF_1 -3 0 - 0 0 . chr16 4222193 4222194 chr16:4222194:T:G rs9923289 T G G EBF1_EBF_1 16 0 - 0 0 . chr16 4241161 4241162 chr16:4241162:G:T rs78229902 G T G EBF1_EBF_1 13 1 - 5.763051330504282 5.389305881218306 ATCCCCCTAGGATC chr16 4270709 4270710 chr16:4270710:A:G rs115956785 A G A EBF1_EBF_1 15 0 - 0 0 . chr16 4292670 4292671 chr16:4292671:G:A rs11641923 G A g EBF1_EBF_1 4 1 - 8.467632324411628 4.098245379466704 CCTCCCCAGGGAGA chr16 4294388 4294389 chr16:4294389:C:T rs151058390 C T C EBF1_EBF_1 31 0 - 0 0 . chr16 4307381 4307382 chr16:4307382:C:T rs73505256 C T C EBF1_EBF_1 5 1 + 7.353306752342189 2.031519536801518 CCTCCCCAGGGAGG chr16 4309858 4309859 chr16:4309859:C:T rs143401911 C T C EBF1_EBF_1 8 1 - 6.263896823445002 2.037606734558741 AGCCCCAGGGGCCT chr16 4309867 4309868 chr16:4309868:G:A rs114471237 G A G EBF1_EBF_1 -1 0 - 0 0 . chr16 4314112 4314113 chr16:4314113:T:G rs74003281 T G T EBF1_EBF_1 1 1 + 5.938961327800309 5.23329954197078 CTTCCCCCGGGGCC chr16 4320672 4320673 chr16:4320673:T:C rs112177758 T C T EBF1_EBF_1 -13 0 + 0 0 . chr16 4320704 4320705 chr16:4320705:A:G rs35108574 A G G EBF1_EBF_1 19 0 + 0 0 . chr16 4336639 4336640 chr16:4336640:G:A rs9936480 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 4360372 4360373 chr16:4360373:T:C rs58057409 T C T EBF1_EBF_1 32 0 + 0 0 . chr16 4361504 4361505 chr16:4361505:A:G rs2277853 A G G EBF1_EBF_1 -16 0 + 0 0 . chr16 4361535 4361536 chr16:4361536:C:T rs140694828 C T C EBF1_EBF_1 15 0 + 0 0 . chr16 4365694 4365695 chr16:4365695:C:G rs3747577 C G C EBF1_EBF_1 19 0 + 0 0 . chr16 4371930 4371931 chr16:4371931:C:G rs151288576 C G G EBF1_EBF_1 18 0 + 0 0 . chr16 4373281 4373282 chr16:4373282:C:T rs182415803 C T C EBF1_EBF_1 -4 0 + 0 0 . chr16 4388198 4388199 chr16:4388199:G:A rs9926145 G A G EBF1_EBF_1 -10 0 + 0 0 . chr16 4408076 4408077 chr16:4408077:T:C rs112435836 T C T EBF1_EBF_1 -11 0 - 0 0 . chr16 4451142 4451143 chr16:4451143:A:G rs146605897 A G A EBF1_EBF_1 6 1 + 7.0918018713333595 4.925007440058884 CTTCCCATGGGGTC chr16 4474683 4474684 chr16:4474684:G:C rs17137056 G C C EBF1_EBF_1 -8 0 - 0 0 . chr16 4476739 4476740 chr16:4476740:C:T rs13333833 C T C EBF1_EBF_1 23 0 - 0 0 . chr16 4476748 4476749 chr16:4476749:G:A rs2270364 G A G EBF1_EBF_1 14 0 - 0 0 . chr16 4538647 4538648 chr16:4538648:C:G rs527997550 C G C EBF1_EBF_1 7 1 - 5.681284417618269 4.699963836536913 CCTCCCCGGGGGAA chr16 4600223 4600224 chr16:4600224:C:T rs184467272 C T C EBF1_EBF_1 15 0 + 0 0 . chr16 4610245 4610246 chr16:4610246:G:A rs863500 G A G EBF1_EBF_1 6 1 - 6.959424447004333 7.2607105758660895 AGCCCCCGGGGATG chr16 4610286 4610287 chr16:4610287:C:T rs184738529 C T C EBF1_EBF_1 22 0 - 0 0 . chr16 4613803 4613804 chr16:4613804:G:A rs841188 G A G EBF1_EBF_1 3 1 - 6.620025631435302 0.21462688988198497 ACTCCCCCGGGCCT chr16 4614988 4614989 chr16:4614989:G:A rs115552065 G A G EBF1_EBF_1 6 1 + 4.024675548062647 6.191469979337122 CGCCCCGGGGGAGC chr16 4614988 4614989 chr16:4614989:G:C chr16:4614989:G:C G C G EBF1_EBF_1 6 1 + 4.024675548062647 5.829867611535845 CGCCCCGGGGGAGC chr16 4614995 4614996 chr16:4614996:C:A rs841189 C A C EBF1_EBF_1 13 1 + 4.024675548062647 3.650930098776671 CGCCCCGGGGGAGC chr16 4615014 4615015 chr16:4615015:G:A rs533629495 G A G EBF1_EBF_1 32 0 + 0 0 . chr16 4617811 4617812 chr16:4617812:C:T rs147380360 C T c EBF1_EBF_1 26 0 - 0 0 . chr16 4625957 4625958 chr16:4625958:C:T rs1659486 C T T EBF1_EBF_1 -4 0 - 0 0 . chr16 4626970 4626971 chr16:4626971:G:A rs1659489 G A A EBF1_EBF_1 10 1 + 6.542807298080561 1.2247788388135956 CTTCCCTGGGGCCT chr16 4650500 4650501 chr16:4650501:T:C rs372776961 T C T EBF1_EBF_1 13 1 - 7.642863857179561 6.528538285110122 AGCCCCATGAGACA chr16 4650523 4650524 chr16:4650524:G:A rs113355878 G A G EBF1_EBF_1 -10 0 - 0 0 . chr16 4657430 4657431 chr16:4657431:A:G rs3747590 A G A EBF1_EBF_1 -7 0 - 0 0 . chr16 4661735 4661736 chr16:4661736:T:G rs1684624 T G G EBF1_EBF_1 -5 0 + 0 0 . chr16 4671264 4671265 chr16:4671265:G:A rs550311166 G A G EBF1_EBF_1 2 1 - 6.621642492247041 8.510465903689187 AACCCCTGGGGGCC chr16 4677398 4677399 chr16:4677399:G:T rs377309672 G T T EBF1_EBF_1 19 0 - 0 0 . chr16 4680541 4680542 chr16:4680542:C:T chr16:4680542:C:T C T C EBF1_EBF_1 0 1 - 5.1960357556493895 7.088319625214224 GCTCCCTGGGGCAC chr16 4694603 4694604 chr16:4694604:T:G rs201516097 T G . EBF1_EBF_1 23 0 - 0 0 . chr16 4694604 4694605 chr16:4694605:T:G rs529787275 T G . EBF1_EBF_1 22 0 - 0 0 . chr16 4768419 4768420 chr16:4768420:T:G rs76139668 T G T EBF1_EBF_1 -19 0 + 0 0 . chr16 4768424 4768425 chr16:4768425:G:A rs117229794 G A G EBF1_EBF_1 -14 0 + 0 0 . chr16 4784163 4784164 chr16:4784164:C:T rs8043579 C T C EBF1_EBF_1 12 1 + 8.566954584794537 7.540688014243689 CCTCCCTTGGGACG chr16 4784166 4784167 chr16:4784167:C:T rs8043725 C T C EBF1_EBF_1 15 0 + 0 0 . chr16 4796135 4796136 chr16:4796136:C:T rs75777873 C T C EBF1_EBF_1 1 1 + 8.954859893947267 9.850293334346011 TCCCCCTAGGGAAC chr16 4801758 4801759 chr16:4801759:C:T rs764407761 C T C EBF1_EBF_1 18 0 + 0 0 . chr16 4805046 4805047 chr16:4805047:G:C rs117581021 G C G EBF1_EBF_1 27 0 - 0 0 . chr16 4821286 4821287 chr16:4821287:G:C rs77896390 G C G EBF1_EBF_1 -18 0 + 0 0 . chr16 4846911 4846912 chr16:4846912:C:T rs56040623 C T C EBF1_EBF_1 13 1 + 7.67811786275387 8.52261197733939 AGACCCCGGGGACC chr16 4847060 4847061 chr16:4847061:G:A rs546804111 G A g EBF1_EBF_1 -1 0 - 0 0 . chr16 4847078 4847079 chr16:4847079:G:A rs75526858 G A G EBF1_EBF_1 -19 0 - 0 0 . chr16 4864514 4864515 chr16:4864515:A:G rs1610232 A G A EBF1_EBF_1 15 0 - 0 0 . chr16 4915473 4915474 chr16:4915474:T:C rs61014854 T C T EBF1_EBF_1 29 0 - 0 0 . chr16 4915496 4915497 chr16:4915497:G:A rs73519185 G A G EBF1_EBF_1 6 1 - 9.762049426672448 10.063335555534206 CCTCCCCGGGGACT chr16 4944917 4944918 chr16:4944918:C:A rs759631614 C A C EBF1_EBF_1 1 1 - 5.318322670120763 6.023984455950291 GGCCCCAGGAGAAC chr16 4944991 4944992 chr16:4944992:G:A rs115400842 G A G EBF1_EBF_1 -2 0 + 0 0 . chr16 4945017 4945018 chr16:4945018:C:T rs11866192 C T C EBF1_EBF_1 24 0 + 0 0 . chr16 4984818 4984819 chr16:4984819:T:C rs55717484 T C T EBF1_EBF_1 29 0 - 0 0 . chr16 4984830 4984831 chr16:4984831:A:G rs34496778 A G A EBF1_EBF_1 17 0 - 0 0 . chr16 5012017 5012018 chr16:5012018:C:T rs1558559 C T C EBF1_EBF_1 8 1 - 8.32070812019665 4.094418031310389 ACCCCCACGGGAAA chr16 5018748 5018749 chr16:5018749:A:G rs2072456 A G A EBF1_EBF_1 -2 0 - 0 0 . chr16 5030521 5030522 chr16:5030522:C:T rs2102065 C T C EBF1_EBF_1 13 1 - 6.210838220217606 7.325163792287045 CCTCCCAAGGGGCG chr16 5055438 5055439 chr16:5055439:T:C rs741166 T C C EBF1_EBF_1 32 0 + 0 0 . chr16 5062260 5062261 chr16:5062261:C:T rs144086428 C T C EBF1_EBF_1 -2 0 + 0 0 . chr16 5069179 5069180 chr16:5069180:C:G rs11649662 C G C EBF1_EBF_1 29 0 - 0 0 . chr16 5071690 5071691 chr16:5071691:C:A rs17523310 C A C EBF1_EBF_1 25 0 - 0 0 . chr16 5097091 5097092 chr16:5097092:G:C rs3890147 G C C EBF1_EBF_1 -20 0 + 0 0 . chr16 5097471 5097472 chr16:5097472:G:C rs192972540 G C G EBF1_EBF_1 27 0 - 0 0 . chr16 5097512 5097513 chr16:5097513:G:C rs4786599 G C G EBF1_EBF_1 -14 0 - 0 0 . chr16 5097835 5097836 chr16:5097836:G:A rs559480718 G A - EBF1_EBF_1 29 0 - 0 0 . chr16 5097854 5097855 chr16:5097855:C:T rs28610272 C T - EBF1_EBF_1 10 1 - 7.478798320868181 2.1607698616012145 ACCCCCGGGGGACC chr16 5097858 5097859 chr16:5097859:C:T chr16:5097859:C:T C T - EBF1_EBF_1 6 1 - 7.478798320868181 9.645592752142656 ACCCCCGGGGGACC chr16 5097862 5097863 chr16:5097863:G:A rs10438590 G A - EBF1_EBF_1 2 1 - 7.478798320868181 9.367621732310331 ACCCCCGGGGGACC chr16 5097875 5097876 chr16:5097876:G:C rs530759127 G C - EBF1_EBF_1 -11 0 - 0 0 . chr16 5142948 5142949 chr16:5142949:T:C rs2088746 T C T EBF1_EBF_1 27 0 - 0 0 . chr16 5166958 5166959 chr16:5166959:C:T rs871296 C T c EBF1_EBF_1 23 0 - 0 0 . chr16 5217213 5217214 chr16:5217214:C:G rs60465971 C G C EBF1_EBF_1 15 0 - 0 0 . chr16 5226273 5226274 chr16:5226274:T:C rs2204688 T C C EBF1_EBF_1 16 0 - 0 0 . chr16 5286918 5286919 chr16:5286919:C:T rs34258101 C T T EBF1_EBF_1 -17 0 + 0 0 . chr16 5366049 5366050 chr16:5366050:T:C rs11865528 T C C EBF1_EBF_1 16 0 - 0 0 . chr16 5412896 5412897 chr16:5412897:G:A rs146830846 G A G EBF1_EBF_1 10 1 + 6.542635102161508 1.2246066428945426 ATTCCCCAGCGAGA chr16 5412903 5412904 chr16:5412904:G:A rs111418204 G A A EBF1_EBF_1 17 0 + 0 0 . chr16 5451934 5451935 chr16:5451935:A:C rs538835 A C C EBF1_EBF_1 20 0 - 0 0 . chr16 5502820 5502821 chr16:5502821:T:C rs11076917 T C C EBF1_EBF_1 11 1 - 6.163475459186163 3.270768615582152 GGTCCCCTGAGACG chr16 5502840 5502841 chr16:5502841:T:C rs9746963 T C T EBF1_EBF_1 -9 0 - 0 0 . chr16 5521607 5521608 chr16:5521608:A:G rs12930683 A G A EBF1_EBF_1 21 0 + 0 0 . chr16 5526034 5526035 chr16:5526035:A:G rs114562848 A G A EBF1_EBF_1 -8 0 - 0 0 . chr16 5544268 5544269 chr16:5544269:A:G rs11076925 A G A EBF1_EBF_1 -20 0 - 0 0 . chr16 5582165 5582166 chr16:5582166:G:T rs76387091 G T G EBF1_EBF_1 -16 0 + 0 0 . chr16 5585446 5585447 chr16:5585447:T:C rs2029179 T C T EBF1_EBF_1 7 1 - 8.732372182395792 7.419913777106968 AATCCCAAGAGAGC chr16 5590274 5590275 chr16:5590275:G:T rs8052571 G T G EBF1_EBF_1 25 0 - 0 0 . chr16 5639791 5639792 chr16:5639792:G:A rs144438765 G A G EBF1_EBF_1 1 1 + 5.268470325795359 5.007771493874058 AGTCCCCTGGGCTG chr16 5741332 5741333 chr16:5741333:A:G rs12051184 A G A EBF1_EBF_1 12 1 + 6.507941571078252 5.089124228978775 ATTCCCAAGGCAAA chr16 5741351 5741352 chr16:5741352:A:G rs78063839 A G A EBF1_EBF_1 31 0 + 0 0 . chr16 5783776 5783777 chr16:5783777:G:C rs9940753 G C C EBF1_EBF_1 27 0 - 0 0 . chr16 5837310 5837311 chr16:5837311:C:T rs2077357 C T T EBF1_EBF_1 -1 0 + 0 0 . chr16 5891031 5891032 chr16:5891032:C:T rs2215261 C T C EBF1_EBF_1 -20 0 + 0 0 . chr16 5953968 5953969 chr16:5953969:G:A rs181741055 G A G EBF1_EBF_1 7 1 + 9.271847302856681 10.584305708145505 ACCCCCAGGGGACA chr16 5977409 5977410 chr16:5977410:C:T rs755079698 C T C EBF1_EBF_1 -11 0 + 0 0 . chr16 5977424 5977425 chr16:5977425:C:T rs9935090 C T T EBF1_EBF_1 4 1 + 8.8930596954677 4.523672750522776 CTCCCCCAGGGAAA chr16 6081345 6081346 chr16:6081346:A:G rs929889 A G A EBF1_EBF_1 27 0 + 0 0 . chr16 6098274 6098275 chr16:6098275:A:G rs77425683 A G A EBF1_EBF_1 25 0 + 0 0 . chr16 6133167 6133168 chr16:6133168:T:C rs59096979 T C T EBF1_EBF_1 7 1 - 9.367559059559941 8.055100654271115 AGCCCCAAGAGAAT chr16 6209333 6209334 chr16:6209334:G:A rs11862328 G A G EBF1_EBF_1 -4 0 - 0 0 . chr16 6215546 6215547 chr16:6215547:A:G rs115913925 A G A EBF1_EBF_1 -1 0 + 0 0 . chr16 6406220 6406221 chr16:6406221:C:A rs2795535 C A A EBF1_EBF_1 -2 0 - 0 0 . chr16 6468054 6468055 chr16:6468055:T:C rs61562937 T C T EBF1_EBF_1 -1 0 - 0 0 . chr16 6619047 6619048 chr16:6619048:G:C rs72762949 G C G EBF1_EBF_1 -14 0 - 0 0 . chr16 6663417 6663418 chr16:6663418:T:G rs8053333 T G T EBF1_EBF_1 31 0 - 0 0 . chr16 6663466 6663467 chr16:6663467:G:C rs8047260 G C C EBF1_EBF_1 -18 0 - 0 0 . chr16 6663644 6663645 chr16:6663645:G:C rs12924717 G C G EBF1_EBF_1 12 1 - 11.385520307126919 9.99688436344877 ATCCCCAGGGGACT chr16 6663672 6663673 chr16:6663673:T:C rs8057978 T C C EBF1_EBF_1 -16 0 - 0 0 . chr16 6704526 6704527 chr16:6704527:C:T rs8061082 C T C EBF1_EBF_1 3 1 + 4.912782053194596 -1.4926166883587213 CCCCCCTTGGGTCT chr16 6704527 6704528 chr16:6704528:C:T rs116908302 C T C EBF1_EBF_1 4 1 + 4.912782053194596 0.5433951082496731 CCCCCCTTGGGTCT chr16 6706394 6706395 chr16:6706395:G:A rs9924395 G A G EBF1_EBF_1 4 1 - 6.851743460130626 2.482356515185702 TTCCCCAAGAGACA chr16 6735657 6735658 chr16:6735658:A:G rs12935093 A G A EBF1_EBF_1 33 0 - 0 0 . chr16 6760823 6760824 chr16:6760824:C:G rs7195924 C G C EBF1_EBF_1 24 0 - 0 0 . chr16 6893290 6893291 chr16:6893291:C:T rs117717340 C T T EBF1_EBF_1 -18 0 + 0 0 . chr16 6893328 6893329 chr16:6893329:A:C rs8044875 A C A EBF1_EBF_1 20 0 + 0 0 . chr16 6904060 6904061 chr16:6904061:T:A rs7203860 T A T EBF1_EBF_1 7 1 + 6.200434130061761 6.67670837014932 ACCCCCATGGGGGC chr16 6904066 6904067 chr16:6904067:C:G rs7198303 C G C EBF1_EBF_1 13 1 + 6.200434130061761 4.712363108706346 ACCCCCATGGGGGC chr16 6912920 6912921 chr16:6912921:A:G rs7499095 A G A EBF1_EBF_1 29 0 - 0 0 . chr16 6927111 6927112 chr16:6927112:C:A rs182385491 C A C EBF1_EBF_1 -11 0 + 0 0 . chr16 7020144 7020145 chr16:7020145:C:T rs4786135 C T C EBF1_EBF_1 7 1 - 5.691762242770068 7.004220648058893 AGTCCCCGGGTACG chr16 7090761 7090762 chr16:7090762:G:A rs375070907 G A G EBF1_EBF_1 -9 0 + 0 0 . chr16 7144901 7144902 chr16:7144902:G:T rs73544746 G T G EBF1_EBF_1 -12 0 - 0 0 . chr16 7305256 7305257 chr16:7305257:C:T rs17143361 C T C EBF1_EBF_1 19 0 - 0 0 . chr16 7400224 7400225 chr16:7400225:T:C rs186515032 T C T EBF1_EBF_1 -8 0 - 0 0 . chr16 7419644 7419645 chr16:7419645:A:G rs8044832 A G A EBF1_EBF_1 13 1 + 5.090687779372776 3.976362207303337 AGCCCTCAGGGACA chr16 7571321 7571322 chr16:7571322:C:A rs3826222 C A C EBF1_EBF_1 27 0 + 0 0 . chr16 7571602 7571603 chr16:7571603:G:T rs3785245 G T T EBF1_EBF_1 -5 0 - 0 0 . chr16 7591272 7591273 chr16:7591273:G:C rs6416821 G C G EBF1_EBF_1 25 0 + 0 0 . chr16 7601588 7601589 chr16:7601589:A:C rs34842787 A C A EBF1_EBF_1 -20 0 - 0 0 . chr16 7602602 7602603 chr16:7602603:T:C rs956305139 T C T EBF1_EBF_1 17 0 + 0 0 . chr16 7722347 7722348 chr16:7722348:G:T rs4787066 G T G EBF1_EBF_1 8 1 + 5.1426622209516895 -1.7487705680255066 CTCCCCTTGGGGTC chr16 7722923 7722924 chr16:7722924:G:C rs73503032 G C G EBF1_EBF_1 26 0 - 0 0 . chr16 7722961 7722962 chr16:7722962:C:G rs12599396 C G C EBF1_EBF_1 -12 0 - 0 0 . chr16 7722965 7722966 chr16:7722966:C:T rs11647472 C T T EBF1_EBF_1 -16 0 - 0 0 . chr16 7743014 7743015 chr16:7743015:T:C rs80033643 T C C EBF1_EBF_1 -9 0 - 0 0 . chr16 7862254 7862255 chr16:7862255:G:C rs12445468 G C G EBF1_EBF_1 -15 0 - 0 0 . chr16 7862421 7862422 chr16:7862422:C:G rs62020005 C G C EBF1_EBF_1 33 0 - 0 0 . chr16 7964355 7964356 chr16:7964356:G:A rs62018784 G A G EBF1_EBF_1 -10 0 - 0 0 . chr16 8046156 8046157 chr16:8046157:C:A rs11644652 C A C EBF1_EBF_1 12 1 + 6.573634315814417 6.6038157142357425 AGCCCCATGGGTCC chr16 8175562 8175563 chr16:8175563:A:T rs80306024 A T A EBF1_EBF_1 12 1 + 6.110054857940364 5.053606888968188 ACTTCCCAGGGAAC chr16 8229134 8229135 chr16:8229135:C:T rs75294853 C T C EBF1_EBF_1 1 1 + 6.462240921825264 7.3576743622240075 ACTCCCATGAGTCT chr16 8661263 8661264 chr16:8661264:A:G rs1620139 A G A EBF1_EBF_1 -15 0 - 0 0 . chr16 8719114 8719115 chr16:8719115:C:T rs1032160334 C T C EBF1_EBF_1 15 0 + 0 0 . chr16 8730711 8730712 chr16:8730712:G:A rs75474998 G A G EBF1_EBF_1 -20 0 + 0 0 . chr16 8851710 8851711 chr16:8851711:G:A rs4985054 G A G EBF1_EBF_1 8 1 + 8.292644213185827 4.066354124299566 CCTCCCTTGGGAGA chr16 8851723 8851724 chr16:8851724:C:T rs148281602 C T C EBF1_EBF_1 21 0 + 0 0 . chr16 8860711 8860712 chr16:8860712:C:T rs35540653 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 8868765 8868766 chr16:8868766:C:A rs1000540789 C A C EBF1_EBF_1 17 0 - 0 0 . chr16 8884627 8884628 chr16:8884628:C:T rs111580759 C T C EBF1_EBF_1 -16 0 + 0 0 . chr16 8926885 8926886 chr16:8926886:A:G rs74010319 A G A EBF1_EBF_1 -4 0 + 0 0 . chr16 8941913 8941914 chr16:8941914:A:G rs561383714 A G A EBF1_EBF_1 1 1 - 5.791804682949939 4.896371242551194 ATCACCAAGGGACT chr16 8941923 8941924 chr16:8941924:T:C rs56410892 T C T EBF1_EBF_1 -9 0 - 0 0 . chr16 8964154 8964155 chr16:8964155:C:A rs888159677 C A C EBF1_EBF_1 -14 0 - 0 0 . chr16 9007391 9007392 chr16:9007392:C:T rs8058802 C T C EBF1_EBF_1 -14 0 - 0 0 . chr16 9008836 9008837 chr16:9008837:T:C rs546492778 T C T EBF1_EBF_1 16 0 - 0 0 . chr16 9047761 9047762 chr16:9047762:G:A rs572180834 G A G EBF1_EBF_1 23 0 - 0 0 . chr16 9047776 9047777 chr16:9047777:C:G rs28505487 C G C EBF1_EBF_1 8 1 - 8.4416969919466 3.123038131031915 ACTCCCCAGAGAGC chr16 9047793 9047794 chr16:9047794:G:A rs113403549 G A G EBF1_EBF_1 -9 0 - 0 0 . chr16 9054568 9054569 chr16:9054569:C:T rs74762453 C T C EBF1_EBF_1 16 0 - 0 0 . chr16 9054577 9054578 chr16:9054578:C:T rs180833691 C T C EBF1_EBF_1 7 1 - 5.50746252937821 6.819920934667036 ACTCCTCGGGGAAA chr16 9054578 9054579 chr16:9054579:G:A rs76905400 G A G EBF1_EBF_1 6 1 - 5.50746252937821 5.808748658239968 ACTCCTCGGGGAAA chr16 9070546 9070547 chr16:9070547:C:T rs12448041 C T C EBF1_EBF_1 5 1 + 5.395594601914137 0.07380738637346668 CACCCCAAGGGGAA chr16 9091199 9091200 chr16:9091200:C:T rs769162393 C T C EBF1_EBF_1 32 0 + 0 0 . chr16 9093194 9093195 chr16:9093195:A:G rs529356124 A G A EBF1_EBF_1 11 1 + 5.863437524846664 2.9707306812426526 CGCCCCCCGGGACA chr16 9124022 9124023 chr16:9124023:G:A rs77787553 G A G EBF1_EBF_1 10 1 + 7.90232159059805 2.5842931313310844 AGTCCCTTGGGCTT chr16 9127766 9127767 chr16:9127767:G:C rs9926588 G C G EBF1_EBF_1 32 0 + 0 0 . chr16 9128920 9128921 chr16:9128921:G:T rs7203301 G T G EBF1_EBF_1 -15 0 + 0 0 . chr16 9136065 9136066 chr16:9136066:G:A rs117587603 G A G EBF1_EBF_1 14 0 - 0 0 . chr16 9136071 9136072 chr16:9136072:C:T rs76648993 C T C EBF1_EBF_1 8 1 - 9.077234428756007 4.850944339869747 CTTCCCCAGGGGCT chr16 9188706 9188707 chr16:9188707:A:T rs59942295 A T A EBF1_EBF_1 -14 0 + 0 0 . chr16 9188735 9188736 chr16:9188736:A:G rs577433054 A G A EBF1_EBF_1 15 0 + 0 0 . chr16 9232536 9232537 chr16:9232537:G:A rs4782153 G A G EBF1_EBF_1 14 0 + 0 0 . chr16 9325940 9325941 chr16:9325941:T:G rs28673481 T G T EBF1_EBF_1 1 1 - 6.103368249413216 6.174295426765301 CATCCCAAGAGAGA chr16 9330316 9330317 chr16:9330317:T:C rs76129007 T C T EBF1_EBF_1 13 1 - 6.9903285600933485 5.8760029880239095 TTTCCCCAGAGAGA chr16 9330336 9330337 chr16:9330337:T:C rs949334132 T C T EBF1_EBF_1 -7 0 - 0 0 . chr16 9350725 9350726 chr16:9350726:T:C rs12447281 T C T EBF1_EBF_1 -5 0 - 0 0 . chr16 9402437 9402438 chr16:9402438:G:A rs1992074 G A G EBF1_EBF_1 -15 0 + 0 0 . chr16 9402481 9402482 chr16:9402482:T:G rs4561465 T G G EBF1_EBF_1 29 0 + 0 0 . chr16 9407378 9407379 chr16:9407379:C:G rs79521687 C G C EBF1_EBF_1 -17 0 - 0 0 . chr16 9430167 9430168 chr16:9430168:G:A rs552218 G A A EBF1_EBF_1 23 0 - 0 0 . chr16 9463209 9463210 chr16:9463210:T:C rs16965295 T C T EBF1_EBF_1 26 0 - 0 0 . chr16 9468459 9468460 chr16:9468460:T:C rs112302300 T C T EBF1_EBF_1 -9 0 - 0 0 . chr16 9527011 9527012 chr16:9527012:C:T rs12930687 C T C EBF1_EBF_1 16 0 + 0 0 . chr16 9533703 9533704 chr16:9533704:C:T rs8047136 C T C EBF1_EBF_1 -2 0 - 0 0 . chr16 9592194 9592195 chr16:9592195:C:G rs1035114 C G C EBF1_EBF_1 -3 0 + 0 0 . chr16 9595127 9595128 chr16:9595128:A:T rs16965745 A T A EBF1_EBF_1 21 0 + 0 0 . chr16 9602314 9602315 chr16:9602315:C:T rs74926149 C T C EBF1_EBF_1 28 0 - 0 0 . chr16 9648634 9648635 chr16:9648635:T:A rs316378 T A T EBF1_EBF_1 24 0 - 0 0 . chr16 9742709 9742710 chr16:9742710:A:G rs12148939 A G A EBF1_EBF_1 26 0 + 0 0 . chr16 9773529 9773530 chr16:9773530:C:G rs2267772 C G G EBF1_EBF_1 27 0 - 0 0 . chr16 9859814 9859815 chr16:9859815:T:A rs17800861 T A T EBF1_EBF_1 -1 0 + 0 0 . chr16 9865263 9865264 chr16:9865264:G:A rs16966529 G A A EBF1_EBF_1 -19 0 - 0 0 . chr16 9908561 9908562 chr16:9908562:C:T rs9938172 C T T EBF1_EBF_1 24 0 - 0 0 . chr16 9943464 9943465 chr16:9943465:C:G rs9937214 C G G EBF1_EBF_1 14 0 - 0 0 . chr16 9943465 9943466 chr16:9943466:G:A rs35891185 G A G EBF1_EBF_1 13 1 - 5.816575914080388 6.661070028665906 TCACCCTGGGGACC chr16 9971278 9971279 chr16:9971279:T:G rs3104703 T G G EBF1_EBF_1 11 1 - 9.714276370808852 5.2983146729564625 ATACCCAAGGGAAA chr16 10003957 10003958 chr16:10003958:G:A rs138427538 G A G EBF1_EBF_1 3 1 - 5.757270461875221 -0.6481282796780952 CTTCCCAGGAGAGA chr16 10037013 10037014 chr16:10037014:T:C rs12444387 T C C EBF1_EBF_1 14 0 - 0 0 . chr16 10039532 10039533 chr16:10039533:G:C rs74008916 G C G EBF1_EBF_1 20 0 + 0 0 . chr16 10078574 10078575 chr16:10078575:C:A rs7500790 C A C EBF1_EBF_1 1 1 - 6.764951292214907 7.470613078044436 TGCCCCCAGGGGCT chr16 10078592 10078593 chr16:10078593:G:A rs117456544 G A G EBF1_EBF_1 -17 0 - 0 0 . chr16 10086551 10086552 chr16:10086552:A:G rs1530671 A G A EBF1_EBF_1 -10 0 + 0 0 . chr16 10107333 10107334 chr16:10107334:A:G rs1375077 A G A EBF1_EBF_1 -17 0 + 0 0 . chr16 10107360 10107361 chr16:10107361:G:A rs1124509 G A G EBF1_EBF_1 10 1 + 7.157579145011283 1.8395506857443176 AGTCCCCAGAGGAC chr16 10113171 10113172 chr16:10113172:C:A rs12924929 C A C EBF1_EBF_1 -12 0 + 0 0 . chr16 10253768 10253769 chr16:10253769:T:C rs3852750 T C T EBF1_EBF_1 1 1 - 5.3778155534596435 5.638514385380946 CATCGCCAGGGACT chr16 10253771 10253772 chr16:10253772:T:C rs74985119 T C T EBF1_EBF_1 -2 0 - 0 0 . chr16 10559105 10559106 chr16:10559106:C:T rs542335549 C T C EBF1_EBF_1 -2 0 - 0 0 . chr16 10559107 10559108 chr16:10559108:G:A rs11865984 G A G EBF1_EBF_1 -4 0 - 0 0 . chr16 10571501 10571502 chr16:10571502:C:T rs13338180 C T C EBF1_EBF_1 15 0 + 0 0 . chr16 10578767 10578768 chr16:10578768:C:T rs35684241 C T T EBF1_EBF_1 0 1 + 6.607614282139806 6.889816342870383 CTCCCCATGGGATG chr16 10598301 10598302 chr16:10598302:C:T rs28544377 C T C EBF1_EBF_1 10 1 - 5.443395230766583 0.12536677149961717 ACTCCCCGGAGGTT chr16 10600840 10600841 chr16:10600841:T:C rs111646922 T C T EBF1_EBF_1 -4 0 - 0 0 . chr16 10601956 10601957 chr16:10601957:C:G rs1990717 C G C EBF1_EBF_1 -13 0 + 0 0 . chr16 10619206 10619207 chr16:10619207:G:C rs72781787 G C G EBF1_EBF_1 10 1 + 10.927916316913286 4.037113929583813 ACCCCCTAGGGAAC chr16 10619218 10619219 chr16:10619219:C:T rs74695090 C T C EBF1_EBF_1 22 0 + 0 0 . chr16 10646903 10646904 chr16:10646904:C:T rs12926860 C T G EBF1_EBF_1 31 0 - 0 0 . chr16 10651092 10651093 chr16:10651093:G:A rs8057467 G A G EBF1_EBF_1 -1 0 - 0 0 . chr16 10653084 10653085 chr16:10653085:T:C rs7196344 T C T EBF1_EBF_1 26 0 + 0 0 . chr16 10674269 10674270 chr16:10674270:C:T rs75146217 C T C EBF1_EBF_1 10 1 - 8.896646824239895 3.5786183649729297 ATTCTCATGGGACC chr16 10684544 10684545 chr16:10684545:G:A rs9922775 G A G EBF1_EBF_1 14 0 - 0 0 . chr16 10699959 10699960 chr16:10699960:T:C rs28444950 T C C EBF1_EBF_1 16 0 + 0 0 . chr16 10743586 10743587 chr16:10743587:G:A chr16:10743587:G:A G A G EBF1_EBF_1 30 0 - 0 0 . chr16 10759196 10759197 chr16:10759197:T:C rs1641769 T C T EBF1_EBF_1 -14 0 + 0 0 . chr16 10777548 10777549 chr16:10777549:A:G rs989478157 A G A EBF1_EBF_1 0 1 + 12.40909167659165 10.516807807026819 ACTCCCAGGGGAAT chr16 10830099 10830100 chr16:10830100:A:G rs6416645 A G A EBF1_EBF_1 29 0 + 0 0 . chr16 10885582 10885583 chr16:10885583:C:T rs11074934 C T C EBF1_EBF_1 -2 0 - 0 0 . chr16 10888487 10888488 chr16:10888488:G:C rs8043545 G C C EBF1_EBF_1 27 0 - 0 0 . chr16 10904031 10904032 chr16:10904032:G:A rs11864014 G A G EBF1_EBF_1 16 0 - 0 0 . chr16 10907435 10907436 chr16:10907436:A:T rs778678693 A T A EBF1_EBF_1 -11 0 + 0 0 . chr16 10918821 10918822 chr16:10918822:G:A rs8056450 G A G EBF1_EBF_1 16 0 + 0 0 . chr16 10918837 10918838 chr16:10918838:C:T rs8057835 C T T EBF1_EBF_1 32 0 + 0 0 . chr16 10937883 10937884 chr16:10937884:A:G rs79748582 A G A EBF1_EBF_1 -14 0 - 0 0 . chr16 10942459 10942460 chr16:10942460:G:T rs186057737 G T G EBF1_EBF_1 -18 0 + 0 0 . chr16 10945344 10945345 chr16:10945345:A:G rs16957801 A G A EBF1_EBF_1 30 0 - 0 0 . chr16 10957237 10957238 chr16:10957238:G:A rs74947085 G A G EBF1_EBF_1 4 1 - 6.887674125413192 2.5182871804682687 ATTCCCCTTGGAAT chr16 10991968 10991969 chr16:10991969:T:G rs142614144 T G t EBF1_EBF_1 -14 0 + 0 0 . chr16 10998461 10998462 chr16:10998462:C:A rs8062322 C A C EBF1_EBF_1 31 0 - 0 0 . chr16 11054155 11054156 chr16:11054156:C:T rs34083796 C T C EBF1_EBF_1 14 0 + 0 0 . chr16 11073745 11073746 chr16:11073746:T:C rs7204643 T C C EBF1_EBF_1 -20 0 + 0 0 . chr16 11147145 11147146 chr16:11147146:A:G rs13331231 A G A EBF1_EBF_1 1 1 + 9.062730447840854 9.323429279762157 AATCCCCAAGGAAT chr16 11160620 11160621 chr16:11160621:G:C rs7191173 G C G EBF1_EBF_1 3 1 - 9.527139040039897 3.6993745919246823 GTCCCCTAGGGACA chr16 11160637 11160638 chr16:11160638:C:G rs41368 C G C EBF1_EBF_1 -14 0 - 0 0 . chr16 11164032 11164033 chr16:11164033:A:G rs1053418838 A G A EBF1_EBF_1 24 0 + 0 0 . chr16 11189249 11189250 chr16:11189250:A:G rs72771830 A G A EBF1_EBF_1 18 0 + 0 0 . chr16 11196376 11196377 chr16:11196377:A:G rs4781041 A G A EBF1_EBF_1 26 0 - 0 0 . chr16 11196392 11196393 chr16:11196393:C:T rs2055098 C T C EBF1_EBF_1 10 1 - 5.694391911353955 0.3763634520869889 TCTCCCATGAGGCT chr16 11202707 11202708 chr16:11202708:C:T rs75867630 C T C EBF1_EBF_1 16 0 - 0 0 . chr16 11212262 11212263 chr16:11212263:C:G rs8047090 C G C EBF1_EBF_1 5 1 + 8.65357376508551 1.748692612450341 TCCCCCCAGGGAAC chr16 11224496 11224497 chr16:11224497:G:A rs150944620 G A G EBF1_EBF_1 19 0 - 0 0 . chr16 11224533 11224534 chr16:11224534:G:A rs577887732 G A G EBF1_EBF_1 -18 0 - 0 0 . chr16 11259072 11259073 chr16:11259073:G:A rs62023594 G A G EBF1_EBF_1 4 1 - 5.626897643247728 1.2575106983028037 GGTCCCAAGAGGAC chr16 11259078 11259079 chr16:11259079:G:C rs152310 G C C EBF1_EBF_1 -2 0 - 0 0 . chr16 11260865 11260866 chr16:11260866:A:G rs34560935 A G A EBF1_EBF_1 -20 0 + 0 0 . chr16 11272145 11272146 chr16:11272146:G:C rs535998 G C C EBF1_EBF_1 -9 0 + 0 0 . chr16 11275997 11275998 chr16:11275998:G:T rs2070923 G T G EBF1_EBF_1 -17 0 - 0 0 . chr16 11297852 11297853 chr16:11297853:G:A rs34437200 G A G EBF1_EBF_1 7 1 + 9.792230825093775 11.104689230382599 CCTCCCCGGGGAAT chr16 11299827 11299828 chr16:11299828:A:G rs7206320 A G A EBF1_EBF_1 30 0 + 0 0 . chr16 11303678 11303679 chr16:11303679:G:C rs138522861 G C G EBF1_EBF_1 -10 0 + 0 0 . chr16 11308492 11308493 chr16:11308493:G:A rs12918017 G A G EBF1_EBF_1 -5 0 - 0 0 . chr16 11309997 11309998 chr16:11309998:A:T rs7195820 A T A EBF1_EBF_1 2 1 - 9.133425210477286 5.4489576228784085 GATCCCCAGGGAGC chr16 11310005 11310006 chr16:11310006:G:C rs7193797 G C C EBF1_EBF_1 -6 0 - 0 0 . chr16 11315983 11315984 chr16:11315984:C:T rs1532303 C T T EBF1_EBF_1 14 0 - 0 0 . chr16 11329041 11329042 chr16:11329042:C:G rs2002751 C G C EBF1_EBF_1 -13 0 - 0 0 . chr16 11372163 11372164 chr16:11372164:G:A rs12919666 G A A EBF1_EBF_1 17 0 + 0 0 . chr16 11379824 11379825 chr16:11379825:T:C rs73501121 T C T EBF1_EBF_1 20 0 - 0 0 . chr16 11387115 11387116 chr16:11387116:C:G rs11860634 C G C EBF1_EBF_1 5 1 + 8.013887906131162 1.109006753495994 ATTCCCAGGGGCCC chr16 11387123 11387124 chr16:11387124:C:T rs1362963782 C T C EBF1_EBF_1 13 1 + 8.013887906131162 8.85838202071668 ATTCCCAGGGGCCC chr16 11387136 11387137 chr16:11387137:C:T rs537714452 C T C EBF1_EBF_1 26 0 + 0 0 . chr16 11391531 11391532 chr16:11391532:A:G rs12597212 A G G EBF1_EBF_1 15 0 - 0 0 . chr16 11396140 11396141 chr16:11396141:C:T rs12595997 C T C EBF1_EBF_1 5 1 + 8.648468347027713 3.3266811314870424 AACCCCATGGGAGA chr16 11396452 11396453 chr16:11396453:C:A rs77754853 C A C EBF1_EBF_1 -20 0 + 0 0 . chr16 11411708 11411709 chr16:11411709:T:C rs57190170 T C T EBF1_EBF_1 19 0 - 0 0 . chr16 11413333 11413334 chr16:11413334:G:A rs4780371 G A G EBF1_EBF_1 -16 0 + 0 0 . chr16 11413364 11413365 chr16:11413365:G:A rs4780372 G A G EBF1_EBF_1 15 0 + 0 0 . chr16 11424361 11424362 chr16:11424362:C:T rs147789902 C T C EBF1_EBF_1 -8 0 - 0 0 . chr16 11429219 11429220 chr16:11429220:T:C rs72775581 T C T EBF1_EBF_1 13 1 + 6.344554228294366 5.500060113708848 CATCCCCTGGTACT chr16 11429230 11429231 chr16:11429231:T:C rs9922587 T C T EBF1_EBF_1 24 0 + 0 0 . chr16 11449546 11449547 chr16:11449547:G:A rs75089783 G A A EBF1_EBF_1 -16 0 + 0 0 . chr16 11455919 11455920 chr16:11455920:A:G rs7201021 A G G EBF1_EBF_1 -5 0 + 0 0 . chr16 11461010 11461011 chr16:11461011:C:T rs8050530 C T C EBF1_EBF_1 -18 0 - 0 0 . chr16 11464900 11464901 chr16:11464901:G:C rs7200037 G C G EBF1_EBF_1 -10 0 - 0 0 . chr16 11484975 11484976 chr16:11484976:T:C rs150548538 T C T EBF1_EBF_1 -3 0 - 0 0 . chr16 11499695 11499696 chr16:11499696:G:C rs57115972 G C G EBF1_EBF_1 -10 0 + 0 0 . chr16 11507279 11507280 chr16:11507280:A:T rs62022778 A T A EBF1_EBF_1 28 0 + 0 0 . chr16 11508141 11508142 chr16:11508142:G:A rs4545838 G A G EBF1_EBF_1 21 0 + 0 0 . chr16 11512935 11512936 chr16:11512936:G:A rs9933294 G A G EBF1_EBF_1 -4 0 + 0 0 . chr16 11575576 11575577 chr16:11575577:G:A rs145012969 G A G EBF1_EBF_1 11 1 + 7.62756142154549 10.520268265149502 ACCCCCAGGGGGAT chr16 11586291 11586292 chr16:11586292:T:C rs12921437 T C T EBF1_EBF_1 -6 0 + 0 0 . chr16 11613311 11613312 chr16:11613312:A:G rs9922730 A G A EBF1_EBF_1 6 1 + 8.862872765836403 6.696078334561931 ATCCCCATGGGATG chr16 11672097 11672098 chr16:11672098:C:A rs8191290 C A g EBF1_EBF_1 6 1 + 8.390850122915115 8.752452490716392 ATCCCCCTGAGAAC chr16 11675178 11675179 chr16:11675179:A:G rs8191321 A G A EBF1_EBF_1 7 1 + 5.734910639379133 4.422452234090308 GTCCCCAAAGGACC chr16 11740930 11740931 chr16:11740931:G:A rs61703242 G A G EBF1_EBF_1 0 1 + 9.268386844733998 11.16067071429883 GCTCCCAGGGGACA chr16 11743102 11743103 chr16:11743103:G:T chr16:11743103:G:T G T G EBF1_EBF_1 3 1 - 9.414255024877374 2.5080809954115666 TATCCCAAGGGAGC chr16 11851373 11851374 chr16:11851374:T:C rs2075158 T C C EBF1_EBF_1 -14 0 + 0 0 . chr16 11851402 11851403 chr16:11851403:C:G rs377718934 C G C EBF1_EBF_1 15 0 + 0 0 . chr16 11851417 11851418 chr16:11851418:T:C chr16:11851418:T:C T C T EBF1_EBF_1 30 0 + 0 0 . chr16 11949858 11949859 chr16:11949859:C:T rs403691 C T c EBF1_EBF_1 -5 0 + 0 0 . chr16 11949871 11949872 chr16:11949872:G:T rs3885011 G T g EBF1_EBF_1 8 1 + 8.570937497092144 1.679504708114949 TTTCCCCAGAGATT chr16 11949894 11949895 chr16:11949895:A:G rs76411346 A G a EBF1_EBF_1 31 0 + 0 0 . chr16 11976325 11976326 chr16:11976326:G:A rs28473763 G A G EBF1_EBF_1 -7 0 - 0 0 . chr16 11980732 11980733 chr16:11980733:A:G rs62037672 A G A EBF1_EBF_1 14 0 - 0 0 . chr16 12086859 12086860 chr16:12086860:G:C rs8050180 G C G EBF1_EBF_1 29 0 + 0 0 . chr16 12156961 12156962 chr16:12156962:T:G rs11643843 T G G EBF1_EBF_1 13 1 - 6.64362897770772 7.017374426993696 GCTCCCAGGAGAAA chr16 12187027 12187028 chr16:12187028:G:A rs146464672 G A G EBF1_EBF_1 28 0 - 0 0 . chr16 12187041 12187042 chr16:12187042:G:A rs75513449 G A G EBF1_EBF_1 14 0 - 0 0 . chr16 12187048 12187049 chr16:12187049:G:A rs709420 G A A EBF1_EBF_1 7 1 - 5.765139439560249 7.582644185842873 ATTCCTCCGGGACC chr16 12188836 12188837 chr16:12188837:T:C rs779813776 T C T EBF1_EBF_1 17 0 - 0 0 . chr16 12188871 12188872 chr16:12188872:A:G rs188505558 A G A EBF1_EBF_1 -18 0 - 0 0 . chr16 12212254 12212255 chr16:12212255:T:A rs7194120 T A T EBF1_EBF_1 33 0 + 0 0 . chr16 12321720 12321721 chr16:12321721:C:T rs77446409 C T C EBF1_EBF_1 8 1 - 4.783795674965118 0.5575055860788565 TCTCCCCGGGGCCC chr16 12321731 12321732 chr16:12321732:A:G rs143348567 A G A EBF1_EBF_1 -3 0 - 0 0 . chr16 12323012 12323013 chr16:12323013:C:T rs369369439 C T - EBF1_EBF_1 26 0 + 0 0 . chr16 12324344 12324345 chr16:12324345:C:T rs76087791 C T C EBF1_EBF_1 25 0 + 0 0 . chr16 12363190 12363191 chr16:12363191:A:G rs76567277 A G A EBF1_EBF_1 -6 0 - 0 0 . chr16 12367086 12367087 chr16:12367087:G:C rs58031076 G C G EBF1_EBF_1 3 1 - 5.580391048437187 -0.24737339967802863 ACTCCACAGGGACC chr16 12368161 12368162 chr16:12368162:G:A rs28540294 G A A EBF1_EBF_1 -7 0 + 0 0 . chr16 12368838 12368839 chr16:12368839:G:A rs9635495 G A G EBF1_EBF_1 -4 0 - 0 0 . chr16 12431975 12431976 chr16:12431976:G:A rs4780437 G A G EBF1_EBF_1 -10 0 - 0 0 . chr16 12468634 12468635 chr16:12468635:A:G rs116100047 A G A EBF1_EBF_1 8 1 + 7.400964011775996 11.627254100662256 GGTCCCCAAGGACT chr16 12470961 12470962 chr16:12470962:A:G rs35463543 A G A EBF1_EBF_1 -11 0 + 0 0 . chr16 12512242 12512243 chr16:12512243:C:T rs183308 C T C EBF1_EBF_1 19 0 + 0 0 . chr16 12512822 12512823 chr16:12512823:A:G rs9932336 A G A EBF1_EBF_1 21 0 - 0 0 . chr16 12517629 12517630 chr16:12517630:G:A rs9889205 G A A EBF1_EBF_1 -8 0 - 0 0 . chr16 12522033 12522034 chr16:12522034:T:G rs4781244 T G T EBF1_EBF_1 -18 0 + 0 0 . chr16 12524961 12524962 chr16:12524962:C:T rs3213481 C T C EBF1_EBF_1 18 0 + 0 0 . chr16 12540299 12540300 chr16:12540300:T:C rs34246038 T C t EBF1_EBF_1 -7 0 + 0 0 . chr16 12551551 12551552 chr16:12551552:G:C rs8062915 G C C EBF1_EBF_1 26 0 + 0 0 . chr16 12562277 12562278 chr16:12562278:C:G rs11643010 C G C EBF1_EBF_1 16 0 + 0 0 . chr16 12565721 12565722 chr16:12565722:G:A rs11639610 G A G EBF1_EBF_1 -17 0 + 0 0 . chr16 12568562 12568563 chr16:12568563:C:T rs2288423 C T C EBF1_EBF_1 -20 0 + 0 0 . chr16 12568590 12568591 chr16:12568591:G:A rs143372184 G A G EBF1_EBF_1 8 1 + 5.013630709989796 0.7873406211035339 AGCCCCGGGAGACC chr16 12569714 12569715 chr16:12569715:C:G rs11645658 C G C EBF1_EBF_1 -13 0 + 0 0 . chr16 12570648 12570649 chr16:12570649:C:T rs3826101 C T C EBF1_EBF_1 -1 0 + 0 0 . chr16 12574839 12574840 chr16:12574840:G:A rs4781264 G A G EBF1_EBF_1 0 1 - 7.390334268446057 7.672536329176634 CCCCCCAGGGGACC chr16 12574840 12574841 chr16:12574841:A:G rs4781265 A G A EBF1_EBF_1 0 1 - 5.760866921481498 5.478664860750919 TCCCCCCAGGGGAC chr16 12584007 12584008 chr16:12584008:G:A rs17224779 G A G EBF1_EBF_1 -16 0 - 0 0 . chr16 12600376 12600377 chr16:12600377:G:T rs7202747 G T G EBF1_EBF_1 25 0 - 0 0 . chr16 12600747 12600748 chr16:12600748:T:C rs189210817 T C T EBF1_EBF_1 32 0 - 0 0 . chr16 12600795 12600796 chr16:12600796:C:T rs11639634 C T C EBF1_EBF_1 -16 0 - 0 0 . chr16 12602095 12602096 chr16:12602096:T:C rs7199146 T C C EBF1_EBF_1 6 1 + 6.332798125295685 6.031511996433929 ATTCCCTGAAGACT chr16 12611640 12611641 chr16:12611641:A:G rs11075124 A G A EBF1_EBF_1 14 0 - 0 0 . chr16 12611921 12611922 chr16:12611922:G:C rs557367311 G C G EBF1_EBF_1 12 1 + 5.426404926950312 6.815040870628462 ACCCCCAAGAGAGG chr16 12619338 12619339 chr16:12619339:C:G rs74011504 C G C EBF1_EBF_1 -18 0 + 0 0 . chr16 12680585 12680586 chr16:12680586:C:T rs1611887 C T C EBF1_EBF_1 4 1 + 9.328330821265332 4.95894387632041 AGCCCCCAGGGAAG chr16 12702235 12702236 chr16:12702236:C:G rs74011879 C G C EBF1_EBF_1 -9 0 + 0 0 . chr16 12753976 12753977 chr16:12753977:C:T rs12148999 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 12770176 12770177 chr16:12770177:T:C rs12925798 T C C EBF1_EBF_1 -8 0 + 0 0 . chr16 12770212 12770213 chr16:12770213:C:G rs8045393 C G G EBF1_EBF_1 28 0 + 0 0 . chr16 12802128 12802129 chr16:12802129:G:A rs8047458 G A G EBF1_EBF_1 -8 0 + 0 0 . chr16 12802919 12802920 chr16:12802920:C:T chr16:12802920:C:T C T C EBF1_EBF_1 8 1 - 7.094575566378009 2.8682854774917477 TACCCCCAGGGAAG chr16 12809019 12809020 chr16:12809020:A:G rs9939909 A G A EBF1_EBF_1 18 0 + 0 0 . chr16 12817569 12817570 chr16:12817570:C:G rs6498359 C G G EBF1_EBF_1 15 0 - 0 0 . chr16 13045777 13045778 chr16:13045778:G:T rs9929610 G T G EBF1_EBF_1 12 1 + 4.944510123895978 5.30687949702328 GTCCCCCAGGGGGA chr16 13062908 13062909 chr16:13062909:G:A rs276625 G A G EBF1_EBF_1 7 1 - 7.131086976919757 8.94859172320238 TCTCCCTCGGGAGC chr16 13189065 13189066 chr16:13189066:A:G rs67738272 A G G EBF1_EBF_1 -9 0 + 0 0 . chr16 13232209 13232210 chr16:13232210:C:T rs2578582 C T T EBF1_EBF_1 4 1 + 5.88916508757745 1.5197781426325263 GGTCCCCTGAGAGA chr16 13414459 13414460 chr16:13414460:C:T rs145475208 C T C EBF1_EBF_1 32 0 - 0 0 . chr16 13632583 13632584 chr16:13632584:T:C rs116934757 T C T EBF1_EBF_1 -10 0 + 0 0 . chr16 13689421 13689422 chr16:13689422:A:G rs35572675 A G G EBF1_EBF_1 7 1 + 8.118046781900079 6.8055883766112535 TTCCCCAAGGGAGA chr16 13708874 13708875 chr16:13708875:C:T rs12931080 C T T EBF1_EBF_1 25 0 + 0 0 . chr16 13835958 13835959 chr16:13835959:C:T rs1022606423 C T C EBF1_EBF_1 27 0 - 0 0 . chr16 13866290 13866291 chr16:13866291:G:A rs6498478 G A A EBF1_EBF_1 -12 0 - 0 0 . chr16 13892566 13892567 chr16:13892567:C:T rs1895560 C T t EBF1_EBF_1 -16 0 - 0 0 . chr16 13894864 13894865 chr16:13894865:T:C rs9924452 T C c EBF1_EBF_1 7 1 + 9.581566181585943 7.76406143530332 ATACCCATGGGACC chr16 13920520 13920521 chr16:13920521:G:T rs3136045 G T G EBF1_EBF_1 13 1 - 8.153082544758707 7.779337095472732 ATCCCCCTGGGGAC chr16 13958060 13958061 chr16:13958061:G:C rs117264067 G C G EBF1_EBF_1 8 1 + 6.044048250663116 0.7253893897484311 TTCCCCCTGAGAAA chr16 14004563 14004564 chr16:14004564:G:A rs12922334 G A G EBF1_EBF_1 15 0 - 0 0 . chr16 14004963 14004964 chr16:14004964:G:T rs9925917 G T G EBF1_EBF_1 31 0 + 0 0 . chr16 14029827 14029828 chr16:14029828:G:C rs111279107 G C G EBF1_EBF_1 13 1 + 5.499898578833507 6.987969600188922 GTTCCCATGGGCAG chr16 14043047 14043048 chr16:14043048:G:A rs184946643 G A g EBF1_EBF_1 14 0 - 0 0 . chr16 14062975 14062976 chr16:14062976:G:A rs916080 G A A EBF1_EBF_1 -15 0 - 0 0 . chr16 14286288 14286289 chr16:14286289:A:G rs964697268 A G A EBF1_EBF_1 -20 0 + 0 0 . chr16 14286290 14286291 chr16:14286291:G:A rs246175 G A G EBF1_EBF_1 -18 0 + 0 0 . chr16 14286314 14286315 chr16:14286315:T:C rs141965379 T C T EBF1_EBF_1 6 1 + 5.359364209357691 5.0580780804959335 ACACCCTTGGGGCA chr16 14293983 14293984 chr16:14293984:C:A rs59151457 C A C EBF1_EBF_1 15 0 - 0 0 . chr16 14303068 14303069 chr16:14303069:C:G rs573463547 C G C EBF1_EBF_1 -4 0 + 0 0 . chr16 14310795 14310796 chr16:14310796:G:C rs115895484 G C G EBF1_EBF_1 -14 0 + 0 0 . chr16 14310823 14310824 chr16:14310824:C:G rs30228 C G G EBF1_EBF_1 14 0 + 0 0 . chr16 14310841 14310842 chr16:14310842:C:A rs1704519 C A A EBF1_EBF_1 32 0 + 0 0 . chr16 14310842 14310843 chr16:14310843:A:G rs1659129 A G G EBF1_EBF_1 33 0 + 0 0 . chr16 14331272 14331273 chr16:14331273:C:G rs30214 C G G EBF1_EBF_1 19 0 - 0 0 . chr16 14335176 14335177 chr16:14335177:C:G rs30207 C G C EBF1_EBF_1 3 1 + 5.110645483528498 -0.7171189645867171 AGCCCCCCAGGACT chr16 14356648 14356649 chr16:14356649:G:C rs8045554 G C G EBF1_EBF_1 13 1 + 6.245852323092105 7.73392334444752 ACCCCCCAGGGGAG chr16 14370563 14370564 chr16:14370564:A:C rs116868751 A C A EBF1_EBF_1 14 0 - 0 0 . chr16 14372644 14372645 chr16:14372645:G:A rs13330897 G A G EBF1_EBF_1 -1 0 + 0 0 . chr16 14373455 14373456 chr16:14373456:T:C rs12932263 T C T EBF1_EBF_1 19 0 + 0 0 . chr16 14378865 14378866 chr16:14378866:G:A rs184291388 G A g EBF1_EBF_1 -1 0 + 0 0 . chr16 14379012 14379013 chr16:14379013:G:A rs6498507 G A G EBF1_EBF_1 9 1 + 6.178174624173181 3.523235358725578 CAACCCATGGGATT chr16 14399717 14399718 chr16:14399718:G:A rs9941229 G A G EBF1_EBF_1 -17 0 + 0 0 . chr16 14399725 14399726 chr16:14399726:G:C rs149067582 G C G EBF1_EBF_1 -9 0 + 0 0 . chr16 14498261 14498262 chr16:14498262:C:T rs553160376 C T C EBF1_EBF_1 31 0 - 0 0 . chr16 14930418 14930419 chr16:14930419:G:A rs749112169 G A . EBF1_EBF_1 -16 0 - 0 0 . chr16 14976009 14976010 chr16:14976010:C:T rs7204607 C T . EBF1_EBF_1 25 0 - 0 0 . chr16 15012688 15012689 chr16:15012689:A:G rs143022502 A G . EBF1_EBF_1 21 0 + 0 0 . chr16 15509737 15509738 chr16:15509738:A:T rs191332709 A T A EBF1_EBF_1 13 1 + 5.357727509360624 6.575967073232118 AGCCCCTGGAGAGA chr16 15536341 15536342 chr16:15536342:C:T rs117975403 C T C EBF1_EBF_1 1 1 + 9.005451048927128 9.900884489325872 GCCCCCTAGGGACC chr16 15548983 15548984 chr16:15548984:C:T rs62036866 C T C EBF1_EBF_1 6 1 + 6.241521169218803 6.542807298080561 CTTCCCCGGGGCCT chr16 15605726 15605727 chr16:15605727:A:G rs7192185 A G . EBF1_EBF_1 -17 0 + 0 0 . chr16 15605749 15605750 chr16:15605750:A:G rs12918781 A G . EBF1_EBF_1 6 1 + 6.98719302857237 4.820398597297895 GCTCCCAGGAGACC chr16 15745082 15745083 chr16:15745083:G:C rs2384934 G C C EBF1_EBF_1 23 0 - 0 0 . chr16 15750041 15750042 chr16:15750042:G:A rs6498570 G A A EBF1_EBF_1 33 0 - 0 0 . chr16 15756346 15756347 chr16:15756347:A:G rs2272554 A G G EBF1_EBF_1 32 0 + 0 0 . chr16 15766122 15766123 chr16:15766123:C:T rs144331008 C T C EBF1_EBF_1 7 1 - 8.90541223060223 10.217870635891055 CCTCCCAGGGGACA chr16 15793090 15793091 chr16:15793091:T:C rs8051319 T C C EBF1_EBF_1 26 0 + 0 0 . chr16 15803609 15803610 chr16:15803610:A:G rs9929067 A G G EBF1_EBF_1 -8 0 + 0 0 . chr16 15809138 15809139 chr16:15809139:T:C rs62030621 T C C EBF1_EBF_1 27 0 + 0 0 . chr16 15857888 15857889 chr16:15857889:C:T rs72773933 C T C EBF1_EBF_1 -2 0 + 0 0 . chr16 15916445 15916446 chr16:15916446:C:A rs7197233 C A A EBF1_EBF_1 5 1 + 4.662435250146068 -2.242445902489102 CTCCCCCTGGGTCC chr16 16002094 16002095 chr16:16002095:G:C rs6498595 G C C EBF1_EBF_1 -15 0 + 0 0 . chr16 16008017 16008018 chr16:16008018:G:A rs8187843 G A G EBF1_EBF_1 -9 0 - 0 0 . chr16 16014872 16014873 chr16:16014873:A:G rs149114969 A G A EBF1_EBF_1 6 1 + 10.478316459221238 8.311522027946765 GCTCCCATGGGACC chr16 16015036 16015037 chr16:16015037:G:A rs1967120 G A A EBF1_EBF_1 -17 0 - 0 0 . chr16 16039022 16039023 chr16:16039023:C:T rs246230 C T T EBF1_EBF_1 18 0 - 0 0 . chr16 16076619 16076620 chr16:16076620:G:T rs4148350 G T T EBF1_EBF_1 4 1 - 6.145460526965756 0.32090090351228906 AATCCCAGGGAACC chr16 16095410 16095411 chr16:16095411:A:G rs11640751 A G A EBF1_EBF_1 33 0 + 0 0 . chr16 16194763 16194764 chr16:16194764:C:T rs7186376 C T c EBF1_EBF_1 -14 0 + 0 0 . chr16 16549973 16549974 chr16:16549974:G:A rs2547766 G A G EBF1_EBF_1 -14 0 + 0 0 . chr16 16550020 16550021 chr16:16550021:A:C rs2547768 A C A EBF1_EBF_1 33 0 + 0 0 . chr16 16632996 16632997 chr16:16632997:C:G rs56129766 C G - EBF1_EBF_1 15 0 - 0 0 . chr16 16778581 16778582 chr16:16778582:C:G rs34344534 C G C EBF1_EBF_1 32 0 - 0 0 . chr16 16778590 16778591 chr16:16778591:C:G rs62043520 C G C EBF1_EBF_1 23 0 - 0 0 . chr16 16812888 16812889 chr16:16812889:A:G rs2100402 A G . EBF1_EBF_1 24 0 - 0 0 . chr16 16820831 16820832 chr16:16820832:G:A rs10400945 G A G EBF1_EBF_1 -12 0 - 0 0 . chr16 16861708 16861709 chr16:16861709:T:G rs1179348413 T G T EBF1_EBF_1 25 0 + 0 0 . chr16 16909356 16909357 chr16:16909357:C:T rs11075320 C T C EBF1_EBF_1 5 1 + 6.654245609321121 1.33245839378045 TGTCCCCTGGGCCT chr16 17107338 17107339 chr16:17107339:A:G rs11075338 A G A EBF1_EBF_1 -11 0 - 0 0 . chr16 17132256 17132257 chr16:17132257:C:T rs13380472 C T C EBF1_EBF_1 28 0 + 0 0 . chr16 17153157 17153158 chr16:17153158:C:T rs568594903 C T C EBF1_EBF_1 -11 0 + 0 0 . chr16 17180206 17180207 chr16:17180207:A:G rs8046601 A G a EBF1_EBF_1 23 0 + 0 0 . chr16 17259294 17259295 chr16:17259295:T:C rs114030556 T C T EBF1_EBF_1 28 0 + 0 0 . chr16 17296529 17296530 chr16:17296530:G:A rs6498677 G A A EBF1_EBF_1 14 0 + 0 0 . chr16 17333927 17333928 chr16:17333928:T:C rs7205461 T C T EBF1_EBF_1 26 0 + 0 0 . chr16 17344055 17344056 chr16:17344056:C:A rs67531672 C A A EBF1_EBF_1 -5 0 + 0 0 . chr16 17354224 17354225 chr16:17354225:C:T rs113037156 C T G EBF1_EBF_1 25 0 + 0 0 . chr16 17386381 17386382 chr16:17386382:C:G rs7187505 C G G EBF1_EBF_1 -16 0 + 0 0 . chr16 17389682 17389683 chr16:17389683:T:C rs112266961 T C T EBF1_EBF_1 0 1 - 7.633074037156244 5.740790167591411 AATCGCCAGGGACT chr16 17431295 17431296 chr16:17431296:T:C rs8046171 T C C EBF1_EBF_1 13 1 - 5.954148437801263 4.839822865731824 ATTCCCAGGCGATA chr16 17447274 17447275 chr16:17447275:T:C rs116002100 T C T EBF1_EBF_1 6 1 - 6.802158821006615 4.635364389732139 GCACCCAGGGGACT chr16 17458509 17458510 chr16:17458510:T:C rs118007407 T C T EBF1_EBF_1 -10 0 + 0 0 . chr16 17470219 17470220 chr16:17470220:C:A rs73529549 C A C EBF1_EBF_1 5 1 + 5.075866696359704 -1.8290144562754662 GACCCCAAGGGGTC chr16 17474667 17474668 chr16:17474668:T:C rs11647711 T C T EBF1_EBF_1 -18 0 + 0 0 . chr16 17528061 17528062 chr16:17528062:T:C rs4780685 T C T EBF1_EBF_1 0 1 - 4.997774005739886 3.1054901361750513 AATTCCTGGGGACC chr16 17574546 17574547 chr16:17574547:A:G rs57430189 A G G EBF1_EBF_1 28 0 - 0 0 . chr16 17575593 17575594 chr16:17575594:T:C rs56123734 T C C EBF1_EBF_1 -10 0 - 0 0 . chr16 17617502 17617503 chr16:17617503:T:C rs12444130 T C C EBF1_EBF_1 27 0 - 0 0 . chr16 17638439 17638440 chr16:17638440:C:T rs114882431 C T C EBF1_EBF_1 25 0 + 0 0 . chr16 17668122 17668123 chr16:17668123:C:G rs7200277 C G C EBF1_EBF_1 0 1 + 8.830001697538929 9.192976311670696 CCTCCCCAGGGATA chr16 17804102 17804103 chr16:17804103:C:T rs7202488 C T C EBF1_EBF_1 -3 0 - 0 0 . chr16 17804119 17804120 chr16:17804120:G:T rs61671028 G T T EBF1_EBF_1 -20 0 - 0 0 . chr16 17839359 17839360 chr16:17839360:C:A rs12324927 C A C EBF1_EBF_1 21 0 + 0 0 . chr16 17839470 17839471 chr16:17839471:C:T rs114590484 C T C EBF1_EBF_1 -20 0 + 0 0 . chr16 17848202 17848203 chr16:17848203:T:G rs58287935 T G G EBF1_EBF_1 15 0 + 0 0 . chr16 17853544 17853545 chr16:17853545:C:T rs73535589 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 17981184 17981185 chr16:17981185:T:G rs112373248 T G T EBF1_EBF_1 -6 0 + 0 0 . chr16 18348504 18348505 chr16:18348505:G:A rs371232453 G A g EBF1_EBF_1 0 1 + 4.825146923212535 6.717430792777369 GGCCCCGCGGGAAC chr16 18394566 18394567 chr16:18394567:G:C rs78958344 G C g EBF1_EBF_1 18 0 + 0 0 . chr16 18743871 18743872 chr16:18743872:C:A rs7206538 C A C EBF1_EBF_1 10 1 - 5.968741631618518 1.7859894453912535 AATCGCCTGGGAAA chr16 18800656 18800657 chr16:18800657:A:G rs145692191 A G A EBF1_EBF_1 -11 0 + 0 0 . chr16 18873507 18873508 chr16:18873508:G:T rs36054827 G T - EBF1_EBF_1 -19 0 - 0 0 . chr16 18927832 18927833 chr16:18927833:G:A rs4780769 G A N EBF1_EBF_1 17 0 + 0 0 . chr16 18969440 18969441 chr16:18969441:G:C rs991945679 G C g EBF1_EBF_1 -14 0 - 0 0 . chr16 18980042 18980043 chr16:18980043:G:C rs4782188 G C N EBF1_EBF_1 -20 0 - 0 0 . chr16 19062894 19062895 chr16:19062895:C:G rs74014439 C G C EBF1_EBF_1 -3 0 - 0 0 . chr16 19086183 19086184 chr16:19086184:A:G rs190251988 A G A EBF1_EBF_1 15 0 - 0 0 . chr16 19114301 19114302 chr16:19114302:G:A rs571793102 G A G EBF1_EBF_1 -12 0 + 0 0 . chr16 19132634 19132635 chr16:19132635:G:C rs35774808 G C G EBF1_EBF_1 -17 0 - 0 0 . chr16 19231579 19231580 chr16:19231580:A:C rs2269786 A C A EBF1_EBF_1 1 1 - 6.816162651197984 6.110500865368455 TTTGCCAAGGGAAT chr16 19292479 19292480 chr16:19292480:C:A rs179207 C A C EBF1_EBF_1 -12 0 + 0 0 . chr16 19297411 19297412 chr16:19297412:G:A rs179211 G A A EBF1_EBF_1 13 1 - 5.962771057283535 6.807265171869053 CCTCCCATGGTAAC chr16 19494083 19494084 chr16:19494084:T:C rs1475964 T C T EBF1_EBF_1 -5 0 - 0 0 . chr16 19494407 19494408 chr16:19494408:T:C rs2245423 T C T EBF1_EBF_1 19 0 + 0 0 . chr16 19520505 19520506 chr16:19520506:T:C rs12051433 T C T EBF1_EBF_1 -19 0 - 0 0 . chr16 19524369 19524370 chr16:19524370:G:A rs2285659 G A G EBF1_EBF_1 24 0 - 0 0 . chr16 19590002 19590003 chr16:19590003:A:T rs115691876 A T A EBF1_EBF_1 -1 0 - 0 0 . chr16 19590004 19590005 chr16:19590005:C:T rs226866 C T T EBF1_EBF_1 -3 0 - 0 0 . chr16 19645104 19645105 chr16:19645105:C:G rs57587178 C G C EBF1_EBF_1 4 1 + 7.727655173702633 2.1018900725530902 ACTCCCCTGGGGGC chr16 19717935 19717936 chr16:19717936:C:T rs1203918864 C T C EBF1_EBF_1 26 0 + 0 0 . chr16 19758500 19758501 chr16:19758501:T:C rs4782281 T C C EBF1_EBF_1 -10 0 + 0 0 . chr16 19767765 19767766 chr16:19767766:G:A rs192575918 G A G EBF1_EBF_1 -15 0 - 0 0 . chr16 19810081 19810082 chr16:19810082:G:C rs113587231 G C G EBF1_EBF_1 20 0 + 0 0 . chr16 19860659 19860660 chr16:19860660:G:A rs11865578 G A G EBF1_EBF_1 -9 0 + 0 0 . chr16 19966716 19966717 chr16:19966717:C:T rs11648188 C T C EBF1_EBF_1 17 0 - 0 0 . chr16 20044561 20044562 chr16:20044562:C:T rs2608174 C T T EBF1_EBF_1 -12 0 - 0 0 . chr16 20051346 20051347 chr16:20051347:G:A rs72772729 G A A EBF1_EBF_1 -4 0 - 0 0 . chr16 20074114 20074115 chr16:20074115:T:C rs2608185 T C C EBF1_EBF_1 -12 0 + 0 0 . chr16 20130612 20130613 chr16:20130613:C:T rs35683294 C T C EBF1_EBF_1 12 1 + 9.27540698560676 8.24914041505591 ACTCCCAAGGGCCT chr16 20245152 20245153 chr16:20245153:C:T rs8061509 C T C EBF1_EBF_1 13 1 + 5.698313183495043 6.542807298080561 CTTCCCTGGGGCCC chr16 20309346 20309347 chr16:20309347:C:T rs4780877 C T C EBF1_EBF_1 24 0 - 0 0 . chr16 20331768 20331769 chr16:20331769:T:C rs4238595 T C C EBF1_EBF_1 -7 0 + 0 0 . chr16 20364151 20364152 chr16:20364152:C:G rs112422461 C G C EBF1_EBF_1 26 0 + 0 0 . chr16 20429892 20429893 chr16:20429893:A:G rs8062563 A G a EBF1_EBF_1 -8 0 + 0 0 . chr16 20432267 20432268 chr16:20432268:T:A rs34317321 T A A EBF1_EBF_1 27 0 - 0 0 . chr16 20438126 20438127 chr16:20438127:T:C rs7201498 T C C EBF1_EBF_1 32 0 - 0 0 . chr16 20677617 20677618 chr16:20677618:G:C rs234280 G C C EBF1_EBF_1 0 1 - 6.705519270529616 7.068493884661383 CTCCCCAAGGGGCC chr16 20851646 20851647 chr16:20851647:A:G rs544246141 A G A EBF1_EBF_1 -18 0 + 0 0 . chr16 21024503 21024504 chr16:21024504:G:C rs330135 G C G EBF1_EBF_1 5 1 - 5.742480020876914 -1.1624011317582548 AGTCCCTGGAGGCA chr16 21134049 21134050 chr16:21134050:C:G rs375278670 C G C EBF1_EBF_1 17 0 - 0 0 . chr16 21136563 21136564 chr16:21136564:T:C rs3743698 T C C EBF1_EBF_1 -20 0 + 0 0 . chr16 21260235 21260236 chr16:21260236:T:C rs141139170 T C T EBF1_EBF_1 -6 0 - 0 0 . chr16 21503644 21503645 chr16:21503645:C:G rs1412784072 C G . EBF1_EBF_1 -19 0 + 0 0 . chr16 21507792 21507793 chr16:21507793:G:A rs370468633 G A . EBF1_EBF_1 16 0 - 0 0 . chr16 21511997 21511998 chr16:21511998:C:T rs1351145390 C T . EBF1_EBF_1 -2 0 - 0 0 . chr16 21523925 21523926 chr16:21523926:C:T rs61422078 C T . EBF1_EBF_1 5 1 + 6.35483102749954 1.03304381195887 GCCCCCGAGGGAGT chr16 21523949 21523950 chr16:21523950:C:G rs570949672 C G . EBF1_EBF_1 29 0 + 0 0 . chr16 21526283 21526284 chr16:21526284:G:A rs368941732 G A . EBF1_EBF_1 15 0 - 0 0 . chr16 21733436 21733437 chr16:21733437:G:C rs4783416 G C G EBF1_EBF_1 12 1 - 6.000464542814571 4.61182859913642 AGTCACCTGGGACA chr16 21733457 21733458 chr16:21733458:C:T rs62045970 C T C EBF1_EBF_1 -9 0 - 0 0 . chr16 21773031 21773032 chr16:21773032:G:A rs4783424 G A G EBF1_EBF_1 11 1 - 7.154298792756624 7.472346234510288 ATTCCCCAGAGCCT chr16 21953023 21953024 chr16:21953024:G:A rs866852521 G A . EBF1_EBF_1 -11 0 + 0 0 . chr16 21953048 21953049 chr16:21953049:C:A rs73531759 C A . EBF1_EBF_1 14 0 + 0 0 . chr16 22047742 22047743 chr16:22047743:A:G rs12922964 A G A EBF1_EBF_1 14 0 - 0 0 . chr16 22108675 22108676 chr16:22108676:C:T rs149426765 C T C EBF1_EBF_1 -14 0 + 0 0 . chr16 22218460 22218461 chr16:22218461:G:A rs62044762 G A G EBF1_EBF_1 7 1 - 4.582686780137267 6.400191526419889 TCCCCCTCGGGGCT chr16 22230036 22230037 chr16:22230037:G:A rs150980641 G A G EBF1_EBF_1 26 0 + 0 0 . chr16 22316772 22316773 chr16:22316773:G:T rs2290830 G T G EBF1_EBF_1 17 0 + 0 0 . chr16 22324083 22324084 chr16:22324084:G:T rs182461631 G T G EBF1_EBF_1 -1 0 + 0 0 . chr16 22540570 22540571 chr16:22540571:A:G rs11860392 A G . EBF1_EBF_1 26 0 - 0 0 . chr16 22572012 22572013 chr16:22572013:G:A rs74739926 G A g EBF1_EBF_1 21 0 - 0 0 . chr16 22635107 22635108 chr16:22635108:C:A rs554881598 C A C EBF1_EBF_1 -1 0 - 0 0 . chr16 22664947 22664948 chr16:22664948:T:C rs154523 T C T EBF1_EBF_1 -20 0 - 0 0 . chr16 22681673 22681674 chr16:22681674:C:A rs57688165 C A C EBF1_EBF_1 2 1 + 6.022260293443921 4.226616117287195 ACCCCCCTGGGTCC chr16 22684995 22684996 chr16:22684996:G:C rs7204358 G C G EBF1_EBF_1 -14 0 - 0 0 . chr16 22813349 22813350 chr16:22813350:G:T rs548449484 G T G EBF1_EBF_1 29 0 + 0 0 . chr16 22899051 22899052 chr16:22899052:C:A rs143045017 C A C EBF1_EBF_1 -18 0 + 0 0 . chr16 22937180 22937181 chr16:22937181:C:A rs768349 C A A EBF1_EBF_1 -12 0 - 0 0 . chr16 22938148 22938149 chr16:22938149:G:A rs756799 G A A EBF1_EBF_1 24 0 + 0 0 . chr16 22951280 22951281 chr16:22951281:A:G rs16974689 A G G EBF1_EBF_1 29 0 - 0 0 . chr16 22953792 22953793 chr16:22953793:C:T rs115758417 C T C EBF1_EBF_1 -15 0 + 0 0 . chr16 22955620 22955621 chr16:22955621:C:T rs763860785 C T C EBF1_EBF_1 21 0 + 0 0 . chr16 22970386 22970387 chr16:22970387:C:T rs208584 C T T EBF1_EBF_1 20 0 + 0 0 . chr16 22975920 22975921 chr16:22975921:C:G rs12598920 C G C EBF1_EBF_1 31 0 - 0 0 . chr16 22992639 22992640 chr16:22992640:C:T rs148307933 C T C EBF1_EBF_1 -7 0 - 0 0 . chr16 23081328 23081329 chr16:23081329:G:A rs886037 G A G EBF1_EBF_1 9 1 + 6.439680174048872 3.78474090860127 GATCCCAACGGAAT chr16 23182684 23182685 chr16:23182685:C:T rs148083677 C T C EBF1_EBF_1 15 0 - 0 0 . chr16 23183262 23183263 chr16:23183263:C:T rs778877378 C T C EBF1_EBF_1 3 1 + 5.032757052247353 -1.372641689305965 GCTCCCCCGGGGCA chr16 23257845 23257846 chr16:23257846:G:T rs74012852 G T G EBF1_EBF_1 10 1 + 5.848242842541179 1.6654906563139145 TGTCCCCGGGGCAT chr16 23279886 23279887 chr16:23279887:C:T rs913044502 C T C EBF1_EBF_1 16 0 + 0 0 . chr16 23302557 23302558 chr16:23302558:G:A rs138659022 G A G EBF1_EBF_1 5 1 - 7.60498336295035 2.28319614740968 CCTCCCGTGGGAAA chr16 23302560 23302561 chr16:23302561:A:T rs114177172 A T A EBF1_EBF_1 2 1 - 7.60498336295035 3.920515775351472 CCTCCCGTGGGAAA chr16 23306992 23306993 chr16:23306993:G:A rs75928145 G A G EBF1_EBF_1 24 0 + 0 0 . chr16 23391606 23391607 chr16:23391607:C:G rs62029422 C G C EBF1_EBF_1 -14 0 + 0 0 . chr16 23409621 23409622 chr16:23409622:A:G rs30012 A G G EBF1_EBF_1 24 0 - 0 0 . chr16 23504588 23504589 chr16:23504589:C:A rs147529603 C A C EBF1_EBF_1 -10 0 + 0 0 . chr16 23504630 23504631 chr16:23504631:G:A rs9933261 G A G EBF1_EBF_1 32 0 + 0 0 . chr16 23510356 23510357 chr16:23510357:G:A rs762746067 G A G EBF1_EBF_1 3 1 - 4.073019301854169 -2.33237943969915 GGTCCCCCGGGCCC chr16 23558582 23558583 chr16:23558583:C:T rs916697 C T C EBF1_EBF_1 -1 0 + 0 0 . chr16 23670641 23670642 chr16:23670642:G:T rs8052299 G T G EBF1_EBF_1 -11 0 - 0 0 . chr16 23705445 23705446 chr16:23705446:T:C rs7199034 T C C EBF1_EBF_1 15 0 - 0 0 . chr16 23785053 23785054 chr16:23785054:A:G rs9923292 A G G EBF1_EBF_1 0 1 - 7.00372120983064 6.721519149100062 TTCCCCAAGGGAGG chr16 23799872 23799873 chr16:23799873:A:G rs56075857 A G A EBF1_EBF_1 7 1 + 9.32575435618667 8.013295950897847 ATTCCCCAGAGATA chr16 23803772 23803773 chr16:23803773:C:A rs76638370 C A C EBF1_EBF_1 25 0 + 0 0 . chr16 23834182 23834183 chr16:23834183:G:A rs142875144 G A G EBF1_EBF_1 3 1 - 6.179545787802542 -0.2258529537507752 CATCCCAGGAGACA chr16 23835691 23835692 chr16:23835692:C:T rs3900008 C T C EBF1_EBF_1 -10 0 + 0 0 . chr16 23843324 23843325 chr16:23843325:A:G rs9937924 A G A EBF1_EBF_1 9 1 + 6.335637002595091 8.990576268042695 AGACCCCAGAGACC chr16 23915152 23915153 chr16:23915153:A:G rs3785394 A G A EBF1_EBF_1 -11 0 - 0 0 . chr16 24014452 24014453 chr16:24014453:G:A rs57057676 G A G EBF1_EBF_1 23 0 - 0 0 . chr16 24014457 24014458 chr16:24014458:C:T rs142766065 C T C EBF1_EBF_1 18 0 - 0 0 . chr16 24021601 24021602 chr16:24021602:T:C rs35564788 T C c EBF1_EBF_1 11 1 - 7.030932622835517 4.138225779231506 CCTCCCCAGAGAGT chr16 24036204 24036205 chr16:24036205:A:C rs8056290 A C C EBF1_EBF_1 -16 0 - 0 0 . chr16 24152720 24152721 chr16:24152721:G:T rs72779989 G T G EBF1_EBF_1 8 1 + 5.91636557112047 -0.9750672178567247 CATCCCTTGGGTAC chr16 24203837 24203838 chr16:24203838:G:A rs80100525 G A G EBF1_EBF_1 -12 0 + 0 0 . chr16 24256111 24256112 chr16:24256112:C:A rs566641675 C A C EBF1_EBF_1 0 1 + 8.187397909638173 10.442656393334772 CGCCCCCAGGGAAA chr16 24314936 24314937 chr16:24314937:C:T rs7190328 C T C EBF1_EBF_1 11 1 + 7.449649765356103 7.767697207109766 AATCCCTTGGGCCA chr16 24343507 24343508 chr16:24343508:T:C rs2299672 T C C EBF1_EBF_1 12 1 - 5.365351095756937 3.946533753657459 TGTCCCAGGGGCAC chr16 24383129 24383130 chr16:24383130:T:C rs985729 T C T EBF1_EBF_1 -6 0 + 0 0 . chr16 24418558 24418559 chr16:24418559:A:G rs13335985 A G A EBF1_EBF_1 -9 0 - 0 0 . chr16 24418928 24418929 chr16:24418929:C:G rs13336166 C G G EBF1_EBF_1 -10 0 - 0 0 . chr16 24670813 24670814 chr16:24670814:G:A rs13331432 G A G EBF1_EBF_1 24 0 - 0 0 . chr16 24683598 24683599 chr16:24683599:A:G rs62027135 A G A EBF1_EBF_1 7 1 - 6.879070950620814 5.06156620433819 CCTCCCATGGGGAA chr16 24687139 24687140 chr16:24687140:T:C rs12599171 T C T EBF1_EBF_1 31 0 - 0 0 . chr16 24748360 24748361 chr16:24748361:C:T rs117241966 C T C EBF1_EBF_1 -15 0 + 0 0 . chr16 24853023 24853024 chr16:24853024:T:C rs2343621 T C C EBF1_EBF_1 28 0 + 0 0 . chr16 24853301 24853302 chr16:24853302:A:G rs144688064 A G g EBF1_EBF_1 -9 0 + 0 0 . chr16 24876539 24876540 chr16:24876540:G:C rs79058398 G C G EBF1_EBF_1 28 0 - 0 0 . chr16 25006962 25006963 chr16:25006963:C:T rs867854646 C T T EBF1_EBF_1 13 1 + 5.907073551441234 6.751567666026752 TCACCCAGGGGAAC chr16 25091198 25091199 chr16:25091199:T:C rs874562 T C T EBF1_EBF_1 31 0 + 0 0 . chr16 25126774 25126775 chr16:25126775:G:A rs62034866 G A G EBF1_EBF_1 19 0 - 0 0 . chr16 25271553 25271554 chr16:25271554:C:T rs61443132 C T C EBF1_EBF_1 -11 0 + 0 0 . chr16 25313884 25313885 chr16:25313885:G:A rs536343619 G A G EBF1_EBF_1 12 1 - 6.255586874060753 5.229320303509903 AGCCCCATGGGCCC chr16 25341697 25341698 chr16:25341698:C:T rs4787316 C T C EBF1_EBF_1 7 1 + 6.644952980973942 8.462457727256565 AGTCCCACGGGTCC chr16 25854555 25854556 chr16:25854556:C:T chr16:25854556:C:T C T C EBF1_EBF_1 11 1 - 6.700431038966605 9.593137882570616 ATTCCCAGGAGGTT chr16 25896096 25896097 chr16:25896097:C:A rs7203081 C A A EBF1_EBF_1 15 0 - 0 0 . chr16 25949879 25949880 chr16:25949880:G:C rs12919433 G C C EBF1_EBF_1 10 1 + 9.689933189066611 2.799130801737136 AGCCCCAAGGGAAG chr16 25949899 25949900 chr16:25949900:T:G rs12920266 T G A EBF1_EBF_1 30 0 + 0 0 . chr16 25952290 25952291 chr16:25952291:T:C rs58895492 T C C EBF1_EBF_1 1 1 - 6.697701633361151 6.958400465282453 CACCCCATGGGAAG chr16 26026966 26026967 chr16:26026967:A:G rs72778358 A G g EBF1_EBF_1 8 1 + 8.917877177133246 13.144167266019506 AATCCCATAGGACT chr16 26050086 26050087 chr16:26050087:C:T rs115890088 C T C EBF1_EBF_1 -6 0 + 0 0 . chr16 26050092 26050093 chr16:26050093:C:A rs73516317 C A C EBF1_EBF_1 0 1 + 5.519572878644451 7.77483136234105 CCCCCCTGGAGACT chr16 26296018 26296019 chr16:26296019:C:T rs1862851 C T C EBF1_EBF_1 22 0 + 0 0 . chr16 26308817 26308818 chr16:26308818:G:A rs4643323 G A A EBF1_EBF_1 -13 0 - 0 0 . chr16 26382802 26382803 chr16:26382803:T:C rs78787286 T C C EBF1_EBF_1 0 1 - 6.859070137952357 4.966786268387524 AGTCCCCAGGGCTA chr16 26475759 26475760 chr16:26475760:G:C rs56738698 G C G EBF1_EBF_1 30 0 - 0 0 . chr16 26497066 26497067 chr16:26497067:A:T rs8055309 A T T EBF1_EBF_1 -14 0 - 0 0 . chr16 26505811 26505812 chr16:26505812:A:G rs79090407 A G A EBF1_EBF_1 22 0 + 0 0 . chr16 26505819 26505820 chr16:26505820:G:A rs35991710 G A G EBF1_EBF_1 30 0 + 0 0 . chr16 26624690 26624691 chr16:26624691:T:G rs17795365 T G T EBF1_EBF_1 2 1 + 7.323707902615629 0.5753822124151622 GTTCCCAAGGTAAA chr16 26645834 26645835 chr16:26645835:G:A rs115540026 G A G EBF1_EBF_1 30 0 + 0 0 . chr16 26718025 26718026 chr16:26718026:T:C rs117857928 T C T EBF1_EBF_1 -18 0 + 0 0 . chr16 26728073 26728074 chr16:26728074:G:A rs11639954 G A G EBF1_EBF_1 15 0 - 0 0 . chr16 26728075 26728076 chr16:26728076:T:C rs11645283 T C C EBF1_EBF_1 13 1 - 10.78188310690985 9.667557534840412 CTTCCCCAGGGAAA chr16 26930358 26930359 chr16:26930359:G:A rs79731253 G A G EBF1_EBF_1 19 0 - 0 0 . chr16 26976971 26976972 chr16:26976972:C:T rs149787584 C T C EBF1_EBF_1 22 0 - 0 0 . chr16 26993456 26993457 chr16:26993457:A:G rs6497984 A G G EBF1_EBF_1 4 1 - 5.230211415519193 9.599598360464116 GTCCTCCAGGGACC chr16 27016872 27016873 chr16:27016873:C:T rs17638409 C T C EBF1_EBF_1 5 1 + 5.041175422743883 -0.2806117927967871 ATTCCCCTGTGATC chr16 27020305 27020306 chr16:27020306:G:A rs72788934 G A G EBF1_EBF_1 17 0 + 0 0 . chr16 27026760 27026761 chr16:27026761:G:T rs10852311 G T T EBF1_EBF_1 25 0 - 0 0 . chr16 27028165 27028166 chr16:27028166:C:T rs149369054 C T C EBF1_EBF_1 3 1 + 11.794953161134217 5.389554419580901 CTTCCCTTGGGACT chr16 27028194 27028195 chr16:27028195:G:A rs2063840 G A G EBF1_EBF_1 32 0 + 0 0 . chr16 27083872 27083873 chr16:27083873:A:G rs28496789 A G A EBF1_EBF_1 24 0 + 0 0 . chr16 27109741 27109742 chr16:27109742:G:C rs62029945 G C g EBF1_EBF_1 -14 0 - 0 0 . chr16 27131071 27131072 chr16:27131072:G:A rs28590553 G A G EBF1_EBF_1 29 0 + 0 0 . chr16 27331557 27331558 chr16:27331558:C:T rs61373663 C T T EBF1_EBF_1 30 0 - 0 0 . chr16 27364064 27364065 chr16:27364065:C:T rs374979012 C T C EBF1_EBF_1 28 0 + 0 0 . chr16 27405961 27405962 chr16:27405962:G:A rs9938401 G A A EBF1_EBF_1 6 1 - 10.799068346497553 11.10035447535931 ACTCCCCGGGGACA chr16 27405975 27405976 chr16:27405976:A:G rs9938190 A G A EBF1_EBF_1 -8 0 - 0 0 . chr16 27455786 27455787 chr16:27455787:G:A rs79376218 G A G EBF1_EBF_1 -1 0 - 0 0 . chr16 27492199 27492200 chr16:27492200:G:C rs80026980 G C G EBF1_EBF_1 22 0 - 0 0 . chr16 27550030 27550031 chr16:27550031:G:T chr16:27550031:G:T G T G EBF1_EBF_1 -10 0 + 0 0 . chr16 27550040 27550041 chr16:27550041:A:C rs186028447 A C A EBF1_EBF_1 0 1 + 6.792035930824103 4.536777447127504 ACTCCCCGGGGGCG chr16 27550046 27550047 chr16:27550047:C:A rs75950804 C A c EBF1_EBF_1 6 1 + 6.792035930824103 7.153638298625379 ACTCCCCGGGGGCG chr16 27550296 27550297 chr16:27550297:G:A rs540583067 G A G EBF1_EBF_1 1 1 - 5.949800973019703 6.845234413418447 ACCCCCTTGGGTCA chr16 27576901 27576902 chr16:27576902:C:T rs4787430 C T C EBF1_EBF_1 16 0 - 0 0 . chr16 27669667 27669668 chr16:27669668:T:C rs12920717 T C T EBF1_EBF_1 -14 0 - 0 0 . chr16 27749718 27749719 chr16:27749719:C:T rs61734902 C T C EBF1_EBF_1 5 1 + 7.101592413459522 1.779805197918852 GCTCCCGGGGGACA chr16 27775291 27775292 chr16:27775292:T:G rs2287787 T G G EBF1_EBF_1 33 0 - 0 0 . chr16 27799667 27799668 chr16:27799668:G:A rs12599370 G A G EBF1_EBF_1 3 1 - 5.8261037905683715 -0.5792949509849463 GTTCCCATGAGGCC chr16 27810545 27810546 chr16:27810546:C:T rs72780169 C T C EBF1_EBF_1 6 1 + 6.110054857940364 6.411340986802122 ACTTCCCAGGGAAC chr16 27841721 27841722 chr16:27841722:T:C rs938358 T C T EBF1_EBF_1 33 0 - 0 0 . chr16 27865393 27865394 chr16:27865394:G:A rs74015111 G A G EBF1_EBF_1 33 0 - 0 0 . chr16 27903630 27903631 chr16:27903631:C:A rs705916 C A A EBF1_EBF_1 -7 0 + 0 0 . chr16 27961390 27961391 chr16:27961391:A:G rs877685 A G - EBF1_EBF_1 11 1 + 5.826434528235379 2.933727684631367 CATCCCAGAGGACT chr16 27963096 27963097 chr16:27963097:T:C rs2051742 T C C EBF1_EBF_1 -9 0 + 0 0 . chr16 28063031 28063032 chr16:28063032:C:G rs577874760 C G C EBF1_EBF_1 5 1 + 4.024675548062647 -2.880205604572521 CGCCCCGGGGGAGC chr16 28087187 28087188 chr16:28087188:A:G rs8057415 A G G EBF1_EBF_1 21 0 - 0 0 . chr16 28111904 28111905 chr16:28111905:G:A rs205384 G A G EBF1_EBF_1 -18 0 - 0 0 . chr16 28126896 28126897 chr16:28126897:A:C rs146501854 A C A EBF1_EBF_1 20 0 + 0 0 . chr16 28149119 28149120 chr16:28149120:C:G rs77427164 C G C EBF1_EBF_1 4 1 + 5.117951562841723 -0.5078135383078208 CCCCCCCAGGGTAT chr16 28211160 28211161 chr16:28211161:C:A rs900928769 C A C EBF1_EBF_1 33 0 - 0 0 . chr16 28211196 28211197 chr16:28211197:G:C rs1414825449 G C G EBF1_EBF_1 -3 0 - 0 0 . chr16 28312072 28312073 chr16:28312073:G:A rs554329700 G A G EBF1_EBF_1 25 0 - 0 0 . chr16 28335818 28335819 chr16:28335819:A:C rs2726036 A C . EBF1_EBF_1 14 0 + 0 0 . chr16 28479195 28479196 chr16:28479196:T:C rs151181 T C . EBF1_EBF_1 2 1 + 5.515502346377967 3.6266789349358164 ATTGCCTGGGGATC chr16 28494170 28494171 chr16:28494171:C:T rs76298034 C T C EBF1_EBF_1 18 0 - 0 0 . chr16 28494787 28494788 chr16:28494788:C:T rs74949322 C T C EBF1_EBF_1 12 1 + 8.79806578177716 7.77179921122631 ATTCCCTGGGGCCT chr16 28494834 28494835 chr16:28494835:T:C rs770890603 T C T EBF1_EBF_1 33 0 + 0 0 . chr16 28528526 28528527 chr16:28528527:C:T rs4788084 C T C EBF1_EBF_1 6 1 + 10.96120820600548 11.262494334867238 GCTCCCCTGGGACT chr16 28542826 28542827 chr16:28542827:G:A rs1074629 G A G EBF1_EBF_1 -7 0 + 0 0 . chr16 28574314 28574315 chr16:28574315:C:T rs56209193 C T C EBF1_EBF_1 32 0 - 0 0 . chr16 28609754 28609755 chr16:28609755:G:A rs1034405060 G A . EBF1_EBF_1 7 1 + 8.57399126122228 9.886449666511105 CCTCCCCGGGGAAA chr16 28822972 28822973 chr16:28822973:C:T chr16:28822973:C:T C T . EBF1_EBF_1 21 0 + 0 0 . chr16 28822980 28822981 chr16:28822981:C:T chr16:28822981:C:T C T . EBF1_EBF_1 29 0 + 0 0 . chr16 28823343 28823344 chr16:28823344:G:A rs531518549 G A . EBF1_EBF_1 7 1 + 4.125254221886559 5.437712627175384 GCCCCCCGGGGGCA chr16 28823346 28823347 chr16:28823347:G:T rs772096309 G T . EBF1_EBF_1 10 1 + 4.125254221886559 -0.05749796434070653 GCCCCCCGGGGGCA chr16 28860667 28860668 chr16:28860668:A:G rs11861174 A G G EBF1_EBF_1 -10 0 + 0 0 . chr16 28914602 28914603 chr16:28914603:G:A rs376906760 G A G EBF1_EBF_1 -8 0 - 0 0 . chr16 28951309 28951310 chr16:28951310:C:T rs564246714 C T C EBF1_EBF_1 -4 0 + 0 0 . chr16 28984506 28984507 chr16:28984507:C:T rs529706681 C T C EBF1_EBF_1 13 1 + 5.70559454359746 6.550088658182978 AGCCCCAAGGGCTC chr16 29107543 29107544 chr16:29107544:G:A rs7196973 G A . EBF1_EBF_1 -8 0 + 0 0 . chr16 29128457 29128458 chr16:29128458:G:A rs1642015 G A . EBF1_EBF_1 6 1 - 11.18462171711871 11.485907845980465 AGCCCCCTGGGAAT chr16 29142727 29142728 chr16:29142728:C:T rs1646112 C T . EBF1_EBF_1 32 0 - 0 0 . chr16 29148242 29148243 chr16:29148243:T:C rs252497 T C . EBF1_EBF_1 13 1 + 5.430585591849688 4.58609147726417 ACCCCCGGGGGGCT chr16 29149054 29149055 chr16:29149055:C:A rs252498 C A . EBF1_EBF_1 -15 0 + 0 0 . chr16 29149094 29149095 chr16:29149095:T:C rs166154 T C . EBF1_EBF_1 25 0 + 0 0 . chr16 29158395 29158396 chr16:29158396:C:A rs252291 C A . EBF1_EBF_1 33 0 - 0 0 . chr16 29191127 29191128 chr16:29191128:C:T rs78791150 C T . EBF1_EBF_1 32 0 - 0 0 . chr16 29192410 29192411 chr16:29192411:T:G rs252316 T G . EBF1_EBF_1 -1 0 - 0 0 . chr16 29203976 29203977 chr16:29203977:G:C rs153219 G C . EBF1_EBF_1 28 0 - 0 0 . chr16 29218009 29218010 chr16:29218010:G:T rs2288008 G T . EBF1_EBF_1 5 1 - 7.269093619303824 0.36421246666865575 ACTCCCTAGAGGAC chr16 29236762 29236763 chr16:29236763:G:T rs153208 G T N EBF1_EBF_1 28 0 + 0 0 . chr16 29254950 29254951 chr16:29254951:T:C rs8048750 T C N EBF1_EBF_1 25 0 + 0 0 . chr16 29269040 29269041 chr16:29269041:A:G rs28493497 A G a EBF1_EBF_1 25 0 - 0 0 . chr16 29272876 29272877 chr16:29272877:T:G rs535747934 T G . EBF1_EBF_1 13 1 + 6.757524618713294 4.424959482772361 TTCCCCAGGAGACT chr16 29289119 29289120 chr16:29289120:T:C rs61023834 T C . EBF1_EBF_1 -17 0 - 0 0 . chr16 29289980 29289981 chr16:29289981:G:A rs56377705 G A . EBF1_EBF_1 8 1 + 4.6912375158408866 0.46494742695462504 ACTTCCCAGGGAGC chr16 29300365 29300366 chr16:29300366:G:T rs373375762 G T . EBF1_EBF_1 25 0 - 0 0 . chr16 29300397 29300398 chr16:29300398:C:T rs112673193 C T . EBF1_EBF_1 -7 0 - 0 0 . chr16 29334266 29334267 chr16:29334267:G:C rs78818747 G C g EBF1_EBF_1 -9 0 - 0 0 . chr16 29629536 29629537 chr16:29629537:C:T rs551643777 C T . EBF1_EBF_1 -4 0 - 0 0 . chr16 29643383 29643384 chr16:29643384:A:G rs11643582 A G . EBF1_EBF_1 22 0 - 0 0 . chr16 29721638 29721639 chr16:29721639:G:A rs138599723 G A G EBF1_EBF_1 7 1 + 7.580601290178874 8.8930596954677 CTCCCCCGGGGAAA chr16 29721661 29721662 chr16:29721662:C:G rs187599796 C G C EBF1_EBF_1 30 0 + 0 0 . chr16 29744254 29744255 chr16:29744255:A:G rs112310246 A G G EBF1_EBF_1 12 1 - 7.807215935907814 8.833482506458662 GTTCCCCAGAGATC chr16 29785324 29785325 chr16:29785325:T:A rs188195747 T A T EBF1_EBF_1 -15 0 + 0 0 . chr16 29809973 29809974 chr16:29809974:C:T rs72798129 C T C EBF1_EBF_1 22 0 - 0 0 . chr16 29810291 29810292 chr16:29810292:T:C rs1040243716 T C T EBF1_EBF_1 20 0 + 0 0 . chr16 29810301 29810302 chr16:29810302:C:G chr16:29810302:C:G C G C EBF1_EBF_1 30 0 + 0 0 . chr16 29810546 29810547 chr16:29810547:G:A rs11144 G A G EBF1_EBF_1 -6 0 + 0 0 . chr16 29811214 29811215 chr16:29811215:C:G rs1485536780 C G C EBF1_EBF_1 3 1 + 6.607437268015341 0.779672819900125 AACCCCAAGGGTTT chr16 29814692 29814693 chr16:29814693:C:A rs141436390 C A C EBF1_EBF_1 18 0 - 0 0 . chr16 29816472 29816473 chr16:29816473:C:T rs367962544 C T C EBF1_EBF_1 30 0 + 0 0 . chr16 29821178 29821179 chr16:29821179:C:T rs781082934 C T C EBF1_EBF_1 -2 0 - 0 0 . chr16 29899196 29899197 chr16:29899197:C:G rs11344 C G C EBF1_EBF_1 -17 0 - 0 0 . chr16 29899848 29899849 chr16:29899849:T:C rs563813261 T C T EBF1_EBF_1 -14 0 + 0 0 . chr16 29996123 29996124 chr16:29996124:C:T rs115906553 C T C EBF1_EBF_1 25 0 - 0 0 . chr16 29996160 29996161 chr16:29996161:G:C rs74460967 G C G EBF1_EBF_1 -12 0 - 0 0 . chr16 30061143 30061144 chr16:30061144:T:A rs77096737 T A T EBF1_EBF_1 27 0 - 0 0 . chr16 30063875 30063876 chr16:30063876:A:G chr16:30063876:A:G A G A EBF1_EBF_1 0 1 + 6.370258746347033 4.4779748767821985 AGCCCCCAGGGCCC chr16 30091480 30091481 chr16:30091481:T:C rs3809624 T C T EBF1_EBF_1 -2 0 + 0 0 . chr16 30091487 30091488 chr16:30091488:C:T rs182011868 C T C EBF1_EBF_1 5 1 + 5.079832885752198 -0.24195432978847303 GATCCCCAGGGCTC chr16 30114518 30114519 chr16:30114519:G:C rs7542 G C C EBF1_EBF_1 20 0 - 0 0 . chr16 30116464 30116465 chr16:30116465:G:A rs148639118 G A G EBF1_EBF_1 -12 0 + 0 0 . chr16 30123394 30123395 chr16:30123395:G:A rs61764201 G A . EBF1_EBF_1 23 0 - 0 0 . chr16 30123399 30123400 chr16:30123400:C:G chr16:30123400:C:G C G . EBF1_EBF_1 18 0 - 0 0 . chr16 30123409 30123410 chr16:30123410:C:G chr16:30123410:C:G C G . EBF1_EBF_1 8 1 - 3.8813392915642533 -1.4373195693504315 AGCCCGCAGGGACC chr16 30183125 30183126 chr16:30183126:A:C rs74183730 A C . EBF1_EBF_1 31 0 - 0 0 . chr16 30183556 30183557 chr16:30183557:C:T rs749016586 C T . EBF1_EBF_1 4 1 + 4.511786463344674 0.14239951839974907 CGCCCCAAGGGGCG chr16 30187674 30187675 chr16:30187675:A:C rs755665808 A C . EBF1_EBF_1 11 1 + 5.19961813002305 0.7836564321706612 ACTGCCCAGGGAAG chr16 30207293 30207294 chr16:30207294:C:T rs540757932 C T c EBF1_EBF_1 10 1 - 9.657658135818918 4.339629676551953 TGCCCCCAGGGACT chr16 30342748 30342749 chr16:30342749:G:A rs189387188 G A g EBF1_EBF_1 15 0 + 0 0 . chr16 30351909 30351910 chr16:30351910:A:G rs868179481 A G a EBF1_EBF_1 6 1 + 6.475322557982716 4.308528126708241 CTCCCCAGGAGACT chr16 30355237 30355238 chr16:30355238:A:G rs556559215 A G a EBF1_EBF_1 32 0 + 0 0 . chr16 30355849 30355850 chr16:30355850:A:C rs78064840 A C A EBF1_EBF_1 28 0 - 0 0 . chr16 30378348 30378349 chr16:30378349:G:T chr16:30378349:G:T G T G EBF1_EBF_1 5 1 - 6.23718297413264 -0.6676981785025273 CGCCCCCCGGGACC chr16 30379355 30379356 chr16:30379356:A:G rs41292378 A G A EBF1_EBF_1 27 0 + 0 0 . chr16 30409641 30409642 chr16:30409642:C:T chr16:30409642:C:T C T C EBF1_EBF_1 24 0 + 0 0 . chr16 30526523 30526524 chr16:30526524:G:A rs71383763 G A g EBF1_EBF_1 6 1 - 4.906100952668058 5.2073870815298156 AGTCCCCTGGGCGG chr16 30534167 30534168 chr16:30534168:C:G rs201446758 C G c EBF1_EBF_1 3 1 + 10.329420602763355 4.501656154648138 GTCCCCATGGGACT chr16 30541729 30541730 chr16:30541730:C:T rs138415138 C T c EBF1_EBF_1 -17 0 + 0 0 . chr16 30634309 30634310 chr16:30634310:T:C rs376283441 T C T EBF1_EBF_1 -19 0 + 0 0 . chr16 30634322 30634323 chr16:30634323:A:T rs7194347 A T C EBF1_EBF_1 -6 0 + 0 0 . chr16 30651619 30651620 chr16:30651620:A:C rs1430257679 A C A EBF1_EBF_1 11 1 + 13.380705641471097 8.964743943618709 ATTCCCCAGGGACC chr16 30660159 30660160 chr16:30660160:C:T chr16:30660160:C:T C T C EBF1_EBF_1 0 1 - 6.639749699273721 8.532033568838553 GGTCCCCAGAGACG chr16 30698345 30698346 chr16:30698346:T:G chr16:30698346:T:G T G T EBF1_EBF_1 26 0 - 0 0 . chr16 30776840 30776841 chr16:30776841:C:T rs558330250 C T C EBF1_EBF_1 29 0 + 0 0 . chr16 30921780 30921781 chr16:30921781:G:A rs773634825 G A G EBF1_EBF_1 17 0 + 0 0 . chr16 30948296 30948297 chr16:30948297:C:T rs546512094 C T C EBF1_EBF_1 4 1 + 5.610066168811188 1.240679223866265 TTTGCCCAGGGAAC chr16 30957374 30957375 chr16:30957375:T:C rs576701935 T C T EBF1_EBF_1 0 1 + 11.033903769219101 10.751701708488524 TTTCCCCAGGGACA chr16 30957700 30957701 chr16:30957701:C:A rs371832264 C A C EBF1_EBF_1 -12 0 + 0 0 . chr16 30957739 30957740 chr16:30957740:G:A rs11642733 G A G EBF1_EBF_1 27 0 + 0 0 . chr16 30957779 30957780 chr16:30957780:G:A rs958584834 G A G EBF1_EBF_1 32 0 - 0 0 . chr16 30957788 30957789 chr16:30957789:G:A rs191035804 G A G EBF1_EBF_1 23 0 - 0 0 . chr16 30984206 30984207 chr16:30984207:A:G rs11076 A G A EBF1_EBF_1 32 0 + 0 0 . chr16 31073402 31073403 chr16:31073403:C:T rs1332121221 C T C EBF1_EBF_1 15 0 + 0 0 . chr16 31138433 31138434 chr16:31138434:A:G rs112766425 A G A EBF1_EBF_1 -7 0 + 0 0 . chr16 31142185 31142186 chr16:31142186:G:T rs570786904 G T G EBF1_EBF_1 33 0 - 0 0 . chr16 31150521 31150522 chr16:31150522:T:C rs894592080 T C T EBF1_EBF_1 23 0 - 0 0 . chr16 31169052 31169053 chr16:31169053:T:C rs78407870 T C T EBF1_EBF_1 31 0 + 0 0 . chr16 31179479 31179480 chr16:31179480:G:A rs78241927 G A G EBF1_EBF_1 30 0 + 0 0 . chr16 31215369 31215370 chr16:31215370:T:C rs6565222 T C T EBF1_EBF_1 -12 0 + 0 0 . chr16 31331683 31331684 chr16:31331684:C:T rs1143678 C T c EBF1_EBF_1 10 1 - 5.586514451303348 0.26848599203638124 GCCCCCGGGGGACC chr16 31352080 31352081 chr16:31352081:C:T rs375049066 C T c EBF1_EBF_1 18 0 - 0 0 . chr16 31352095 31352096 chr16:31352096:G:A rs10782004 G A g EBF1_EBF_1 3 1 - 8.504754140638665 2.099355399085349 AGCCCCGTGGGACC chr16 31393180 31393181 chr16:31393181:G:A rs2454907 G A G EBF1_EBF_1 3 1 - 7.44606828618176 1.040669544628443 ACACCCTGGGGAAA chr16 31393709 31393710 chr16:31393710:G:A rs77325515 G A G EBF1_EBF_1 25 0 + 0 0 . chr16 31400931 31400932 chr16:31400932:T:C rs3892327 T C T EBF1_EBF_1 7 1 + 5.405212927944213 3.5877081816615886 GCACCCATGGGAGC chr16 31461953 31461954 chr16:31461954:A:G rs35923277 A G A EBF1_EBF_1 32 0 - 0 0 . chr16 31523482 31523483 chr16:31523483:G:A rs4289009 G A a EBF1_EBF_1 3 1 - 6.76263798079494 0.35723923924162204 ACTCCCTTGAGGCC chr16 31529811 31529812 chr16:31529812:A:G rs57204609 A G a EBF1_EBF_1 16 0 - 0 0 . chr16 31608731 31608732 chr16:31608732:G:C rs79032730 G C c EBF1_EBF_1 5 1 - 8.972314835214654 2.067433682579485 GGTCCCCAGAGACT chr16 31662416 31662417 chr16:31662417:T:A rs1852667 T A t EBF1_EBF_1 12 1 - 9.508074883603388 8.451626914631213 ACTCCCATGGGGAC chr16 31700676 31700677 chr16:31700677:G:T chr16:31700677:G:T G T g EBF1_EBF_1 31 0 - 0 0 . chr16 31713514 31713515 chr16:31713515:T:C rs2884713 T C c EBF1_EBF_1 -17 0 + 0 0 . chr16 31861824 31861825 chr16:31861825:G:A rs12924931 G A a EBF1_EBF_1 5 1 - 6.73247890704669 1.4106916915060195 CTTCCCTGAGGACT chr16 31874276 31874277 chr16:31874277:G:T rs149992478 G T . EBF1_EBF_1 14 0 - 0 0 . chr16 31874723 31874724 chr16:31874724:C:T rs149171446 C T . EBF1_EBF_1 10 1 - 5.13829621103223 -0.179732248234735 GTTCCCCTGGGCAG chr16 31983972 31983973 chr16:31983973:T:G chr16:31983973:T:G T G . EBF1_EBF_1 13 1 - 6.446925714439001 6.820671163724977 TGCCCCTCGGGACA chr16 32066545 32066546 chr16:32066546:A:C rs2907954 A C C EBF1_EBF_1 18 0 + 0 0 . chr16 32474544 32474545 chr16:32474545:G:T rs62042548 G T . EBF1_EBF_1 20 0 + 0 0 . chr16 34146984 34146985 chr16:34146985:C:T rs7499500 C T . EBF1_EBF_1 29 0 + 0 0 . chr16 34154066 34154067 chr16:34154067:C:T rs74770023 C T . EBF1_EBF_1 -18 0 + 0 0 . chr16 34245258 34245259 chr16:34245259:G:A rs1028017276 G A . EBF1_EBF_1 -11 0 + 0 0 . chr16 34804364 34804365 chr16:34804365:G:T rs1290488379 G T - EBF1_EBF_1 -8 0 - 0 0 . chr16 35184574 35184575 chr16:35184575:G:T rs12598235 G T G EBF1_EBF_1 -3 0 + 0 0 . chr16 35414847 35414848 chr16:35414848:A:C chr16:35414848:A:C A C A EBF1_EBF_1 -13 0 - 0 0 . chr16 35451724 35451725 chr16:35451725:G:A rs545608303 G A G EBF1_EBF_1 30 0 + 0 0 . chr16 35492567 35492568 chr16:35492568:C:A chr16:35492568:C:A C A C EBF1_EBF_1 2 1 + 5.870454908476301 4.074810732319574 CACCCCTGGGGAGC chr16 35683798 35683799 chr16:35683799:C:T rs76609657 C T c EBF1_EBF_1 -7 0 - 0 0 . chr16 35735863 35735864 chr16:35735864:C:T rs12927444 C T C EBF1_EBF_1 -8 0 + 0 0 . chr16 35746218 35746219 chr16:35746219:C:T rs74018465 C T C EBF1_EBF_1 10 1 - 6.131180450805823 0.8131519915388581 ACCCCCATGGGGAG chr16 35747624 35747625 chr16:35747625:C:T rs74018467 C T C EBF1_EBF_1 15 0 + 0 0 . chr16 35747625 35747626 chr16:35747626:C:G rs74018468 C G T EBF1_EBF_1 16 0 + 0 0 . chr16 35748001 35748002 chr16:35748002:C:T rs78232333 C T C EBF1_EBF_1 3 1 + 8.517493806013919 2.1120950644605987 CTCCCCTTGGGAGT chr16 35753322 35753323 chr16:35753323:G:A rs74018473 G A G EBF1_EBF_1 -18 0 - 0 0 . chr16 35788758 35788759 chr16:35788759:C:T rs116953610 C T C EBF1_EBF_1 19 0 - 0 0 . chr16 35788759 35788760 chr16:35788760:G:A rs74018478 G A G EBF1_EBF_1 18 0 - 0 0 . chr16 35788771 35788772 chr16:35788772:C:T rs72485979 C T C EBF1_EBF_1 6 1 - 6.131042667532376 8.29783709880685 CCTCCCGCGGGACC chr16 35790488 35790489 chr16:35790489:T:C rs59208889 T C C EBF1_EBF_1 30 0 + 0 0 . chr16 46689151 46689152 chr16:46689152:G:A rs573920049 G A G EBF1_EBF_1 14 0 + 0 0 . chr16 46689163 46689164 chr16:46689164:A:G chr16:46689164:A:G A G A EBF1_EBF_1 26 0 + 0 0 . chr16 46689166 46689167 chr16:46689167:C:T rs3743928 C T C EBF1_EBF_1 29 0 + 0 0 . chr16 46718028 46718029 chr16:46718029:G:A rs77410206 G A G EBF1_EBF_1 -9 0 - 0 0 . chr16 46858516 46858517 chr16:46858517:C:G rs112806652 C G C EBF1_EBF_1 -7 0 - 0 0 . chr16 46884995 46884996 chr16:46884996:T:C rs59708508 T C T EBF1_EBF_1 -11 0 - 0 0 . chr16 46920693 46920694 chr16:46920694:T:G rs572374091 T G T EBF1_EBF_1 -11 0 - 0 0 . chr16 46974073 46974074 chr16:46974074:G:C rs8058144 G C G EBF1_EBF_1 18 0 - 0 0 . chr16 47144703 47144704 chr16:47144704:G:A rs893643185 G A G EBF1_EBF_1 26 0 + 0 0 . chr16 47493189 47493190 chr16:47493190:C:T rs55717385 C T C EBF1_EBF_1 -17 0 - 0 0 . chr16 47574699 47574700 chr16:47574700:C:T rs80217681 C T C EBF1_EBF_1 8 1 - 6.582197601319233 2.3559075124329723 GTTCCCGTGAGAAC chr16 47574701 47574702 chr16:47574702:C:G rs147484152 C G C EBF1_EBF_1 6 1 - 6.582197601319233 8.387389664792432 GTTCCCGTGAGAAC chr16 47668891 47668892 chr16:47668892:G:A rs17739990 G A G EBF1_EBF_1 4 1 - 9.486768884760101 5.117381939815178 ACTCCCCAGAGAAA chr16 48153794 48153795 chr16:48153795:G:T rs893062861 G T G EBF1_EBF_1 -4 0 + 0 0 . chr16 48171429 48171430 chr16:48171430:T:A chr16:48171430:T:A T A T EBF1_EBF_1 25 0 + 0 0 . chr16 48183132 48183133 chr16:48183133:G:T rs76361012 G T G EBF1_EBF_1 21 0 - 0 0 . chr16 48212064 48212065 chr16:48212065:T:C rs13336264 T C t EBF1_EBF_1 22 0 - 0 0 . chr16 48287120 48287121 chr16:48287121:C:T rs7184452 C T C EBF1_EBF_1 15 0 - 0 0 . chr16 48319608 48319609 chr16:48319609:G:A rs9926363 G A A EBF1_EBF_1 -18 0 + 0 0 . chr16 48436240 48436241 chr16:48436241:T:G rs184950237 T G . EBF1_EBF_1 17 0 + 0 0 . chr16 48524820 48524821 chr16:48524821:T:C rs111836611 T C T EBF1_EBF_1 -10 0 - 0 0 . chr16 48524823 48524824 chr16:48524824:G:A rs75697223 G A G EBF1_EBF_1 -13 0 - 0 0 . chr16 48526403 48526404 chr16:48526404:C:T rs2883475 C T C EBF1_EBF_1 4 1 - 5.160455343049878 4.961660820745955 AGCCGCCAGGGACC chr16 48543586 48543587 chr16:48543587:G:A rs1039342 G A G EBF1_EBF_1 -10 0 - 0 0 . chr16 48543595 48543596 chr16:48543596:T:G rs1039343 T G G EBF1_EBF_1 -19 0 - 0 0 . chr16 48555279 48555280 chr16:48555280:A:T rs118018949 A T A EBF1_EBF_1 18 0 + 0 0 . chr16 48559153 48559154 chr16:48559154:C:A rs1106386 C A A EBF1_EBF_1 7 1 - 7.305668777333977 8.141852942535243 ATTCCCAGGGGTTC chr16 48592114 48592115 chr16:48592115:C:T rs77702353 C T C EBF1_EBF_1 9 1 - 9.383396007384746 6.728456741937142 TCCCCCATGGGAAT chr16 48610245 48610246 chr16:48610246:T:C rs150861075 T C T EBF1_EBF_1 -11 0 + 0 0 . chr16 48611837 48611838 chr16:48611838:C:A rs373212814 C A C EBF1_EBF_1 6 1 + 5.518979937602242 5.880582305403519 GCTCCCCTGGGCTT chr16 48627577 48627578 chr16:48627578:G:A rs13331298 G A G EBF1_EBF_1 14 0 - 0 0 . chr16 48635406 48635407 chr16:48635407:C:G rs17754748 C G C EBF1_EBF_1 21 0 + 0 0 . chr16 48637524 48637525 chr16:48637525:C:A rs9925406 C A C EBF1_EBF_1 -5 0 + 0 0 . chr16 48831726 48831727 chr16:48831727:G:T rs11649620 G T G EBF1_EBF_1 3 1 - 7.0134513455099565 0.10727731604414997 AGTCCCCAGGAAAA chr16 48853119 48853120 chr16:48853120:C:T rs536598059 C T C EBF1_EBF_1 9 1 + 6.067796328365664 4.306496066622318 CTTCCCAAGCGAAA chr16 48920324 48920325 chr16:48920325:G:T rs74385671 G T G EBF1_EBF_1 13 1 + 5.931842755751553 8.264407891692487 AATCCCAGGAGAGG chr16 48939455 48939456 chr16:48939456:C:A rs8049034 C A C EBF1_EBF_1 23 0 - 0 0 . chr16 48972500 48972501 chr16:48972501:T:C rs10521173 T C C EBF1_EBF_1 15 0 + 0 0 . chr16 49014623 49014624 chr16:49014624:C:T rs115409227 C T C EBF1_EBF_1 -20 0 - 0 0 . chr16 49014701 49014702 chr16:49014702:T:G rs116802693 T G T EBF1_EBF_1 33 0 - 0 0 . chr16 49014973 49014974 chr16:49014974:A:G rs7203844 A G G EBF1_EBF_1 14 0 + 0 0 . chr16 49061651 49061652 chr16:49061652:C:T rs116887142 C T C EBF1_EBF_1 -10 0 + 0 0 . chr16 49100568 49100569 chr16:49100569:C:T rs2908907 C T C EBF1_EBF_1 5 1 + 6.727864864798354 1.4060776492576847 TCTCCCCTGGTAAT chr16 49181966 49181967 chr16:49181967:C:A chr16:49181967:C:A C A C EBF1_EBF_1 25 0 - 0 0 . chr16 49283425 49283426 chr16:49283426:A:C rs1510977 A C C EBF1_EBF_1 27 0 + 0 0 . chr16 49400970 49400971 chr16:49400971:A:G rs27865 A G A EBF1_EBF_1 16 0 - 0 0 . chr16 49411490 49411491 chr16:49411491:A:C rs59021396 A C A EBF1_EBF_1 17 0 + 0 0 . chr16 49416135 49416136 chr16:49416136:A:G rs2356265 A G G EBF1_EBF_1 21 0 - 0 0 . chr16 49418430 49418431 chr16:49418431:G:C rs4785290 G C C EBF1_EBF_1 -4 0 + 0 0 . chr16 49441769 49441770 chr16:49441770:C:A rs4785304 C A A EBF1_EBF_1 11 1 + 4.7921089079420875 9.208070605794477 GCTCCCTGGGGCCA chr16 49459165 49459166 chr16:49459166:G:T rs9922135 G T G EBF1_EBF_1 13 1 - 5.646024725749706 5.27227927646373 AGTGCCTTGGGATC chr16 49463713 49463714 chr16:49463714:C:T rs75609509 C T C EBF1_EBF_1 -9 0 + 0 0 . chr16 49465225 49465226 chr16:49465226:G:A rs73573071 G A a EBF1_EBF_1 7 1 + 5.023178597306266 6.335637002595091 AGACCCCGGAGACC chr16 49469052 49469053 chr16:49469053:C:T chr16:49469053:C:T C T c EBF1_EBF_1 -7 0 + 0 0 . chr16 49486691 49486692 chr16:49486692:A:G rs193911 A G A EBF1_EBF_1 2 1 - 10.620362017306645 8.731538605864495 ACTCCCCTGGGAGC chr16 49509267 49509268 chr16:49509268:C:T rs9929500 C T c EBF1_EBF_1 1 1 - 9.095183885171448 8.834485053250146 CGTCCCAGGGGACA chr16 49525254 49525255 chr16:49525255:C:T rs59065575 C T C EBF1_EBF_1 26 0 + 0 0 . chr16 49556890 49556891 chr16:49556891:G:A rs77493532 G A G EBF1_EBF_1 5 1 - 5.872157854826448 0.5503706392857781 ATCCCCGTGGTACT chr16 49588372 49588373 chr16:49588373:C:T rs12448731 C T C EBF1_EBF_1 -17 0 + 0 0 . chr16 49596850 49596851 chr16:49596851:C:G chr16:49596851:C:G C G C EBF1_EBF_1 4 1 + 10.71596246252663 5.090197361377086 ACCCCCTAGGGATT chr16 49596850 49596851 chr16:49596851:C:T rs34644184 C T C EBF1_EBF_1 4 1 + 10.71596246252663 6.346575517581707 ACCCCCTAGGGATT chr16 49639763 49639764 chr16:49639764:G:A rs141039016 G A G EBF1_EBF_1 -18 0 + 0 0 . chr16 49639772 49639773 chr16:49639773:C:G rs8061482 C G G EBF1_EBF_1 -9 0 + 0 0 . chr16 49652498 49652499 chr16:49652499:G:A rs74016907 G A A EBF1_EBF_1 20 0 + 0 0 . chr16 49699949 49699950 chr16:49699950:C:G rs8053199 C G C EBF1_EBF_1 30 0 - 0 0 . chr16 49700786 49700787 chr16:49700787:A:G rs1246259276 A G A EBF1_EBF_1 7 1 - 4.085331984663594 2.2678272383809697 GGTCCCGTGGGCCC chr16 49700810 49700811 chr16:49700811:C:A rs535068703 C A C EBF1_EBF_1 -17 0 - 0 0 . chr16 49700811 49700812 chr16:49700812:G:C rs143388471 G C G EBF1_EBF_1 -18 0 - 0 0 . chr16 49703650 49703651 chr16:49703651:C:T rs113531885 C T C EBF1_EBF_1 -6 0 + 0 0 . chr16 49776568 49776569 chr16:49776569:G:A rs4785190 G A G EBF1_EBF_1 1 1 + 5.350400058633052 5.08970122671175 CGACCCTGGGGACA chr16 49776579 49776580 chr16:49776580:C:T rs112178461 C T C EBF1_EBF_1 12 1 + 5.350400058633052 4.324133488082202 CGACCCTGGGGACA chr16 49779754 49779755 chr16:49779755:C:T rs7199979 C T T EBF1_EBF_1 0 1 - 5.530203326639628 7.422487196204464 GGTCCCCAAGGATC chr16 49793331 49793332 chr16:49793332:A:G rs732156 A G G EBF1_EBF_1 -17 0 - 0 0 . chr16 49822974 49822975 chr16:49822975:G:C rs142194630 G C G EBF1_EBF_1 4 1 - 8.238348430938135 2.61258332978859 ATACCCCAGGGAAG chr16 49837472 49837473 chr16:49837473:G:T rs569758140 G T G EBF1_EBF_1 25 0 - 0 0 . chr16 49855950 49855951 chr16:49855951:G:T rs895385648 G T - EBF1_EBF_1 3 1 - 5.826085708701317 -1.0800883207644913 CCCCCCAAGGGAGG chr16 49855965 49855966 chr16:49855966:C:T rs1182105477 C T - EBF1_EBF_1 -12 0 - 0 0 . chr16 49855966 49855967 chr16:49855967:G:A rs572628445 G A - EBF1_EBF_1 -13 0 - 0 0 . chr16 49892462 49892463 chr16:49892463:G:T rs6500264 G T T EBF1_EBF_1 -14 0 - 0 0 . chr16 49920927 49920928 chr16:49920928:T:C rs28490452 T C C EBF1_EBF_1 -7 0 + 0 0 . chr16 49937894 49937895 chr16:49937895:A:C rs6500268 A C C EBF1_EBF_1 -4 0 + 0 0 . chr16 49946662 49946663 chr16:49946663:G:T rs12927705 G T G EBF1_EBF_1 20 0 - 0 0 . chr16 49958062 49958063 chr16:49958063:G:T chr16:49958063:G:T G T G EBF1_EBF_1 -18 0 - 0 0 . chr16 50058583 50058584 chr16:50058584:G:A rs55647424 G A g EBF1_EBF_1 24 0 + 0 0 . chr16 50060570 50060571 chr16:50060571:A:G rs8064089 A G A EBF1_EBF_1 23 0 - 0 0 . chr16 50240539 50240540 chr16:50240540:A:G rs9937930 A G A EBF1_EBF_1 25 0 + 0 0 . chr16 50259566 50259567 chr16:50259567:C:T rs183633365 C T C EBF1_EBF_1 15 0 - 0 0 . chr16 50260959 50260960 chr16:50260960:C:T rs117546211 C T C EBF1_EBF_1 -4 0 + 0 0 . chr16 50266739 50266740 chr16:50266740:C:T rs114369164 C T c EBF1_EBF_1 12 1 + 8.347293385356458 7.321026814805609 AGCCCCAGGGGACG chr16 50284690 50284691 chr16:50284691:C:T rs78603056 C T C EBF1_EBF_1 6 1 - 7.885930185847164 10.05272461712164 CATCCCGGGGGACT chr16 50285805 50285806 chr16:50285806:A:T rs3760013 A T T EBF1_EBF_1 32 0 - 0 0 . chr16 50304202 50304203 chr16:50304203:C:T rs139044410 C T C EBF1_EBF_1 22 0 + 0 0 . chr16 50305270 50305271 chr16:50305271:T:C rs57410111 T C T EBF1_EBF_1 -15 0 - 0 0 . chr16 50305541 50305542 chr16:50305542:C:T rs75931438 C T C EBF1_EBF_1 32 0 + 0 0 . chr16 50338766 50338767 chr16:50338767:G:A rs28633835 G A G EBF1_EBF_1 -1 0 + 0 0 . chr16 50368328 50368329 chr16:50368329:C:G rs62029995 C G C EBF1_EBF_1 32 0 - 0 0 . chr16 50425134 50425135 chr16:50425135:T:C rs8182109 T C C EBF1_EBF_1 31 0 + 0 0 . chr16 50430198 50430199 chr16:50430199:C:T rs1861543 C T C EBF1_EBF_1 13 1 + 6.061287797626808 6.905781912212326 GTTCCCTGGGGCCC chr16 50441145 50441146 chr16:50441146:A:G rs4511530 A G G EBF1_EBF_1 9 1 + 8.80705180794851 11.461991073396113 TGTCCCATGAGAAT chr16 50441687 50441688 chr16:50441688:C:T rs8056576 C T C EBF1_EBF_1 27 0 - 0 0 . chr16 50446211 50446212 chr16:50446212:A:C rs58540934 A C C EBF1_EBF_1 24 0 - 0 0 . chr16 50498878 50498879 chr16:50498879:T:C rs57562813 T C C EBF1_EBF_1 7 1 + 10.91061705102562 9.093112304742997 TCTCCCCTGGGAAT chr16 50509753 50509754 chr16:50509754:T:G rs8048472 T G T EBF1_EBF_1 -11 0 + 0 0 . chr16 50600730 50600731 chr16:50600731:G:A rs533331660 G A G EBF1_EBF_1 12 1 - 8.572620865779008 7.546354295228158 AATCCCTAGAGACG chr16 50600756 50600757 chr16:50600757:C:T rs9930788 C T C EBF1_EBF_1 -14 0 - 0 0 . chr16 50601641 50601642 chr16:50601642:C:T rs4785222 C T T EBF1_EBF_1 21 0 - 0 0 . chr16 50617208 50617209 chr16:50617209:G:A rs79853896 G A G EBF1_EBF_1 -9 0 - 0 0 . chr16 50637809 50637810 chr16:50637810:G:A rs12924696 G A A EBF1_EBF_1 19 0 - 0 0 . chr16 50687493 50687494 chr16:50687494:C:T rs34609432 C T C EBF1_EBF_1 4 1 + 4.725833406149772 0.35644646120484874 AGCCCACAGGGACT chr16 50693725 50693726 chr16:50693726:C:T rs113656815 C T C EBF1_EBF_1 3 1 + 5.096261257992214 -1.3091374835611032 CCACCCCCGGGACT chr16 50720386 50720387 chr16:50720387:T:C rs191757515 T C T EBF1_EBF_1 32 0 - 0 0 . chr16 50800292 50800293 chr16:50800293:C:T rs566503832 C T C EBF1_EBF_1 16 0 + 0 0 . chr16 50831994 50831995 chr16:50831995:G:A rs116816485 G A G EBF1_EBF_1 16 0 - 0 0 . chr16 50835949 50835950 chr16:50835950:G:C rs2080599 G C C EBF1_EBF_1 15 0 + 0 0 . chr16 50861262 50861263 chr16:50861263:C:T rs1390762 C T C EBF1_EBF_1 18 0 + 0 0 . chr16 50878983 50878984 chr16:50878984:G:C rs9934756 G C G EBF1_EBF_1 9 1 + 5.579234061235626 0.5035449148901626 TATCCCCTGGGCAC chr16 50878983 50878984 chr16:50878984:G:T chr16:50878984:G:T G T G EBF1_EBF_1 9 1 + 5.579234061235626 -1.2577553468531832 TATCCCCTGGGCAC chr16 50880500 50880501 chr16:50880501:T:C rs9930980 T C C EBF1_EBF_1 12 1 + 10.899661131597163 11.925927702148012 AATCCCATGGGATA chr16 50880509 50880510 chr16:50880510:C:A rs9938759 C A A EBF1_EBF_1 21 0 + 0 0 . chr16 50882027 50882028 chr16:50882028:C:T rs62032366 C T C EBF1_EBF_1 -16 0 + 0 0 . chr16 50894002 50894003 chr16:50894003:G:A rs7206599 G A G EBF1_EBF_1 1 1 - 5.5602164559067235 6.455649896305469 GCTCCCCTGGTACA chr16 51046049 51046050 chr16:51046050:C:T rs34080522 C T C EBF1_EBF_1 -8 0 - 0 0 . chr16 51133569 51133570 chr16:51133570:C:T rs73585415 C T C EBF1_EBF_1 -18 0 - 0 0 . chr16 51150932 51150933 chr16:51150933:G:A rs182687591 G A G EBF1_EBF_1 28 0 + 0 0 . chr16 51254271 51254272 chr16:51254272:C:T rs115208684 C T C EBF1_EBF_1 19 0 + 0 0 . chr16 51266273 51266274 chr16:51266274:C:G chr16:51266274:C:G C G C EBF1_EBF_1 2 1 + 5.2932038737066565 0.43370159494833793 AGCCCCTTGGAACC chr16 51525552 51525553 chr16:51525553:T:C rs7184654 T C T EBF1_EBF_1 -3 0 - 0 0 . chr16 51538878 51538879 chr16:51538879:C:T rs2647998 C T c EBF1_EBF_1 -15 0 + 0 0 . chr16 51755173 51755174 chr16:51755174:G:A rs9941193 G A G EBF1_EBF_1 32 0 + 0 0 . chr16 51762355 51762356 chr16:51762356:C:T rs117965208 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 51762358 51762359 chr16:51762359:C:G rs2029181 C G G EBF1_EBF_1 30 0 - 0 0 . chr16 51773149 51773150 chr16:51773150:G:A rs148939142 G A G EBF1_EBF_1 9 1 + 8.270263128581519 5.615323863133916 CACCCCCAGGGACC chr16 51792570 51792571 chr16:51792571:T:C rs1110746 T C C EBF1_EBF_1 24 0 - 0 0 . chr16 51854548 51854549 chr16:51854549:G:A rs138933472 G A G EBF1_EBF_1 21 0 + 0 0 . chr16 51875815 51875816 chr16:51875816:C:T rs181305983 C T C EBF1_EBF_1 21 0 - 0 0 . chr16 52181259 52181260 chr16:52181260:C:T rs2540702 C T C EBF1_EBF_1 -9 0 - 0 0 . chr16 52227741 52227742 chr16:52227742:A:G rs35338484 A G G EBF1_EBF_1 15 0 + 0 0 . chr16 52304183 52304184 chr16:52304184:C:T rs4262941 C T C EBF1_EBF_1 -20 0 - 0 0 . chr16 52432268 52432269 chr16:52432269:C:A rs1420540 C A A EBF1_EBF_1 -13 0 + 0 0 . chr16 52630088 52630089 chr16:52630089:G:A rs9940201 G A G EBF1_EBF_1 13 1 + 5.730908841349008 6.845234413418447 ATCCCCTTGGGTCG chr16 52781207 52781208 chr16:52781208:C:T rs4783784 C T C EBF1_EBF_1 6 1 + 8.728078147741812 9.02936427660357 GCTCCCCTGGGAGC chr16 52817719 52817720 chr16:52817720:C:T rs11075648 C T c EBF1_EBF_1 21 0 - 0 0 . chr16 52852577 52852578 chr16:52852578:A:C rs73589125 A C A EBF1_EBF_1 29 0 + 0 0 . chr16 52906489 52906490 chr16:52906490:C:G rs12919832 C G C EBF1_EBF_1 9 1 - 6.450406351712446 1.3747172053669816 ATTCCCCAGGGCTG chr16 53055487 53055488 chr16:53055488:A:C rs984639607 A C A EBF1_EBF_1 -9 0 + 0 0 . chr16 53177939 53177940 chr16:53177940:C:T rs17302707 C T C EBF1_EBF_1 31 0 + 0 0 . chr16 53335435 53335436 chr16:53335436:T:C rs7195809 T C - EBF1_EBF_1 14 0 - 0 0 . chr16 53503510 53503511 chr16:53503511:C:A rs3743772 C A C EBF1_EBF_1 15 0 - 0 0 . chr16 53504488 53504489 chr16:53504489:C:A rs56994338 C A C EBF1_EBF_1 23 0 + 0 0 . chr16 53504489 53504490 chr16:53504490:C:T chr16:53504490:C:T C T C EBF1_EBF_1 24 0 + 0 0 . chr16 53505596 53505597 chr16:53505597:C:G rs7186754 C G C EBF1_EBF_1 -6 0 + 0 0 . chr16 53534323 53534324 chr16:53534324:T:C rs34115013 T C T EBF1_EBF_1 27 0 - 0 0 . chr16 53757740 53757741 chr16:53757741:C:A rs1077128 C A C EBF1_EBF_1 10 1 - 6.177218235115874 1.994466048888609 ATTCCCATAGGGAC chr16 54165548 54165549 chr16:54165549:G:A rs115884559 G A G EBF1_EBF_1 5 1 - 3.7945425507520314 -1.527244664788639 AGCCCCGCGGGGCC chr16 54190489 54190490 chr16:54190490:C:T rs138011928 C T C EBF1_EBF_1 4 1 + 6.628105181249897 2.258718236304972 AGTCCCCATGGACT chr16 54194478 54194479 chr16:54194479:C:T rs114707295 C T C EBF1_EBF_1 -1 0 - 0 0 . chr16 54194632 54194633 chr16:54194633:G:A rs72811764 G A G EBF1_EBF_1 18 0 + 0 0 . chr16 54200400 54200401 chr16:54200401:G:A rs12445261 G A G EBF1_EBF_1 10 1 + 6.175062432091325 0.857033972824359 CCTCCCCAGAGATA chr16 54200419 54200420 chr16:54200420:G:T rs2388464 G T T EBF1_EBF_1 29 0 + 0 0 . chr16 54240702 54240703 chr16:54240703:G:T rs55970991 G T G EBF1_EBF_1 18 0 + 0 0 . chr16 54281839 54281840 chr16:54281840:C:T rs4556782 C T T EBF1_EBF_1 16 0 - 0 0 . chr16 54290362 54290363 chr16:54290363:C:G rs12920771 C G C EBF1_EBF_1 14 0 + 0 0 . chr16 54320567 54320568 chr16:54320568:A:T rs4783833 A T T EBF1_EBF_1 33 0 + 0 0 . chr16 54331281 54331282 chr16:54331282:A:T rs762620686 A T A EBF1_EBF_1 -4 0 - 0 0 . chr16 54346912 54346913 chr16:54346913:A:G rs13338197 A G a EBF1_EBF_1 -13 0 + 0 0 . chr16 54369475 54369476 chr16:54369476:G:T rs7206352 G T G EBF1_EBF_1 29 0 - 0 0 . chr16 54413895 54413896 chr16:54413896:T:C rs12708945 T C C EBF1_EBF_1 26 0 + 0 0 . chr16 54432356 54432357 chr16:54432357:C:T rs12447708 C T C EBF1_EBF_1 6 1 + 11.63071455878494 11.932000687646696 AGCCCCCAGGGACT chr16 54456496 54456497 chr16:54456497:G:C rs1978338 G C G EBF1_EBF_1 24 0 + 0 0 . chr16 54783458 54783459 chr16:54783459:C:T rs28743038 C T T EBF1_EBF_1 -20 0 - 0 0 . chr16 54890672 54890673 chr16:54890673:A:G rs74592243 A G A EBF1_EBF_1 28 0 - 0 0 . chr16 54937125 54937126 chr16:54937126:T:A rs373605490 T A T EBF1_EBF_1 2 1 + 6.277378057216424 2.592910469617547 AGTCCCCTGAGGCA chr16 54940111 54940112 chr16:54940112:C:T rs8063280 C T C EBF1_EBF_1 -10 0 - 0 0 . chr16 54987080 54987081 chr16:54987081:C:T rs72802727 C T C EBF1_EBF_1 4 1 + 5.939831580600997 1.5704446356560748 GTTCCCAGGGGCTT chr16 55045386 55045387 chr16:55045387:T:C rs4783866 T C T EBF1_EBF_1 -14 0 - 0 0 . chr16 55045442 55045443 chr16:55045443:A:G rs78852751 A G G EBF1_EBF_1 28 0 - 0 0 . chr16 55049335 55049336 chr16:55049336:G:A rs76880704 G A G EBF1_EBF_1 4 1 - 7.90712308649814 3.537736141553216 ACCCCCTTGGGATG chr16 55061659 55061660 chr16:55061660:C:G rs56318516 C G c EBF1_EBF_1 14 0 - 0 0 . chr16 55070173 55070174 chr16:55070174:A:C rs1352191 A C c EBF1_EBF_1 24 0 - 0 0 . chr16 55070213 55070214 chr16:55070214:C:T rs112478378 C T c EBF1_EBF_1 -16 0 - 0 0 . chr16 55072104 55072105 chr16:55072105:T:G rs8049144 T G t EBF1_EBF_1 -1 0 + 0 0 . chr16 55119902 55119903 chr16:55119903:C:A rs11640550 C A C EBF1_EBF_1 -14 0 - 0 0 . chr16 55137961 55137962 chr16:55137962:T:C rs9936750 T C T EBF1_EBF_1 -6 0 - 0 0 . chr16 55167478 55167479 chr16:55167479:G:A rs8057857 G A G EBF1_EBF_1 28 0 + 0 0 . chr16 55242882 55242883 chr16:55242883:A:G rs396582 A G G EBF1_EBF_1 -9 0 - 0 0 . chr16 55246640 55246641 chr16:55246641:A:G rs30961 A G G EBF1_EBF_1 -11 0 - 0 0 . chr16 55276043 55276044 chr16:55276044:G:T rs6499753 G T G EBF1_EBF_1 32 0 + 0 0 . chr16 55331995 55331996 chr16:55331996:A:C rs22747 A C C EBF1_EBF_1 -7 0 + 0 0 . chr16 55399751 55399752 chr16:55399752:T:C rs41136 T C C EBF1_EBF_1 21 0 + 0 0 . chr16 55427285 55427286 chr16:55427286:G:A rs1437248 G A G EBF1_EBF_1 26 0 + 0 0 . chr16 55462950 55462951 chr16:55462951:C:A rs144856986 C A C EBF1_EBF_1 21 0 + 0 0 . chr16 55471173 55471174 chr16:55471174:C:T rs11865658 C T C EBF1_EBF_1 10 1 - 6.6987146247679 1.3806861655009344 GTTCCCCAGGGCCA chr16 55493113 55493114 chr16:55493114:A:T rs11640428 A T T EBF1_EBF_1 -17 0 - 0 0 . chr16 55508953 55508954 chr16:55508954:A:G rs1363497414 A G A EBF1_EBF_1 15 0 - 0 0 . chr16 55569275 55569276 chr16:55569276:A:G rs3785163 A G A EBF1_EBF_1 20 0 - 0 0 . chr16 55569313 55569314 chr16:55569314:C:T rs3785162 C T C EBF1_EBF_1 -18 0 - 0 0 . chr16 55592692 55592693 chr16:55592693:C:T rs11644713 C T C EBF1_EBF_1 -8 0 - 0 0 . chr16 55641000 55641001 chr16:55641001:T:C rs882039 T C C EBF1_EBF_1 6 1 + 7.77179921122631 7.470513082364554 ATTCCCTGGGGCTT chr16 55668766 55668767 chr16:55668767:G:C rs28526230 G C C EBF1_EBF_1 18 0 + 0 0 . chr16 55683115 55683116 chr16:55683116:G:A rs1814269 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 55756973 55756974 chr16:55756974:T:C rs8055528 T C c EBF1_EBF_1 24 0 + 0 0 . chr16 55757456 55757457 chr16:55757457:T:A rs7185474 T A t EBF1_EBF_1 -6 0 - 0 0 . chr16 55779639 55779640 chr16:55779640:C:T rs28666799 C T C EBF1_EBF_1 26 0 - 0 0 . chr16 55783940 55783941 chr16:55783941:C:T rs28757723 C T C EBF1_EBF_1 20 0 + 0 0 . chr16 55784790 55784791 chr16:55784791:A:T rs28541761 A T A EBF1_EBF_1 20 0 + 0 0 . chr16 55786423 55786424 chr16:55786424:A:C rs28687143 A C A EBF1_EBF_1 -15 0 - 0 0 . chr16 55817274 55817275 chr16:55817275:G:C rs35476624 G C G EBF1_EBF_1 25 0 + 0 0 . chr16 55836671 55836672 chr16:55836672:G:C rs75887090 G C g EBF1_EBF_1 33 0 - 0 0 . chr16 55856485 55856486 chr16:55856486:G:C rs9937572 G C C EBF1_EBF_1 -16 0 + 0 0 . chr16 56008636 56008637 chr16:56008637:G:A rs1510200 G A A EBF1_EBF_1 15 0 + 0 0 . chr16 56042870 56042871 chr16:56042871:G:A rs72812536 G A G EBF1_EBF_1 -10 0 + 0 0 . chr16 56047481 56047482 chr16:56047482:T:C rs17322692 T C T EBF1_EBF_1 -15 0 - 0 0 . chr16 56112588 56112589 chr16:56112589:A:C rs7202017 A C A EBF1_EBF_1 15 0 - 0 0 . chr16 56194153 56194154 chr16:56194154:A:G rs1190761 A G G EBF1_EBF_1 -8 0 + 0 0 . chr16 56194190 56194191 chr16:56194191:C:T rs557050631 C T C EBF1_EBF_1 29 0 + 0 0 . chr16 56239511 56239512 chr16:56239512:G:A rs55696811 G A G EBF1_EBF_1 -4 0 + 0 0 . chr16 56262248 56262249 chr16:56262249:C:T rs137994601 C T C EBF1_EBF_1 25 0 - 0 0 . chr16 56269671 56269672 chr16:56269672:C:T rs188492151 C T C EBF1_EBF_1 9 1 - 4.770469143758498 2.1155298783108947 CCTGCCCTGGGACT chr16 56281374 56281375 chr16:56281375:T:G rs183104089 T G T EBF1_EBF_1 -11 0 + 0 0 . chr16 56288739 56288740 chr16:56288740:G:C rs2587880 G C G EBF1_EBF_1 30 0 + 0 0 . chr16 56313856 56313857 chr16:56313857:G:T rs9939948 G T G EBF1_EBF_1 -17 0 + 0 0 . chr16 56334973 56334974 chr16:56334974:C:T rs2251025 C T T EBF1_EBF_1 21 0 + 0 0 . chr16 56342724 56342725 chr16:56342725:A:G rs55909520 A G A EBF1_EBF_1 24 0 + 0 0 . chr16 56342730 56342731 chr16:56342731:T:C rs28446942 T C C EBF1_EBF_1 30 0 + 0 0 . chr16 56344510 56344511 chr16:56344511:G:T rs114410731 G T G EBF1_EBF_1 9 1 + 6.500319335774988 -0.3366700723138203 CCTCCCCTGGGTCT chr16 56350774 56350775 chr16:56350775:G:T rs11076152 G T G EBF1_EBF_1 27 0 + 0 0 . chr16 56352407 56352408 chr16:56352408:G:T rs9935936 G T G EBF1_EBF_1 30 0 - 0 0 . chr16 56367063 56367064 chr16:56367064:C:T rs17355357 C T C EBF1_EBF_1 13 1 + 5.5382408459546975 6.3827349605402155 GCTCCCTGGAGAGC chr16 56371058 56371059 chr16:56371059:C:A rs539478012 C A C EBF1_EBF_1 11 1 + 5.322468991367717 9.738430689220106 GGCCCCCAGGGCCT chr16 56421950 56421951 chr16:56421951:G:A rs117568276 G A G EBF1_EBF_1 28 0 - 0 0 . chr16 56436476 56436477 chr16:56436477:T:C rs79654058 T C C EBF1_EBF_1 -7 0 + 0 0 . chr16 56477332 56477333 chr16:56477333:T:G rs3228 T G T EBF1_EBF_1 -2 0 + 0 0 . chr16 56520796 56520797 chr16:56520797:G:A rs7184983 G A G EBF1_EBF_1 11 1 - 4.097102392170528 4.4151498339241915 AGCCCCGGGGGCCT chr16 56590621 56590622 chr16:56590622:T:A rs9934794 T A T EBF1_EBF_1 18 0 + 0 0 . chr16 56638467 56638468 chr16:56638468:C:G rs9922957 C G . EBF1_EBF_1 21 0 - 0 0 . chr16 56638487 56638488 chr16:56638488:G:A rs9922409 G A . EBF1_EBF_1 1 1 - 4.630163891643086 5.525597332041832 GCTCCCCTGGGTGC chr16 56657704 56657705 chr16:56657705:T:G rs913480566 T G . EBF1_EBF_1 16 0 + 0 0 . chr16 56665588 56665589 chr16:56665589:C:A rs1875230 C A . EBF1_EBF_1 -17 0 - 0 0 . chr16 56669590 56669591 chr16:56669591:G:C rs370728173 G C . EBF1_EBF_1 -12 0 + 0 0 . chr16 56685491 56685492 chr16:56685492:C:T rs11076164 C T T EBF1_EBF_1 16 0 + 0 0 . chr16 56786727 56786728 chr16:56786728:G:A rs7500207 G A A EBF1_EBF_1 -15 0 - 0 0 . chr16 56813471 56813472 chr16:56813472:G:A rs77199942 G A G EBF1_EBF_1 -16 0 - 0 0 . chr16 56897518 56897519 chr16:56897519:C:G rs1386426699 C G C EBF1_EBF_1 23 0 - 0 0 . chr16 56897552 56897553 chr16:56897553:T:A rs12447990 T A A EBF1_EBF_1 -11 0 - 0 0 . chr16 56903416 56903417 chr16:56903417:C:T rs3794655 C T C EBF1_EBF_1 -11 0 + 0 0 . chr16 56912112 56912113 chr16:56912113:C:T rs1366549 C T C EBF1_EBF_1 -12 0 + 0 0 . chr16 56912113 56912114 chr16:56912114:G:A rs544208203 G A G EBF1_EBF_1 -11 0 + 0 0 . chr16 56931029 56931030 chr16:56931030:G:A rs11863728 G A G EBF1_EBF_1 2 1 - 5.330467402404281 7.219290813846431 ACCCCCCTGGGCCA chr16 56941364 56941365 chr16:56941365:A:C rs952439 A C A EBF1_EBF_1 29 0 + 0 0 . chr16 56950677 56950678 chr16:56950678:T:C rs9938160 T C T EBF1_EBF_1 7 1 - 5.3088026673561135 3.9963442620672875 AGTCCCCAGGAGCT chr16 56951601 56951602 chr16:56951602:G:A rs72786786 G A G EBF1_EBF_1 -11 0 - 0 0 . chr16 56964659 56964660 chr16:56964660:G:C rs9929488 G C G EBF1_EBF_1 -14 0 - 0 0 . chr16 56971512 56971513 chr16:56971513:T:C rs12720925 T C N EBF1_EBF_1 31 0 + 0 0 . chr16 56973697 56973698 chr16:56973698:G:A rs158477 G A N EBF1_EBF_1 1 1 - 6.183553540325439 7.078986980724185 CCTCCCAAAGGATT chr16 56999783 56999784 chr16:56999784:G:A rs1875236 G A g EBF1_EBF_1 18 0 + 0 0 . chr16 57043063 57043064 chr16:57043064:T:C rs289728 T C C EBF1_EBF_1 -7 0 - 0 0 . chr16 57065408 57065409 chr16:57065409:T:C rs56269236 T C C EBF1_EBF_1 18 0 - 0 0 . chr16 57072758 57072759 chr16:57072759:C:T rs9937051 C T T EBF1_EBF_1 7 1 - 5.305341949889299 6.617800355178123 CATCCCCGGGGCAT chr16 57112378 57112379 chr16:57112379:G:C rs12597697 G C C EBF1_EBF_1 7 1 - 7.2263270506739445 8.207647631755298 ACTCCCTCGGGGCA chr16 57112743 57112744 chr16:57112744:T:C rs11859576 T C C EBF1_EBF_1 24 0 - 0 0 . chr16 57112767 57112768 chr16:57112768:G:A rs11862476 G A G EBF1_EBF_1 0 1 - 5.915811184085294 6.198013244815873 CTCCCCACGGGAGC chr16 57114537 57114538 chr16:57114538:C:T rs61670566 C T C EBF1_EBF_1 -1 0 + 0 0 . chr16 57132625 57132626 chr16:57132626:A:T rs11861384 A T A EBF1_EBF_1 -4 0 + 0 0 . chr16 57186973 57186974 chr16:57186974:A:G rs538668575 A G A EBF1_EBF_1 33 0 + 0 0 . chr16 57264250 57264251 chr16:57264251:G:A rs77060867 G A G EBF1_EBF_1 -12 0 + 0 0 . chr16 57265683 57265684 chr16:57265684:T:C rs11642528 T C T EBF1_EBF_1 2 1 + 5.456843885947453 3.568020474505304 CTTCCAAAGGGAAT chr16 57277697 57277698 chr16:57277698:C:A chr16:57277698:C:A C A g EBF1_EBF_1 8 1 - 6.648123469788039 -0.24330931918915516 TTTCCCCAGGGCAA chr16 57277697 57277698 chr16:57277698:C:G rs4275845 C G g EBF1_EBF_1 8 1 - 6.648123469788039 1.3294646088733537 TTTCCCCAGGGCAA chr16 57317490 57317491 chr16:57317491:T:A rs1273584 T A A EBF1_EBF_1 20 0 + 0 0 . chr16 57318718 57318719 chr16:57318719:G:A rs747062707 G A G EBF1_EBF_1 33 0 - 0 0 . chr16 57318768 57318769 chr16:57318769:T:C rs193180114 T C T EBF1_EBF_1 -17 0 - 0 0 . chr16 57341482 57341483 chr16:57341483:A:C rs547744378 A C A EBF1_EBF_1 14 0 + 0 0 . chr16 57366836 57366837 chr16:57366837:G:A rs11865093 G A G EBF1_EBF_1 24 0 + 0 0 . chr16 57372749 57372750 chr16:57372750:C:T rs73559216 C T C EBF1_EBF_1 -12 0 + 0 0 . chr16 57402240 57402241 chr16:57402241:T:C rs223892 T C C EBF1_EBF_1 32 0 + 0 0 . chr16 57411341 57411342 chr16:57411342:C:T rs184402 C T C EBF1_EBF_1 31 0 + 0 0 . chr16 57419791 57419792 chr16:57419792:G:A rs77154349 G A G EBF1_EBF_1 29 0 - 0 0 . chr16 57422785 57422786 chr16:57422786:A:G rs66608459 A G a EBF1_EBF_1 33 0 - 0 0 . chr16 57446922 57446923 chr16:57446923:G:A rs1351882785 G A G EBF1_EBF_1 -9 0 + 0 0 . chr16 57447088 57447089 chr16:57447089:G:A rs223860 G A G EBF1_EBF_1 30 0 + 0 0 . chr16 57447154 57447155 chr16:57447155:C:T rs1410614733 C T C EBF1_EBF_1 -8 0 - 0 0 . chr16 57503184 57503185 chr16:57503185:C:G rs78582683 C G C EBF1_EBF_1 8 1 - 7.232949519320982 1.9142906584062962 ATCCCCAGGGTAAT chr16 57526849 57526850 chr16:57526850:T:A rs72791631 T A A EBF1_EBF_1 -18 0 - 0 0 . chr16 57530393 57530394 chr16:57530394:C:T rs59616007 C T C EBF1_EBF_1 19 0 + 0 0 . chr16 57533493 57533494 chr16:57533494:C:G rs739815 C G C EBF1_EBF_1 5 1 + 8.270263128581519 1.3653819759463517 CACCCCCAGGGACC chr16 57533560 57533561 chr16:57533561:C:T rs115249567 C T C EBF1_EBF_1 16 0 + 0 0 . chr16 57533585 57533586 chr16:57533586:G:A rs1439169276 G A G EBF1_EBF_1 26 0 - 0 0 . chr16 57533619 57533620 chr16:57533620:A:G rs57664616 A G A EBF1_EBF_1 -8 0 - 0 0 . chr16 57534867 57534868 chr16:57534868:C:G rs12051452 C G C EBF1_EBF_1 -1 0 - 0 0 . chr16 57534877 57534878 chr16:57534878:C:T rs117948999 C T C EBF1_EBF_1 -11 0 - 0 0 . chr16 57536156 57536157 chr16:57536157:G:A rs146852474 G A G EBF1_EBF_1 26 0 - 0 0 . chr16 57536173 57536174 chr16:57536174:C:T rs901153835 C T C EBF1_EBF_1 9 1 - 7.394565309344309 4.739626043896706 TCTCCCGGGGGACC chr16 57536192 57536193 chr16:57536193:T:G rs554407829 T G T EBF1_EBF_1 -10 0 - 0 0 . chr16 57537177 57537178 chr16:57537178:C:A rs4784819 C A A EBF1_EBF_1 -8 0 - 0 0 . chr16 57538238 57538239 chr16:57538239:C:G rs76306269 C G C EBF1_EBF_1 30 0 - 0 0 . chr16 57564449 57564450 chr16:57564450:T:C rs73547037 T C C EBF1_EBF_1 -10 0 - 0 0 . chr16 57565692 57565693 chr16:57565693:G:A rs74019568 G A G EBF1_EBF_1 2 1 - 6.629827690510329 8.518651101952477 ATCCCCCTGGGCAC chr16 57570381 57570382 chr16:57570382:C:T rs372649343 C T C EBF1_EBF_1 27 0 + 0 0 . chr16 57576883 57576884 chr16:57576884:A:G rs10802 A G A EBF1_EBF_1 30 0 - 0 0 . chr16 57576919 57576920 chr16:57576920:C:A rs2279875 C A C EBF1_EBF_1 10 1 - 7.126373528161723 2.943621341934459 TGTCCCAGGAGAAC chr16 57594762 57594763 chr16:57594763:G:A rs190188258 G A G EBF1_EBF_1 3 1 - 5.718738000023113 -0.6866607415302042 AGTCCCTGGGTAGA chr16 57618282 57618283 chr16:57618283:G:T rs142355963 G T G EBF1_EBF_1 12 1 - 7.0278730344885085 7.0580544329098345 CATCCCCTGAGACC chr16 57645776 57645777 chr16:57645777:G:A rs77030834 G A G EBF1_EBF_1 26 0 + 0 0 . chr16 57654240 57654241 chr16:57654241:G:T rs12445163 G T G EBF1_EBF_1 7 1 + 5.929575918412394 6.76576008361366 TCTCCCTGGGGCCT chr16 57657352 57657353 chr16:57657353:C:A rs2305309 C A C EBF1_EBF_1 17 0 - 0 0 . chr16 57666108 57666109 chr16:57666109:A:G rs9806793 A G G EBF1_EBF_1 15 0 + 0 0 . chr16 57682107 57682108 chr16:57682108:C:T rs72795504 C T C EBF1_EBF_1 18 0 - 0 0 . chr16 57743750 57743751 chr16:57743751:C:T rs8052863 C T C EBF1_EBF_1 -9 0 - 0 0 . chr16 57745992 57745993 chr16:57745993:A:G rs78638659 A G A EBF1_EBF_1 1 1 - 9.267876753089407 8.372443312690663 ATTCCCCAGAGAAG chr16 57748767 57748768 chr16:57748768:C:T rs55967335 C T C EBF1_EBF_1 -7 0 + 0 0 . chr16 57754728 57754729 chr16:57754729:G:C chr16:57754729:G:C G C G EBF1_EBF_1 33 0 + 0 0 . chr16 57759682 57759683 chr16:57759683:T:C rs12934801 T C T EBF1_EBF_1 13 1 - 6.070737471142957 4.956411899073518 TCTCCCCAGGGTAA chr16 57760975 57760976 chr16:57760976:A:T rs2965799 A T A EBF1_EBF_1 28 0 - 0 0 . chr16 57782629 57782630 chr16:57782630:G:A rs75058423 G A G EBF1_EBF_1 20 0 + 0 0 . chr16 57786691 57786692 chr16:57786692:G:A rs74022042 G A G EBF1_EBF_1 9 1 + 7.3871967947959325 4.732257529348329 AGTCCCCAGGAAAC chr16 57802117 57802118 chr16:57802118:A:C rs555366361 A C A EBF1_EBF_1 -10 0 + 0 0 . chr16 57873330 57873331 chr16:57873331:G:A rs185384712 G A G EBF1_EBF_1 -6 0 + 0 0 . chr16 57875838 57875839 chr16:57875839:C:T rs113704806 C T C EBF1_EBF_1 -7 0 - 0 0 . chr16 57892335 57892336 chr16:57892336:C:T rs16959474 C T C EBF1_EBF_1 16 0 - 0 0 . chr16 57892768 57892769 chr16:57892769:C:G rs35176541 C G C EBF1_EBF_1 28 0 + 0 0 . chr16 57899838 57899839 chr16:57899839:C:T rs77236507 C T C EBF1_EBF_1 26 0 - 0 0 . chr16 57899866 57899867 chr16:57899867:T:C rs17240980 T C T EBF1_EBF_1 -2 0 - 0 0 . chr16 57906380 57906381 chr16:57906381:C:T rs8044990 C T C EBF1_EBF_1 0 1 - 5.614570451119787 7.506854320684622 GTTCCCCAGGGCAG chr16 57911729 57911730 chr16:57911730:C:T rs778604026 C T C EBF1_EBF_1 9 1 - 5.867642662640781 3.2127033971931764 CTCCCCCTGGGGCC chr16 57916365 57916366 chr16:57916366:C:T rs4784871 C T T EBF1_EBF_1 20 0 + 0 0 . chr16 57926379 57926380 chr16:57926380:G:T rs7199303 G T G EBF1_EBF_1 27 0 + 0 0 . chr16 57932218 57932219 chr16:57932219:A:G rs78831452 A G A EBF1_EBF_1 -6 0 + 0 0 . chr16 57932249 57932250 chr16:57932250:C:A rs76912005 C A C EBF1_EBF_1 25 0 + 0 0 . chr16 57989907 57989908 chr16:57989908:C:T rs11076212 C T C EBF1_EBF_1 -18 0 - 0 0 . chr16 58031719 58031720 chr16:58031720:G:A rs4784887 G A G EBF1_EBF_1 19 0 - 0 0 . chr16 58041162 58041163 chr16:58041163:G:T rs773122460 G T G EBF1_EBF_1 1 1 + 5.5167785988508165 6.222440384680343 GGTCCCCTGGGCCA chr16 58041180 58041181 chr16:58041181:G:A rs12447579 G A G EBF1_EBF_1 19 0 + 0 0 . chr16 58041330 58041331 chr16:58041331:C:T rs41390948 C T C EBF1_EBF_1 -19 0 + 0 0 . chr16 58041377 58041378 chr16:58041378:C:T rs12447804 C T C EBF1_EBF_1 13 1 + 7.098675283082709 7.943169397668227 GTCCCCAAGGGGAC chr16 58046104 58046105 chr16:58046105:C:T rs11076213 C T C EBF1_EBF_1 20 0 + 0 0 . chr16 58047005 58047006 chr16:58047006:C:T rs2241773 C T C EBF1_EBF_1 31 0 - 0 0 . chr16 58081586 58081587 chr16:58081587:C:T rs12599591 C T C EBF1_EBF_1 14 0 + 0 0 . chr16 58081587 58081588 chr16:58081588:A:G rs12597369 A G G EBF1_EBF_1 15 0 + 0 0 . chr16 58081587 58081588 chr16:58081588:A:T chr16:58081588:A:T A T G EBF1_EBF_1 15 0 + 0 0 . chr16 58197438 58197439 chr16:58197439:G:C rs78279459 G C G EBF1_EBF_1 -19 0 - 0 0 . chr16 58205739 58205740 chr16:58205740:A:C rs11076224 A C A EBF1_EBF_1 25 0 + 0 0 . chr16 58206868 58206869 chr16:58206869:G:A rs534855619 G A g EBF1_EBF_1 7 1 + 5.313660558170092 6.626118963458918 TTCCCCCGGGGGCC chr16 58231979 58231980 chr16:58231980:A:C rs115305425 A C A EBF1_EBF_1 -2 0 + 0 0 . chr16 58236318 58236319 chr16:58236319:T:A rs78516357 T A T EBF1_EBF_1 29 0 + 0 0 . chr16 58265806 58265807 chr16:58265807:A:G rs2550344 A G G EBF1_EBF_1 30 0 + 0 0 . chr16 58281220 58281221 chr16:58281221:T:C rs16960029 T C C EBF1_EBF_1 19 0 - 0 0 . chr16 58281224 58281225 chr16:58281225:G:A rs370220516 G A G EBF1_EBF_1 15 0 - 0 0 . chr16 58283053 58283054 chr16:58283054:C:T rs11865985 C T C EBF1_EBF_1 13 1 + 9.485182202229458 10.329676316814977 TCTCCCAAGGGAGC chr16 58339883 58339884 chr16:58339884:T:C rs7206152 T C C EBF1_EBF_1 18 0 + 0 0 . chr16 58339944 58339945 chr16:58339945:G:C rs4600453 G C G EBF1_EBF_1 12 1 + 9.475962761101336 10.864598704779485 AGTCCCCAGAGAGT chr16 58433948 58433949 chr16:58433949:G:A rs4784938 G A A EBF1_EBF_1 -13 0 + 0 0 . chr16 58486809 58486810 chr16:58486810:A:G rs763240749 A G A EBF1_EBF_1 -8 0 - 0 0 . chr16 58487828 58487829 chr16:58487829:G:T rs570056086 G T G EBF1_EBF_1 27 0 + 0 0 . chr16 58630078 58630079 chr16:58630079:T:C rs71389029 T C T EBF1_EBF_1 32 0 - 0 0 . chr16 58630123 58630124 chr16:58630124:C:G rs1364789674 C G C EBF1_EBF_1 -13 0 - 0 0 . chr16 58733780 58733781 chr16:58733781:G:A rs7186087 G A g EBF1_EBF_1 24 0 + 0 0 . chr16 58733882 58733883 chr16:58733883:T:C rs768127584 T C T EBF1_EBF_1 1 1 - 12.372067101717377 12.63276593363868 AATCCCTAGGGAAA chr16 58733898 58733899 chr16:58733899:C:A rs143988557 C A C EBF1_EBF_1 -15 0 - 0 0 . chr16 58920763 58920764 chr16:58920764:T:C rs13335427 T C C EBF1_EBF_1 -11 0 + 0 0 . chr16 58934915 58934916 chr16:58934916:G:A rs56070693 G A G EBF1_EBF_1 22 0 + 0 0 . chr16 58973489 58973490 chr16:58973490:A:G rs56372948 A G G EBF1_EBF_1 -12 0 - 0 0 . chr16 59058982 59058983 chr16:59058983:A:C rs80256405 A C C EBF1_EBF_1 -6 0 - 0 0 . chr16 59148673 59148674 chr16:59148674:T:C rs374918197 T C T EBF1_EBF_1 14 0 + 0 0 . chr16 59188537 59188538 chr16:59188538:G:A rs11076308 G A G EBF1_EBF_1 -17 0 - 0 0 . chr16 59205596 59205597 chr16:59205597:G:C rs138667278 G C G EBF1_EBF_1 31 0 - 0 0 . chr16 59296143 59296144 chr16:59296144:A:G rs9925683 A G A EBF1_EBF_1 -19 0 + 0 0 . chr16 59518556 59518557 chr16:59518557:G:A rs28595410 G A g EBF1_EBF_1 -17 0 - 0 0 . chr16 59702806 59702807 chr16:59702807:G:T rs28758648 G T G EBF1_EBF_1 22 0 - 0 0 . chr16 59886404 59886405 chr16:59886405:T:C rs11862350 T C T EBF1_EBF_1 20 0 - 0 0 . chr16 59905774 59905775 chr16:59905775:C:G rs990536403 C G C EBF1_EBF_1 2 1 + 9.7284268311807 4.868924552422381 TACCCCTAGGGAAT chr16 60060578 60060579 chr16:60060579:G:A rs36552 G A A EBF1_EBF_1 28 0 - 0 0 . chr16 60079022 60079023 chr16:60079023:G:A rs150953870 G A - EBF1_EBF_1 8 1 + 8.292644213185827 4.066354124299566 CCTCCCTTGGGAGA chr16 60218173 60218174 chr16:60218174:A:G rs62050897 A G A EBF1_EBF_1 27 0 + 0 0 . chr16 60299469 60299470 chr16:60299470:G:T rs9889057 G T G EBF1_EBF_1 21 0 + 0 0 . chr16 60553238 60553239 chr16:60553239:G:T rs1595140 G T G EBF1_EBF_1 12 1 - 6.416833499254499 6.447014897675825 AGCCTCCAGGGACC chr16 60553803 60553804 chr16:60553804:C:T rs1595137 C T T EBF1_EBF_1 -15 0 - 0 0 . chr16 60681454 60681455 chr16:60681455:A:T rs146178509 A T A EBF1_EBF_1 -20 0 - 0 0 . chr16 61031049 61031050 chr16:61031050:G:A rs55958939 G A G EBF1_EBF_1 -9 0 + 0 0 . chr16 61792088 61792089 chr16:61792089:T:C rs16963957 T C c EBF1_EBF_1 13 1 - 6.661898157041283 5.547572584971844 ATTCCCAAGGAAGA chr16 62037028 62037029 chr16:62037029:C:T rs536921400 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 62392094 62392095 chr16:62392095:G:A rs35041445 G A G EBF1_EBF_1 6 1 - 4.904341633236959 5.205627762098717 CCCCCCCAGGGGGT chr16 62392984 62392985 chr16:62392985:A:C rs4784188 A C C EBF1_EBF_1 1 1 - 5.776099274085383 5.0704374882558545 TTCCCCCTGGGGCA chr16 62971070 62971071 chr16:62971071:G:A rs30889 G A A EBF1_EBF_1 4 1 - 5.516682657505416 1.1472957125604928 ATTCCCAAGTGAGC chr16 63076014 63076015 chr16:63076015:T:C rs1510211 T C T EBF1_EBF_1 26 0 - 0 0 . chr16 63113522 63113523 chr16:63113523:A:G rs2136667 A G A EBF1_EBF_1 24 0 - 0 0 . chr16 63539471 63539472 chr16:63539472:G:A rs8045334 G A G EBF1_EBF_1 13 1 - 6.70508488953126 7.549579004116778 TTTCCCCTAGGACC chr16 63703781 63703782 chr16:63703782:G:A rs146710649 G A G EBF1_EBF_1 0 1 - 7.236541872150624 7.518743932881201 CGCCCCAGGGGAAA chr16 63921542 63921543 chr16:63921543:G:A rs1381102 G A G EBF1_EBF_1 -16 0 + 0 0 . chr16 64215534 64215535 chr16:64215535:T:C rs74326442 T C T EBF1_EBF_1 7 1 + 6.182137983462237 4.364633237179613 TGTCCCCTGAGAGC chr16 64332220 64332221 chr16:64332221:A:C rs9937133 A C c EBF1_EBF_1 0 1 + 8.963384983059369 6.708126499362771 ATTCCCCAGAGAGA chr16 64382349 64382350 chr16:64382350:G:A rs59969311 G A G EBF1_EBF_1 -6 0 + 0 0 . chr16 64549362 64549363 chr16:64549363:C:A rs8043884 C A C EBF1_EBF_1 0 1 + 6.542396501697107 8.797654985393708 CTTCCCTTAGGATT chr16 64584761 64584762 chr16:64584762:A:G rs12103090 A G A EBF1_EBF_1 -17 0 + 0 0 . chr16 64633028 64633029 chr16:64633029:T:C rs7202759 T C C EBF1_EBF_1 24 0 - 0 0 . chr16 64763979 64763980 chr16:64763980:G:A rs12924825 G A G EBF1_EBF_1 -15 0 + 0 0 . chr16 64843885 64843886 chr16:64843886:G:A rs12446464 G A G EBF1_EBF_1 14 0 - 0 0 . chr16 64849560 64849561 chr16:64849561:A:G rs4967856 A G G EBF1_EBF_1 8 1 + 5.812846811235342 10.039136900121603 GTTCCCCCAGGACT chr16 64893605 64893606 chr16:64893606:C:T rs78043738 C T C EBF1_EBF_1 22 0 + 0 0 . chr16 64988330 64988331 chr16:64988331:C:T rs1130821 C T C EBF1_EBF_1 31 0 + 0 0 . chr16 65104686 65104687 chr16:65104687:C:A rs7203623 C A A EBF1_EBF_1 10 1 - 8.386274394857796 4.203522208630533 ATTCCCAGGAGAGC chr16 65120881 65120882 chr16:65120882:G:A rs62044964 G A G EBF1_EBF_1 -20 0 - 0 0 . chr16 65176700 65176701 chr16:65176701:G:C rs8061375 G C C EBF1_EBF_1 -4 0 + 0 0 . chr16 65524864 65524865 chr16:65524865:C:T rs2911126 C T C EBF1_EBF_1 11 1 + 5.93498208933943 6.253029531093094 ATCCCCATGGGCTC chr16 65524873 65524874 chr16:65524874:G:A rs2966199 G A G EBF1_EBF_1 20 0 + 0 0 . chr16 65531459 65531460 chr16:65531460:C:T rs1007051149 C T C EBF1_EBF_1 32 0 - 0 0 . chr16 65655984 65655985 chr16:65655985:G:A rs117284149 G A G EBF1_EBF_1 -3 0 - 0 0 . chr16 65659629 65659630 chr16:65659630:A:C rs1111734 A C C EBF1_EBF_1 14 0 - 0 0 . chr16 65755108 65755109 chr16:65755109:C:G rs11863842 C G C EBF1_EBF_1 4 1 + 10.988232555852807 5.362467454703264 ACCCCCAAGGGAAC chr16 65783823 65783824 chr16:65783824:C:T rs463646 C T C EBF1_EBF_1 8 1 - 6.78770601713462 2.56141592824836 TTCCCCAGGAGAAT chr16 65840607 65840608 chr16:65840608:C:T rs35794683 C T C EBF1_EBF_1 -17 0 - 0 0 . chr16 65867765 65867766 chr16:65867766:C:G rs74023501 C G C EBF1_EBF_1 6 1 + 6.850484232188105 5.045292168714908 AGTCACCAGGGACC chr16 65888399 65888400 chr16:65888400:G:A rs76664674 G A G EBF1_EBF_1 28 0 + 0 0 . chr16 65975468 65975469 chr16:65975469:G:A rs7185526 G A G EBF1_EBF_1 18 0 - 0 0 . chr16 66051329 66051330 chr16:66051330:C:G rs4785801 C G C EBF1_EBF_1 -5 0 + 0 0 . chr16 66061837 66061838 chr16:66061838:G:A rs7184941 G A A EBF1_EBF_1 5 1 - 6.825009358811139 1.5032221432704693 TTTCCCTGGGGCCT chr16 66069770 66069771 chr16:66069771:T:A rs12929930 T A A EBF1_EBF_1 -12 0 + 0 0 . chr16 66127706 66127707 chr16:66127707:C:T rs118013533 C T C EBF1_EBF_1 -5 0 - 0 0 . chr16 66196704 66196705 chr16:66196705:T:C rs235130 T C T EBF1_EBF_1 -4 0 + 0 0 . chr16 66296801 66296802 chr16:66296802:G:A rs73587154 G A G EBF1_EBF_1 -3 0 + 0 0 . chr16 66296826 66296827 chr16:66296827:C:T rs73587157 C T C EBF1_EBF_1 22 0 + 0 0 . chr16 66306826 66306827 chr16:66306827:T:C rs1865974 T C T EBF1_EBF_1 7 1 - 7.1497407262253425 5.837282320936518 ATTCCCCAGGTGCT chr16 66354542 66354543 chr16:66354543:A:G rs112787165 A G A EBF1_EBF_1 -3 0 + 0 0 . chr16 66364621 66364622 chr16:66364622:A:G rs3809648 A G G EBF1_EBF_1 -12 0 + 0 0 . chr16 66364941 66364942 chr16:66364942:G:A rs9940166 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 66366572 66366573 chr16:66366573:A:G rs11860610 A G G EBF1_EBF_1 7 1 - 6.528213975069106 4.710709228786483 TTCCCCCTGGGATG chr16 66366586 66366587 chr16:66366587:C:T rs11860642 C T C EBF1_EBF_1 -7 0 - 0 0 . chr16 66370386 66370387 chr16:66370387:C:A rs893058926 C A C EBF1_EBF_1 -5 0 - 0 0 . chr16 66400283 66400284 chr16:66400284:T:C rs6499081 T C C EBF1_EBF_1 16 0 + 0 0 . chr16 66428189 66428190 chr16:66428190:G:A chr16:66428190:G:A G A G EBF1_EBF_1 32 0 + 0 0 . chr16 66460154 66460155 chr16:66460155:T:C rs11644279 T C C EBF1_EBF_1 20 0 - 0 0 . chr16 66516630 66516631 chr16:66516631:T:C rs78538877 T C T EBF1_EBF_1 19 0 + 0 0 . chr16 66521841 66521842 chr16:66521842:T:C rs3826160 T C C EBF1_EBF_1 -7 0 + 0 0 . chr16 66539769 66539770 chr16:66539770:A:G rs75938790 A G G EBF1_EBF_1 29 0 + 0 0 . chr16 66605249 66605250 chr16:66605250:G:C rs113488037 G C G EBF1_EBF_1 30 0 - 0 0 . chr16 66605418 66605419 chr16:66605419:G:A rs186773859 G A G EBF1_EBF_1 8 1 + 5.096261257992214 0.8699711691059537 CCACCCCCGGGACT chr16 66605431 66605432 chr16:66605432:G:A rs543320194 G A G EBF1_EBF_1 21 0 + 0 0 . chr16 66606510 66606511 chr16:66606511:C:T rs73596637 C T C EBF1_EBF_1 4 1 + 7.130696932074879 2.761309987129955 GACCCCCTGGGATC chr16 66651812 66651813 chr16:66651813:C:A rs79313868 C A C EBF1_EBF_1 18 0 + 0 0 . chr16 66900506 66900507 chr16:66900507:A:G rs117268784 A G A EBF1_EBF_1 26 0 + 0 0 . chr16 66905629 66905630 chr16:66905630:A:G rs7187623 A G A EBF1_EBF_1 6 1 - 6.212645650331648 5.91135952146989 ACTCCCTAGAGGTC chr16 66934654 66934655 chr16:66934655:G:T rs979879699 G T G EBF1_EBF_1 3 1 - 5.681950515698238 -1.2242235137675685 TGCCCCCTGAGACC chr16 66934675 66934676 chr16:66934676:T:G chr16:66934676:T:G T G T EBF1_EBF_1 -18 0 - 0 0 . chr16 66941291 66941292 chr16:66941292:C:T rs11568310 C T C EBF1_EBF_1 19 0 - 0 0 . chr16 67014160 67014161 chr16:67014161:C:T rs372959541 C T C EBF1_EBF_1 22 0 - 0 0 . chr16 67024854 67024855 chr16:67024855:G:C rs112241027 G C G EBF1_EBF_1 19 0 - 0 0 . chr16 67110211 67110212 chr16:67110212:G:A rs115599330 G A G EBF1_EBF_1 5 1 - 7.444846562780512 2.1230593472398414 GTTCCCCAGAGAGC chr16 67112798 67112799 chr16:67112799:G:C rs8064088 G C C EBF1_EBF_1 21 0 - 0 0 . chr16 67137767 67137768 chr16:67137768:C:T rs75565477 C T C EBF1_EBF_1 29 0 + 0 0 . chr16 67176951 67176952 chr16:67176952:G:C rs61733789 G C G EBF1_EBF_1 18 0 + 0 0 . chr16 67180019 67180020 chr16:67180020:C:T rs13339140 C T T EBF1_EBF_1 -15 0 - 0 0 . chr16 67246204 67246205 chr16:67246205:C:A chr16:67246205:C:A C A C EBF1_EBF_1 9 1 - 5.066708326740728 -1.770281081348082 TGCCCCTTGGGCCT chr16 67284338 67284339 chr16:67284339:A:G rs8044843 A G G EBF1_EBF_1 0 1 + 6.853722256652659 4.961438387087826 ACCCCCCTGGGGCA chr16 67307698 67307699 chr16:67307699:C:T rs116928947 C T C EBF1_EBF_1 28 0 - 0 0 . chr16 67393804 67393805 chr16:67393805:C:A rs528361448 C A C EBF1_EBF_1 -3 0 + 0 0 . chr16 67405635 67405636 chr16:67405636:G:A rs6499128 G A A EBF1_EBF_1 9 1 + 8.888563419138007 6.2336241536904025 ATTCCCAGGGGCAT chr16 67425297 67425298 chr16:67425298:C:T rs115258314 C T C EBF1_EBF_1 24 0 + 0 0 . chr16 67425302 67425303 chr16:67425303:G:A rs771127570 G A G EBF1_EBF_1 29 0 + 0 0 . chr16 67462171 67462172 chr16:67462172:G:A rs983632112 G A G EBF1_EBF_1 -17 0 + 0 0 . chr16 67465853 67465854 chr16:67465854:A:G rs79078668 A G A EBF1_EBF_1 -5 0 + 0 0 . chr16 67483151 67483152 chr16:67483152:G:A rs11575892 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 67544492 67544493 chr16:67544493:C:T rs1210978 C T C EBF1_EBF_1 20 0 + 0 0 . chr16 67548200 67548201 chr16:67548201:G:A rs143027079 G A G EBF1_EBF_1 15 0 - 0 0 . chr16 67548218 67548219 chr16:67548219:C:T rs112539166 C T C EBF1_EBF_1 -3 0 - 0 0 . chr16 67552149 67552150 chr16:67552150:A:G rs140766540 A G A EBF1_EBF_1 25 0 + 0 0 . chr16 67665150 67665151 chr16:67665151:G:A rs79837695 G A G EBF1_EBF_1 -13 0 + 0 0 . chr16 67708422 67708423 chr16:67708423:G:A rs56047901 G A G EBF1_EBF_1 31 0 - 0 0 . chr16 67728899 67728900 chr16:67728900:G:A rs76851342 G A G EBF1_EBF_1 -7 0 - 0 0 . chr16 67833944 67833945 chr16:67833945:C:G rs561623930 C G C EBF1_EBF_1 -9 0 + 0 0 . chr16 67846508 67846509 chr16:67846509:G:A rs145322388 G A G EBF1_EBF_1 4 1 - 7.013422906088057 2.6440359611431328 CTCCCCTTGGGGCT chr16 67857754 67857755 chr16:67857755:C:G rs72790367 C G C EBF1_EBF_1 19 0 - 0 0 . chr16 67873404 67873405 chr16:67873405:A:C chr16:67873405:A:C A C A EBF1_EBF_1 -19 0 + 0 0 . chr16 67926362 67926363 chr16:67926363:G:T rs114854906 G T G EBF1_EBF_1 33 0 - 0 0 . chr16 67928524 67928525 chr16:67928525:G:T rs80110853 G T G EBF1_EBF_1 -13 0 - 0 0 . chr16 67942416 67942417 chr16:67942417:A:T rs4986970 A T A EBF1_EBF_1 11 1 + 6.7305021535889695 2.632587897490244 AGCCCCAAGAGAGA chr16 67993516 67993517 chr16:67993517:T:G rs1028003128 T G T EBF1_EBF_1 32 0 - 0 0 . chr16 68048623 68048624 chr16:68048624:A:G rs12445533 A G A EBF1_EBF_1 -19 0 + 0 0 . chr16 68054581 68054582 chr16:68054582:A:G rs11555011 A G A EBF1_EBF_1 -3 0 - 0 0 . chr16 68060974 68060975 chr16:68060975:C:T rs138077233 C T C EBF1_EBF_1 -7 0 - 0 0 . chr16 68065917 68065918 chr16:68065918:T:G rs7201742 T G G EBF1_EBF_1 1 1 + 8.0096667850626 7.304004999233072 TTCCCCTAGAGACT chr16 68074994 68074995 chr16:68074995:C:T rs74680231 C T C EBF1_EBF_1 0 1 + 5.699380147237085 5.981582207967663 CCTCCCATGGGCCC chr16 68084407 68084408 chr16:68084408:G:A rs3785109 G A G EBF1_EBF_1 33 0 + 0 0 . chr16 68095734 68095735 chr16:68095735:A:G rs74604428 A G A EBF1_EBF_1 15 0 - 0 0 . chr16 68200580 68200581 chr16:68200581:A:G rs143341991 A G A EBF1_EBF_1 -2 0 - 0 0 . chr16 68257936 68257937 chr16:68257937:G:A rs149258076 G A G EBF1_EBF_1 -3 0 + 0 0 . chr16 68264263 68264264 chr16:68264264:G:A chr16:68264264:G:A G A G EBF1_EBF_1 33 0 + 0 0 . chr16 68287932 68287933 chr16:68287933:C:G rs9936444 C G G EBF1_EBF_1 20 0 + 0 0 . chr16 68302221 68302222 chr16:68302222:A:C rs61460037 A C A EBF1_EBF_1 0 1 - 6.885325597750658 6.966098151151847 TTTCCCAGGGGCCT chr16 68310459 68310460 chr16:68310460:A:C rs8063446 A C A EBF1_EBF_1 -4 0 + 0 0 . chr16 68343616 68343617 chr16:68343617:C:T rs61602448 C T C EBF1_EBF_1 -1 0 + 0 0 . chr16 68362455 68362456 chr16:68362456:C:T rs80233095 C T T EBF1_EBF_1 32 0 - 0 0 . chr16 68363626 68363627 chr16:68363627:T:G rs3826167 T G G EBF1_EBF_1 -5 0 - 0 0 . chr16 68375531 68375532 chr16:68375532:A:C rs2863973 A C C EBF1_EBF_1 -9 0 - 0 0 . chr16 68377258 68377259 chr16:68377259:C:T rs10775304 C T T EBF1_EBF_1 -11 0 + 0 0 . chr16 68412306 68412307 chr16:68412307:C:A rs147937461 C A C EBF1_EBF_1 10 1 - 6.234622720868642 2.0518705346413784 ACTCCCAAGGGTTG chr16 68448654 68448655 chr16:68448655:G:A chr16:68448655:G:A G A G EBF1_EBF_1 -20 0 + 0 0 . chr16 68448654 68448655 chr16:68448655:G:C rs1116542 G C G EBF1_EBF_1 -20 0 + 0 0 . chr16 68448688 68448689 chr16:68448689:G:A rs74024534 G A G EBF1_EBF_1 14 0 + 0 0 . chr16 68492945 68492946 chr16:68492946:C:T rs141666735 C T C EBF1_EBF_1 6 1 - 7.041436071261646 9.208230502536122 CATCCCGGGGGACC chr16 68514691 68514692 chr16:68514692:T:C rs696584 T C C EBF1_EBF_1 -5 0 - 0 0 . chr16 68529938 68529939 chr16:68529939:G:A rs9933636 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 68529969 68529970 chr16:68529970:T:G rs199819517 T G T EBF1_EBF_1 -11 0 - 0 0 . chr16 68530404 68530405 chr16:68530405:C:A rs374220942 C A C EBF1_EBF_1 18 0 - 0 0 . chr16 68539858 68539859 chr16:68539859:C:T rs201210860 C T C EBF1_EBF_1 32 0 - 0 0 . chr16 68658863 68658864 chr16:68658864:T:G chr16:68658864:T:G T G T EBF1_EBF_1 -7 0 + 0 0 . chr16 68667587 68667588 chr16:68667588:G:A rs3114396 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 68678313 68678314 chr16:68678314:T:C rs2296410 T C C EBF1_EBF_1 -11 0 - 0 0 . chr16 68686195 68686196 chr16:68686196:C:T rs74653085 C T C EBF1_EBF_1 32 0 - 0 0 . chr16 68707467 68707468 chr16:68707468:G:A rs117090453 G A G EBF1_EBF_1 -15 0 - 0 0 . chr16 68756490 68756491 chr16:68756491:G:A rs11642413 G A G EBF1_EBF_1 -14 0 + 0 0 . chr16 68788437 68788438 chr16:68788438:C:G rs12919719 C G C EBF1_EBF_1 23 0 + 0 0 . chr16 68805852 68805853 chr16:68805853:A:G rs11863754 A G A EBF1_EBF_1 7 1 + 6.021761945426121 4.709303540137296 CCTCCCAAAGGAAA chr16 69017304 69017305 chr16:69017305:T:C rs251108 T C C EBF1_EBF_1 1 1 + 5.183636662611727 4.288203222212982 GTCCCCCAGGGCCC chr16 69017314 69017315 chr16:69017315:C:A chr16:69017315:C:A C A C EBF1_EBF_1 11 1 + 5.183636662611727 9.599598360464116 GTCCCCCAGGGCCC chr16 69105914 69105915 chr16:69105915:G:A rs117912529 G A G EBF1_EBF_1 30 0 - 0 0 . chr16 69105918 69105919 chr16:69105919:T:C rs78602832 T C C EBF1_EBF_1 26 0 - 0 0 . chr16 69119347 69119348 chr16:69119348:G:T rs79051270 G T G EBF1_EBF_1 16 0 + 0 0 . chr16 69132761 69132762 chr16:69132762:C:A rs140751063 C A C EBF1_EBF_1 33 0 - 0 0 . chr16 69132811 69132812 chr16:69132812:G:A chr16:69132812:G:A G A G EBF1_EBF_1 -17 0 - 0 0 . chr16 69315934 69315935 chr16:69315935:T:G rs74821275 T G T EBF1_EBF_1 -12 0 + 0 0 . chr16 69315935 69315936 chr16:69315936:C:T rs143685426 C T C EBF1_EBF_1 -11 0 + 0 0 . chr16 69319044 69319045 chr16:69319045:C:A rs146336898 C A C EBF1_EBF_1 11 1 + 5.902294455643726 10.318256153496115 AGCCCCCGGGGCCT chr16 69385490 69385491 chr16:69385491:G:A rs34415214 G A G EBF1_EBF_1 29 0 + 0 0 . chr16 69404574 69404575 chr16:69404575:C:T rs748103765 C T C EBF1_EBF_1 12 1 - 7.714940328696549 9.133757670796028 AGTCCCCAGGGCGT chr16 69476918 69476919 chr16:69476919:T:C rs55694432 T C t EBF1_EBF_1 -20 0 - 0 0 . chr16 69495885 69495886 chr16:69495886:C:T rs12446774 C T C EBF1_EBF_1 33 0 + 0 0 . chr16 69526904 69526905 chr16:69526905:C:T rs72799382 C T C EBF1_EBF_1 20 0 + 0 0 . chr16 69566087 69566088 chr16:69566088:C:G rs956469921 C G C EBF1_EBF_1 21 0 - 0 0 . chr16 69576177 69576178 chr16:69576178:C:T rs72801314 C T C EBF1_EBF_1 -7 0 + 0 0 . chr16 69726782 69726783 chr16:69726783:G:C rs774565786 G C G EBF1_EBF_1 -5 0 - 0 0 . chr16 69726906 69726907 chr16:69726907:A:G rs116508703 A G A EBF1_EBF_1 11 1 + 7.582306645206012 4.689599801602001 CGTCCCAAGAGAGT chr16 69726919 69726920 chr16:69726920:A:G rs888833235 A G A EBF1_EBF_1 24 0 + 0 0 . chr16 69767493 69767494 chr16:69767494:G:T rs11075734 G T G EBF1_EBF_1 -9 0 + 0 0 . chr16 69810467 69810468 chr16:69810468:C:T rs530959430 C T c EBF1_EBF_1 6 1 + 9.22585291117814 9.527139040039897 GTCCCCCAGGGACA chr16 69927228 69927229 chr16:69927229:C:G rs546462948 C G C EBF1_EBF_1 -9 0 + 0 0 . chr16 69927236 69927237 chr16:69927237:G:A rs73576152 G A G EBF1_EBF_1 -1 0 + 0 0 . chr16 69953254 69953255 chr16:69953255:G:A rs62053339 G A . EBF1_EBF_1 26 0 - 0 0 . chr16 70124607 70124608 chr16:70124608:G:A rs7194531 G A G EBF1_EBF_1 7 1 + 10.657482867071192 11.969941272360018 CTTCCCCGGGGACT chr16 70165350 70165351 chr16:70165351:T:C rs531051836 T C T EBF1_EBF_1 -8 0 + 0 0 . chr16 70165432 70165433 chr16:70165433:C:T chr16:70165433:C:T C T C EBF1_EBF_1 3 1 + 5.640910588906504 -0.7644881526468122 CCTCCCCTGAGAAG chr16 70165435 70165436 chr16:70165436:C:T rs12596424 C T t EBF1_EBF_1 6 1 + 5.640910588906504 5.942196717768262 CCTCCCCTGAGAAG chr16 70289165 70289166 chr16:70289166:C:T rs78479085 C T C EBF1_EBF_1 -7 0 - 0 0 . chr16 70299396 70299397 chr16:70299397:G:T rs74024193 G T G EBF1_EBF_1 25 0 - 0 0 . chr16 70430017 70430018 chr16:70430018:A:T rs3852696 A T A EBF1_EBF_1 -17 0 + 0 0 . chr16 70454347 70454348 chr16:70454348:C:G rs7206347 C G G EBF1_EBF_1 31 0 - 0 0 . chr16 70496455 70496456 chr16:70496456:A:G rs2303793 A G G EBF1_EBF_1 -16 0 - 0 0 . chr16 70577162 70577163 chr16:70577163:C:G rs16970320 C G C EBF1_EBF_1 0 1 - 5.027442439778135 4.664467825646368 GACCCCAGGAGACC chr16 70591019 70591020 chr16:70591020:G:T rs71401816 G T G EBF1_EBF_1 13 1 - 5.023178597306266 4.64943314802029 AGACCCCGGAGACC chr16 70610787 70610788 chr16:70610788:G:C chr16:70610788:G:C G C G EBF1_EBF_1 -13 0 - 0 0 . chr16 70646720 70646721 chr16:70646721:C:T rs74024416 C T C EBF1_EBF_1 16 0 + 0 0 . chr16 70649100 70649101 chr16:70649101:C:T rs1029906420 C T C EBF1_EBF_1 -3 0 + 0 0 . chr16 70654399 70654400 chr16:70654400:C:T rs55704776 C T C EBF1_EBF_1 27 0 + 0 0 . chr16 70656891 70656892 chr16:70656892:G:T rs113117314 G T G EBF1_EBF_1 6 1 - 5.2499482085078055 5.611550576309083 CCTCACCAGGGACT chr16 70656899 70656900 chr16:70656900:A:G rs113866885 A G G EBF1_EBF_1 -2 0 - 0 0 . chr16 70659791 70659792 chr16:70659792:G:C rs11648649 G C G EBF1_EBF_1 -18 0 - 0 0 . chr16 70666459 70666460 chr16:70666460:C:G rs2054183 C G G EBF1_EBF_1 20 0 - 0 0 . chr16 70679750 70679751 chr16:70679751:G:C rs76602679 G C G EBF1_EBF_1 -1 0 - 0 0 . chr16 70680178 70680179 chr16:70680179:G:A rs1371220782 G A g EBF1_EBF_1 26 0 - 0 0 . chr16 70680189 70680190 chr16:70680190:G:T rs115532538 G T g EBF1_EBF_1 15 0 - 0 0 . chr16 70684629 70684630 chr16:70684630:C:G rs7195695 C G G EBF1_EBF_1 25 0 - 0 0 . chr16 70685695 70685696 chr16:70685696:C:T rs745978489 C T - EBF1_EBF_1 -19 0 - 0 0 . chr16 70690528 70690529 chr16:70690529:A:C rs4985560 A C C EBF1_EBF_1 9 1 - 6.4927769075690644 13.329766315657873 ACTCCCCAGTGACT chr16 70699931 70699932 chr16:70699932:C:T rs142119395 C T C EBF1_EBF_1 20 0 - 0 0 . chr16 70713465 70713466 chr16:70713466:G:A rs79489174 G A G EBF1_EBF_1 33 0 - 0 0 . chr16 70713482 70713483 chr16:70713483:G:A rs4985419 G A G EBF1_EBF_1 16 0 - 0 0 . chr16 70725819 70725820 chr16:70725820:T:C rs4985422 T C C EBF1_EBF_1 9 1 - 6.654399812861929 9.309339078309533 CCTCCCAGGAGAAC chr16 70725820 70725821 chr16:70725821:C:T chr16:70725821:C:T C T C EBF1_EBF_1 8 1 - 6.654399812861929 2.428109723975668 CCTCCCAGGAGAAC chr16 70755033 70755034 chr16:70755034:C:T rs79910292 C T C EBF1_EBF_1 -6 0 - 0 0 . chr16 70800717 70800718 chr16:70800718:G:A rs115020085 G A G EBF1_EBF_1 -16 0 + 0 0 . chr16 71357266 71357267 chr16:71357267:A:G rs9940507 A G G EBF1_EBF_1 11 1 + 11.37579396082303 8.48308711721902 CCTCCCTAGGGACT chr16 71364219 71364220 chr16:71364220:T:A rs117671439 T A T EBF1_EBF_1 11 1 - 6.535529397365373 2.4376151412666474 CTCCCCCGGGGAGC chr16 71385691 71385692 chr16:71385692:A:G rs4327058 A G G EBF1_EBF_1 14 0 + 0 0 . chr16 71425156 71425157 chr16:71425157:A:G rs78176188 A G A EBF1_EBF_1 7 1 + 6.2350981071431315 4.922639701854306 GTTCCCAAAGGAGC chr16 71462347 71462348 chr16:71462348:C:G rs186454595 C G C EBF1_EBF_1 31 0 - 0 0 . chr16 71484059 71484060 chr16:71484060:T:C rs16973239 T C T EBF1_EBF_1 -12 0 + 0 0 . chr16 71492890 71492891 chr16:71492891:T:A rs7200431 T A T EBF1_EBF_1 22 0 - 0 0 . chr16 71526615 71526616 chr16:71526616:C:A rs183649241 C A C EBF1_EBF_1 32 0 - 0 0 . chr16 71564603 71564604 chr16:71564604:C:T rs117573445 C T C EBF1_EBF_1 1 1 - 6.1376979882921745 5.876999156370872 AGCCCCTTGGAACT chr16 71634730 71634731 chr16:71634731:T:G rs12932850 T G T EBF1_EBF_1 0 1 - 6.026694695482383 3.771436211785783 AGCCCCCAGGGCAA chr16 71647678 71647679 chr16:71647679:C:G rs2052585 C G G EBF1_EBF_1 27 0 - 0 0 . chr16 71757894 71757895 chr16:71757895:C:T rs9939455 C T C EBF1_EBF_1 4 1 + 12.221704472328186 7.852317527383264 ATCCCCATGGGACT chr16 71762344 71762345 chr16:71762345:C:T rs918230266 C T C EBF1_EBF_1 -13 0 + 0 0 . chr16 71767188 71767189 chr16:71767189:C:G rs9930015 C G G EBF1_EBF_1 -1 0 + 0 0 . chr16 71809559 71809560 chr16:71809560:G:A rs149050441 G A G EBF1_EBF_1 28 0 - 0 0 . chr16 71818152 71818153 chr16:71818153:C:T rs147029685 C T C EBF1_EBF_1 18 0 + 0 0 . chr16 71879121 71879122 chr16:71879122:C:T rs4788568 C T C EBF1_EBF_1 8 1 + 7.315543154438856 5.742769226376348 GTTCCCTACGGACT chr16 71907882 71907883 chr16:71907883:G:A rs73588120 G A G EBF1_EBF_1 -16 0 + 0 0 . chr16 71907895 71907896 chr16:71907896:C:G rs73588121 C G C EBF1_EBF_1 -3 0 + 0 0 . chr16 71967210 71967211 chr16:71967211:G:A rs9928413 G A G EBF1_EBF_1 -11 0 - 0 0 . chr16 72008925 72008926 chr16:72008926:T:G rs3213423 T G G EBF1_EBF_1 31 0 + 0 0 . chr16 72013599 72013600 chr16:72013600:C:T rs16973619 C T C EBF1_EBF_1 6 1 - 7.297025864902849 9.463820296177326 ACCCCCGGGGGATT chr16 72013616 72013617 chr16:72013617:T:C rs187708619 T C T EBF1_EBF_1 -11 0 - 0 0 . chr16 72013949 72013950 chr16:72013950:C:T rs78383425 C T C EBF1_EBF_1 8 1 - 5.06156620433819 0.8352761154519284 CCTCCCACGGGGAA chr16 72101419 72101420 chr16:72101420:T:C rs8043606 T C c EBF1_EBF_1 18 0 - 0 0 . chr16 72101425 72101426 chr16:72101426:C:G chr16:72101426:C:G C G C EBF1_EBF_1 12 1 - 8.71978701218724 10.10842295586539 AATCCCACGGGAGA chr16 72105496 72105497 chr16:72105497:T:C rs150617 T C C EBF1_EBF_1 -12 0 - 0 0 . chr16 72129066 72129067 chr16:72129067:G:T rs34832584 G T G EBF1_EBF_1 -13 0 - 0 0 . chr16 72151774 72151775 chr16:72151775:C:T rs8052338 C T C EBF1_EBF_1 -8 0 + 0 0 . chr16 72191731 72191732 chr16:72191732:G:C rs184346467 G C G EBF1_EBF_1 33 0 - 0 0 . chr16 72372194 72372195 chr16:72372195:T:G rs806734 T G G EBF1_EBF_1 16 0 - 0 0 . chr16 72665205 72665206 chr16:72665206:G:A rs191646584 G A G EBF1_EBF_1 -18 0 + 0 0 . chr16 72665218 72665219 chr16:72665219:C:T rs16971136 C T T EBF1_EBF_1 -5 0 + 0 0 . chr16 72665221 72665222 chr16:72665222:G:A rs374220180 G A G EBF1_EBF_1 -2 0 + 0 0 . chr16 72665232 72665233 chr16:72665233:G:T rs576594886 G T G EBF1_EBF_1 9 1 + 5.8208382399252585 -1.0161511681635504 GCACCCACGGGACT chr16 72788060 72788061 chr16:72788061:G:C rs190630380 G C C EBF1_EBF_1 7 1 - 4.281400651275508 5.262721232356864 TCCCCCCCGGGGCT chr16 72847466 72847467 chr16:72847467:T:C rs143043373 T C T EBF1_EBF_1 6 1 - 5.459380107792709 3.2925856765182324 GCTCCCAGGGTACC chr16 72877189 72877190 chr16:72877190:G:A rs117704653 G A G EBF1_EBF_1 8 1 - 7.050874504129346 5.478100576066837 ATTCCCTGCGGACC chr16 72882017 72882018 chr16:72882018:T:C chr16:72882018:T:C T C T EBF1_EBF_1 -6 0 - 0 0 . chr16 72902670 72902671 chr16:72902671:T:G rs4788481 T G T EBF1_EBF_1 -20 0 + 0 0 . chr16 72924879 72924880 chr16:72924880:G:C rs7193403 G C C EBF1_EBF_1 12 1 - 7.960336445183055 6.571700501504903 AGTCCCATAGGACA chr16 72928057 72928058 chr16:72928058:G:A rs149790932 G A G EBF1_EBF_1 -20 0 - 0 0 . chr16 72969533 72969534 chr16:72969534:C:T rs79842573 C T C EBF1_EBF_1 30 0 + 0 0 . chr16 72992539 72992540 chr16:72992540:G:T rs58563212 G T G EBF1_EBF_1 3 1 - 9.517151947802207 2.610977918336399 CCCCCCTAGGGAAT chr16 72995021 72995022 chr16:72995022:C:T rs756720 C T C EBF1_EBF_1 -14 0 - 0 0 . chr16 73001006 73001007 chr16:73001007:C:T rs34416434 C T C EBF1_EBF_1 -15 0 + 0 0 . chr16 73001038 73001039 chr16:73001039:C:T rs12445992 C T C EBF1_EBF_1 17 0 + 0 0 . chr16 73016855 73016856 chr16:73016856:C:T rs918241159 C T C EBF1_EBF_1 -20 0 + 0 0 . chr16 73043957 73043958 chr16:73043958:G:A chr16:73043958:G:A G A G EBF1_EBF_1 18 0 - 0 0 . chr16 73043988 73043989 chr16:73043989:C:G rs188401735 C G C EBF1_EBF_1 -13 0 - 0 0 . chr16 73064191 73064192 chr16:73064192:G:C rs1075855 G C G EBF1_EBF_1 -12 0 - 0 0 . chr16 73113584 73113585 chr16:73113585:G:A rs12597935 G A g EBF1_EBF_1 32 0 + 0 0 . chr16 73154179 73154180 chr16:73154180:G:A rs11644027 G A G EBF1_EBF_1 -13 0 - 0 0 . chr16 73268906 73268907 chr16:73268907:G:A rs58063022 G A G EBF1_EBF_1 4 1 - 4.973567329534335 0.6041803845894109 TGTCCCCGGGGCCC chr16 73321477 73321478 chr16:73321478:A:G rs4888559 A G G EBF1_EBF_1 -3 0 + 0 0 . chr16 73334587 73334588 chr16:73334588:C:G rs542351376 C G C EBF1_EBF_1 5 1 + 5.371936025247366 -1.5329451273878025 CTCCCCAAAGGACC chr16 73344974 73344975 chr16:73344975:G:T rs12935542 G T G EBF1_EBF_1 1 1 + 7.036304291584067 7.741966077413593 GGTCCCTTGGGCCT chr16 73384938 73384939 chr16:73384939:C:G rs1862764 C G G EBF1_EBF_1 25 0 - 0 0 . chr16 73384969 73384970 chr16:73384970:G:A rs11648080 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 73408580 73408581 chr16:73408581:A:G rs56352339 A G A EBF1_EBF_1 19 0 - 0 0 . chr16 73420741 73420742 chr16:73420742:G:A rs12924196 G A G EBF1_EBF_1 -8 0 - 0 0 . chr16 73433833 73433834 chr16:73433834:C:T rs1423727 C T T EBF1_EBF_1 27 0 - 0 0 . chr16 73457669 73457670 chr16:73457670:A:T rs8056162 A T t EBF1_EBF_1 2 1 - 6.131015911161197 2.4465483235623195 CTTCCCAGGAGAGC chr16 73600285 73600286 chr16:73600286:G:A rs11149919 G A G EBF1_EBF_1 8 1 + 6.31777266822191 2.091482579335649 CTTCCCAAGAGATG chr16 73751961 73751962 chr16:73751962:C:T rs328338 C T T EBF1_EBF_1 6 1 - 7.906426414114993 10.073220845389468 ATCCCCGAGAGAAT chr16 73798821 73798822 chr16:73798822:G:C rs437552 G C G EBF1_EBF_1 3 1 - 8.524849747217932 2.6970852991027163 CGTCCCATGAGAAT chr16 74054191 74054192 chr16:74054192:T:G rs2387084 T G T EBF1_EBF_1 -3 0 - 0 0 . chr16 74055585 74055586 chr16:74055586:C:T rs2526045 C T C EBF1_EBF_1 21 0 - 0 0 . chr16 74101092 74101093 chr16:74101093:G:A rs914786956 G A G EBF1_EBF_1 10 1 + 5.737871495042661 0.4198430357756956 CCTCCCAGGGGCAT chr16 74296362 74296363 chr16:74296363:A:G rs16973178 A G . EBF1_EBF_1 -14 0 + 0 0 . chr16 74411623 74411624 chr16:74411624:C:A rs56135027 C A . EBF1_EBF_1 17 0 - 0 0 . chr16 74413728 74413729 chr16:74413729:G:C rs769222287 G C . EBF1_EBF_1 0 1 - 4.822969281865479 5.185943895997246 CCTCCCCAGGGGTG chr16 74449388 74449389 chr16:74449389:C:G rs11149721 C G G EBF1_EBF_1 19 0 - 0 0 . chr16 74449389 74449390 chr16:74449390:A:T rs75534023 A T A EBF1_EBF_1 18 0 - 0 0 . chr16 74457834 74457835 chr16:74457835:A:G rs2288054 A G A EBF1_EBF_1 9 1 + 8.287968431035543 10.942907696483145 CTTCCCAAGAGAGT chr16 74618152 74618153 chr16:74618153:G:A rs1032266173 G A G EBF1_EBF_1 21 0 + 0 0 . chr16 74665684 74665685 chr16:74665685:G:A rs111322001 G A G EBF1_EBF_1 14 0 + 0 0 . chr16 74709946 74709947 chr16:74709947:C:T rs73614632 C T T EBF1_EBF_1 18 0 + 0 0 . chr16 74712898 74712899 chr16:74712899:T:C rs4247497 T C C EBF1_EBF_1 31 0 + 0 0 . chr16 74738347 74738348 chr16:74738348:G:A rs13337980 G A G EBF1_EBF_1 -20 0 - 0 0 . chr16 74740834 74740835 chr16:74740835:T:A rs138349754 T A T EBF1_EBF_1 10 1 + 6.152799688248608 5.017523415208906 AGTCCCAGGGTAGC chr16 74748955 74748956 chr16:74748956:G:A rs75473370 G A G EBF1_EBF_1 4 1 - 5.471083983708499 1.1016970387635747 TTCCCCCTGGGCCT chr16 74765959 74765960 chr16:74765960:G:A rs118138103 G A G EBF1_EBF_1 -11 0 - 0 0 . chr16 74786864 74786865 chr16:74786865:T:A rs35492657 T A A EBF1_EBF_1 -10 0 - 0 0 . chr16 74859904 74859905 chr16:74859905:G:A rs8048825 G A G EBF1_EBF_1 6 1 - 5.052980922376182 5.35426705123794 GGTCCCCGGAGAGA chr16 74859913 74859914 chr16:74859914:T:C rs8055079 T C C EBF1_EBF_1 -3 0 - 0 0 . chr16 74919117 74919118 chr16:74919118:C:T rs35922463 C T C EBF1_EBF_1 17 0 - 0 0 . chr16 74919122 74919123 chr16:74919123:G:A rs13335129 G A G EBF1_EBF_1 12 1 - 8.718948463408788 7.69268189285794 GGCCCCTTGGGACC chr16 75038644 75038645 chr16:75038645:G:T rs73614023 G T G EBF1_EBF_1 1 1 - 6.02313419175661 5.952207014404526 GCTCCCCAAGGAAA chr16 75100051 75100052 chr16:75100052:T:C rs555753168 T C T EBF1_EBF_1 -18 0 - 0 0 . chr16 75103204 75103205 chr16:75103205:A:G rs62059204 A G A EBF1_EBF_1 27 0 - 0 0 . chr16 75106113 75106114 chr16:75106114:G:A rs3826112 G A G EBF1_EBF_1 -4 0 - 0 0 . chr16 75188867 75188868 chr16:75188868:C:G rs540190969 C G C EBF1_EBF_1 2 1 + 5.444943555637274 0.5854412768789565 ATCCCCCATGGACT chr16 75196012 75196013 chr16:75196013:C:T rs76696192 C T c EBF1_EBF_1 20 0 + 0 0 . chr16 75196553 75196554 chr16:75196554:C:T rs28455072 C T T EBF1_EBF_1 -18 0 + 0 0 . chr16 75196739 75196740 chr16:75196740:T:C rs1019537 T C C EBF1_EBF_1 2 1 + 9.58407751423413 7.695254102791981 ATTCCCTAGGGTCC chr16 75204599 75204600 chr16:75204600:C:G rs8048891 C G C EBF1_EBF_1 16 0 + 0 0 . chr16 75206369 75206370 chr16:75206370:C:A rs375107590 C A c EBF1_EBF_1 15 0 + 0 0 . chr16 75207884 75207885 chr16:75207885:C:T rs57068217 C T c EBF1_EBF_1 18 0 - 0 0 . chr16 75207914 75207915 chr16:75207915:T:C rs11642025 T C t EBF1_EBF_1 -12 0 - 0 0 . chr16 75208968 75208969 chr16:75208969:T:C rs9928842 T C t EBF1_EBF_1 -4 0 + 0 0 . chr16 75226265 75226266 chr16:75226266:C:G rs17673911 C G C EBF1_EBF_1 5 1 + 8.242551232169154 1.3376700795339882 ACTCCCTTGGGTAC chr16 75228739 75228740 chr16:75228740:G:A rs77276355 G A G EBF1_EBF_1 0 1 - 5.387269137608399 5.669471198338977 CAACCCTAGGGAGC chr16 75228740 75228741 chr16:75228741:C:A rs116990773 C A A EBF1_EBF_1 -1 0 - 0 0 . chr16 75237959 75237960 chr16:75237960:G:A rs186822920 G A G EBF1_EBF_1 33 0 - 0 0 . chr16 75238468 75238469 chr16:75238469:C:T rs13337017 C T C EBF1_EBF_1 2 1 + 5.279161632088263 7.167985043530412 AACCCCTAGAGGCC chr16 75240761 75240762 chr16:75240762:T:G rs113975127 T G T EBF1_EBF_1 32 0 - 0 0 . chr16 75244443 75244444 chr16:75244444:C:T rs180750574 C T C EBF1_EBF_1 17 0 + 0 0 . chr16 75245223 75245224 chr16:75245224:G:A rs372794512 G A G EBF1_EBF_1 -11 0 + 0 0 . chr16 75264402 75264403 chr16:75264403:C:A rs140007108 C A C EBF1_EBF_1 -17 0 + 0 0 . chr16 75265327 75265328 chr16:75265328:G:A rs145343355 G A G EBF1_EBF_1 3 1 - 4.784448779191898 -1.6209499623614196 ACTCCCCCGGGGTG chr16 75265538 75265539 chr16:75265539:A:G rs11640470 A G A EBF1_EBF_1 -11 0 - 0 0 . chr16 75265587 75265588 chr16:75265588:C:G rs146946023 C G C EBF1_EBF_1 13 1 + 4.568906237676467 3.080835216321052 CTCCCCGAGGGGAC chr16 75265605 75265606 chr16:75265606:G:C rs757822796 G C G EBF1_EBF_1 31 0 + 0 0 . chr16 75266349 75266350 chr16:75266350:G:C rs7185352 G C G EBF1_EBF_1 0 1 + 7.175348119779199 6.8123735056474315 GACCCCCAGGGAAG chr16 75379008 75379009 chr16:75379009:A:G rs11860284 A G A EBF1_EBF_1 17 0 - 0 0 . chr16 75432987 75432988 chr16:75432988:G:A rs868574086 G A G EBF1_EBF_1 -20 0 + 0 0 . chr16 75433004 75433005 chr16:75433005:G:C rs117197103 G C G EBF1_EBF_1 -3 0 + 0 0 . chr16 75495060 75495061 chr16:75495061:C:G rs117269245 C G C EBF1_EBF_1 27 0 - 0 0 . chr16 75495678 75495679 chr16:75495679:G:A rs78216861 G A G EBF1_EBF_1 14 0 + 0 0 . chr16 75499821 75499822 chr16:75499822:A:G rs146279442 A G a EBF1_EBF_1 12 1 + 5.95975731683372 4.5409399747342425 TCTCCCTGGGGCAT chr16 75555621 75555622 chr16:75555622:A:G rs1306052744 A G A EBF1_EBF_1 -16 0 + 0 0 . chr16 75555639 75555640 chr16:75555640:T:A rs75049001 T A T EBF1_EBF_1 2 1 + 5.927772000838722 2.243304413239846 ATTCCCTAGGTCCT chr16 75555641 75555642 chr16:75555642:C:G rs534002998 C G C EBF1_EBF_1 4 1 + 5.927772000838722 0.3020068996891773 ATTCCCTAGGTCCT chr16 75555691 75555692 chr16:75555692:G:A rs16975847 G A G EBF1_EBF_1 -13 0 + 0 0 . chr16 75565294 75565295 chr16:75565295:G:A rs552541322 G A G EBF1_EBF_1 6 1 - 8.860856192257787 9.162142321119543 ATTCCCCTGAGAGC chr16 75565305 75565306 chr16:75565306:C:G chr16:75565306:C:G C G C EBF1_EBF_1 -5 0 - 0 0 . chr16 75572461 75572462 chr16:75572462:G:T rs3743604 G T G EBF1_EBF_1 17 0 - 0 0 . chr16 75572488 75572489 chr16:75572489:T:C rs3743605 T C C EBF1_EBF_1 -10 0 - 0 0 . chr16 75646596 75646597 chr16:75646597:C:T rs73615082 C T T EBF1_EBF_1 23 0 - 0 0 . chr16 75765219 75765220 chr16:75765220:C:G rs8051378 C G G EBF1_EBF_1 20 0 - 0 0 . chr16 75908560 75908561 chr16:75908561:T:G rs7205131 T G G EBF1_EBF_1 -16 0 + 0 0 . chr16 75921206 75921207 chr16:75921207:C:T rs12102700 C T T EBF1_EBF_1 -3 0 - 0 0 . chr16 75958131 75958132 chr16:75958132:G:A rs1025898563 G A T EBF1_EBF_1 22 0 - 0 0 . chr16 76367971 76367972 chr16:76367972:T:G rs12934879 T G T EBF1_EBF_1 11 1 - 6.630749720740825 2.2147880228884347 TCTCCCTAGGTACC chr16 76410455 76410456 chr16:76410456:G:T rs4315362 G T T EBF1_EBF_1 32 0 + 0 0 . chr16 76454725 76454726 chr16:76454726:G:A rs12444499 G A G EBF1_EBF_1 28 0 + 0 0 . chr16 76458787 76458788 chr16:76458788:G:A rs8051320 G A G EBF1_EBF_1 -3 0 - 0 0 . chr16 76545501 76545502 chr16:76545502:G:C rs62048245 G C G EBF1_EBF_1 -7 0 - 0 0 . chr16 76835075 76835076 chr16:76835076:T:G rs59944322 T G T EBF1_EBF_1 15 0 + 0 0 . chr16 76932239 76932240 chr16:76932240:G:C rs6420396 G C G EBF1_EBF_1 -6 0 + 0 0 . chr16 77109227 77109228 chr16:77109228:G:A rs11647843 G A G EBF1_EBF_1 23 0 - 0 0 . chr16 77172127 77172128 chr16:77172128:T:C rs55868158 T C T EBF1_EBF_1 -9 0 - 0 0 . chr16 77191542 77191543 chr16:77191543:G:T rs35193130 G T G EBF1_EBF_1 -15 0 - 0 0 . chr16 77207146 77207147 chr16:77207147:C:T rs73632731 C T C EBF1_EBF_1 -18 0 + 0 0 . chr16 77212949 77212950 chr16:77212950:T:G rs2278048 T G G EBF1_EBF_1 -8 0 + 0 0 . chr16 77213408 77213409 chr16:77213409:T:C rs8061864 T C C EBF1_EBF_1 -13 0 + 0 0 . chr16 77213431 77213432 chr16:77213432:G:A rs62049596 G A G EBF1_EBF_1 10 1 + 4.714398659351683 -0.6036297999152842 GTCCCCTCGGGGCC chr16 77214028 77214029 chr16:77214029:G:C rs12051195 G C G EBF1_EBF_1 28 0 + 0 0 . chr16 77336742 77336743 chr16:77336743:T:C rs8063520 T C T EBF1_EBF_1 0 1 - 10.03078696592658 8.138503096361747 ATCCCCTAGGGAGA chr16 77344897 77344898 chr16:77344898:A:G rs190131891 A G A EBF1_EBF_1 29 0 + 0 0 . chr16 77589102 77589103 chr16:77589103:G:A rs12925765 G A G EBF1_EBF_1 7 1 + 5.1552434188155 6.467701824104325 GATCCCAGGGGCCC chr16 77638235 77638236 chr16:77638236:T:C rs11640175 T C T EBF1_EBF_1 31 0 - 0 0 . chr16 77638285 77638286 chr16:77638286:C:T rs11644000 C T T EBF1_EBF_1 -19 0 - 0 0 . chr16 77652477 77652478 chr16:77652478:A:G rs16946235 A G A EBF1_EBF_1 -17 0 + 0 0 . chr16 77681142 77681143 chr16:77681143:T:C rs9930144 T C T EBF1_EBF_1 0 1 + 6.8731619415326675 6.590959880802089 TTACCCCTGGGACA chr16 77748641 77748642 chr16:77748642:G:C rs9972651 G C C EBF1_EBF_1 -15 0 - 0 0 . chr16 77835234 77835235 chr16:77835235:G:A rs187157944 G A G EBF1_EBF_1 18 0 - 0 0 . chr16 77835259 77835260 chr16:77835260:T:A rs114763522 T A T EBF1_EBF_1 -7 0 - 0 0 . chr16 77906636 77906637 chr16:77906637:A:G rs10514431 A G A EBF1_EBF_1 0 1 - 11.225876762539746 10.943674701809169 TTTCCCCAGGGATT chr16 77965164 77965165 chr16:77965165:A:G rs619805 A G A EBF1_EBF_1 21 0 + 0 0 . chr16 77969266 77969267 chr16:77969267:C:T rs420862 C T C EBF1_EBF_1 17 0 - 0 0 . chr16 77977496 77977497 chr16:77977497:C:T rs564326649 C T C EBF1_EBF_1 -17 0 + 0 0 . chr16 77977501 77977502 chr16:77977502:C:T rs146676777 C T C EBF1_EBF_1 -12 0 + 0 0 . chr16 78034650 78034651 chr16:78034651:C:T rs7499775 C T C EBF1_EBF_1 9 1 - 9.645282001362292 6.990342735914688 AGCCCCATGGGATC chr16 78046376 78046377 chr16:78046377:C:G rs12923218 C G G EBF1_EBF_1 15 0 + 0 0 . chr16 78046387 78046388 chr16:78046388:T:C rs12927722 T C C EBF1_EBF_1 26 0 + 0 0 . chr16 78127207 78127208 chr16:78127208:G:T rs76009490 G T G EBF1_EBF_1 -20 0 + 0 0 . chr16 78260129 78260130 chr16:78260130:A:G rs7184393 A G A EBF1_EBF_1 18 0 + 0 0 . chr16 78317727 78317728 chr16:78317728:A:G rs7193133 A G A EBF1_EBF_1 6 1 + 4.70599017619368 2.539195744919204 CTCCCCATGGGCCC chr16 78326955 78326956 chr16:78326956:C:G rs72792356 C G C EBF1_EBF_1 -20 0 + 0 0 . chr16 78339814 78339815 chr16:78339815:G:A rs72794006 G A G EBF1_EBF_1 16 0 - 0 0 . chr16 78351414 78351415 chr16:78351415:A:G rs6564527 A G G EBF1_EBF_1 -20 0 + 0 0 . chr16 78543153 78543154 chr16:78543154:T:C rs115164563 T C T EBF1_EBF_1 -10 0 - 0 0 . chr16 78669383 78669384 chr16:78669384:G:C rs72796607 G C G EBF1_EBF_1 24 0 - 0 0 . chr16 78675649 78675650 chr16:78675650:T:G rs8051011 T G G EBF1_EBF_1 -15 0 - 0 0 . chr16 78685301 78685302 chr16:78685302:T:C rs3751881 T C T EBF1_EBF_1 7 1 - 9.99897850422036 8.686520098931535 CTTCCCAAGGGACG chr16 78685311 78685312 chr16:78685312:A:G rs74032724 A G A EBF1_EBF_1 -3 0 - 0 0 . chr16 78691884 78691885 chr16:78691885:G:C rs142535583 G C G EBF1_EBF_1 5 1 - 4.662435250146068 -2.242445902489102 CTCCCCCTGGGTCC chr16 78792849 78792850 chr16:78792850:A:G rs117855422 A G A EBF1_EBF_1 2 1 + 5.333517329253298 2.269659226651707 TCACCCCGGGGATT chr16 78817753 78817754 chr16:78817754:C:G rs7205028 C G G EBF1_EBF_1 0 1 + 7.812461196274008 8.175435810405775 CTTCCCCTGAGATT chr16 78830777 78830778 chr16:78830778:C:T rs8045635 C T C EBF1_EBF_1 10 1 - 8.641709319980793 3.3236808607138286 ACTCCCAGGGGGCC chr16 78830801 78830802 chr16:78830802:C:A rs7186325 C A A EBF1_EBF_1 -14 0 - 0 0 . chr16 78836398 78836399 chr16:78836399:G:A rs560817012 G A G EBF1_EBF_1 5 1 - 6.175641925387082 0.8538547098464128 AATCCCAGGGAAAC chr16 78844840 78844841 chr16:78844841:C:G rs67150563 C G C EBF1_EBF_1 -18 0 + 0 0 . chr16 78844863 78844864 chr16:78844864:C:G rs150347467 C G C EBF1_EBF_1 5 1 + 5.612922822639572 -1.2919583299955975 GCTCACCAGGGACT chr16 78844879 78844880 chr16:78844880:C:T rs138143405 C T C EBF1_EBF_1 21 0 + 0 0 . chr16 78844884 78844885 chr16:78844885:A:G rs76275106 A G A EBF1_EBF_1 26 0 + 0 0 . chr16 78932646 78932647 chr16:78932647:C:T rs8055230 C T C EBF1_EBF_1 -18 0 + 0 0 . chr16 78932973 78932974 chr16:78932974:A:G rs62035963 A G A EBF1_EBF_1 30 0 - 0 0 . chr16 78933020 78933021 chr16:78933021:C:G rs143879611 C G C EBF1_EBF_1 -17 0 - 0 0 . chr16 78973053 78973054 chr16:78973054:C:A rs11150122 C A A EBF1_EBF_1 8 1 - 11.119924935368072 4.228492146390878 AATCCCAGGGGAAA chr16 78988542 78988543 chr16:78988543:C:G rs149501393 C G C EBF1_EBF_1 23 0 - 0 0 . chr16 78990599 78990600 chr16:78990600:G:T rs1983095 G T G EBF1_EBF_1 32 0 + 0 0 . chr16 78993962 78993963 chr16:78993963:C:A rs570642724 C A C EBF1_EBF_1 3 1 - 5.32786410808061 4.750229814642509 CTTGCCCAGGGAAC chr16 78993980 78993981 chr16:78993981:C:G rs62036059 C G C EBF1_EBF_1 -15 0 - 0 0 . chr16 79020108 79020109 chr16:79020109:A:C rs2550718 A C C EBF1_EBF_1 31 0 - 0 0 . chr16 79020122 79020123 chr16:79020123:G:A rs2550717 G A G EBF1_EBF_1 17 0 - 0 0 . chr16 79031271 79031272 chr16:79031272:A:G rs1862838 A G G EBF1_EBF_1 -16 0 + 0 0 . chr16 79075042 79075043 chr16:79075043:A:G rs9937803 A G A EBF1_EBF_1 20 0 + 0 0 . chr16 79205304 79205305 chr16:79205305:T:C rs419512 T C C EBF1_EBF_1 17 0 - 0 0 . chr16 79205334 79205335 chr16:79205335:C:T rs374770938 C T C EBF1_EBF_1 -13 0 - 0 0 . chr16 79234959 79234960 chr16:79234960:A:C rs376903 A C C EBF1_EBF_1 6 1 + 8.99095915264754 8.629356784846262 ATCCCCAAGGGGAC chr16 79323698 79323699 chr16:79323699:A:T rs7186744 A T A EBF1_EBF_1 0 1 + 9.663654773783202 7.690598350817179 ACTCCCTGGAGACT chr16 79401060 79401061 chr16:79401061:T:A rs78321794 T A T EBF1_EBF_1 21 0 - 0 0 . chr16 79470390 79470391 chr16:79470391:G:T rs929862 G T G EBF1_EBF_1 3 1 - 5.890524048136792 -1.0156499813290156 GGTCCCCTGGGCCC chr16 79484236 79484237 chr16:79484237:C:G rs2549499 C G G EBF1_EBF_1 17 0 + 0 0 . chr16 79508496 79508497 chr16:79508497:G:A rs118171166 G A G EBF1_EBF_1 2 1 - 5.326675870582166 7.215499282024316 AGCGCCCTGGGACT chr16 79535233 79535234 chr16:79535234:G:T rs112657354 G T G EBF1_EBF_1 26 0 + 0 0 . chr16 79542459 79542460 chr16:79542460:G:A rs77262468 G A G EBF1_EBF_1 3 1 - 4.901108009110116 -1.504290732443201 TGTCCCTGGGGCCA chr16 79562467 79562468 chr16:79562468:T:C rs250167 T C T EBF1_EBF_1 12 1 - 7.3277732793429795 5.908955937243502 TTTCCCAAGGGTAA chr16 79691118 79691119 chr16:79691119:G:A rs79193369 G A G EBF1_EBF_1 -14 0 - 0 0 . chr16 79754633 79754634 chr16:79754634:G:T rs9932785 G T G EBF1_EBF_1 5 1 - 5.539860464009565 -1.3650206886256038 AGCCCCTAGAGGCC chr16 79756764 79756765 chr16:79756765:T:C rs62041316 T C T EBF1_EBF_1 -3 0 + 0 0 . chr16 79768506 79768507 chr16:79768507:C:A rs13338008 C A A EBF1_EBF_1 32 0 - 0 0 . chr16 79770164 79770165 chr16:79770165:G:A rs7203103 G A g EBF1_EBF_1 -5 0 - 0 0 . chr16 79770179 79770180 chr16:79770180:T:G rs7187245 T G g EBF1_EBF_1 -20 0 - 0 0 . chr16 79896135 79896136 chr16:79896136:C:A rs117225199 C A C EBF1_EBF_1 -4 0 - 0 0 . chr16 79897271 79897272 chr16:79897272:T:G rs80270565 T G T EBF1_EBF_1 -8 0 - 0 0 . chr16 79897274 79897275 chr16:79897275:C:T rs189034658 C T C EBF1_EBF_1 -11 0 - 0 0 . chr16 79944238 79944239 chr16:79944239:C:G rs74322606 C G C EBF1_EBF_1 -5 0 - 0 0 . chr16 79952322 79952323 chr16:79952323:A:C rs16951740 A C C EBF1_EBF_1 -14 0 + 0 0 . chr16 79952356 79952357 chr16:79952357:C:T rs16951741 C T T EBF1_EBF_1 20 0 + 0 0 . chr16 80035630 80035631 chr16:80035631:C:G rs4470161 C G G EBF1_EBF_1 -15 0 - 0 0 . chr16 80065341 80065342 chr16:80065342:T:G rs4889090 T G T EBF1_EBF_1 18 0 + 0 0 . chr16 80197835 80197836 chr16:80197836:A:G rs13380441 A G G EBF1_EBF_1 -9 0 + 0 0 . chr16 80203828 80203829 chr16:80203829:C:T rs1564220 C T T EBF1_EBF_1 -18 0 + 0 0 . chr16 80253771 80253772 chr16:80253772:T:C rs11642736 T C T EBF1_EBF_1 -14 0 + 0 0 . chr16 80309551 80309552 chr16:80309552:C:T rs11643337 C T C EBF1_EBF_1 16 0 + 0 0 . chr16 80369398 80369399 chr16:80369399:A:C rs12443973 A C A EBF1_EBF_1 18 0 + 0 0 . chr16 80387490 80387491 chr16:80387491:T:C rs11642924 T C T EBF1_EBF_1 2 1 + 4.658861960490276 2.7700385490481247 GCTGCCAGGGGACT chr16 80389825 80389826 chr16:80389826:A:G rs1156096 A G G EBF1_EBF_1 -15 0 - 0 0 . chr16 80541348 80541349 chr16:80541349:C:G rs7202484 C G G EBF1_EBF_1 26 0 - 0 0 . chr16 80580517 80580518 chr16:80580518:T:C rs7204803 T C T EBF1_EBF_1 28 0 + 0 0 . chr16 80615312 80615313 chr16:80615313:G:T rs72824127 G T G EBF1_EBF_1 27 0 - 0 0 . chr16 80615359 80615360 chr16:80615360:A:G rs4315348 A G A EBF1_EBF_1 -20 0 - 0 0 . chr16 80618034 80618035 chr16:80618035:A:G rs2167671 A G G EBF1_EBF_1 33 0 + 0 0 . chr16 80618578 80618579 chr16:80618579:T:C rs12445766 T C T EBF1_EBF_1 6 1 + 5.402524326975872 5.101238198114116 ACCCCCTGGGTACC chr16 80620300 80620301 chr16:80620301:C:T rs79323964 C T C EBF1_EBF_1 -2 0 + 0 0 . chr16 80620312 80620313 chr16:80620313:G:A rs11648844 G A G EBF1_EBF_1 10 1 + 4.795405281813631 -0.5226231774533346 GCTGCCCAGGGAAC chr16 80687837 80687838 chr16:80687838:C:T rs17752993 C T T EBF1_EBF_1 -5 0 - 0 0 . chr16 80691240 80691241 chr16:80691241:A:G rs145830097 A G A EBF1_EBF_1 -4 0 - 0 0 . chr16 80733545 80733546 chr16:80733546:C:A rs8045164 C A A EBF1_EBF_1 14 0 - 0 0 . chr16 80759820 80759821 chr16:80759821:C:T rs75824085 C T c EBF1_EBF_1 19 0 - 0 0 . chr16 80759824 80759825 chr16:80759825:A:G rs9928152 A G A EBF1_EBF_1 15 0 - 0 0 . chr16 80803465 80803466 chr16:80803466:G:A rs114094868 G A G EBF1_EBF_1 23 0 + 0 0 . chr16 80803472 80803473 chr16:80803473:C:T rs144502647 C T C EBF1_EBF_1 30 0 + 0 0 . chr16 80804613 80804614 chr16:80804614:C:T rs1285265285 C T C EBF1_EBF_1 4 1 + 4.848547030454489 0.4791600855095655 CGCCCCCCGGGAGC chr16 80804679 80804680 chr16:80804680:G:T rs187975120 G T G EBF1_EBF_1 10 1 + 5.4133114917407985 1.2305593055135333 CGCCCCGGGGGACC chr16 80900563 80900564 chr16:80900564:G:C rs115025806 G C G EBF1_EBF_1 -5 0 + 0 0 . chr16 80900597 80900598 chr16:80900598:A:C rs7186061 A C C EBF1_EBF_1 29 0 + 0 0 . chr16 80938570 80938571 chr16:80938571:G:T rs74028870 G T G EBF1_EBF_1 26 0 - 0 0 . chr16 80938590 80938591 chr16:80938591:G:A rs74028871 G A G EBF1_EBF_1 6 1 - 5.707764119355423 6.0090502482171795 ATCCCCCGGGGTCA chr16 81004672 81004673 chr16:81004673:G:C rs2549871 G C G EBF1_EBF_1 3 1 - 6.82367969094074 0.9959152428255234 ACTCCCCAGGAAAA chr16 81004683 81004684 chr16:81004684:G:A rs7202885 G A G EBF1_EBF_1 -8 0 - 0 0 . chr16 81004685 81004686 chr16:81004686:G:C rs773178837 G C G EBF1_EBF_1 -10 0 - 0 0 . chr16 81041800 81041801 chr16:81041801:A:G rs71400136 A G A EBF1_EBF_1 22 0 - 0 0 . chr16 81095889 81095890 chr16:81095890:C:A rs874437 C A C EBF1_EBF_1 -18 0 + 0 0 . chr16 81148377 81148378 chr16:81148378:G:A rs35424543 G A G EBF1_EBF_1 -12 0 - 0 0 . chr16 81148502 81148503 chr16:81148503:A:G rs9940095 A G G EBF1_EBF_1 33 0 - 0 0 . chr16 81148511 81148512 chr16:81148512:G:C rs9929059 G C G EBF1_EBF_1 24 0 - 0 0 . chr16 81148551 81148552 chr16:81148552:G:A rs9929066 G A G EBF1_EBF_1 -16 0 - 0 0 . chr16 81155904 81155905 chr16:81155905:G:A rs1563073 G A G EBF1_EBF_1 -6 0 + 0 0 . chr16 81156004 81156005 chr16:81156005:G:A rs60446556 G A G EBF1_EBF_1 -3 0 + 0 0 . chr16 81158638 81158639 chr16:81158639:C:T rs9929105 C T C EBF1_EBF_1 1 1 + 7.32626733522509 8.221700775623836 ACCCCCTAGGGCCT chr16 81158650 81158651 chr16:81158651:T:A rs9921509 T A A EBF1_EBF_1 13 1 + 7.32626733522509 6.108027771353596 ACCCCCTAGGGCCT chr16 81168014 81168015 chr16:81168015:C:A rs35292101 C A C EBF1_EBF_1 -3 0 - 0 0 . chr16 81168019 81168020 chr16:81168020:G:A rs34504526 G A G EBF1_EBF_1 -8 0 - 0 0 . chr16 81177308 81177309 chr16:81177309:T:G rs8049408 T G T EBF1_EBF_1 28 0 + 0 0 . chr16 81181447 81181448 chr16:81181448:G:A rs536946016 G A G EBF1_EBF_1 8 1 + 6.84888491618918 2.6225948273029176 CCTCCCTCGGGAGC chr16 81186417 81186418 chr16:81186418:T:C rs9935756 T C C EBF1_EBF_1 -20 0 - 0 0 . chr16 81211029 81211030 chr16:81211030:T:G rs11150371 T G T EBF1_EBF_1 -5 0 - 0 0 . chr16 81254670 81254671 chr16:81254671:A:G rs77149856 A G A EBF1_EBF_1 -10 0 + 0 0 . chr16 81394467 81394468 chr16:81394468:G:A rs12920572 G A G EBF1_EBF_1 5 1 - 7.061661401074215 1.7398741855335451 AGTCCCACGAGATC chr16 81409207 81409208 chr16:81409208:T:C rs2608574 T C C EBF1_EBF_1 20 0 + 0 0 . chr16 81415439 81415440 chr16:81415440:A:C rs11861861 A C C EBF1_EBF_1 7 1 - 5.82747660230744 4.991292437106173 CGTCCCTTGAGAGA chr16 81415454 81415455 chr16:81415455:A:G rs2550733 A G G EBF1_EBF_1 -8 0 - 0 0 . chr16 81446746 81446747 chr16:81446747:C:T rs76957730 C T C EBF1_EBF_1 17 0 - 0 0 . chr16 81464177 81464178 chr16:81464178:A:G rs16955396 A G A EBF1_EBF_1 30 0 - 0 0 . chr16 81464201 81464202 chr16:81464202:G:A rs898963 G A A EBF1_EBF_1 6 1 - 8.886791524722817 9.188077653584573 CTTCCCCTGGGAGA chr16 81472492 81472493 chr16:81472493:C:G rs2966123 C G G EBF1_EBF_1 -9 0 - 0 0 . chr16 81476893 81476894 chr16:81476894:G:C rs16955430 G C G EBF1_EBF_1 0 1 + 5.641717800932168 5.278743186800401 GCTCCCTAAGGATC chr16 81476917 81476918 chr16:81476918:G:C rs55651404 G C G EBF1_EBF_1 24 0 + 0 0 . chr16 81485497 81485498 chr16:81485498:C:G rs76845022 C G C EBF1_EBF_1 6 1 + 6.284488684247925 4.479296620774727 ACTCCCCAGGTGAT chr16 81486701 81486702 chr16:81486702:T:C rs142592007 T C T EBF1_EBF_1 31 0 + 0 0 . chr16 81497651 81497652 chr16:81497652:C:T rs17777180 C T C EBF1_EBF_1 -19 0 + 0 0 . chr16 81508268 81508269 chr16:81508269:C:A rs56000269 C A C EBF1_EBF_1 4 1 + 4.68236760832414 -1.1421920151293279 CCTCCCCAGAGGCC chr16 81532515 81532516 chr16:81532516:C:T rs12933858 C T C EBF1_EBF_1 3 1 + 11.074507831961272 4.6691090904079555 CCTCCCCAGGGACT chr16 81532541 81532542 chr16:81532542:G:A rs12932755 G A G EBF1_EBF_1 29 0 + 0 0 . chr16 81538487 81538488 chr16:81538488:G:A rs11644696 G A G EBF1_EBF_1 -17 0 - 0 0 . chr16 81551717 81551718 chr16:81551718:T:G rs79417281 T G T EBF1_EBF_1 18 0 - 0 0 . chr16 81578758 81578759 chr16:81578759:A:G rs73596494 A G A EBF1_EBF_1 -11 0 - 0 0 . chr16 81588515 81588516 chr16:81588516:G:A rs141972162 G A G EBF1_EBF_1 -19 0 - 0 0 . chr16 81601321 81601322 chr16:81601322:G:C rs77331360 G C G EBF1_EBF_1 24 0 + 0 0 . chr16 81633754 81633755 chr16:81633755:G:A rs113275903 G A G EBF1_EBF_1 -20 0 + 0 0 . chr16 81634638 81634639 chr16:81634639:G:A rs532799403 G A G EBF1_EBF_1 24 0 + 0 0 . chr16 81639831 81639832 chr16:81639832:A:G rs78989099 A G A EBF1_EBF_1 14 0 - 0 0 . chr16 81694972 81694973 chr16:81694973:C:A rs4423411 C A a EBF1_EBF_1 22 0 - 0 0 . chr16 81694981 81694982 chr16:81694982:A:G rs4281702 A G A EBF1_EBF_1 13 1 - 8.75730198197796 7.912807867392441 GTTCCCAGGAGAAT chr16 81695003 81695004 chr16:81695004:A:T rs2317497 A T T EBF1_EBF_1 -9 0 - 0 0 . chr16 81696775 81696776 chr16:81696776:C:A rs9933631 C A A EBF1_EBF_1 29 0 + 0 0 . chr16 81698597 81698598 chr16:81698598:T:C rs58862198 T C T EBF1_EBF_1 -19 0 - 0 0 . chr16 81700463 81700464 chr16:81700464:C:T rs72831125 C T C EBF1_EBF_1 13 1 + 11.093771198898152 11.93826531348367 TGTCCCAAGGGAAC chr16 81700464 81700465 chr16:81700465:C:G rs72831126 C G C EBF1_EBF_1 14 0 + 0 0 . chr16 81700703 81700704 chr16:81700704:G:A rs943291670 G A G EBF1_EBF_1 -8 0 - 0 0 . chr16 81700708 81700709 chr16:81700709:G:A rs72831127 G A G EBF1_EBF_1 -13 0 - 0 0 . chr16 81774627 81774628 chr16:81774628:T:G rs6564915 T G T EBF1_EBF_1 26 0 - 0 0 . chr16 81777512 81777513 chr16:81777513:A:C rs7195041 A C C EBF1_EBF_1 -7 0 - 0 0 . chr16 81783633 81783634 chr16:81783634:T:C rs4580153 T C T EBF1_EBF_1 -18 0 - 0 0 . chr16 81823230 81823231 chr16:81823231:G:A rs147152315 G A G EBF1_EBF_1 7 1 + 5.215254598667953 6.527713003956779 CTTCCCCGGGGCTT chr16 81823243 81823244 chr16:81823244:G:A rs181155522 G A G EBF1_EBF_1 20 0 + 0 0 . chr16 81836416 81836417 chr16:81836417:G:A rs4073295 G A A EBF1_EBF_1 -8 0 + 0 0 . chr16 81840860 81840861 chr16:81840861:G:C rs145552842 G C G EBF1_EBF_1 -8 0 - 0 0 . chr16 81842117 81842118 chr16:81842118:C:G rs575559338 C G C EBF1_EBF_1 -12 0 + 0 0 . chr16 81852252 81852253 chr16:81852253:T:C rs11642172 T C T EBF1_EBF_1 15 0 - 0 0 . chr16 81866922 81866923 chr16:81866923:C:T rs557863834 C T C EBF1_EBF_1 2 1 + 5.015522419055315 6.904345830497465 AGCCCCTGGGGCAA chr16 81874188 81874189 chr16:81874189:A:T rs9922366 A T T EBF1_EBF_1 -6 0 + 0 0 . chr16 81882182 81882183 chr16:81882183:G:A rs9674313 G A A EBF1_EBF_1 -5 0 - 0 0 . chr16 81904161 81904162 chr16:81904162:T:C rs11860342 T C T EBF1_EBF_1 -7 0 + 0 0 . chr16 81907838 81907839 chr16:81907839:C:T rs71400183 C T C EBF1_EBF_1 33 0 + 0 0 . chr16 81929315 81929316 chr16:81929316:C:G rs34142641 C G G EBF1_EBF_1 1 1 + 6.7349181171192205 6.924689771688438 GCTCCCCTAGGACT chr16 81937976 81937977 chr16:81937977:A:G rs4258608 A G A EBF1_EBF_1 -20 0 - 0 0 . chr16 81946413 81946414 chr16:81946414:T:C rs8056564 T C T EBF1_EBF_1 -2 0 + 0 0 . chr16 81997030 81997031 chr16:81997031:T:C chr16:81997031:T:C T C T EBF1_EBF_1 11 1 - 6.645364671472441 3.75265782786843 ACTGCCAAGGGACA chr16 81997888 81997889 chr16:81997889:A:C rs73600709 A C A EBF1_EBF_1 5 1 + 6.2940520328706 13.198933185505767 ATTCCACAGGGATT chr16 82011204 82011205 chr16:82011205:G:A rs113145042 G A G EBF1_EBF_1 19 0 - 0 0 . chr16 82011241 82011242 chr16:82011242:G:A rs117450235 G A G EBF1_EBF_1 -18 0 - 0 0 . chr16 82011268 82011269 chr16:82011269:A:G rs74029346 A G a EBF1_EBF_1 -19 0 + 0 0 . chr16 82011319 82011320 chr16:82011320:G:A rs16956212 G A G EBF1_EBF_1 32 0 + 0 0 . chr16 82135063 82135064 chr16:82135064:T:C rs11644183 T C C EBF1_EBF_1 29 0 - 0 0 . chr16 82138087 82138088 chr16:82138088:G:C rs76399806 G C G EBF1_EBF_1 17 0 - 0 0 . chr16 82170526 82170527 chr16:82170527:C:G rs2967363 C G G EBF1_EBF_1 21 0 + 0 0 . chr16 82170723 82170724 chr16:82170724:C:T rs909552491 C T C EBF1_EBF_1 8 1 - 9.143703459722857 4.917413370836597 GCTCCCCCGGGACT chr16 82170734 82170735 chr16:82170735:G:A chr16:82170735:G:A G A G EBF1_EBF_1 -3 0 - 0 0 . chr16 82246814 82246815 chr16:82246815:G:C rs1126068 G C C EBF1_EBF_1 -10 0 + 0 0 . chr16 82253931 82253932 chr16:82253932:G:A rs923629 G A G EBF1_EBF_1 10 1 + 7.046168327820992 1.7281398685540257 AATCCCAGGAGAGA chr16 82363369 82363370 chr16:82363370:G:A rs9930339 G A G EBF1_EBF_1 33 0 - 0 0 . chr16 82452311 82452312 chr16:82452312:C:A rs8054933 C A C EBF1_EBF_1 32 0 + 0 0 . chr16 82456940 82456941 chr16:82456941:G:A rs1025528 G A A EBF1_EBF_1 27 0 + 0 0 . chr16 82459867 82459868 chr16:82459868:T:G rs8046292 T G T EBF1_EBF_1 6 1 + 10.48070995360188 8.374231761266927 ATCCCCTGGGGACC chr16 82522280 82522281 chr16:82522281:G:T rs79453242 G T G EBF1_EBF_1 27 0 + 0 0 . chr16 82583143 82583144 chr16:82583144:G:A rs16957780 G A G EBF1_EBF_1 -13 0 + 0 0 . chr16 82583154 82583155 chr16:82583155:G:T rs3852719 G T G EBF1_EBF_1 -2 0 + 0 0 . chr16 82629783 82629784 chr16:82629784:G:C rs544644031 G C G EBF1_EBF_1 4 1 - 6.782773282876311 1.1570081817267668 ACACCCCAGGGGAT chr16 82638655 82638656 chr16:82638656:A:G rs182411871 A G A EBF1_EBF_1 20 0 - 0 0 . chr16 82638658 82638659 chr16:82638659:G:C rs16957927 G C G EBF1_EBF_1 17 0 - 0 0 . chr16 82638674 82638675 chr16:82638675:G:A chr16:82638675:G:A G A G EBF1_EBF_1 1 1 - 7.830205497270537 8.725638937669281 CCTCCCTGGGGAGC chr16 82638721 82638722 chr16:82638722:C:T rs12922394 C T c EBF1_EBF_1 6 1 - 7.372882065778412 9.539676497052886 AATCCCGAGGGGAA chr16 82640196 82640197 chr16:82640197:A:C rs3910230 A C C EBF1_EBF_1 0 1 + 5.678328284173505 3.423069800476904 ACCCTCTTGGGACA chr16 82672000 82672001 chr16:82672001:G:C rs79144041 G C G EBF1_EBF_1 -20 0 + 0 0 . chr16 82672043 82672044 chr16:82672044:C:G rs9938576 C G C EBF1_EBF_1 23 0 + 0 0 . chr16 82679160 82679161 chr16:82679161:T:A rs3893936 T A A EBF1_EBF_1 26 0 - 0 0 . chr16 82679166 82679167 chr16:82679167:C:A rs3852736 C A C EBF1_EBF_1 20 0 - 0 0 . chr16 82695150 82695151 chr16:82695151:C:T rs66788093 C T A EBF1_EBF_1 20 0 - 0 0 . chr16 82700576 82700577 chr16:82700577:C:T rs148434139 C T C EBF1_EBF_1 3 1 + 9.977314084058337 3.5719153425050205 CATCCCCAGGGATT chr16 82773413 82773414 chr16:82773414:C:T rs56219641 C T C EBF1_EBF_1 2 1 + 7.867670004083739 9.756493415525888 GGCCCCCAGGGATC chr16 82790737 82790738 chr16:82790738:A:T rs12446447 A T A EBF1_EBF_1 -19 0 + 0 0 . chr16 82814521 82814522 chr16:82814522:T:C rs11643605 T C C EBF1_EBF_1 28 0 + 0 0 . chr16 82815566 82815567 chr16:82815567:G:C rs9926034 G C C EBF1_EBF_1 -14 0 + 0 0 . chr16 82944010 82944011 chr16:82944011:G:T rs12447446 G T G EBF1_EBF_1 -16 0 + 0 0 . chr16 82944021 82944022 chr16:82944022:G:A rs7189754 G A A EBF1_EBF_1 -5 0 + 0 0 . chr16 82945909 82945910 chr16:82945910:G:A rs151304104 G A G EBF1_EBF_1 32 0 + 0 0 . chr16 82975273 82975274 chr16:82975274:A:G rs13313558 A G G EBF1_EBF_1 -1 0 - 0 0 . chr16 83011375 83011376 chr16:83011376:C:A rs11150532 C A G EBF1_EBF_1 -16 0 + 0 0 . chr16 83029698 83029699 chr16:83029699:T:C rs7206381 T C C EBF1_EBF_1 16 0 - 0 0 . chr16 83138303 83138304 chr16:83138304:G:C rs77929360 G C G EBF1_EBF_1 13 1 + 6.974097766719226 8.46216878807464 ATTCCCCCGAGAAG chr16 83159209 83159210 chr16:83159210:A:G rs185727610 A G A EBF1_EBF_1 -16 0 - 0 0 . chr16 83233064 83233065 chr16:83233065:C:T rs17749638 C T C EBF1_EBF_1 30 0 - 0 0 . chr16 83307353 83307354 chr16:83307354:A:G rs144645376 A G A EBF1_EBF_1 -4 0 - 0 0 . chr16 83393430 83393431 chr16:83393431:G:A rs11645425 G A T EBF1_EBF_1 17 0 + 0 0 . chr16 83393445 83393446 chr16:83393446:G:C rs371950625 G C G EBF1_EBF_1 32 0 + 0 0 . chr16 83398073 83398074 chr16:83398074:G:A rs9931770 G A A EBF1_EBF_1 -18 0 + 0 0 . chr16 83455397 83455398 chr16:83455398:G:A rs12445903 G A G EBF1_EBF_1 5 1 - 5.2654753813144755 -0.05631183422619479 ATCCCCCAGAGCCT chr16 83474422 83474423 chr16:83474423:C:T rs12164983 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 83481456 83481457 chr16:83481457:C:T rs17758707 C T C EBF1_EBF_1 -3 0 - 0 0 . chr16 83487764 83487765 chr16:83487765:G:A rs7201978 G A G EBF1_EBF_1 5 1 - 5.441083233658085 0.11929601811741453 GCCCCCGAGGGAAG chr16 83508455 83508456 chr16:83508456:C:T rs4782540 C T C EBF1_EBF_1 27 0 + 0 0 . chr16 83508509 83508510 chr16:83508510:A:G rs117609775 A G A EBF1_EBF_1 -20 0 + 0 0 . chr16 83513121 83513122 chr16:83513122:A:G rs77607480 A G A EBF1_EBF_1 -12 0 + 0 0 . chr16 83599077 83599078 chr16:83599078:T:C rs35464291 T C T EBF1_EBF_1 32 0 - 0 0 . chr16 83621350 83621351 chr16:83621351:T:G rs7198263 T G T EBF1_EBF_1 -15 0 - 0 0 . chr16 83627832 83627833 chr16:83627833:C:G rs11149584 C G C EBF1_EBF_1 -18 0 + 0 0 . chr16 83627860 83627861 chr16:83627861:G:A rs11862535 G A G EBF1_EBF_1 10 1 + 6.358115664862334 1.0400872055953678 AGCCCCAAGGGCCA chr16 83648625 83648626 chr16:83648626:A:G rs113610582 A G A EBF1_EBF_1 -3 0 + 0 0 . chr16 83653123 83653124 chr16:83653124:T:A rs73246698 T A T EBF1_EBF_1 -10 0 - 0 0 . chr16 83675234 83675235 chr16:83675235:C:G rs6563964 C G G EBF1_EBF_1 9 1 - 6.075270093625393 0.9995809472799303 AATCCCCTCGGAGT chr16 83683046 83683047 chr16:83683047:C:T rs1423847 C T C EBF1_EBF_1 -18 0 + 0 0 . chr16 83698985 83698986 chr16:83698986:A:G rs8048066 A G G EBF1_EBF_1 22 0 + 0 0 . chr16 83718212 83718213 chr16:83718213:C:G rs77079294 C G G EBF1_EBF_1 -13 0 + 0 0 . chr16 83720801 83720802 chr16:83720802:G:A rs60550343 G A A EBF1_EBF_1 -3 0 + 0 0 . chr16 83728894 83728895 chr16:83728895:A:G rs379651 A G G EBF1_EBF_1 30 0 - 0 0 . chr16 83739199 83739200 chr16:83739200:C:T rs9924940 C T C EBF1_EBF_1 4 1 + 8.498409737882739 4.129022792937813 TTCCCCCTGGGAGT chr16 83739200 83739201 chr16:83739201:C:T rs4782839 C T T EBF1_EBF_1 5 1 + 8.498409737882739 3.1766225223420665 TTCCCCCTGGGAGT chr16 83803126 83803127 chr16:83803127:G:A rs8049546 G A A EBF1_EBF_1 31 0 + 0 0 . chr16 83816058 83816059 chr16:83816059:G:A rs12932079 G A G EBF1_EBF_1 4 1 - 10.899519720735471 6.530132775790549 CCTCCCTTGGGACT chr16 83819179 83819180 chr16:83819180:C:G rs40008 C G C EBF1_EBF_1 14 0 + 0 0 . chr16 83829953 83829954 chr16:83829954:G:C rs9933696 G C G EBF1_EBF_1 24 0 + 0 0 . chr16 83835492 83835493 chr16:83835493:G:A rs13335713 G A A EBF1_EBF_1 -20 0 - 0 0 . chr16 83840546 83840547 chr16:83840547:T:A rs7203271 T A A EBF1_EBF_1 -18 0 + 0 0 . chr16 83840584 83840585 chr16:83840585:A:T rs7206403 A T A EBF1_EBF_1 20 0 + 0 0 . chr16 83847425 83847426 chr16:83847426:G:A rs432698 G A G EBF1_EBF_1 5 1 - 6.27901462999568 0.957227414455009 TCACCCTTGGGACA chr16 83852787 83852788 chr16:83852788:T:C rs73242836 T C C EBF1_EBF_1 24 0 + 0 0 . chr16 83869460 83869461 chr16:83869461:C:T rs2549176 C T C EBF1_EBF_1 6 1 + 7.032651855379581 7.333937984241339 ATTCCTCAGGGATC chr16 83885910 83885911 chr16:83885911:C:T rs114977265 C T C EBF1_EBF_1 -9 0 - 0 0 . chr16 83898745 83898746 chr16:83898746:G:C rs880088 G C G EBF1_EBF_1 22 0 - 0 0 . chr16 83898753 83898754 chr16:83898754:A:T rs532453450 A T A EBF1_EBF_1 14 0 - 0 0 . chr16 83898754 83898755 chr16:83898755:G:T rs753476763 G T G EBF1_EBF_1 13 1 - 6.404391390521873 6.030645941235897 AGTCACCTGGGAAC chr16 83908215 83908216 chr16:83908216:G:A rs2278038 G A g EBF1_EBF_1 13 1 - 6.674014917352661 7.518509031938179 GCTCCCCAGGGGTC chr16 83908890 83908891 chr16:83908891:T:C rs59847156 T C C EBF1_EBF_1 -18 0 + 0 0 . chr16 83990680 83990681 chr16:83990681:C:T rs72793619 C T C EBF1_EBF_1 -15 0 - 0 0 . chr16 83994023 83994024 chr16:83994024:C:T rs112273939 C T C EBF1_EBF_1 22 0 - 0 0 . chr16 83998417 83998418 chr16:83998418:T:C rs7201492 T C t EBF1_EBF_1 -1 0 + 0 0 . chr16 84001988 84001989 chr16:84001989:A:G rs2271302 A G G EBF1_EBF_1 -2 0 + 0 0 . chr16 84013109 84013110 chr16:84013110:A:G rs11864146 A G A EBF1_EBF_1 -13 0 + 0 0 . chr16 84014497 84014498 chr16:84014498:G:C rs144659846 G C G EBF1_EBF_1 27 0 - 0 0 . chr16 84014510 84014511 chr16:84014511:T:C chr16:84014511:T:C T C T EBF1_EBF_1 14 0 - 0 0 . chr16 84024136 84024137 chr16:84024137:G:C rs9929958 G C C EBF1_EBF_1 29 0 - 0 0 . chr16 84024178 84024179 chr16:84024179:G:A rs35184835 G A G EBF1_EBF_1 -13 0 - 0 0 . chr16 84029428 84029429 chr16:84029429:G:A rs62045928 G A G EBF1_EBF_1 8 1 + 10.380615642628204 6.154325553741943 TTTCCCAAGGGAGC chr16 84034198 84034199 chr16:84034199:C:T rs9923126 C T T EBF1_EBF_1 3 1 + 5.287847247615776 -1.1175514939375413 GGACCCCAGAGACT chr16 84034728 84034729 chr16:84034729:A:G rs35968034 A G G EBF1_EBF_1 -6 0 + 0 0 . chr16 84034734 84034735 chr16:84034735:G:C rs34837478 G C G EBF1_EBF_1 0 1 + 5.900393188427016 5.537418574295249 GATGCCAAGGGACT chr16 84041957 84041958 chr16:84041958:C:A rs1105355 C A C EBF1_EBF_1 6 1 + 5.61190916881573 5.973511536617008 ACTTCCCGGGGACT chr16 84052315 84052316 chr16:84052316:T:G rs35348199 T G T EBF1_EBF_1 26 0 + 0 0 . chr16 84056338 84056339 chr16:84056339:C:G rs142404498 C G C EBF1_EBF_1 -12 0 - 0 0 . chr16 84080619 84080620 chr16:84080620:A:G rs73249052 A G A EBF1_EBF_1 24 0 + 0 0 . chr16 84110803 84110804 chr16:84110804:G:A rs12928379 G A G EBF1_EBF_1 -17 0 + 0 0 . chr16 84117398 84117399 chr16:84117399:G:A rs577414248 G A G EBF1_EBF_1 -15 0 + 0 0 . chr16 84119884 84119885 chr16:84119885:G:A rs11647842 G A G EBF1_EBF_1 4 1 - 8.165556552108667 3.796169607163743 GTACCCAAGGGACC chr16 84183667 84183668 chr16:84183668:G:C rs4150136 G C G EBF1_EBF_1 17 0 - 0 0 . chr16 84194630 84194631 chr16:84194631:A:C rs11646787 A C C EBF1_EBF_1 25 0 - 0 0 . chr16 84194630 84194631 chr16:84194631:A:T chr16:84194631:A:T A T C EBF1_EBF_1 25 0 - 0 0 . chr16 84194672 84194673 chr16:84194673:G:A rs35446963 G A G EBF1_EBF_1 -17 0 - 0 0 . chr16 84226059 84226060 chr16:84226060:G:A rs35387179 G A g EBF1_EBF_1 -10 0 + 0 0 . chr16 84226094 84226095 chr16:84226095:G:A rs11648537 G A G EBF1_EBF_1 25 0 + 0 0 . chr16 84228520 84228521 chr16:84228521:C:A rs118166240 C A C EBF1_EBF_1 -17 0 + 0 0 . chr16 84228568 84228569 chr16:84228569:A:C rs944749844 A C A EBF1_EBF_1 31 0 + 0 0 . chr16 84268401 84268402 chr16:84268402:C:T rs58915671 C T T EBF1_EBF_1 8 1 - 6.476238676136432 2.24994858725017 AGACCCCAGGGATG chr16 84268426 84268427 chr16:84268427:G:A rs55980904 G A A EBF1_EBF_1 -17 0 - 0 0 . chr16 84288192 84288193 chr16:84288193:A:G rs13333890 A G A EBF1_EBF_1 6 1 - 5.071896173306258 4.7706100444445 AGCCCCTGGAGGCT chr16 84298826 84298827 chr16:84298827:C:T rs77475979 C T C EBF1_EBF_1 8 1 - 9.172512611813696 4.9462225229274335 CCTCCCACGGGAAT chr16 84308228 84308229 chr16:84308229:G:A rs8057884 G A G EBF1_EBF_1 6 1 - 7.42452807755525 7.725814206417008 TGCCCCCAGGGAGC chr16 84308273 84308274 chr16:84308274:A:G rs79558087 A G A EBF1_EBF_1 6 1 + 8.044069304552488 5.877274873278013 ATTCCCAGGGGCAC chr16 84308288 84308289 chr16:84308289:G:A rs112938266 G A G EBF1_EBF_1 21 0 + 0 0 . chr16 84319107 84319108 chr16:84319108:C:T rs78678310 C T C EBF1_EBF_1 9 1 + 5.25373145641789 3.4924311946745448 GTCCCCATGCGACT chr16 84330545 84330546 chr16:84330546:T:G rs10871285 T G G EBF1_EBF_1 -16 0 + 0 0 . chr16 84389121 84389122 chr16:84389122:C:T rs11644710 C T C EBF1_EBF_1 -17 0 + 0 0 . chr16 84389149 84389150 chr16:84389150:G:T rs8048205 G T G EBF1_EBF_1 11 1 + 7.63281476867411 6.427607356179395 AACCCCCAGGGGCC chr16 84395350 84395351 chr16:84395351:A:G rs1374586782 A G A EBF1_EBF_1 7 1 + 13.006960192185122 11.694501786896298 ATTCCCCAGGGACA chr16 84408003 84408004 chr16:84408004:C:T rs1609478 C T . EBF1_EBF_1 28 0 + 0 0 . chr16 84455188 84455189 chr16:84455189:C:T rs138365565 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 84455232 84455233 chr16:84455233:T:G rs3815963 T G T EBF1_EBF_1 -11 0 - 0 0 . chr16 84462608 84462609 chr16:84462609:G:A rs416330 G A G EBF1_EBF_1 24 0 - 0 0 . chr16 84462648 84462649 chr16:84462649:C:G rs747235377 C G C EBF1_EBF_1 -16 0 - 0 0 . chr16 84475661 84475662 chr16:84475662:A:G rs117431729 A G A EBF1_EBF_1 -14 0 - 0 0 . chr16 84478732 84478733 chr16:84478733:G:A rs146971600 G A G EBF1_EBF_1 -16 0 + 0 0 . chr16 84478775 84478776 chr16:84478776:T:G rs190319955 T G T EBF1_EBF_1 27 0 + 0 0 . chr16 84483171 84483172 chr16:84483172:C:A rs117328570 C A C EBF1_EBF_1 -15 0 + 0 0 . chr16 84486718 84486719 chr16:84486719:T:C rs431389 T C C EBF1_EBF_1 11 1 - 5.348254172330062 2.45554732872605 ACTCACCGGGGACC chr16 84504922 84504923 chr16:84504923:C:T chr16:84504923:C:T C T C EBF1_EBF_1 25 0 - 0 0 . chr16 84504964 84504965 chr16:84504965:G:A rs531534432 G A G EBF1_EBF_1 -17 0 - 0 0 . chr16 84505404 84505405 chr16:84505405:T:G rs403308 T G G EBF1_EBF_1 -18 0 - 0 0 . chr16 84509570 84509571 chr16:84509571:G:A rs117194568 G A G EBF1_EBF_1 -15 0 + 0 0 . chr16 84511767 84511768 chr16:84511768:T:A rs115150721 T A T EBF1_EBF_1 -17 0 + 0 0 . chr16 84511815 84511816 chr16:84511816:C:T rs147458184 C T C EBF1_EBF_1 31 0 + 0 0 . chr16 84517486 84517487 chr16:84517487:G:C rs1993887 G C C EBF1_EBF_1 -6 0 + 0 0 . chr16 84517552 84517553 chr16:84517553:C:G rs74832082 C G C EBF1_EBF_1 4 1 + 7.07592053217656 1.450155431027016 ATCCCCCAGGGCCC chr16 84517568 84517569 chr16:84517569:G:A rs115189843 G A G EBF1_EBF_1 20 0 + 0 0 . chr16 84517571 84517572 chr16:84517572:A:G rs4782633 A G G EBF1_EBF_1 23 0 + 0 0 . chr16 84519803 84519804 chr16:84519804:T:A rs4782997 T A T EBF1_EBF_1 15 0 + 0 0 . chr16 84523988 84523989 chr16:84523989:G:C rs17824837 G C G EBF1_EBF_1 21 0 - 0 0 . chr16 84537799 84537800 chr16:84537800:G:A rs9934192 G A G EBF1_EBF_1 5 1 - 7.285503535425889 1.9637163198852192 GCCCCCAAGAGAAT chr16 84537821 84537822 chr16:84537822:G:A rs9934201 G A G EBF1_EBF_1 -17 0 - 0 0 . chr16 84538104 84538105 chr16:84538105:G:A rs412209 G A G EBF1_EBF_1 -13 0 + 0 0 . chr16 84538115 84538116 chr16:84538116:C:G rs113325192 C G C EBF1_EBF_1 -2 0 + 0 0 . chr16 84539679 84539680 chr16:84539680:A:G rs386322 A G A EBF1_EBF_1 -17 0 + 0 0 . chr16 84539700 84539701 chr16:84539701:C:T rs8043787 C T C EBF1_EBF_1 4 1 + 6.9417835271012445 2.57239658215632 GCCCCCCAGGGAGA chr16 84545499 84545500 chr16:84545500:T:G rs62048654 T G T EBF1_EBF_1 18 0 - 0 0 . chr16 84547917 84547918 chr16:84547918:G:A rs190226577 G A G EBF1_EBF_1 4 1 - 6.882341324168343 2.5129543792234186 AGCCCCTGGGGGCC chr16 84553869 84553870 chr16:84553870:G:A rs11641197 G A G EBF1_EBF_1 6 1 + 9.320255094301302 11.487049525575776 CTTCCCGAGGGACC chr16 84574217 84574218 chr16:84574218:G:A rs4783022 G A G EBF1_EBF_1 9 1 + 8.834485053250146 6.179545787802542 CATCCCAGGGGACA chr16 84574245 84574246 chr16:84574246:G:A rs114881297 G A G EBF1_EBF_1 6 1 - 5.743124842171279 6.044410971033037 CATCCCCAGGGCCC chr16 84590382 84590383 chr16:84590383:T:C rs2288584 T C T EBF1_EBF_1 11 1 - 5.168597949338526 2.275891105734514 GCTCCCAGGGAACT chr16 84593210 84593211 chr16:84593211:A:C rs2326338 A C C EBF1_EBF_1 0 1 - 7.397973625765757 7.4787461791669445 TTTCCCTGGAGAAA chr16 84593230 84593231 chr16:84593231:T:C rs2326339 T C C EBF1_EBF_1 -20 0 - 0 0 . chr16 84601011 84601012 chr16:84601012:G:C rs893238345 G C G EBF1_EBF_1 31 0 - 0 0 . chr16 84603140 84603141 chr16:84603141:G:A rs62050724 G A G EBF1_EBF_1 30 0 + 0 0 . chr16 84606804 84606805 chr16:84606805:T:A rs62050744 T A A EBF1_EBF_1 16 0 - 0 0 . chr16 84625677 84625678 chr16:84625678:C:A rs57529073 C A A EBF1_EBF_1 12 1 + 7.029155706939922 7.059337105361248 ATACCCAAGAGACA chr16 84638356 84638357 chr16:84638357:T:G rs889618 T G G EBF1_EBF_1 -15 0 + 0 0 . chr16 84641623 84641624 chr16:84641624:T:C rs2966325 T C T EBF1_EBF_1 7 1 + 9.437147706251302 7.619642959968681 AATCCCATGGGGAC chr16 84643438 84643439 chr16:84643439:G:A rs113269979 G A G EBF1_EBF_1 15 0 + 0 0 . chr16 84649017 84649018 chr16:84649018:A:C rs978291699 A C A EBF1_EBF_1 11 1 + 6.452387701208543 2.0364260033561523 CGTCCCCGGAGACC chr16 84649674 84649675 chr16:84649675:G:T rs3809614 G T G EBF1_EBF_1 3 1 - 7.775528482229982 0.8693544527641732 CTCCCCTAGGGAGA chr16 84662439 84662440 chr16:84662440:G:A rs79610925 G A G EBF1_EBF_1 23 0 + 0 0 . chr16 84662445 84662446 chr16:84662446:A:T rs17755862 A T T EBF1_EBF_1 29 0 + 0 0 . chr16 84765803 84765804 chr16:84765804:G:C rs7191287 G C G EBF1_EBF_1 4 1 - 5.79948965254704 0.17372455139749676 GGTGCCCAGGGACT chr16 84806565 84806566 chr16:84806566:C:G rs12930739 C G C EBF1_EBF_1 6 1 + 4.580838389875283 2.775646326402084 ACCCCCCGGAGGCT chr16 84810004 84810005 chr16:84810005:A:G rs2326394 A G g EBF1_EBF_1 31 0 + 0 0 . chr16 84826022 84826023 chr16:84826023:C:T rs79322410 C T C EBF1_EBF_1 0 1 + 7.459335615899829 7.741537676630407 CTTCCCTGGAGACC chr16 84829263 84829264 chr16:84829264:C:A rs111595251 C A C EBF1_EBF_1 16 0 + 0 0 . chr16 84833776 84833777 chr16:84833777:C:T rs12924623 C T C EBF1_EBF_1 15 0 - 0 0 . chr16 84833797 84833798 chr16:84833798:G:A rs8055199 G A A EBF1_EBF_1 -6 0 - 0 0 . chr16 84838033 84838034 chr16:84838034:G:C rs117905384 G C G EBF1_EBF_1 -20 0 + 0 0 . chr16 84855086 84855087 chr16:84855087:C:T rs56188774 C T C EBF1_EBF_1 32 0 + 0 0 . chr16 84867372 84867373 chr16:84867373:G:T rs77254687 G T G EBF1_EBF_1 -18 0 - 0 0 . chr16 84883668 84883669 chr16:84883669:A:C rs8059954 A C C EBF1_EBF_1 1 1 - 5.217769306786567 4.51210752095704 GTTCACCTGGGAAC chr16 84884836 84884837 chr16:84884837:G:A chr16:84884837:G:A G A G EBF1_EBF_1 33 0 + 0 0 . chr16 84893737 84893738 chr16:84893738:G:A rs982994 G A G EBF1_EBF_1 29 0 + 0 0 . chr16 84893939 84893940 chr16:84893940:C:T rs74031020 C T C EBF1_EBF_1 14 0 + 0 0 . chr16 84925196 84925197 chr16:84925197:G:A rs183861826 G A G EBF1_EBF_1 -5 0 + 0 0 . chr16 84928999 84929000 chr16:84929000:G:A rs115087767 G A G EBF1_EBF_1 0 1 - 5.931364353668364 6.213566414398942 CGTCCCTAGGGCCA chr16 84931568 84931569 chr16:84931569:T:C rs34318362 T C T EBF1_EBF_1 -17 0 + 0 0 . chr16 84932010 84932011 chr16:84932011:G:C rs148244583 G C G EBF1_EBF_1 29 0 + 0 0 . chr16 85002514 85002515 chr16:85002515:T:C rs11866158 T C T EBF1_EBF_1 31 0 + 0 0 . chr16 85011449 85011450 chr16:85011450:G:A rs2270846 G A G EBF1_EBF_1 18 0 + 0 0 . chr16 85028300 85028301 chr16:85028301:A:G chr16:85028301:A:G A G A EBF1_EBF_1 16 0 - 0 0 . chr16 85046935 85046936 chr16:85046936:A:C rs8044678 A C C EBF1_EBF_1 26 0 - 0 0 . chr16 85050659 85050660 chr16:85050660:A:G rs8047023 A G G EBF1_EBF_1 0 1 + 7.656727996350549 5.764444126785716 AATCCCCTGGGGGC chr16 85050686 85050687 chr16:85050687:G:A rs78274149 G A G EBF1_EBF_1 -13 0 - 0 0 . chr16 85056471 85056472 chr16:85056472:C:T rs77507034 C T T EBF1_EBF_1 7 1 + 5.994522459147966 7.81202720543059 CACCCCACGGGAAA chr16 85057636 85057637 chr16:85057637:T:C rs58278635 T C T EBF1_EBF_1 14 0 + 0 0 . chr16 85087017 85087018 chr16:85087018:C:A rs373602392 C A C EBF1_EBF_1 19 0 - 0 0 . chr16 85087029 85087030 chr16:85087030:C:G rs142024476 C G C EBF1_EBF_1 7 1 - 8.935593629023558 7.954273047942202 CCTCCCAGGGGAAA chr16 85087041 85087042 chr16:85087042:C:T rs78833300 C T C EBF1_EBF_1 -5 0 - 0 0 . chr16 85087042 85087043 chr16:85087043:G:A rs7184307 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 85090454 85090455 chr16:85090455:G:A rs60563768 G A G EBF1_EBF_1 -1 0 - 0 0 . chr16 85104100 85104101 chr16:85104101:C:T rs62049958 C T C EBF1_EBF_1 5 1 + 7.116118196960516 1.7943309814198452 AGCCCCGTGGGAGC chr16 85104421 85104422 chr16:85104422:C:T rs72807604 C T C EBF1_EBF_1 9 1 - 6.414712999624773 3.7597737341771693 CTACCCCAGGGATC chr16 85107685 85107686 chr16:85107686:T:C rs17200798 T C T EBF1_EBF_1 25 0 + 0 0 . chr16 85115158 85115159 chr16:85115159:G:A rs1026554713 G A G EBF1_EBF_1 16 0 - 0 0 . chr16 85124434 85124435 chr16:85124435:T:G rs1105611 T G G EBF1_EBF_1 -11 0 + 0 0 . chr16 85150502 85150503 chr16:85150503:C:T rs138637259 C T C EBF1_EBF_1 1 1 - 6.003823674092582 5.743124842171279 CGTCCCCAGGGCCC chr16 85152052 85152053 chr16:85152053:C:G rs924474 C G C EBF1_EBF_1 32 0 + 0 0 . chr16 85167775 85167776 chr16:85167776:G:C rs1372767133 G C G EBF1_EBF_1 10 1 + 11.310109774063617 4.419307386734143 ATCCCCCAGGGATT chr16 85167798 85167799 chr16:85167799:G:A rs8054322 G A G EBF1_EBF_1 33 0 + 0 0 . chr16 85168877 85168878 chr16:85168878:C:T rs116120071 C T C EBF1_EBF_1 -7 0 + 0 0 . chr16 85170735 85170736 chr16:85170736:C:T rs892847075 C T C EBF1_EBF_1 -5 0 + 0 0 . chr16 85175223 85175224 chr16:85175224:C:T rs74034138 C T C EBF1_EBF_1 4 1 + 6.739184776950984 2.3697978320060593 GGTCCCCAGAGAGC chr16 85187394 85187395 chr16:85187395:C:T rs12931623 C T C EBF1_EBF_1 -8 0 - 0 0 . chr16 85207214 85207215 chr16:85207215:C:T rs189423309 C T C EBF1_EBF_1 18 0 + 0 0 . chr16 85208024 85208025 chr16:85208025:G:C rs7203805 G C G EBF1_EBF_1 -12 0 - 0 0 . chr16 85228095 85228096 chr16:85228096:G:T rs73257937 G T G EBF1_EBF_1 -11 0 - 0 0 . chr16 85232332 85232333 chr16:85232333:A:C rs77369212 A C A EBF1_EBF_1 -6 0 + 0 0 . chr16 85248668 85248669 chr16:85248669:T:C rs4555143 T C C EBF1_EBF_1 17 0 - 0 0 . chr16 85258954 85258955 chr16:85258955:C:T rs73251986 C T C EBF1_EBF_1 17 0 - 0 0 . chr16 85258988 85258989 chr16:85258989:T:C rs114610786 T C T EBF1_EBF_1 -17 0 - 0 0 . chr16 85261910 85261911 chr16:85261911:G:A rs11863123 G A G EBF1_EBF_1 -12 0 - 0 0 . chr16 85275696 85275697 chr16:85275697:G:C rs11649452 G C G EBF1_EBF_1 19 0 + 0 0 . chr16 85275707 85275708 chr16:85275708:A:G rs11149732 A G A EBF1_EBF_1 30 0 + 0 0 . chr16 85276065 85276066 chr16:85276066:C:G rs9941031 C G C EBF1_EBF_1 21 0 - 0 0 . chr16 85287066 85287067 chr16:85287067:C:A rs4783157 C A A EBF1_EBF_1 14 0 - 0 0 . chr16 85287077 85287078 chr16:85287078:G:A rs561320084 G A G EBF1_EBF_1 3 1 - 6.078642251922567 -0.3267564896307509 CGTCCCCGGAGACA chr16 85308529 85308530 chr16:85308530:C:T rs756445 C T T EBF1_EBF_1 15 0 + 0 0 . chr16 85311773 85311774 chr16:85311774:T:C rs2966844 T C t EBF1_EBF_1 25 0 + 0 0 . chr16 85343984 85343985 chr16:85343985:C:T rs12716759 C T T EBF1_EBF_1 -15 0 + 0 0 . chr16 85352782 85352783 chr16:85352783:C:T rs116657984 C T C EBF1_EBF_1 16 0 - 0 0 . chr16 85354699 85354700 chr16:85354700:C:T rs6564116 C T C EBF1_EBF_1 -13 0 - 0 0 . chr16 85357028 85357029 chr16:85357029:T:C rs13332478 T C T EBF1_EBF_1 -1 0 - 0 0 . chr16 85365548 85365549 chr16:85365549:C:G rs75148121 C G C EBF1_EBF_1 31 0 - 0 0 . chr16 85385505 85385506 chr16:85385506:G:T rs900762 G T T EBF1_EBF_1 11 1 - 6.1770266336551325 10.59298833150752 GCTCCCCAGGGCCC chr16 85388896 85388897 chr16:85388897:G:C rs900760 G C G EBF1_EBF_1 -7 0 + 0 0 . chr16 85421275 85421276 chr16:85421276:G:A rs58011326 G A G EBF1_EBF_1 -9 0 - 0 0 . chr16 85421695 85421696 chr16:85421696:G:A rs1552265 G A g EBF1_EBF_1 24 0 - 0 0 . chr16 85422105 85422106 chr16:85422106:T:G rs8046255 T G G EBF1_EBF_1 24 0 - 0 0 . chr16 85430066 85430067 chr16:85430067:T:A rs12445601 T A T EBF1_EBF_1 -4 0 - 0 0 . chr16 85430067 85430068 chr16:85430068:C:T rs12448281 C T C EBF1_EBF_1 -5 0 - 0 0 . chr16 85430607 85430608 chr16:85430608:G:A rs8045399 G A G EBF1_EBF_1 12 1 - 4.962095405805507 3.9358288352546573 GCTCCCAAGTGACT chr16 85430655 85430656 chr16:85430656:G:A rs73259392 G A G EBF1_EBF_1 -14 0 + 0 0 . chr16 85430682 85430683 chr16:85430683:G:A rs111999154 G A G EBF1_EBF_1 13 1 + 7.004220648058893 8.118546220128332 AGTCCCCAGGTACG chr16 85433042 85433043 chr16:85433043:A:G rs59860293 A G A EBF1_EBF_1 -4 0 + 0 0 . chr16 85437032 85437033 chr16:85437033:G:A rs1470325397 G A G EBF1_EBF_1 17 0 + 0 0 . chr16 85439157 85439158 chr16:85439158:T:C rs7205694 T C T EBF1_EBF_1 -6 0 - 0 0 . chr16 85439158 85439159 chr16:85439159:G:A rs7204659 G A G EBF1_EBF_1 -7 0 - 0 0 . chr16 85441099 85441100 chr16:85441100:T:C rs4783204 T C C EBF1_EBF_1 12 1 - 11.660895957206266 10.24207861510679 AGCCCCCAGGGAAT chr16 85448534 85448535 chr16:85448535:C:T rs1005083141 C T C EBF1_EBF_1 16 0 - 0 0 . chr16 85448544 85448545 chr16:85448545:G:C rs59720774 G C G EBF1_EBF_1 6 1 - 5.123578152820509 3.3183860893473116 TTCCCCCTGGGGTC chr16 85460011 85460012 chr16:85460012:T:C rs57877791 T C C EBF1_EBF_1 -16 0 + 0 0 . chr16 85460868 85460869 chr16:85460869:G:A rs79842760 G A G EBF1_EBF_1 14 0 + 0 0 . chr16 85461366 85461367 chr16:85461367:C:G rs79859322 C G C EBF1_EBF_1 -14 0 - 0 0 . chr16 85462024 85462025 chr16:85462025:G:A rs114277686 G A G EBF1_EBF_1 25 0 - 0 0 . chr16 85462055 85462056 chr16:85462056:G:A rs116300010 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 85480412 85480413 chr16:85480413:C:G rs73263199 C G C EBF1_EBF_1 29 0 - 0 0 . chr16 85480424 85480425 chr16:85480425:C:T rs143753402 C T C EBF1_EBF_1 17 0 - 0 0 . chr16 85498705 85498706 chr16:85498706:G:A rs76513736 G A G EBF1_EBF_1 -18 0 + 0 0 . chr16 85514775 85514776 chr16:85514776:G:A rs558807124 G A G EBF1_EBF_1 -7 0 - 0 0 . chr16 85523559 85523560 chr16:85523560:C:T rs66952057 C T C EBF1_EBF_1 3 1 + 4.9726833827908505 -1.4327153587624661 GAACCCCTGGGAGC chr16 85524830 85524831 chr16:85524831:C:G rs34052016 C G C EBF1_EBF_1 24 0 + 0 0 . chr16 85525018 85525019 chr16:85525019:G:A rs116486412 G A G EBF1_EBF_1 -4 0 - 0 0 . chr16 85525027 85525028 chr16:85525028:A:C rs13338082 A C A EBF1_EBF_1 -13 0 - 0 0 . chr16 85525283 85525284 chr16:85525284:G:T rs78499114 G T G EBF1_EBF_1 26 0 - 0 0 . chr16 85538569 85538570 chr16:85538570:G:A rs6540025 G A G EBF1_EBF_1 26 0 - 0 0 . chr16 85547406 85547407 chr16:85547407:G:A rs34954498 G A G EBF1_EBF_1 -14 0 - 0 0 . chr16 85553132 85553133 chr16:85553133:C:T rs4843631 C T C EBF1_EBF_1 -13 0 + 0 0 . chr16 85561962 85561963 chr16:85561963:T:C rs116740038 T C C EBF1_EBF_1 14 0 + 0 0 . chr16 85574764 85574765 chr16:85574765:T:G rs79122748 T G T EBF1_EBF_1 20 0 - 0 0 . chr16 85586436 85586437 chr16:85586437:G:A rs117203064 G A G EBF1_EBF_1 -2 0 + 0 0 . chr16 85593455 85593456 chr16:85593456:A:T rs74031868 A T A EBF1_EBF_1 27 0 + 0 0 . chr16 85613946 85613947 chr16:85613947:G:A chr16:85613947:G:A G A G EBF1_EBF_1 3 1 - 7.162808499968595 0.7574097584152768 ACTCCCCCGAGACA chr16 85619754 85619755 chr16:85619755:G:A rs184452463 G A G EBF1_EBF_1 -8 0 + 0 0 . chr16 85627936 85627937 chr16:85627937:G:C rs28369244 G C G EBF1_EBF_1 30 0 - 0 0 . chr16 85643793 85643794 chr16:85643794:C:T rs186824399 C T C EBF1_EBF_1 7 1 - 9.218841440948687 10.531299846237511 CCTCCCTGGGGACC chr16 85645490 85645491 chr16:85645491:C:T rs4531756 C T C EBF1_EBF_1 -19 0 + 0 0 . chr16 85645497 85645498 chr16:85645498:G:C rs4384624 G C G EBF1_EBF_1 -12 0 + 0 0 . chr16 85645536 85645537 chr16:85645537:C:G rs75264091 C G C EBF1_EBF_1 27 0 + 0 0 . chr16 85649801 85649802 chr16:85649802:G:T rs744257 G T G EBF1_EBF_1 28 0 - 0 0 . chr16 85667362 85667363 chr16:85667363:C:A rs74034023 C A A EBF1_EBF_1 4 1 + 6.682998646426481 0.8584390229730126 ATCCCCACGGGAGG chr16 85682856 85682857 chr16:85682857:A:G rs11646052 A G A EBF1_EBF_1 -3 0 + 0 0 . chr16 85699255 85699256 chr16:85699256:A:G rs403665 A G A EBF1_EBF_1 0 1 - 9.638339479959354 9.356137419228777 TTCCCCTAGGGATT chr16 85722382 85722383 chr16:85722383:C:A rs55982975 C A C EBF1_EBF_1 8 1 - 9.612135511981883 2.720702723004689 GTTCCCCTGGGATA chr16 85725428 85725429 chr16:85725429:A:C rs567265 A C A EBF1_EBF_1 22 0 + 0 0 . chr16 85750296 85750297 chr16:85750297:A:G rs301144 A G g EBF1_EBF_1 30 0 - 0 0 . chr16 85752716 85752717 chr16:85752717:C:T rs919025661 C T C EBF1_EBF_1 -19 0 + 0 0 . chr16 85752756 85752757 chr16:85752757:C:T rs117559094 C T C EBF1_EBF_1 21 0 + 0 0 . chr16 85798741 85798742 chr16:85798742:G:A rs76805068 G A G EBF1_EBF_1 9 1 + 7.140533602020654 4.485594336573051 ATCCTCAAGGGAAA chr16 85809617 85809618 chr16:85809618:T:C rs141408312 T C T EBF1_EBF_1 -20 0 + 0 0 . chr16 85809644 85809645 chr16:85809645:C:T rs8052508 C T C EBF1_EBF_1 7 1 + 6.730060064865115 8.547564811147739 AGCCCCCCGGGAGA chr16 85833319 85833320 chr16:85833320:G:C rs116764030 G C G EBF1_EBF_1 17 0 + 0 0 . chr16 85844437 85844438 chr16:85844438:G:A rs12598445 G A G EBF1_EBF_1 10 1 + 6.524354541831157 1.2063260825641906 AACCTCCTGGGACT chr16 85850447 85850448 chr16:85850448:C:G rs143513876 C G C EBF1_EBF_1 -14 0 + 0 0 . chr16 85889907 85889908 chr16:85889908:G:C rs421710 G C C EBF1_EBF_1 10 1 + 4.5952257484915044 -2.2955766388379715 CGTCACCAGGGACC chr16 85891530 85891531 chr16:85891531:C:T rs142335464 C T C EBF1_EBF_1 8 1 - 5.84087428666668 1.614584197780419 ACTCACCTGGGAAA chr16 85891551 85891552 chr16:85891552:A:G rs389524 A G A EBF1_EBF_1 -13 0 - 0 0 . chr16 85898525 85898526 chr16:85898526:C:G rs191022 C G . EBF1_EBF_1 31 0 + 0 0 . chr16 85899268 85899269 chr16:85899269:G:T rs188476176 G T . EBF1_EBF_1 12 1 - 4.534266692869908 4.564448091291234 GACCCCGCGGGACC chr16 85920981 85920982 chr16:85920982:G:C rs16940009 G C G EBF1_EBF_1 -5 0 + 0 0 . chr16 85939172 85939173 chr16:85939173:C:G rs12600127 C G G EBF1_EBF_1 21 0 - 0 0 . chr16 85968510 85968511 chr16:85968511:A:T rs35655122 A T T EBF1_EBF_1 -13 0 + 0 0 . chr16 85968518 85968519 chr16:85968519:G:C rs11862493 G C C EBF1_EBF_1 -5 0 + 0 0 . chr16 85972231 85972232 chr16:85972232:C:T rs68143182 C T C EBF1_EBF_1 -8 0 + 0 0 . chr16 85987198 85987199 chr16:85987199:G:A rs115530734 G A A EBF1_EBF_1 32 0 - 0 0 . chr16 85987203 85987204 chr16:85987204:G:A rs114581732 G A G EBF1_EBF_1 27 0 - 0 0 . chr16 85987222 85987223 chr16:85987223:C:A rs79349411 C A C EBF1_EBF_1 8 1 - 9.268386844733998 2.376954055756802 GCTCCCAGGGGACA chr16 85994170 85994171 chr16:85994171:T:G rs16940211 T G G EBF1_EBF_1 7 1 - 5.656950459031275 3.363171472661093 AATCCCAAAGGGCC chr16 85994777 85994778 chr16:85994778:G:A rs6540244 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 86015425 86015426 chr16:86015426:T:G rs4843890 T G T EBF1_EBF_1 -7 0 - 0 0 . chr16 86016548 86016549 chr16:86016549:T:C rs188669982 T C T EBF1_EBF_1 -6 0 + 0 0 . chr16 86026932 86026933 chr16:86026933:G:A rs77811716 G A G EBF1_EBF_1 3 1 + 5.103610530302304 4.0252009489517135 TTTGCCCTGGGACC chr16 86027738 86027739 chr16:86027739:A:C rs55633019 A C A EBF1_EBF_1 33 0 + 0 0 . chr16 86040529 86040530 chr16:86040530:G:A rs79764560 G A g EBF1_EBF_1 -12 0 + 0 0 . chr16 86047433 86047434 chr16:86047434:T:A rs72807101 T A T EBF1_EBF_1 32 0 - 0 0 . chr16 86086078 86086079 chr16:86086079:G:A rs79347073 G A G EBF1_EBF_1 28 0 + 0 0 . chr16 86089215 86089216 chr16:86089216:G:A rs28409570 G A A EBF1_EBF_1 25 0 - 0 0 . chr16 86089845 86089846 chr16:86089846:C:G rs62050092 C G C EBF1_EBF_1 31 0 + 0 0 . chr16 86101188 86101189 chr16:86101189:C:T rs74034266 C T C EBF1_EBF_1 24 0 - 0 0 . chr16 86101505 86101506 chr16:86101506:C:T rs116239542 C T C EBF1_EBF_1 18 0 - 0 0 . chr16 86110281 86110282 chr16:86110282:A:G rs62050096 A G A EBF1_EBF_1 -6 0 - 0 0 . chr16 86111021 86111022 chr16:86111022:G:A rs11642965 G A G EBF1_EBF_1 -1 0 - 0 0 . chr16 86128060 86128061 chr16:86128061:C:A rs28448276 C A C EBF1_EBF_1 16 0 + 0 0 . chr16 86150344 86150345 chr16:86150345:C:T rs62051914 C T C EBF1_EBF_1 -14 0 - 0 0 . chr16 86181530 86181531 chr16:86181531:C:T rs11640208 C T C EBF1_EBF_1 -16 0 - 0 0 . chr16 86202033 86202034 chr16:86202034:G:A rs276963 G A A EBF1_EBF_1 7 1 + 9.170452270503795 10.48291067579262 ATTCCCTGGAGAGT chr16 86220017 86220018 chr16:86220018:C:T rs116985901 C T C EBF1_EBF_1 0 1 + 5.936527848608893 6.218729909339471 CCTCCCAAGGGGGA chr16 86230364 86230365 chr16:86230365:T:C rs7189508 T C T EBF1_EBF_1 15 0 + 0 0 . chr16 86242967 86242968 chr16:86242968:G:A chr16:86242968:G:A G A T EBF1_EBF_1 16 0 - 0 0 . chr16 86243713 86243714 chr16:86243714:C:T rs2665342 C T T EBF1_EBF_1 31 0 - 0 0 . chr16 86260263 86260264 chr16:86260264:A:G rs1510204 A G A EBF1_EBF_1 8 1 - 4.394975122986227 5.967749051048735 AGTCCCCATGGAGC chr16 86287917 86287918 chr16:86287918:G:C rs1510208 G C G EBF1_EBF_1 -6 0 + 0 0 . chr16 86287936 86287937 chr16:86287937:C:G rs1881221 C G G EBF1_EBF_1 13 1 + 7.830205497270537 6.342134475915122 CCTCCCTGGGGAGC chr16 86309645 86309646 chr16:86309646:T:C rs12448184 T C T EBF1_EBF_1 28 0 + 0 0 . chr16 86313994 86313995 chr16:86313995:G:A rs12103322 G A G EBF1_EBF_1 4 1 - 8.83931775770332 4.469930812758395 AACCCCCGGGGACA chr16 86317335 86317336 chr16:86317336:C:T rs2581281 C T T EBF1_EBF_1 14 0 + 0 0 . chr16 86324315 86324316 chr16:86324316:C:A rs28363761 C A C EBF1_EBF_1 19 0 - 0 0 . chr16 86324340 86324341 chr16:86324341:G:A rs28627207 G A G EBF1_EBF_1 -6 0 - 0 0 . chr16 86342334 86342335 chr16:86342335:A:G rs1687631 A G G EBF1_EBF_1 -14 0 - 0 0 . chr16 86342336 86342337 chr16:86342337:C:A rs11644064 C A C EBF1_EBF_1 -16 0 - 0 0 . chr16 86342338 86342339 chr16:86342339:T:G rs1613841 T G T EBF1_EBF_1 -18 0 - 0 0 . chr16 86348104 86348105 chr16:86348105:G:A rs1490861 G A G EBF1_EBF_1 -3 0 + 0 0 . chr16 86355473 86355474 chr16:86355474:G:C rs66768718 G C G EBF1_EBF_1 1 1 + 5.410496019026122 5.220724364456905 AGTCCCCAGGCACA chr16 86365724 86365725 chr16:86365725:C:G rs3111598 C G G EBF1_EBF_1 -14 0 + 0 0 . chr16 86365765 86365766 chr16:86365766:G:T rs563947607 G T G EBF1_EBF_1 27 0 + 0 0 . chr16 86374976 86374977 chr16:86374977:A:G rs1687667 A G A EBF1_EBF_1 -4 0 + 0 0 . chr16 86392149 86392150 chr16:86392150:C:T rs11865282 C T C EBF1_EBF_1 -4 0 + 0 0 . chr16 86403711 86403712 chr16:86403712:C:A rs9933222 C A A EBF1_EBF_1 -8 0 - 0 0 . chr16 86410358 86410359 chr16:86410359:C:G rs4843944 C G C EBF1_EBF_1 -14 0 - 0 0 . chr16 86412880 86412881 chr16:86412881:A:T rs60618301 A T A EBF1_EBF_1 -10 0 - 0 0 . chr16 86429160 86429161 chr16:86429161:C:G rs6540291 C G G EBF1_EBF_1 12 1 - 9.9680739490137 11.35670989269185 TCTCCCCAGGGAGT chr16 86444970 86444971 chr16:86444971:G:A rs7500217 G A A EBF1_EBF_1 -15 0 - 0 0 . chr16 86471389 86471390 chr16:86471390:C:T rs12927330 C T C EBF1_EBF_1 13 1 + 5.384352532343273 6.228846646928791 CCTCTCCTGGGACC chr16 86496732 86496733 chr16:86496733:C:T rs66531881 C T C EBF1_EBF_1 -17 0 - 0 0 . chr16 86498636 86498637 chr16:86498637:A:G rs39534 A G A EBF1_EBF_1 -7 0 + 0 0 . chr16 86505487 86505488 chr16:86505488:C:A rs114356703 C A C EBF1_EBF_1 14 0 + 0 0 . chr16 86505492 86505493 chr16:86505493:T:G rs7190971 T G T EBF1_EBF_1 19 0 + 0 0 . chr16 86511572 86511573 chr16:86511573:C:G rs184721625 C G C EBF1_EBF_1 15 0 - 0 0 . chr16 86512858 86512859 chr16:86512859:T:C rs77354159 T C T EBF1_EBF_1 28 0 + 0 0 . chr16 86514757 86514758 chr16:86514758:C:A rs72818129 C A C EBF1_EBF_1 -1 0 + 0 0 . chr16 86517592 86517593 chr16:86517593:C:T rs117419006 C T C EBF1_EBF_1 10 1 - 5.485180520621787 0.16715206135482047 TTCCCCATGGGGTC chr16 86517601 86517602 chr16:86517602:A:G rs56200777 A G A EBF1_EBF_1 1 1 - 5.485180520621787 4.589747080223042 TTCCCCATGGGGTC chr16 86520196 86520197 chr16:86520197:G:A rs4843976 G A A EBF1_EBF_1 30 0 - 0 0 . chr16 86520229 86520230 chr16:86520230:C:T rs77836284 C T T EBF1_EBF_1 -3 0 - 0 0 . chr16 86521976 86521977 chr16:86521977:T:C rs6539951 T C C EBF1_EBF_1 19 0 + 0 0 . chr16 86532216 86532217 chr16:86532217:C:G rs142996375 C G C EBF1_EBF_1 10 1 - 7.429484290731515 0.5386819034020398 CCTCCCCGGGGACG chr16 86532219 86532220 chr16:86532220:C:G rs3751803 C G G EBF1_EBF_1 7 1 - 7.429484290731515 6.448163709650159 CCTCCCCGGGGACG chr16 86536782 86536783 chr16:86536783:C:T rs55997273 C T C EBF1_EBF_1 -3 0 - 0 0 . chr16 86536790 86536791 chr16:86536791:C:T rs4843392 C T C EBF1_EBF_1 -11 0 - 0 0 . chr16 86546980 86546981 chr16:86546981:A:G rs147125628 A G A EBF1_EBF_1 11 1 + 7.250653473472576 4.3579466298685645 AGTCCCAGGGAACT chr16 86555465 86555466 chr16:86555466:T:C rs768766291 T C T EBF1_EBF_1 -3 0 - 0 0 . chr16 86555873 86555874 chr16:86555874:C:T rs80135961 C T C EBF1_EBF_1 -8 0 + 0 0 . chr16 86555904 86555905 chr16:86555905:G:A rs144768038 G A G EBF1_EBF_1 23 0 + 0 0 . chr16 86563727 86563728 chr16:86563728:G:C rs13333335 G C C EBF1_EBF_1 -17 0 + 0 0 . chr16 86563728 86563729 chr16:86563729:G:T rs13333336 G T T EBF1_EBF_1 -16 0 + 0 0 . chr16 86567442 86567443 chr16:86567443:C:T rs138318843 C T C EBF1_EBF_1 2 1 + 5.711445044968119 7.600268456410269 AGCCCCATGGGCGT chr16 86571434 86571435 chr16:86571435:C:T rs4843165 C T T EBF1_EBF_1 12 1 - 6.717989853927373 8.13680719602685 GTTCCCCAAGGAGT chr16 86577860 86577861 chr16:86577861:G:A rs144915923 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 86577892 86577893 chr16:86577893:C:G rs4843399 C G C EBF1_EBF_1 -12 0 - 0 0 . chr16 86601811 86601812 chr16:86601812:G:T rs9936234 G T T EBF1_EBF_1 27 0 - 0 0 . chr16 86601825 86601826 chr16:86601826:G:A rs8053845 G A G EBF1_EBF_1 13 1 - 8.544483426376464 9.388977540961983 AGACCCCTGGGAAC chr16 86601839 86601840 chr16:86601840:A:G rs12325135 A G G EBF1_EBF_1 -1 0 - 0 0 . chr16 86608350 86608351 chr16:86608351:C:T rs8053799 C T T EBF1_EBF_1 -16 0 + 0 0 . chr16 86608359 86608360 chr16:86608360:C:A rs8053802 C A A EBF1_EBF_1 -7 0 + 0 0 . chr16 86608370 86608371 chr16:86608371:C:T rs139355466 C T C EBF1_EBF_1 4 1 + 8.134835325780678 3.765448380835754 CTTCCCCTGGGATG chr16 86639495 86639496 chr16:86639496:C:T rs16941745 C T C EBF1_EBF_1 -6 0 + 0 0 . chr16 86664593 86664594 chr16:86664594:A:G rs188688036 A G A EBF1_EBF_1 11 1 + 6.748993757314951 3.8562869137109397 AATCCTCAGGGAAA chr16 86665135 86665136 chr16:86665136:C:T rs79925326 C T C EBF1_EBF_1 2 1 + 6.73426520265923 8.623088614101379 ATCCCCCTGGGGGC chr16 86665154 86665155 chr16:86665155:T:A rs12926098 T A T EBF1_EBF_1 21 0 + 0 0 . chr16 86670014 86670015 chr16:86670015:G:A rs75888459 G A G EBF1_EBF_1 1 1 + 5.7314729586466 5.4707741267252965 AGCCCCTGGAGAGC chr16 86670610 86670611 chr16:86670611:C:T rs76954413 C T C EBF1_EBF_1 -3 0 + 0 0 . chr16 86680360 86680361 chr16:86680361:A:G rs144741154 A G A EBF1_EBF_1 -10 0 + 0 0 . chr16 86680388 86680389 chr16:86680389:G:A rs78948248 G A G EBF1_EBF_1 18 0 + 0 0 . chr16 86703926 86703927 chr16:86703927:T:G rs58308914 T G G EBF1_EBF_1 31 0 + 0 0 . chr16 86713224 86713225 chr16:86713225:C:T rs169943 C T c EBF1_EBF_1 29 0 - 0 0 . chr16 86714385 86714386 chr16:86714386:C:G rs300000 C G G EBF1_EBF_1 21 0 - 0 0 . chr16 86723967 86723968 chr16:86723968:A:C rs299981 A C A EBF1_EBF_1 -7 0 + 0 0 . chr16 86727538 86727539 chr16:86727539:A:G rs7499485 A G A EBF1_EBF_1 -7 0 + 0 0 . chr16 86740654 86740655 chr16:86740655:A:G rs62040912 A G a EBF1_EBF_1 16 0 - 0 0 . chr16 86752102 86752103 chr16:86752103:A:G rs11649583 A G A EBF1_EBF_1 14 0 - 0 0 . chr16 86770074 86770075 chr16:86770075:A:G rs299965 A G A EBF1_EBF_1 23 0 + 0 0 . chr16 86773204 86773205 chr16:86773205:C:G rs186172 C G G EBF1_EBF_1 -2 0 + 0 0 . chr16 86818468 86818469 chr16:86818469:G:A rs529878009 G A G EBF1_EBF_1 32 0 - 0 0 . chr16 86869206 86869207 chr16:86869207:A:G rs35565712 A G G EBF1_EBF_1 25 0 - 0 0 . chr16 86878402 86878403 chr16:86878403:A:G rs71390860 A G G EBF1_EBF_1 -15 0 - 0 0 . chr16 86878548 86878549 chr16:86878549:A:G rs11640938 A G a EBF1_EBF_1 30 0 - 0 0 . chr16 86887604 86887605 chr16:86887605:A:G rs74036664 A G A EBF1_EBF_1 27 0 - 0 0 . chr16 86888165 86888166 chr16:86888166:A:G rs4372698 A G A EBF1_EBF_1 -10 0 + 0 0 . chr16 86915312 86915313 chr16:86915313:C:A rs80179290 C A C EBF1_EBF_1 -3 0 - 0 0 . chr16 86920194 86920195 chr16:86920195:T:C chr16:86920195:T:C T C T EBF1_EBF_1 30 0 - 0 0 . chr16 86993748 86993749 chr16:86993749:A:G rs7194455 A G A EBF1_EBF_1 19 0 + 0 0 . chr16 86994199 86994200 chr16:86994200:C:T rs4072858 C T C EBF1_EBF_1 7 1 + 5.768104869334606 7.585609615617229 GCTCCCACGGGGCC chr16 87053519 87053520 chr16:87053520:C:T rs12935790 C T C EBF1_EBF_1 24 0 + 0 0 . chr16 87078557 87078558 chr16:87078558:C:T rs7185669 C T C EBF1_EBF_1 10 1 - 5.08297675100276 -0.23505170826420518 ACCCCCCGGCGAAT chr16 87143425 87143426 chr16:87143426:G:A rs34574593 G A G EBF1_EBF_1 1 1 - 5.002647597059218 5.898081037457962 ACCCCCCGGGGGGC chr16 87145523 87145524 chr16:87145524:C:T rs142126143 C T C EBF1_EBF_1 -12 0 + 0 0 . chr16 87145535 87145536 chr16:87145536:G:A rs79639177 G A G EBF1_EBF_1 0 1 + 5.963668217010771 7.855952086575604 GTCCCCTGGAGAAC chr16 87160716 87160717 chr16:87160717:C:T rs10863179 C T C EBF1_EBF_1 -5 0 - 0 0 . chr16 87179522 87179523 chr16:87179523:G:A rs114922405 G A G EBF1_EBF_1 -16 0 + 0 0 . chr16 87186791 87186792 chr16:87186792:A:G rs77287873 A G G EBF1_EBF_1 23 0 - 0 0 . chr16 87186797 87186798 chr16:87186798:G:A rs9889151 G A G EBF1_EBF_1 17 0 - 0 0 . chr16 87201348 87201349 chr16:87201349:G:A rs74039110 G A g EBF1_EBF_1 26 0 + 0 0 . chr16 87203150 87203151 chr16:87203151:C:G rs13380719 C G G EBF1_EBF_1 28 0 - 0 0 . chr16 87212262 87212263 chr16:87212263:C:T rs111453758 C T C EBF1_EBF_1 -12 0 + 0 0 . chr16 87237264 87237265 chr16:87237265:G:A rs9933186 G A G EBF1_EBF_1 30 0 + 0 0 . chr16 87325215 87325216 chr16:87325216:G:A rs117062365 G A G EBF1_EBF_1 -4 0 + 0 0 . chr16 87334101 87334102 chr16:87334102:C:T rs34412175 C T C EBF1_EBF_1 17 0 + 0 0 . chr16 87334733 87334734 chr16:87334734:C:T rs4843236 C T T EBF1_EBF_1 -15 0 - 0 0 . chr16 87364253 87364254 chr16:87364254:C:T rs2278274 C T C EBF1_EBF_1 -1 0 + 0 0 . chr16 87370543 87370544 chr16:87370544:G:A rs4843603 G A G EBF1_EBF_1 21 0 - 0 0 . chr16 87388280 87388281 chr16:87388281:T:A chr16:87388281:T:A T A T EBF1_EBF_1 16 0 - 0 0 . chr16 87395645 87395646 chr16:87395646:C:T rs924848795 C T C EBF1_EBF_1 24 0 - 0 0 . chr16 87410561 87410562 chr16:87410562:T:A rs117123162 T A T EBF1_EBF_1 29 0 - 0 0 . chr16 87434042 87434043 chr16:87434043:G:C rs77787571 G C a EBF1_EBF_1 -20 0 - 0 0 . chr16 87497151 87497152 chr16:87497152:G:C rs111616544 G C G EBF1_EBF_1 6 1 - 5.146139350944517 3.3409472874713195 GCACCCCAGGGAGA chr16 87541408 87541409 chr16:87541409:T:G rs116737835 T G G EBF1_EBF_1 18 0 - 0 0 . chr16 87542076 87542077 chr16:87542077:C:T rs11862395 C T C EBF1_EBF_1 -19 0 + 0 0 . chr16 87546263 87546264 chr16:87546264:A:G rs4081947 A G G EBF1_EBF_1 -2 0 - 0 0 . chr16 87570591 87570592 chr16:87570592:G:A rs16943052 G A G EBF1_EBF_1 17 0 - 0 0 . chr16 87610310 87610311 chr16:87610311:C:A rs2573124 C A A EBF1_EBF_1 6 1 + 5.329880695243915 5.691483063045192 AGCCACCTGGGACT chr16 87610337 87610338 chr16:87610338:A:G rs13330325 A G A EBF1_EBF_1 33 0 + 0 0 . chr16 87615216 87615217 chr16:87615217:C:A rs2562065 C A C EBF1_EBF_1 21 0 + 0 0 . chr16 87656008 87656009 chr16:87656009:A:G rs12596355 A G A EBF1_EBF_1 31 0 - 0 0 . chr16 87660434 87660435 chr16:87660435:C:G rs67163887 C G C EBF1_EBF_1 27 0 + 0 0 . chr16 87663657 87663658 chr16:87663658:C:T rs8058906 C T T EBF1_EBF_1 1 1 + 3.4816880271752852 4.377121467574032 CCGCCCCAGGGACC chr16 87692467 87692468 chr16:87692468:A:C rs75735496 A C A EBF1_EBF_1 16 0 + 0 0 . chr16 87701920 87701921 chr16:87701921:G:A rs7199037 G A G EBF1_EBF_1 8 1 + 4.184533776146708 -0.041756312739554255 GCTCCCTCGGGCCC chr16 87702424 87702425 chr16:87702425:C:G rs536345616 C G C EBF1_EBF_1 29 0 - 0 0 . chr16 87702456 87702457 chr16:87702457:G:A rs138043720 G A G EBF1_EBF_1 -3 0 - 0 0 . chr16 87706642 87706643 chr16:87706643:C:G chr16:87706643:C:G C G - EBF1_EBF_1 33 0 - 0 0 . chr16 87706658 87706659 chr16:87706659:G:A rs57230542 G A G EBF1_EBF_1 17 0 - 0 0 . chr16 87706688 87706689 chr16:87706689:A:G rs112578789 A G G EBF1_EBF_1 -13 0 - 0 0 . chr16 87716582 87716583 chr16:87716583:C:T rs62055569 C T c EBF1_EBF_1 18 0 - 0 0 . chr16 87719536 87719537 chr16:87719537:C:T rs13330114 C T c EBF1_EBF_1 30 0 + 0 0 . chr16 87719905 87719906 chr16:87719906:G:A rs55896004 G A G EBF1_EBF_1 -19 0 + 0 0 . chr16 87720534 87720535 chr16:87720535:C:G rs62055577 C G C EBF1_EBF_1 24 0 - 0 0 . chr16 87720538 87720539 chr16:87720539:G:A rs59543792 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 87740311 87740312 chr16:87740312:C:T rs12448121 C T C EBF1_EBF_1 17 0 + 0 0 . chr16 87742712 87742713 chr16:87742713:T:G rs12934975 T G G EBF1_EBF_1 2 1 - 7.903226453226303 9.698870629383027 AGACCCTAGGGAGC chr16 87777897 87777898 chr16:87777898:C:T rs115772141 C T C EBF1_EBF_1 5 1 + 6.535529397365373 1.2137421818247025 CTCCCCCGGGGAGC chr16 87777899 87777900 chr16:87777900:G:C chr16:87777900:G:C G C G EBF1_EBF_1 7 1 + 6.535529397365373 5.554208816284017 CTCCCCCGGGGAGC chr16 87806132 87806133 chr16:87806133:A:G rs11117302 A G G EBF1_EBF_1 21 0 + 0 0 . chr16 87806138 87806139 chr16:87806139:C:T rs12448625 C T C EBF1_EBF_1 27 0 + 0 0 . chr16 87806144 87806145 chr16:87806145:G:A rs8058470 G A G EBF1_EBF_1 33 0 + 0 0 . chr16 87807014 87807015 chr16:87807015:G:A rs904021787 G A G EBF1_EBF_1 30 0 - 0 0 . chr16 87807079 87807080 chr16:87807080:G:C chr16:87807080:G:C G C G EBF1_EBF_1 6 1 - 5.087981739479534 3.2827896760063355 AGCCCCCGGGGCAC chr16 87807086 87807087 chr16:87807087:C:G rs8044670 C G C EBF1_EBF_1 -1 0 - 0 0 . chr16 87822683 87822684 chr16:87822684:G:A rs72812302 G A G EBF1_EBF_1 -4 0 + 0 0 . chr16 87822705 87822706 chr16:87822706:G:A rs115660092 G A G EBF1_EBF_1 18 0 + 0 0 . chr16 87824308 87824309 chr16:87824309:A:T rs112698286 A T A EBF1_EBF_1 30 0 - 0 0 . chr16 87824332 87824333 chr16:87824333:T:C rs4843708 T C C EBF1_EBF_1 6 1 - 8.572564784587243 6.405770353312768 GTCCCCAAGGGAGC chr16 87828400 87828401 chr16:87828401:T:C rs4329925 T C C EBF1_EBF_1 6 1 - 5.018279991984929 2.8514855607104534 CTTCCCATGTGACT chr16 87828421 87828422 chr16:87828422:A:G rs4283235 A G A EBF1_EBF_1 -15 0 - 0 0 . chr16 87828653 87828654 chr16:87828654:C:T rs4411504 C T c EBF1_EBF_1 29 0 - 0 0 . chr16 87833258 87833259 chr16:87833259:C:T rs62055658 C T C EBF1_EBF_1 24 0 - 0 0 . chr16 87833274 87833275 chr16:87833275:C:T rs374593526 C T C EBF1_EBF_1 8 1 - 5.105832890726179 0.8795428018399181 CCTCCCCAGGGTTC chr16 87835223 87835224 chr16:87835224:T:C rs13336345 T C C EBF1_EBF_1 -18 0 + 0 0 . chr16 87835224 87835225 chr16:87835225:G:A rs13329882 G A A EBF1_EBF_1 -17 0 + 0 0 . chr16 87835341 87835342 chr16:87835342:G:C rs13329933 G C C EBF1_EBF_1 -17 0 - 0 0 . chr16 87839429 87839430 chr16:87839430:G:A rs77495998 G A G EBF1_EBF_1 27 0 + 0 0 . chr16 87844612 87844613 chr16:87844613:T:G rs11117305 T G T EBF1_EBF_1 22 0 - 0 0 . chr16 87844617 87844618 chr16:87844618:G:A rs149787492 G A G EBF1_EBF_1 17 0 - 0 0 . chr16 87851551 87851552 chr16:87851552:T:C rs566206836 T C T EBF1_EBF_1 -16 0 - 0 0 . chr16 87853119 87853120 chr16:87853120:T:A rs67971539 T A A EBF1_EBF_1 7 1 - 9.61060367440497 9.134329434317413 ACTCCCAAGGGGAA chr16 87855677 87855678 chr16:87855678:C:T rs867578916 C T C EBF1_EBF_1 -19 0 + 0 0 . chr16 87856834 87856835 chr16:87856835:A:G rs4240805 A G G EBF1_EBF_1 17 0 + 0 0 . chr16 87856848 87856849 chr16:87856849:G:T rs4843721 G T G EBF1_EBF_1 31 0 + 0 0 . chr16 87861054 87861055 chr16:87861055:G:C rs71392315 G C G EBF1_EBF_1 25 0 - 0 0 . chr16 87861080 87861081 chr16:87861081:G:A rs151285984 G A G EBF1_EBF_1 -1 0 - 0 0 . chr16 87861088 87861089 chr16:87861089:A:C rs193083944 A C A EBF1_EBF_1 -9 0 - 0 0 . chr16 87861089 87861090 chr16:87861090:C:T rs185476019 C T C EBF1_EBF_1 -10 0 - 0 0 . chr16 87925092 87925093 chr16:87925093:C:G rs11642854 C G C EBF1_EBF_1 -3 0 - 0 0 . chr16 87925100 87925101 chr16:87925101:G:T rs1222323515 G T G EBF1_EBF_1 -11 0 - 0 0 . chr16 87927358 87927359 chr16:87927359:T:C rs8053821 T C C EBF1_EBF_1 -11 0 - 0 0 . chr16 87936741 87936742 chr16:87936742:C:T rs575458453 C T c EBF1_EBF_1 17 0 - 0 0 . chr16 87956736 87956737 chr16:87956737:C:T rs374598777 C T c EBF1_EBF_1 -6 0 + 0 0 . chr16 87956737 87956738 chr16:87956738:G:A rs143832724 G A g EBF1_EBF_1 -5 0 + 0 0 . chr16 87956745 87956746 chr16:87956746:C:T rs116853472 C T c EBF1_EBF_1 3 1 + 5.335443104855937 -1.0699556366973804 GCTCGCCTGGGACT chr16 87957189 87957190 chr16:87957190:G:C rs184443175 G C g EBF1_EBF_1 -2 0 - 0 0 . chr16 87959542 87959543 chr16:87959543:C:T rs117441099 C T c EBF1_EBF_1 10 1 - 4.873980102961174 -0.4440483563057919 CCTCCCCGGAGAGC chr16 87960070 87960071 chr16:87960071:A:G rs6540124 A G g EBF1_EBF_1 0 1 + 9.77019393055286 7.877910060988029 AGTCCCAAGGGGCA chr16 87995904 87995905 chr16:87995905:G:A rs148948646 G A g EBF1_EBF_1 25 0 + 0 0 . chr16 88004950 88004951 chr16:88004951:A:G rs59251828 A G g EBF1_EBF_1 32 0 - 0 0 . chr16 88040153 88040154 chr16:88040154:G:A rs543198335 G A G EBF1_EBF_1 -1 0 - 0 0 . chr16 88049481 88049482 chr16:88049482:G:C rs7191775 G C g EBF1_EBF_1 -15 0 + 0 0 . chr16 88078090 88078091 chr16:88078091:C:T rs11639845 C T C EBF1_EBF_1 -13 0 + 0 0 . chr16 88088779 88088780 chr16:88088780:G:A rs78708202 G A G EBF1_EBF_1 7 1 - 9.668403099697843 11.485907845980465 AGCCCCTCGGGAAT chr16 88103544 88103545 chr16:88103545:G:A rs12929184 G A G EBF1_EBF_1 29 0 - 0 0 . chr16 88113372 88113373 chr16:88113373:T:C rs7498963 T C - EBF1_EBF_1 0 1 - 8.341501726580507 6.449217857015673 ATACCCTGGGGAAA chr16 88114154 88114155 chr16:88114155:C:G rs74204505 C G - EBF1_EBF_1 28 0 - 0 0 . chr16 88114286 88114287 chr16:88114287:T:C rs13337292 T C - EBF1_EBF_1 -16 0 - 0 0 . chr16 88114428 88114429 chr16:88114429:C:T rs183247094 C T - EBF1_EBF_1 17 0 - 0 0 . chr16 88128235 88128236 chr16:88128236:C:T rs7499249 C T - EBF1_EBF_1 28 0 - 0 0 . chr16 88133018 88133019 chr16:88133019:C:G rs28639904 C G - EBF1_EBF_1 23 0 - 0 0 . chr16 88133057 88133058 chr16:88133058:T:G rs28715264 T G - EBF1_EBF_1 -16 0 - 0 0 . chr16 88147679 88147680 chr16:88147680:T:C rs76794452 T C - EBF1_EBF_1 7 1 - 5.609717997977823 4.297259592688998 GCTGCCCAGGGACT chr16 88165541 88165542 chr16:88165542:T:C rs58427573 T C - EBF1_EBF_1 17 0 - 0 0 . chr16 88167649 88167650 chr16:88167650:C:T rs867370295 C T - EBF1_EBF_1 8 1 - 6.783455442385827 2.557165353499565 CTTCCCCCGGGGCT chr16 88167669 88167670 chr16:88167670:T:A rs76114771 T A - EBF1_EBF_1 -12 0 - 0 0 . chr16 88169917 88169918 chr16:88169918:G:C rs1482421786 G C - EBF1_EBF_1 28 0 - 0 0 . chr16 88183910 88183911 chr16:88183911:G:C rs138380147 G C - EBF1_EBF_1 -20 0 - 0 0 . chr16 88195810 88195811 chr16:88195811:G:A rs78068788 G A - EBF1_EBF_1 5 1 - 7.367792227344431 2.0460050118037607 TTTCCCTGGAGACA chr16 88197485 88197486 chr16:88197486:C:T rs76910536 C T - EBF1_EBF_1 8 1 - 12.862153479380648 8.63586339049439 ATTCCCTTGGGAAA chr16 88197499 88197500 chr16:88197500:C:T rs55906924 C T - EBF1_EBF_1 -6 0 - 0 0 . chr16 88197506 88197507 chr16:88197507:A:T rs12925466 A T - EBF1_EBF_1 -13 0 - 0 0 . chr16 88197856 88197857 chr16:88197857:G:C rs62047013 G C - EBF1_EBF_1 -7 0 + 0 0 . chr16 88221487 88221488 chr16:88221488:T:C rs142038117 T C - EBF1_EBF_1 18 0 - 0 0 . chr16 88228555 88228556 chr16:88228556:C:T rs6540201 C T - EBF1_EBF_1 -12 0 - 0 0 . chr16 88229884 88229885 chr16:88229885:G:C rs567577580 G C - EBF1_EBF_1 -6 0 + 0 0 . chr16 88229903 88229904 chr16:88229904:C:T rs78576224 C T - EBF1_EBF_1 13 1 + 5.175579441187721 6.020073555773239 TCTCCCAGGGGCAC chr16 88230731 88230732 chr16:88230732:C:T rs7203848 C T - EBF1_EBF_1 15 0 + 0 0 . chr16 88242559 88242560 chr16:88242560:C:T rs145064197 C T - EBF1_EBF_1 26 0 - 0 0 . chr16 88267330 88267331 chr16:88267331:T:C rs11865319 T C - EBF1_EBF_1 -13 0 - 0 0 . chr16 88268406 88268407 chr16:88268407:C:T rs11117397 C T - EBF1_EBF_1 -12 0 + 0 0 . chr16 88270542 88270543 chr16:88270543:G:C rs11863577 G C - EBF1_EBF_1 -16 0 - 0 0 . chr16 88283028 88283029 chr16:88283029:A:C rs60292175 A C A EBF1_EBF_1 33 0 + 0 0 . chr16 88283678 88283679 chr16:88283679:T:G rs9783782 T G T EBF1_EBF_1 -8 0 - 0 0 . chr16 88289648 88289649 chr16:88289649:T:C rs144684548 T C T EBF1_EBF_1 -20 0 + 0 0 . chr16 88303526 88303527 chr16:88303527:A:G rs141970639 A G A EBF1_EBF_1 29 0 + 0 0 . chr16 88311159 88311160 chr16:88311160:C:T rs74251091 C T C EBF1_EBF_1 32 0 - 0 0 . chr16 88317381 88317382 chr16:88317382:A:C rs7500727 A C C EBF1_EBF_1 1 1 - 4.897034031490298 4.191372245660769 GTCCCCCTAGGACC chr16 88319409 88319410 chr16:88319410:G:A rs77364196 G A G EBF1_EBF_1 -15 0 + 0 0 . chr16 88329611 88329612 chr16:88329612:C:G rs62047129 C G C EBF1_EBF_1 -20 0 + 0 0 . chr16 88340904 88340905 chr16:88340905:T:G rs62047142 T G t EBF1_EBF_1 32 0 - 0 0 . chr16 88340935 88340936 chr16:88340936:T:C rs8061460 T C t EBF1_EBF_1 1 1 - 5.083293343874882 5.343992175796183 AACCCCCAGGGCTC chr16 88340945 88340946 chr16:88340946:A:G rs76065151 A G a EBF1_EBF_1 -9 0 - 0 0 . chr16 88348479 88348480 chr16:88348480:C:T rs74454090 C T C EBF1_EBF_1 -17 0 - 0 0 . chr16 88369298 88369299 chr16:88369299:G:A rs921144752 G A g EBF1_EBF_1 0 1 - 4.90753641895977 5.189738479690348 CGTCCCAAGGGCAG chr16 88383274 88383275 chr16:88383275:T:C rs111561531 T C N EBF1_EBF_1 24 0 - 0 0 . chr16 88388120 88388121 chr16:88388121:C:T rs117656242 C T C EBF1_EBF_1 32 0 + 0 0 . chr16 88390761 88390762 chr16:88390762:C:T rs78588220 C T C EBF1_EBF_1 -13 0 - 0 0 . chr16 88391424 88391425 chr16:88391425:A:G rs4075964 A G A EBF1_EBF_1 14 0 - 0 0 . chr16 88428952 88428953 chr16:88428953:C:T rs202205643 C T C EBF1_EBF_1 3 1 + 8.231060507733732 1.8256617661804142 AGTCCCCACGGAAT chr16 88428958 88428959 chr16:88428959:G:A rs28723506 G A G EBF1_EBF_1 9 1 + 8.231060507733732 5.576121242286128 AGTCCCCACGGAAT chr16 88441940 88441941 chr16:88441941:A:T rs988372125 A T A EBF1_EBF_1 -16 0 - 0 0 . chr16 88460813 88460814 chr16:88460814:G:A rs67651018 G A A EBF1_EBF_1 -18 0 + 0 0 . chr16 88474253 88474254 chr16:88474254:G:T rs35598617 G T G EBF1_EBF_1 -6 0 - 0 0 . chr16 88479392 88479393 chr16:88479393:G:C rs12920421 G C G EBF1_EBF_1 30 0 + 0 0 . chr16 88484121 88484122 chr16:88484122:T:G rs36074513 T G G EBF1_EBF_1 30 0 + 0 0 . chr16 88485056 88485057 chr16:88485057:T:C rs3859027 T C C EBF1_EBF_1 -2 0 + 0 0 . chr16 88509030 88509031 chr16:88509031:G:A rs8045833 G A A EBF1_EBF_1 16 0 - 0 0 . chr16 88510385 88510386 chr16:88510386:G:A rs3965782 G A G EBF1_EBF_1 30 0 - 0 0 . chr16 88533670 88533671 chr16:88533671:C:G rs13335396 C G G EBF1_EBF_1 6 1 + 5.397027054633285 3.591834991160087 CTTCCCCGGGGCCC chr16 88550537 88550538 chr16:88550538:A:G rs112919561 A G A EBF1_EBF_1 30 0 - 0 0 . chr16 88550547 88550548 chr16:88550548:G:A rs72807467 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 88562065 88562066 chr16:88562066:C:G rs990530357 C G C EBF1_EBF_1 7 1 + 7.255228219114073 8.236548800195429 TTCCCCCCGGGAAC chr16 88562078 88562079 chr16:88562079:T:C rs62050263 T C C EBF1_EBF_1 20 0 + 0 0 . chr16 88570159 88570160 chr16:88570160:C:T rs1323727836 C T C EBF1_EBF_1 32 0 + 0 0 . chr16 88601827 88601828 chr16:88601828:A:T rs8061641 A T A EBF1_EBF_1 26 0 + 0 0 . chr16 88611622 88611623 chr16:88611623:C:T rs9674179 C T C EBF1_EBF_1 31 0 - 0 0 . chr16 88623443 88623444 chr16:88623444:T:C rs2277904 T C T EBF1_EBF_1 -1 0 - 0 0 . chr16 88624199 88624200 chr16:88624200:A:C rs55976122 A C A EBF1_EBF_1 -1 0 - 0 0 . chr16 88624992 88624993 chr16:88624993:G:A rs2291159 G A G EBF1_EBF_1 -19 0 + 0 0 . chr16 88628611 88628612 chr16:88628612:A:G rs111575892 A G A EBF1_EBF_1 27 0 - 0 0 . chr16 88628891 88628892 chr16:88628892:C:T rs4782387 C T C EBF1_EBF_1 -13 0 - 0 0 . chr16 88628892 88628893 chr16:88628893:G:A rs74725858 G A G EBF1_EBF_1 -14 0 - 0 0 . chr16 88633888 88633889 chr16:88633889:C:G rs574132874 C G C EBF1_EBF_1 12 1 - 4.917281923460395 6.305917867138547 CACCCCCTGGGAGG chr16 88633915 88633916 chr16:88633916:C:T chr16:88633916:C:T C T C EBF1_EBF_1 -15 0 - 0 0 . chr16 88646322 88646323 chr16:88646323:C:T rs13306294 C T C EBF1_EBF_1 -3 0 - 0 0 . chr16 88646324 88646325 chr16:88646325:C:T rs12926425 C T C EBF1_EBF_1 -5 0 - 0 0 . chr16 88646827 88646828 chr16:88646828:A:G rs4673 A G A EBF1_EBF_1 18 0 - 0 0 . chr16 88647064 88647065 chr16:88647065:G:A rs4782308 G A G EBF1_EBF_1 1 1 + 5.056441380498866 4.795742548577564 AGCCCCCGGAGAGA chr16 88647092 88647093 chr16:88647093:A:G rs4782393 A G A EBF1_EBF_1 29 0 + 0 0 . chr16 88650125 88650126 chr16:88650126:A:G rs3812948 A G A EBF1_EBF_1 -7 0 - 0 0 . chr16 88653216 88653217 chr16:88653217:A:T rs11648950 A T A EBF1_EBF_1 22 0 - 0 0 . chr16 88654603 88654604 chr16:88654604:G:A rs12935454 G A G EBF1_EBF_1 26 0 + 0 0 . chr16 88672915 88672916 chr16:88672916:C:T rs1000147127 C T C EBF1_EBF_1 -3 0 - 0 0 . chr16 88686284 88686285 chr16:88686285:T:G rs11076698 T G G EBF1_EBF_1 32 0 + 0 0 . chr16 88688460 88688461 chr16:88688461:C:T rs880970 C T C EBF1_EBF_1 1 1 + 8.330419470779395 9.22585291117814 GCCCCCCAGGGACA chr16 88701855 88701856 chr16:88701856:C:T rs57626073 C T C EBF1_EBF_1 32 0 - 0 0 . chr16 88702074 88702075 chr16:88702075:C:T rs532290364 C T C EBF1_EBF_1 -7 0 - 0 0 . chr16 88712422 88712423 chr16:88712423:C:T rs7201610 C T C EBF1_EBF_1 10 1 - 4.78519150591051 -0.5328369533564565 GCCCCCCAGGGGTC chr16 88716965 88716966 chr16:88716966:A:G rs8044367 A G G EBF1_EBF_1 -11 0 + 0 0 . chr16 88720979 88720980 chr16:88720980:C:T rs8059794 C T T EBF1_EBF_1 6 1 + 5.5801758418448575 5.8814619707066145 CATCCCCGGGGGCA chr16 88723738 88723739 chr16:88723739:G:T rs8056374 G T T EBF1_EBF_1 -20 0 - 0 0 . chr16 88731159 88731160 chr16:88731160:G:A rs8050504 G A G EBF1_EBF_1 28 0 + 0 0 . chr16 88732322 88732323 chr16:88732323:T:C rs112680298 T C T EBF1_EBF_1 -7 0 - 0 0 . chr16 88746047 88746048 chr16:88746048:G:A rs62048197 G A G EBF1_EBF_1 -9 0 + 0 0 . chr16 88746063 88746064 chr16:88746064:T:C rs74033388 T C T EBF1_EBF_1 7 1 + 4.9919177448448995 3.174412998562275 AGCCCCCTGGAACC chr16 88747976 88747977 chr16:88747977:G:A rs115631491 G A G EBF1_EBF_1 23 0 + 0 0 . chr16 88768559 88768560 chr16:88768560:G:A rs572274037 G A G EBF1_EBF_1 6 1 + 5.269707476211607 7.436501907486081 ACTCCCGGGGGTCC chr16 88770889 88770890 chr16:88770890:C:T rs8052370 C T T EBF1_EBF_1 -5 0 - 0 0 . chr16 88772960 88772961 chr16:88772961:G:A rs925759790 G A G EBF1_EBF_1 25 0 + 0 0 . chr16 88792721 88792722 chr16:88792722:C:G rs74035825 C G C EBF1_EBF_1 4 1 + 6.702175082890584 1.0764099817410402 ATCCCCCAGGGCCA chr16 88805224 88805225 chr16:88805225:C:T rs113691139 C T C EBF1_EBF_1 -4 0 - 0 0 . chr16 88809428 88809429 chr16:88809429:G:T rs8191499 G T G EBF1_EBF_1 19 0 - 0 0 . chr16 88809650 88809651 chr16:88809651:A:G rs8191497 A G A EBF1_EBF_1 0 1 + 5.472766089279376 3.580482219714541 ATTTCCCTGGGATC chr16 88810595 88810596 chr16:88810596:A:G rs8191487 A G A EBF1_EBF_1 0 1 + 9.521638180116256 7.6293543105514265 AATCCCCAGGGGCC chr16 88812599 88812600 chr16:88812600:C:T rs28712013 C T C EBF1_EBF_1 33 0 - 0 0 . chr16 88813310 88813311 chr16:88813311:A:C rs143715755 A C A EBF1_EBF_1 14 0 - 0 0 . chr16 88813914 88813915 chr16:88813915:C:G rs3759946 C G C EBF1_EBF_1 30 0 + 0 0 . chr16 88833983 88833984 chr16:88833984:C:G rs78575714 C G C EBF1_EBF_1 0 1 + 5.885680979577408 6.2486555937091754 CTCCCCATGGGGAA chr16 88856627 88856628 chr16:88856628:T:A rs1013461373 T A T EBF1_EBF_1 0 1 + 6.987721331260194 8.960777754226212 TTCCCCAAGGGGCC chr16 88863531 88863532 chr16:88863532:C:T rs117594703 C T C EBF1_EBF_1 28 0 + 0 0 . chr16 88863964 88863965 chr16:88863965:G:A rs77250061 G A G EBF1_EBF_1 -8 0 - 0 0 . chr16 88885089 88885090 chr16:88885090:C:T rs760610315 C T C EBF1_EBF_1 -7 0 - 0 0 . chr16 88887378 88887379 chr16:88887379:C:T rs76106755 C T C EBF1_EBF_1 15 0 + 0 0 . chr16 88889497 88889498 chr16:88889498:A:C rs11641997 A C C EBF1_EBF_1 0 1 + 4.882934146083383 2.6276756623867827 AGTGCCCTGGGACG chr16 88889526 88889527 chr16:88889527:A:G rs11642000 A G G EBF1_EBF_1 29 0 + 0 0 . chr16 88895665 88895666 chr16:88895666:C:T rs113477736 C T C EBF1_EBF_1 23 0 + 0 0 . chr16 88938254 88938255 chr16:88938255:T:C rs479073 T C C EBF1_EBF_1 11 1 - 11.802545272017 8.90983842841299 ACCCCCAAGGGACT chr16 88952870 88952871 chr16:88952871:A:G rs4782497 A G G EBF1_EBF_1 0 1 + 7.4158868877604345 5.523603018195601 ACACCCTGGGGACA chr16 88968266 88968267 chr16:88968267:G:C rs143760364 G C G EBF1_EBF_1 -7 0 - 0 0 . chr16 88968267 88968268 chr16:88968268:T:C rs7403922 T C C EBF1_EBF_1 -8 0 - 0 0 . chr16 88981882 88981883 chr16:88981883:C:T rs12447686 C T C EBF1_EBF_1 30 0 - 0 0 . chr16 88997092 88997093 chr16:88997093:C:A rs4782481 C A C EBF1_EBF_1 11 1 + 6.719159964985427 11.135121662837816 ATCCCCTTGGGCTT chr16 89014353 89014354 chr16:89014354:C:G rs560267873 C G C EBF1_EBF_1 -15 0 - 0 0 . chr16 89021296 89021297 chr16:89021297:G:A rs78208831 G A G EBF1_EBF_1 16 0 - 0 0 . chr16 89031225 89031226 chr16:89031226:G:A rs181643460 G A G EBF1_EBF_1 27 0 - 0 0 . chr16 89035762 89035763 chr16:89035763:C:T rs73258300 C T C EBF1_EBF_1 -7 0 + 0 0 . chr16 89048496 89048497 chr16:89048497:C:G rs150575697 C G C EBF1_EBF_1 -6 0 - 0 0 . chr16 89049975 89049976 chr16:89049976:C:T rs138424200 C T C EBF1_EBF_1 -13 0 - 0 0 . chr16 89050571 89050572 chr16:89050572:T:C rs111390307 T C C EBF1_EBF_1 -16 0 - 0 0 . chr16 89053062 89053063 chr16:89053063:C:T rs868101117 C T C EBF1_EBF_1 16 0 + 0 0 . chr16 89061384 89061385 chr16:89061385:C:A rs7203923 C A C EBF1_EBF_1 -5 0 + 0 0 . chr16 89065716 89065717 chr16:89065717:G:T rs34449463 G T T EBF1_EBF_1 7 1 + 4.628317257565827 5.4645014227670945 GTTCCCCGGAGGCC chr16 89079965 89079966 chr16:89079966:A:T rs11645629 A T A EBF1_EBF_1 -17 0 - 0 0 . chr16 89088090 89088091 chr16:89088091:G:C rs11862309 G C G EBF1_EBF_1 19 0 + 0 0 . chr16 89089444 89089445 chr16:89089445:G:A rs376515396 G A G EBF1_EBF_1 30 0 + 0 0 . chr16 89093874 89093875 chr16:89093875:C:G rs562092702 C G C EBF1_EBF_1 -10 0 + 0 0 . chr16 89094015 89094016 chr16:89094016:C:G rs10163379 C G C EBF1_EBF_1 6 1 - 4.085331984663594 5.890524048136792 GGTCCCGTGGGCCC chr16 89094511 89094512 chr16:89094512:A:G rs4782472 A G A EBF1_EBF_1 -9 0 + 0 0 . chr16 89095112 89095113 chr16:89095113:C:T rs10163418 C T C EBF1_EBF_1 30 0 - 0 0 . chr16 89114286 89114287 chr16:89114287:C:T rs8182228 C T C EBF1_EBF_1 24 0 - 0 0 . chr16 89114603 89114604 chr16:89114604:G:A rs3743981 G A G EBF1_EBF_1 6 1 + 5.968180613817451 8.134975045091926 TCCCCCGTGGGACA chr16 89117726 89117727 chr16:89117727:G:C rs4782327 G C C EBF1_EBF_1 -5 0 - 0 0 . chr16 89120804 89120805 chr16:89120805:C:T rs138395741 C T C EBF1_EBF_1 9 1 - 5.494282523583379 2.8393432581357763 GGTCCCCACGGAAC chr16 89120807 89120808 chr16:89120808:G:A rs141088268 G A G EBF1_EBF_1 6 1 - 5.494282523583379 5.795568652445136 GGTCCCCACGGAAC chr16 89144708 89144709 chr16:89144709:C:T rs72817499 C T C EBF1_EBF_1 14 0 + 0 0 . chr16 89154735 89154736 chr16:89154736:T:C rs377221075 T C T EBF1_EBF_1 6 1 - 6.349176244984304 4.182381813709828 GAACCCATGGGACA chr16 89154743 89154744 chr16:89154744:G:A rs150142198 G A G EBF1_EBF_1 -2 0 - 0 0 . chr16 89155619 89155620 chr16:89155620:G:A rs116985242 G A G EBF1_EBF_1 -11 0 + 0 0 . chr16 89159045 89159046 chr16:89159046:C:G rs72819322 C G C EBF1_EBF_1 16 0 - 0 0 . chr16 89164180 89164181 chr16:89164181:G:C rs4581700 G C C EBF1_EBF_1 28 0 - 0 0 . chr16 89167377 89167378 chr16:89167378:C:A rs56933895 C A C EBF1_EBF_1 0 1 + 4.598463772956059 6.853722256652659 CCCCCCCTGGGGCA chr16 89167389 89167390 chr16:89167390:C:T rs76082320 C T C EBF1_EBF_1 12 1 + 4.598463772956059 3.572197202405209 CCCCCCCTGGGGCA chr16 89169920 89169921 chr16:89169921:C:T rs72819350 C T C EBF1_EBF_1 15 0 - 0 0 . chr16 89172552 89172553 chr16:89172553:A:C rs140113856 A C A EBF1_EBF_1 9 1 + 6.083068449733326 3.662318568835466 AGCCCCCAGAGGCT chr16 89198678 89198679 chr16:89198679:A:G rs61732365 A G A EBF1_EBF_1 -3 0 + 0 0 . chr16 89198686 89198687 chr16:89198687:C:T rs4785728 C T T EBF1_EBF_1 5 1 + 4.973567329534335 -0.3482198860063358 TGTCCCCGGGGCCC chr16 89198691 89198692 chr16:89198692:G:A rs61732366 G A G EBF1_EBF_1 10 1 + 4.973567329534335 -0.34446112973263177 TGTCCCCGGGGCCC chr16 89198692 89198693 chr16:89198693:C:A chr16:89198693:C:A C A T EBF1_EBF_1 11 1 + 4.973567329534335 9.389529027386724 TGTCCCCGGGGCCC chr16 89198692 89198693 chr16:89198693:C:T rs74640353 C T T EBF1_EBF_1 11 1 + 4.973567329534335 5.291614771288 TGTCCCCGGGGCCC chr16 89199288 89199289 chr16:89199289:G:A rs115589142 G A G EBF1_EBF_1 16 0 - 0 0 . chr16 89211337 89211338 chr16:89211338:C:G rs12598213 C G C EBF1_EBF_1 -5 0 - 0 0 . chr16 89223554 89223555 chr16:89223555:C:G rs9930572 C G G EBF1_EBF_1 -16 0 - 0 0 . chr16 89241631 89241632 chr16:89241632:C:A rs544985651 C A C EBF1_EBF_1 12 1 + 7.0615862812798715 7.091767679701198 AATCCCAAAGGACG chr16 89278194 89278195 chr16:89278195:G:A rs72821355 G A G EBF1_EBF_1 -3 0 - 0 0 . chr16 89287311 89287312 chr16:89287312:G:T rs193222565 G T G EBF1_EBF_1 -1 0 - 0 0 . chr16 89301179 89301180 chr16:89301180:C:T rs145188932 C T C EBF1_EBF_1 -20 0 + 0 0 . chr16 89301493 89301494 chr16:89301494:G:A rs72803317 G A G EBF1_EBF_1 15 0 - 0 0 . chr16 89304998 89304999 chr16:89304999:G:A rs3114913 G A A EBF1_EBF_1 0 1 + 5.2077971190814365 7.100080988646271 GGCCCCATGGGCCT chr16 89312662 89312663 chr16:89312663:G:A rs55857430 G A G EBF1_EBF_1 4 1 - 5.6695911079383166 1.3002041629933923 ACACCCCTGAGACC chr16 89320263 89320264 chr16:89320264:G:A rs142907990 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 89320265 89320266 chr16:89320266:G:C rs559145444 G C G EBF1_EBF_1 18 0 - 0 0 . chr16 89332400 89332401 chr16:89332401:G:A rs145563732 G A G EBF1_EBF_1 10 1 + 6.76254991218051 1.4445214529135435 AGTCCCCGAGGACA chr16 89333046 89333047 chr16:89333047:A:G rs3114891 A G A EBF1_EBF_1 7 1 + 9.067631989344033 7.755173584055208 AACCCCCAGGGAAG chr16 89494023 89494024 chr16:89494024:C:T rs4072344 C T C EBF1_EBF_1 2 1 + 8.255115968396687 10.143939379838836 AGCCCCAAGGGGCC chr16 89556842 89556843 chr16:89556843:G:A rs138806649 G A G EBF1_EBF_1 15 0 - 0 0 . chr16 89565556 89565557 chr16:89565557:G:C rs115956055 G C C EBF1_EBF_1 10 1 + 11.663871579214502 4.77306919188503 AGTCCCTGGGGACC chr16 89565562 89565563 chr16:89565563:C:T chr16:89565563:C:T C T C EBF1_EBF_1 16 0 + 0 0 . chr16 89565579 89565580 chr16:89565580:G:A rs142140126 G A G EBF1_EBF_1 33 0 + 0 0 . chr16 89566047 89566048 chr16:89566048:C:T rs140481355 C T C EBF1_EBF_1 6 1 + 5.829867611535845 6.131153740397603 CGCCCCCGGGGAGC chr16 89574718 89574719 chr16:89574719:T:A rs191212414 T A T EBF1_EBF_1 -13 0 + 0 0 . chr16 89575215 89575216 chr16:89575216:G:T rs145590108 G T G EBF1_EBF_1 5 1 - 4.833618760219776 -2.071262392415393 AGTCCCCCGAGGCC chr16 89633114 89633115 chr16:89633115:G:A rs12920969 G A G EBF1_EBF_1 -9 0 - 0 0 . chr16 89633119 89633120 chr16:89633120:A:G rs4785580 A G G EBF1_EBF_1 -14 0 - 0 0 . chr16 89641307 89641308 chr16:89641308:C:T rs185948647 C T C EBF1_EBF_1 24 0 + 0 0 . chr16 89641313 89641314 chr16:89641314:G:T rs545858706 G T G EBF1_EBF_1 30 0 + 0 0 . chr16 89641334 89641335 chr16:89641335:C:T rs74768453 C T C EBF1_EBF_1 -1 0 + 0 0 . chr16 89641368 89641369 chr16:89641369:G:A chr16:89641369:G:A G A G EBF1_EBF_1 33 0 + 0 0 . chr16 89646765 89646766 chr16:89646766:C:T rs460984 C T C EBF1_EBF_1 0 1 - 5.2077971190814365 7.100080988646271 GGCCCCATGGGCCT chr16 89657461 89657462 chr16:89657462:G:A rs34878706 G A G EBF1_EBF_1 -4 0 - 0 0 . chr16 89657463 89657464 chr16:89657464:T:C rs62067100 T C T EBF1_EBF_1 -6 0 - 0 0 . chr16 89686973 89686974 chr16:89686974:C:G rs1019382957 C G C EBF1_EBF_1 21 0 - 0 0 . chr16 89687000 89687001 chr16:89687001:G:C chr16:89687001:G:C G C G EBF1_EBF_1 -6 0 - 0 0 . chr16 89687008 89687009 chr16:89687009:C:T chr16:89687009:C:T C T C EBF1_EBF_1 -14 0 - 0 0 . chr16 89697250 89697251 chr16:89697251:C:T rs141139105 C T C EBF1_EBF_1 20 0 + 0 0 . chr16 89698270 89698271 chr16:89698271:C:G rs150968298 C G C EBF1_EBF_1 -19 0 + 0 0 . chr16 89700199 89700200 chr16:89700200:G:C rs944355682 G C G EBF1_EBF_1 9 1 + 5.177980755381697 0.10229160903623402 CGCCCCCTGGGAGG chr16 89700214 89700215 chr16:89700215:G:C rs146425044 G C G EBF1_EBF_1 24 0 + 0 0 . chr16 89700257 89700258 chr16:89700258:C:A rs117568059 C A C EBF1_EBF_1 24 0 - 0 0 . chr16 89700271 89700272 chr16:89700272:C:G rs191039052 C G C EBF1_EBF_1 10 1 - 8.906784476932721 2.0159820896032454 GCTCCCCGGGGACA chr16 89701975 89701976 chr16:89701976:C:T chr16:89701976:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr16 89702012 89702013 chr16:89702013:C:T rs8058970 C T C EBF1_EBF_1 18 0 + 0 0 . chr16 89702050 89702051 chr16:89702051:T:C rs900438494 T C T EBF1_EBF_1 0 1 - 7.73392334444752 5.841639474882686 ACCCCCCAGGGGAC chr16 89702061 89702062 chr16:89702062:G:A chr16:89702062:G:A G A G EBF1_EBF_1 -11 0 - 0 0 . chr16 89710669 89710670 chr16:89710670:G:A rs56288641 G A G EBF1_EBF_1 -7 0 + 0 0 . chr16 89711770 89711771 chr16:89711771:T:C chr16:89711771:T:C T C T EBF1_EBF_1 32 0 + 0 0 . chr16 89730956 89730957 chr16:89730957:C:T rs188037652 C T C EBF1_EBF_1 2 1 + 7.245506022875262 9.134329434317413 ACCCCCATGGGGAA chr16 89742910 89742911 chr16:89742911:T:C rs1800358 T C C EBF1_EBF_1 22 0 - 0 0 . chr16 89748808 89748809 chr16:89748809:C:T rs1800345 C T C EBF1_EBF_1 -10 0 + 0 0 . chr16 89752896 89752897 chr16:89752897:C:T rs17233392 C T C EBF1_EBF_1 8 1 - 7.936542901314869 3.7102528124286076 ACTCCCCGGGGGAA chr16 89793168 89793169 chr16:89793169:G:A rs567318988 G A G EBF1_EBF_1 -3 0 - 0 0 . chr16 89796701 89796702 chr16:89796702:A:G rs4785722 A G A EBF1_EBF_1 -11 0 + 0 0 . chr16 89816591 89816592 chr16:89816592:G:C rs76275444 G C G EBF1_EBF_1 28 0 + 0 0 . chr16 89837271 89837272 chr16:89837272:C:T rs62056063 C T C EBF1_EBF_1 -1 0 + 0 0 . chr16 89863720 89863721 chr16:89863721:G:C rs55999134 G C G EBF1_EBF_1 -20 0 + 0 0 . chr16 89863730 89863731 chr16:89863731:G:C rs78502615 G C G EBF1_EBF_1 -10 0 + 0 0 . chr16 89873741 89873742 chr16:89873742:T:C chr16:89873742:T:C T C G EBF1_EBF_1 25 0 + 0 0 . chr16 89901025 89901026 chr16:89901026:G:A rs10153084 G A G EBF1_EBF_1 6 1 + 5.422275642465438 7.589070073739912 ACCCCCGTGGGGCC chr16 89905127 89905128 chr16:89905128:G:A rs75862008 G A G EBF1_EBF_1 -4 0 + 0 0 . chr16 89906007 89906008 chr16:89906008:C:A rs2270460 C A C EBF1_EBF_1 14 0 + 0 0 . chr16 89925000 89925001 chr16:89925001:A:G rs58181634 A G G EBF1_EBF_1 2 1 - 7.857276512658729 5.968453101216579 TCTCCCCAGAGACC chr16 89993872 89993873 chr16:89993873:T:C rs3803683 T C C EBF1_EBF_1 26 0 - 0 0 . chr16 90007592 90007593 chr16:90007593:C:T rs11076653 C T C EBF1_EBF_1 14 0 - 0 0 . chr16 90008753 90008754 chr16:90008754:C:G rs200200334 C G C EBF1_EBF_1 32 0 + 0 0 . chr16 90009003 90009004 chr16:90009004:T:C rs2241084 T C C EBF1_EBF_1 -12 0 - 0 0 . chr16 90009623 90009624 chr16:90009624:T:A rs8062608 T A T EBF1_EBF_1 -6 0 + 0 0 . chr16 90020979 90020980 chr16:90020980:A:G rs551115163 A G a EBF1_EBF_1 7 1 - 8.386604056958816 6.569099310676193 CTCCCCCTGGGACA chr16 90022546 90022547 chr16:90022547:G:A rs994519870 G A G EBF1_EBF_1 20 0 - 0 0 . chr16 90043302 90043303 chr16:90043303:A:G rs3743825 A G G EBF1_EBF_1 23 0 - 0 0 . chr16 90049322 90049323 chr16:90049323:G:A rs11639655 G A G EBF1_EBF_1 19 0 - 0 0 . chr16 90076434 90076435 chr16:90076435:C:T rs4785780 C T . EBF1_EBF_1 8 1 - 6.469211444528878 2.2429213556426175 AATCCCCAGGTAGA chr16 90077539 90077540 chr16:90077540:C:G rs537600836 C G . EBF1_EBF_1 9 1 - 6.744669292815074 1.6689801464696106 CTTCCCCAGGGGCG chr16 90168393 90168394 chr16:90168394:G:A rs9924311 G A . EBF1_EBF_1 10 1 + 5.707690096621335 0.38966163735436904 CCTCCCAGGGGCCT chr16 90215644 90215645 chr16:90215645:C:G rs1211270193 C G . EBF1_EBF_1 8 1 - 5.524481103021103 0.20582224210641764 GCCCCCAAGGGCAT chr17 134098 134099 chr17:134099:T:C rs1212548784 T C . EBF1_EBF_1 16 0 - 0 0 . chr17 146160 146161 chr17:146161:G:A rs9899125 G A . EBF1_EBF_1 8 1 + 7.201329002642175 2.9750389137559137 CCTCCCCAGAGACA chr17 156365 156366 chr17:156366:A:G rs2294076 A G . EBF1_EBF_1 21 0 + 0 0 . chr17 199378 199379 chr17:199379:C:T rs78818939 C T C EBF1_EBF_1 -19 0 + 0 0 . chr17 215021 215022 chr17:215022:G:T rs79022109 G T G EBF1_EBF_1 -18 0 + 0 0 . chr17 223438 223439 chr17:223439:G:A rs9890183 G A G EBF1_EBF_1 -20 0 - 0 0 . chr17 230037 230038 chr17:230038:A:G rs8075539 A G A EBF1_EBF_1 15 0 - 0 0 . chr17 231284 231285 chr17:231285:G:T rs55708782 G T G EBF1_EBF_1 2 1 - 4.947098287160135 3.1514541110034084 GACCCCATGGGCCT chr17 232130 232131 chr17:232131:T:C rs117849583 T C T EBF1_EBF_1 -13 0 + 0 0 . chr17 233404 233405 chr17:233405:C:G rs4890198 C G C EBF1_EBF_1 -9 0 + 0 0 . chr17 244657 244658 chr17:244658:A:T rs12150065 A T A EBF1_EBF_1 2 1 - 7.669236532869595 3.9847689452707185 AGTCCCAGGAGATA chr17 244798 244799 chr17:244799:G:A rs75929675 G A g EBF1_EBF_1 -8 0 + 0 0 . chr17 248257 248258 chr17:248258:T:C rs12451407 T C t EBF1_EBF_1 7 1 - 5.440571421743148 4.128113016454322 TCTCCCGAGGGGCA chr17 248396 248397 chr17:248397:G:T rs4357997 G T g EBF1_EBF_1 -11 0 + 0 0 . chr17 248617 248618 chr17:248618:C:T rs11651297 C T g EBF1_EBF_1 -5 0 + 0 0 . chr17 248647 248648 chr17:248648:T:C rs4395128 T C t EBF1_EBF_1 25 0 + 0 0 . chr17 253036 253037 chr17:253037:G:A rs77050237 G A G EBF1_EBF_1 32 0 + 0 0 . chr17 253119 253120 chr17:253120:G:A rs7221298 G A G EBF1_EBF_1 16 0 + 0 0 . chr17 259537 259538 chr17:259538:A:G rs7406768 A G G EBF1_EBF_1 7 1 - 6.3297517164550525 4.51224697017243 ATTCCCATGAGGGC chr17 261431 261432 chr17:261432:T:A rs10454094 T A t EBF1_EBF_1 1 1 + 6.529879447466783 5.563518829715953 ATTCACCAGGGATC chr17 261458 261459 chr17:261459:C:T rs11656900 C T C EBF1_EBF_1 28 0 + 0 0 . chr17 266583 266584 chr17:266584:C:G rs72821636 C G C EBF1_EBF_1 29 0 + 0 0 . chr17 281100 281101 chr17:281101:C:G rs116982709 C G C EBF1_EBF_1 -10 0 + 0 0 . chr17 294094 294095 chr17:294095:C:T rs12940213 C T C EBF1_EBF_1 23 0 - 0 0 . chr17 296878 296879 chr17:296879:C:T rs34927789 C T C EBF1_EBF_1 22 0 - 0 0 . chr17 298831 298832 chr17:298832:G:A rs113479104 G A G EBF1_EBF_1 -1 0 + 0 0 . chr17 310939 310940 chr17:310940:T:G rs12450597 T G T EBF1_EBF_1 -10 0 - 0 0 . chr17 314202 314203 chr17:314203:A:C rs58794169 A C C EBF1_EBF_1 -10 0 - 0 0 . chr17 325848 325849 chr17:325849:G:A rs12603847 G A G EBF1_EBF_1 8 1 + 8.47683791822186 4.250547829335598 GGTCCCACGGGACA chr17 332960 332961 chr17:332961:G:A rs117609558 G A g EBF1_EBF_1 -19 0 + 0 0 . chr17 357704 357705 chr17:357705:A:G rs12942940 A G G EBF1_EBF_1 -14 0 + 0 0 . chr17 362939 362940 chr17:362940:C:T rs8070916 C T C EBF1_EBF_1 -5 0 + 0 0 . chr17 364970 364971 chr17:364971:C:T rs58613251 C T C EBF1_EBF_1 1 1 + 5.730685523060172 6.626118963458918 TCCCCCCAGGGGCC chr17 365023 365024 chr17:365024:C:T rs59841001 C T C EBF1_EBF_1 0 1 - 5.657665680165927 7.549949549730761 GGCCCCCAGGGGAA chr17 384513 384514 chr17:384514:G:A rs77662619 G A G EBF1_EBF_1 -4 0 + 0 0 . chr17 385482 385483 chr17:385483:T:C rs146970257 T C t EBF1_EBF_1 -18 0 + 0 0 . chr17 385500 385501 chr17:385501:A:G rs62056213 A G N EBF1_EBF_1 0 1 + 7.271044677020259 5.378760807455426 AACCCCGCGGGACT chr17 422520 422521 chr17:422521:T:C rs6565726 T C C EBF1_EBF_1 -10 0 + 0 0 . chr17 497066 497067 chr17:497067:C:T rs11247561 C T C EBF1_EBF_1 -4 0 - 0 0 . chr17 516792 516793 chr17:516793:T:C rs16953087 T C C EBF1_EBF_1 12 1 - 8.218236333255335 6.799418991155858 AATCCCCAAGGAAC chr17 580591 580592 chr17:580592:T:C rs1978963 T C C EBF1_EBF_1 -1 0 + 0 0 . chr17 652467 652468 chr17:652468:C:T rs187777848 C T C EBF1_EBF_1 22 0 - 0 0 . chr17 750872 750873 chr17:750873:G:A rs56657939 G A A EBF1_EBF_1 21 0 - 0 0 . chr17 752549 752550 chr17:752550:G:C rs775000859 G C G EBF1_EBF_1 13 1 + 6.448163709650159 7.936234731005574 CCTCCCCCGGGACG chr17 777058 777059 chr17:777059:T:C rs2273453 T C T EBF1_EBF_1 17 0 + 0 0 . chr17 793733 793734 chr17:793734:T:C rs117107280 T C T EBF1_EBF_1 23 0 - 0 0 . chr17 807180 807181 chr17:807181:A:G rs76275080 A G G EBF1_EBF_1 25 0 + 0 0 . chr17 812518 812519 chr17:812519:A:G rs554332 A G A EBF1_EBF_1 29 0 + 0 0 . chr17 878292 878293 chr17:878293:T:C rs7221022 T C T EBF1_EBF_1 18 0 - 0 0 . chr17 879711 879712 chr17:879712:G:A rs3826524 G A G EBF1_EBF_1 -16 0 - 0 0 . chr17 910753 910754 chr17:910754:T:C rs2457272 T C T EBF1_EBF_1 22 0 - 0 0 . chr17 951797 951798 chr17:951798:G:A chr17:951798:G:A G A G EBF1_EBF_1 3 1 - 7.76406143530332 1.3586626937500015 ATACCCACGGGACC chr17 969318 969319 chr17:969319:C:T rs4082764 C T T EBF1_EBF_1 4 1 + 6.163461576238647 1.7940746312937232 ACACCCCCGGGAAA chr17 978734 978735 chr17:978735:C:T rs115050944 C T C EBF1_EBF_1 24 0 - 0 0 . chr17 987447 987448 chr17:987448:C:A rs71357144 C A - EBF1_EBF_1 3 1 + 6.645975734542124 -0.2601982949236825 TCACCCCAGGGATT chr17 1018605 1018606 chr17:1018606:C:G rs2586247 C G G EBF1_EBF_1 -17 0 - 0 0 . chr17 1018605 1018606 chr17:1018606:C:T chr17:1018606:C:T C T G EBF1_EBF_1 -17 0 - 0 0 . chr17 1018606 1018607 chr17:1018607:G:C rs76292169 G C G EBF1_EBF_1 -18 0 - 0 0 . chr17 1022880 1022881 chr17:1022881:G:A rs2586252 G A A EBF1_EBF_1 3 1 - 5.412189850623378 -0.9932088909299397 CCTCCCATGAGGCT chr17 1035050 1035051 chr17:1035051:C:G rs144173404 C G C EBF1_EBF_1 12 1 + 6.5061626308839235 5.117526687205773 CTTCCCCTGAGACG chr17 1035846 1035847 chr17:1035847:G:A rs72814006 G A G EBF1_EBF_1 -16 0 - 0 0 . chr17 1044170 1044171 chr17:1044171:C:T rs117578002 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 1046542 1046543 chr17:1046543:G:A rs751915 G A G EBF1_EBF_1 -14 0 + 0 0 . chr17 1051611 1051612 chr17:1051612:G:A rs75289997 G A G EBF1_EBF_1 -6 0 + 0 0 . chr17 1067172 1067173 chr17:1067173:C:T rs2262150 C T C EBF1_EBF_1 12 1 - 5.408991906761231 6.827809248860708 ATCCCCCGAGGAGT chr17 1108785 1108786 chr17:1108786:C:T rs376913108 C T C EBF1_EBF_1 4 1 + 8.248618190157478 3.8792312452125532 TCTCCCCCGGGAAC chr17 1110977 1110978 chr17:1110978:G:A rs556787183 G A G EBF1_EBF_1 -13 0 + 0 0 . chr17 1179309 1179310 chr17:1179310:A:T rs73277387 A T A EBF1_EBF_1 -8 0 + 0 0 . chr17 1179438 1179439 chr17:1179439:C:T rs35378173 C T C EBF1_EBF_1 -6 0 - 0 0 . chr17 1181434 1181435 chr17:1181435:G:A rs3813444 G A G EBF1_EBF_1 -7 0 + 0 0 . chr17 1197817 1197818 chr17:1197818:G:A rs75250703 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 1227163 1227164 chr17:1227164:G:A rs143279509 G A G EBF1_EBF_1 15 0 + 0 0 . chr17 1227175 1227176 chr17:1227176:C:T rs9747012 C T C EBF1_EBF_1 27 0 + 0 0 . chr17 1230504 1230505 chr17:1230505:G:A rs9675061 G A A EBF1_EBF_1 -3 0 - 0 0 . chr17 1260235 1260236 chr17:1260236:G:C rs568474764 G C G EBF1_EBF_1 20 0 + 0 0 . chr17 1269796 1269797 chr17:1269797:T:C rs112091126 T C T EBF1_EBF_1 -19 0 - 0 0 . chr17 1276072 1276073 chr17:1276073:T:C rs62090185 T C T EBF1_EBF_1 -19 0 + 0 0 . chr17 1276074 1276075 chr17:1276075:T:C rs62090186 T C T EBF1_EBF_1 -17 0 + 0 0 . chr17 1315932 1315933 chr17:1315933:C:T rs7226060 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 1317077 1317078 chr17:1317078:G:A rs12601838 G A G EBF1_EBF_1 24 0 - 0 0 . chr17 1329751 1329752 chr17:1329752:A:G rs12945997 A G A EBF1_EBF_1 -4 0 + 0 0 . chr17 1329772 1329773 chr17:1329773:G:C rs8069030 G C G EBF1_EBF_1 17 0 + 0 0 . chr17 1329823 1329824 chr17:1329824:A:G rs2102413 A G A EBF1_EBF_1 30 0 - 0 0 . chr17 1330220 1330221 chr17:1330221:C:T chr17:1330221:C:T C T C EBF1_EBF_1 7 1 - 7.319010241226993 8.631468646515817 AGTCCCCGGAGAGC chr17 1466043 1466044 chr17:1466044:T:C rs112614619 T C T EBF1_EBF_1 -2 0 + 0 0 . chr17 1466071 1466072 chr17:1466072:G:A rs34086122 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 1467444 1467445 chr17:1467445:C:T rs45624734 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 1467458 1467459 chr17:1467459:C:T rs118068886 C T C EBF1_EBF_1 7 1 - 7.207732720059787 8.520191125348612 GGCCCCCGGGGACA chr17 1494964 1494965 chr17:1494965:C:T rs111962255 C T c EBF1_EBF_1 -12 0 - 0 0 . chr17 1497825 1497826 chr17:1497826:C:T rs145536147 C T C EBF1_EBF_1 17 0 - 0 0 . chr17 1635374 1635375 chr17:1635375:C:T rs36108564 C T C EBF1_EBF_1 -7 0 + 0 0 . chr17 1635397 1635398 chr17:1635398:C:T rs35455643 C T C EBF1_EBF_1 16 0 + 0 0 . chr17 1648836 1648837 chr17:1648837:T:G chr17:1648837:T:G T G T EBF1_EBF_1 26 0 + 0 0 . chr17 1670195 1670196 chr17:1670196:T:C rs79278451 T C T EBF1_EBF_1 19 0 - 0 0 . chr17 1710930 1710931 chr17:1710931:C:T rs7502296 C T C EBF1_EBF_1 5 1 + 6.990653486695053 1.668866271154383 ACCCCCAGGAGACC chr17 1715657 1715658 chr17:1715658:C:G rs577696634 C G C EBF1_EBF_1 -10 0 + 0 0 . chr17 1715692 1715693 chr17:1715693:G:T rs185968289 G T G EBF1_EBF_1 25 0 + 0 0 . chr17 1716832 1716833 chr17:1716833:A:C rs567631799 A C A EBF1_EBF_1 7 1 + 4.912369123412944 2.618590137042763 AGCCCCCAGGGCAG chr17 1717226 1717227 chr17:1717227:G:T chr17:1717227:G:T G T G EBF1_EBF_1 10 1 + 8.232740314170488 4.049988127943226 CTTCCCCAGGGGCC chr17 1727100 1727101 chr17:1727101:T:C rs59643265 T C C EBF1_EBF_1 -2 0 - 0 0 . chr17 1727289 1727290 chr17:1727290:A:G rs7221974 A G G EBF1_EBF_1 33 0 + 0 0 . chr17 1755478 1755479 chr17:1755479:C:T rs117509133 C T C EBF1_EBF_1 14 0 + 0 0 . chr17 1857647 1857648 chr17:1857648:C:G rs62067468 C G - EBF1_EBF_1 -17 0 + 0 0 . chr17 1897596 1897597 chr17:1897597:G:A rs5030741 G A g EBF1_EBF_1 32 0 - 0 0 . chr17 1897637 1897638 chr17:1897638:T:C rs3744767 T C t EBF1_EBF_1 -9 0 - 0 0 . chr17 1935952 1935953 chr17:1935953:A:C rs11868677 A C A EBF1_EBF_1 7 1 + 5.914549761123441 3.620770774753259 ACCCCCGAGGGAGG chr17 1937062 1937063 chr17:1937063:G:A rs74644325 G A G EBF1_EBF_1 -3 0 - 0 0 . chr17 1941576 1941577 chr17:1941577:T:C rs4525524 T C T EBF1_EBF_1 21 0 - 0 0 . chr17 1942167 1942168 chr17:1942168:A:T rs4073992 A T T EBF1_EBF_1 1 1 - 8.8930596954677 7.92669907771687 CTCCCCCAGGGAAA chr17 1966470 1966471 chr17:1966471:G:A rs3935380 G A G EBF1_EBF_1 3 1 - 9.681280156863977 3.275881415310661 CCTCCCTTGGGACA chr17 1972584 1972585 chr17:1972585:C:G rs149627307 C G C EBF1_EBF_1 -6 0 + 0 0 . chr17 2016012 2016013 chr17:2016013:G:A rs4790865 G A C EBF1_EBF_1 10 1 + 5.7891314254621635 0.4711029661951968 TTCCCCCTGGGTCT chr17 2019239 2019240 chr17:2019240:G:A rs114531194 G A G EBF1_EBF_1 28 0 - 0 0 . chr17 2022906 2022907 chr17:2022907:G:A rs370895874 G A G EBF1_EBF_1 -3 0 + 0 0 . chr17 2025494 2025495 chr17:2025495:C:T rs923258085 C T C EBF1_EBF_1 -14 0 + 0 0 . chr17 2028598 2028599 chr17:2028599:A:G rs7222728 A G G EBF1_EBF_1 27 0 - 0 0 . chr17 2052080 2052081 chr17:2052081:C:A rs56697120 C A C EBF1_EBF_1 11 1 - 7.58800310999787 6.3827956975031555 ATCCCCTGGGGGCC chr17 2064590 2064591 chr17:2064591:C:G rs72634004 C G C EBF1_EBF_1 30 0 + 0 0 . chr17 2067762 2067763 chr17:2067763:G:C rs77721906 G C G EBF1_EBF_1 9 1 + 5.47226796298063 0.39657881663516575 ACCCCCCAGGGTTC chr17 2086133 2086134 chr17:2086134:T:C rs78801578 T C T EBF1_EBF_1 -12 0 + 0 0 . chr17 2089389 2089390 chr17:2089390:C:T rs550177785 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 2095194 2095195 chr17:2095195:A:G rs79696483 A G A EBF1_EBF_1 -1 0 - 0 0 . chr17 2137668 2137669 chr17:2137669:A:G rs4349181 A G A EBF1_EBF_1 -11 0 + 0 0 . chr17 2138799 2138800 chr17:2138800:C:A chr17:2138800:C:A C A C EBF1_EBF_1 21 0 - 0 0 . chr17 2171847 2171848 chr17:2171848:G:T rs74963209 G T G EBF1_EBF_1 2 1 - 10.106964504315904 8.31132032815918 ATCCCCTGGGGACA chr17 2230919 2230920 chr17:2230920:T:C rs216212 T C T EBF1_EBF_1 -2 0 + 0 0 . chr17 2230930 2230931 chr17:2230931:G:A rs216213 G A A EBF1_EBF_1 9 1 + 8.019768858553523 5.364829593105918 ATTCCCTACGGAAA chr17 2347951 2347952 chr17:2347952:C:T rs137886066 C T C EBF1_EBF_1 24 0 + 0 0 . chr17 2348224 2348225 chr17:2348225:C:G rs184964633 C G C EBF1_EBF_1 6 1 + 8.63592951818195 6.830737454708752 TCTCCCCTGGGATA chr17 2358256 2358257 chr17:2358257:G:A rs73296256 G A G EBF1_EBF_1 -12 0 - 0 0 . chr17 2372439 2372440 chr17:2372440:C:G rs3213712 C G C EBF1_EBF_1 16 0 + 0 0 . chr17 2400092 2400093 chr17:2400093:C:T rs12602313 C T C EBF1_EBF_1 -6 0 + 0 0 . chr17 2400096 2400097 chr17:2400097:C:T rs143144778 C T C EBF1_EBF_1 -2 0 + 0 0 . chr17 2412865 2412866 chr17:2412866:G:A rs140393145 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 2416205 2416206 chr17:2416206:A:G rs950446589 A G A EBF1_EBF_1 31 0 + 0 0 . chr17 2421611 2421612 chr17:2421612:T:C rs9898228 T C T EBF1_EBF_1 33 0 - 0 0 . chr17 2435520 2435521 chr17:2435521:G:A rs905933515 G A G EBF1_EBF_1 9 1 + 9.241712462338487 6.586773196890882 ACCCCCTGGGGAAA chr17 2690677 2690678 chr17:2690678:G:A rs202053376 G A G EBF1_EBF_1 -18 0 - 0 0 . chr17 2692530 2692531 chr17:2692531:T:C rs148554987 T C T EBF1_EBF_1 1 1 - 9.208230502536122 9.468929334457423 CATCCCAGGGGACC chr17 2748987 2748988 chr17:2748988:T:A rs11871896 T A T EBF1_EBF_1 18 0 + 0 0 . chr17 2749356 2749357 chr17:2749357:C:G rs560094751 C G c EBF1_EBF_1 5 1 + 5.2544112829726135 -1.650469869662555 TCCCCCCTGGGGCC chr17 2776501 2776502 chr17:2776502:A:C rs191283526 A C C EBF1_EBF_1 11 1 + 9.39758450052127 4.981622802668881 AGCCCCCAGGGAGC chr17 2807953 2807954 chr17:2807954:G:A rs756818 G A G EBF1_EBF_1 28 0 + 0 0 . chr17 2810245 2810246 chr17:2810246:C:T rs4790363 C T T EBF1_EBF_1 20 0 - 0 0 . chr17 2827085 2827086 chr17:2827086:T:C rs34361441 T C C EBF1_EBF_1 -14 0 - 0 0 . chr17 2827174 2827175 chr17:2827175:G:A rs17835028 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 2876322 2876323 chr17:2876323:C:G rs12103537 C G C EBF1_EBF_1 2 1 + 6.15415857071342 1.2946562919551035 AGCCCCAGGAGATC chr17 2898503 2898504 chr17:2898504:G:A rs10852851 G A g EBF1_EBF_1 27 0 - 0 0 . chr17 2909150 2909151 chr17:2909151:A:G rs735176 A G G EBF1_EBF_1 -10 0 - 0 0 . chr17 2958618 2958619 chr17:2958619:G:T rs60055243 G T G EBF1_EBF_1 29 0 + 0 0 . chr17 2959374 2959375 chr17:2959375:G:A rs67426172 G A G EBF1_EBF_1 -1 0 + 0 0 . chr17 3025569 3025570 chr17:3025570:T:C rs4357976 T C c EBF1_EBF_1 -1 0 + 0 0 . chr17 3033367 3033368 chr17:3033368:G:A rs115738787 G A G EBF1_EBF_1 28 0 - 0 0 . chr17 3033368 3033369 chr17:3033369:C:T rs12950197 C T C EBF1_EBF_1 27 0 - 0 0 . chr17 3035375 3035376 chr17:3035376:G:A rs112106858 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 3035420 3035421 chr17:3035421:C:G rs35193075 C G C EBF1_EBF_1 -13 0 - 0 0 . chr17 3162871 3162872 chr17:3162872:C:A rs35940931 C A C EBF1_EBF_1 1 1 - 7.68061260902827 8.386274394857796 AGTCCCAGGAGAGC chr17 3207459 3207460 chr17:3207460:G:C rs55995898 G C G EBF1_EBF_1 -2 0 + 0 0 . chr17 3317955 3317956 chr17:3317956:C:G rs2318024 C G G EBF1_EBF_1 23 0 - 0 0 . chr17 3528674 3528675 chr17:3528675:T:C rs117095163 T C T EBF1_EBF_1 26 0 - 0 0 . chr17 3529185 3529186 chr17:3529186:A:T chr17:3529186:A:T A T A EBF1_EBF_1 20 0 + 0 0 . chr17 3530299 3530300 chr17:3530300:C:G rs12600398 C G C EBF1_EBF_1 -3 0 - 0 0 . chr17 3530300 3530301 chr17:3530301:C:T rs4790512 C T C EBF1_EBF_1 -4 0 - 0 0 . chr17 3532020 3532021 chr17:3532021:G:A rs78169618 G A G EBF1_EBF_1 -17 0 + 0 0 . chr17 3532066 3532067 chr17:3532067:G:A rs455096 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 3553610 3553611 chr17:3553611:G:A rs167738 G A G EBF1_EBF_1 -2 0 + 0 0 . chr17 3558278 3558279 chr17:3558279:G:A rs1001576995 G A G EBF1_EBF_1 5 1 - 5.139467031543315 -0.1823201839973555 GTCCCCGAGAGACC chr17 3627461 3627462 chr17:3627462:A:G rs8073757 A G A EBF1_EBF_1 -4 0 + 0 0 . chr17 3646175 3646176 chr17:3646176:T:C rs2737145 T C C EBF1_EBF_1 1 1 + 5.9390440005416805 5.043610560142935 GTACCCCTGGGAGC chr17 3663205 3663206 chr17:3663206:C:T rs11541133 C T C EBF1_EBF_1 -10 0 - 0 0 . chr17 3685647 3685648 chr17:3685648:G:A rs113349015 G A A EBF1_EBF_1 20 0 + 0 0 . chr17 3724917 3724918 chr17:3724918:T:C rs220461 T C T EBF1_EBF_1 31 0 + 0 0 . chr17 3796862 3796863 chr17:3796863:A:C rs8069664 A C A EBF1_EBF_1 -3 0 - 0 0 . chr17 3805924 3805925 chr17:3805925:C:T rs9901371 C T C EBF1_EBF_1 14 0 + 0 0 . chr17 3872553 3872554 chr17:3872554:T:C rs7214723 T C T EBF1_EBF_1 -10 0 - 0 0 . chr17 3873681 3873682 chr17:3873682:A:G rs4790546 A G G EBF1_EBF_1 13 1 - 7.325898640046233 6.481404525460715 TTTCCCAAGGAAAT chr17 3890286 3890287 chr17:3890287:C:T rs753514 C T C EBF1_EBF_1 23 0 - 0 0 . chr17 3915001 3915002 chr17:3915002:A:G rs938627 A G G EBF1_EBF_1 -9 0 - 0 0 . chr17 3916869 3916870 chr17:3916870:A:C rs8080074 A C A EBF1_EBF_1 -20 0 - 0 0 . chr17 3918658 3918659 chr17:3918659:T:C rs4995288 T C C EBF1_EBF_1 1 1 + 7.80395418430739 6.908520743908644 GTACCCCAGGGACC chr17 3918672 3918673 chr17:3918673:A:T rs8068167 A T A EBF1_EBF_1 15 0 + 0 0 . chr17 3918673 3918674 chr17:3918674:C:A rs542652317 C A C EBF1_EBF_1 16 0 + 0 0 . chr17 3926318 3926319 chr17:3926319:G:A rs67897609 G A G EBF1_EBF_1 -9 0 - 0 0 . chr17 3927795 3927796 chr17:3927796:C:T rs111415844 C T C EBF1_EBF_1 20 0 + 0 0 . chr17 3931303 3931304 chr17:3931304:T:C rs35299172 T C C EBF1_EBF_1 26 0 - 0 0 . chr17 3943816 3943817 chr17:3943817:T:C rs758640 T C C EBF1_EBF_1 -17 0 + 0 0 . chr17 3943913 3943914 chr17:3943914:C:A rs11650200 C A C EBF1_EBF_1 21 0 + 0 0 . chr17 3944948 3944949 chr17:3944949:G:T rs181059249 G T - EBF1_EBF_1 -17 0 + 0 0 . chr17 3944976 3944977 chr17:3944977:G:T chr17:3944977:G:T G T G EBF1_EBF_1 11 1 + 5.6382551168988115 4.433047704404098 CGCCCCCAGGGGCC chr17 3960501 3960502 chr17:3960502:G:T rs11867719 G T G EBF1_EBF_1 30 0 - 0 0 . chr17 3976853 3976854 chr17:3976854:C:T rs7215084 C T T EBF1_EBF_1 -9 0 - 0 0 . chr17 3977196 3977197 chr17:3977197:G:A rs7214460 G A G EBF1_EBF_1 -13 0 - 0 0 . chr17 4006269 4006270 chr17:4006270:G:A rs9895575 G A G EBF1_EBF_1 -11 0 + 0 0 . chr17 4057325 4057326 chr17:4057326:T:C rs7225453 T C T EBF1_EBF_1 0 1 + 5.9146326480123115 5.632430587281735 TTTCCCTTGGAACC chr17 4077995 4077996 chr17:4077996:A:G rs78806449 A G A EBF1_EBF_1 -10 0 + 0 0 . chr17 4104019 4104020 chr17:4104020:A:G rs6502770 A G G EBF1_EBF_1 29 0 - 0 0 . chr17 4105465 4105466 chr17:4105466:G:A rs34357603 G A G EBF1_EBF_1 10 1 + 4.645673937254161 -0.6723545220128058 CTCCCCTTGGGCCC chr17 4138168 4138169 chr17:4138169:G:A rs76061991 G A G EBF1_EBF_1 -14 0 - 0 0 . chr17 4138174 4138175 chr17:4138175:G:A rs9908148 G A g EBF1_EBF_1 -20 0 - 0 0 . chr17 4142514 4142515 chr17:4142515:T:C rs4239043 T C C EBF1_EBF_1 -16 0 - 0 0 . chr17 4208431 4208432 chr17:4208432:G:A rs9906524 G A G EBF1_EBF_1 1 1 + 7.503928384625949 7.243229552704648 CGCCCCAAGGGAGC chr17 4217198 4217199 chr17:4217199:G:C rs9907369 G C G EBF1_EBF_1 -14 0 - 0 0 . chr17 4263716 4263717 chr17:4263717:C:G chr17:4263717:C:G C G C EBF1_EBF_1 8 1 - 5.378760807455426 0.060101946540740636 GACCCCGCGGGACT chr17 4264320 4264321 chr17:4264321:A:G chr17:4264321:A:G A G A EBF1_EBF_1 32 0 - 0 0 . chr17 4310287 4310288 chr17:4310288:C:T rs17764245 C T C EBF1_EBF_1 -8 0 + 0 0 . chr17 4416310 4416311 chr17:4416311:C:A rs952079 C A C EBF1_EBF_1 -12 0 - 0 0 . chr17 4440729 4440730 chr17:4440730:T:C rs78183947 T C C EBF1_EBF_1 30 0 - 0 0 . chr17 4440752 4440753 chr17:4440753:A:G rs74811538 A G G EBF1_EBF_1 7 1 - 8.77976006951191 6.962255323229286 GTCCCCCTGGGAAA chr17 4446808 4446809 chr17:4446809:G:T rs76993660 G T g EBF1_EBF_1 -3 0 - 0 0 . chr17 4474471 4474472 chr17:4474472:C:T rs59565187 C T c EBF1_EBF_1 32 0 + 0 0 . chr17 4481217 4481218 chr17:4481218:C:T rs112035964 C T C EBF1_EBF_1 27 0 + 0 0 . chr17 4488347 4488348 chr17:4488348:C:T rs72821824 C T C EBF1_EBF_1 -1 0 - 0 0 . chr17 4514905 4514906 chr17:4514906:C:T rs552858415 C T C EBF1_EBF_1 -4 0 + 0 0 . chr17 4534888 4534889 chr17:4534889:G:C rs6502795 G C C EBF1_EBF_1 14 0 + 0 0 . chr17 4535439 4535440 chr17:4535440:C:T rs192728386 C T C EBF1_EBF_1 24 0 - 0 0 . chr17 4535458 4535459 chr17:4535459:G:A rs569231692 G A G EBF1_EBF_1 5 1 - 9.713411106854394 4.391623891313725 GTTCCCGAGGGAAC chr17 4555591 4555592 chr17:4555592:T:C rs572727771 T C T EBF1_EBF_1 17 0 - 0 0 . chr17 4565755 4565756 chr17:4565756:C:A rs148132309 C A C EBF1_EBF_1 25 0 + 0 0 . chr17 4565759 4565760 chr17:4565760:C:G rs62066368 C G C EBF1_EBF_1 29 0 + 0 0 . chr17 4577024 4577025 chr17:4577025:C:T rs1031536165 C T T EBF1_EBF_1 -19 0 - 0 0 . chr17 4577386 4577387 chr17:4577387:C:T rs7223576 C T T EBF1_EBF_1 16 0 + 0 0 . chr17 4585877 4585878 chr17:4585878:C:T rs2326070 C T T EBF1_EBF_1 8 1 - 4.907536792828404 0.6812467039421422 GCCCCCTAGGGTCC chr17 4585878 4585879 chr17:4585879:T:C rs2326069 T C C EBF1_EBF_1 7 1 - 4.907536792828404 3.5950783875395778 GCCCCCTAGGGTCC chr17 4605800 4605801 chr17:4605801:C:T rs114571875 C T C EBF1_EBF_1 25 0 - 0 0 . chr17 4608073 4608074 chr17:4608074:G:A rs115848523 G A G EBF1_EBF_1 6 1 - 5.156182163691752 5.45746829255351 TCTCCCCGGAGAGC chr17 4624711 4624712 chr17:4624712:A:G rs114781964 A G A EBF1_EBF_1 2 1 - 8.486036737087687 6.597213325645538 TATCCCTTGAGAAT chr17 4624730 4624731 chr17:4624731:G:A rs35773449 G A g EBF1_EBF_1 -17 0 - 0 0 . chr17 4643263 4643264 chr17:4643264:A:G rs9894225 A G A EBF1_EBF_1 -16 0 - 0 0 . chr17 4710836 4710837 chr17:4710837:G:A rs532545544 G A G EBF1_EBF_1 29 0 - 0 0 . chr17 4720079 4720080 chr17:4720080:C:T rs35980444 C T C EBF1_EBF_1 32 0 - 0 0 . chr17 4720160 4720161 chr17:4720161:G:A rs35383686 G A G EBF1_EBF_1 22 0 + 0 0 . chr17 4738573 4738574 chr17:4738574:A:C rs115738939 A C A EBF1_EBF_1 28 0 - 0 0 . chr17 4745536 4745537 chr17:4745537:C:G rs12940996 C G C EBF1_EBF_1 8 1 - 5.833999057807312 0.5153401968926256 CCTCCCATGGGGGC chr17 4745762 4745763 chr17:4745763:G:C rs148755181 G C C EBF1_EBF_1 15 0 - 0 0 . chr17 4789957 4789958 chr17:4789958:T:C rs199986886 T C T EBF1_EBF_1 31 0 + 0 0 . chr17 4818837 4818838 chr17:4818838:C:T rs3764900 C T C EBF1_EBF_1 30 0 - 0 0 . chr17 4848964 4848965 chr17:4848965:G:A rs8072531 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 4877499 4877500 chr17:4877500:G:A rs72835045 G A G EBF1_EBF_1 -9 0 - 0 0 . chr17 4890071 4890072 chr17:4890072:T:C rs2277682 T C T EBF1_EBF_1 -8 0 - 0 0 . chr17 4892990 4892991 chr17:4892991:C:T rs11556635 C T T EBF1_EBF_1 -18 0 + 0 0 . chr17 4896994 4896995 chr17:4896995:C:T rs2302317 C T C EBF1_EBF_1 18 0 - 0 0 . chr17 4897008 4897009 chr17:4897009:G:A rs2302316 G A G EBF1_EBF_1 4 1 - 4.800285800034293 0.43089885508936787 GCCCCCTGGGGGCC chr17 4898444 4898445 chr17:4898445:G:A chr17:4898445:G:A G A G EBF1_EBF_1 5 1 - 9.698537940216037 4.376750724675366 AGCCCCACGGGACT chr17 4898461 4898462 chr17:4898462:A:C chr17:4898462:A:C A C A EBF1_EBF_1 -12 0 - 0 0 . chr17 4903586 4903587 chr17:4903587:A:G chr17:4903587:A:G A G A EBF1_EBF_1 17 0 - 0 0 . chr17 4940787 4940788 chr17:4940788:T:A rs992542885 T A T EBF1_EBF_1 -18 0 + 0 0 . chr17 4940804 4940805 chr17:4940805:T:C rs546538346 T C T EBF1_EBF_1 -1 0 + 0 0 . chr17 4947358 4947359 chr17:4947359:G:C rs144759293 G C G EBF1_EBF_1 -6 0 - 0 0 . chr17 4987272 4987273 chr17:4987273:C:T chr17:4987273:C:T C T C EBF1_EBF_1 17 0 + 0 0 . chr17 4988391 4988392 chr17:4988392:C:T rs72838317 C T C EBF1_EBF_1 31 0 + 0 0 . chr17 4998908 4998909 chr17:4998909:G:T chr17:4998909:G:T G T G EBF1_EBF_1 -17 0 + 0 0 . chr17 5078112 5078113 chr17:5078113:A:T rs183883452 A T A EBF1_EBF_1 1 1 - 6.419209146445036 5.452848528694206 ATTCCCGCGGGGAC chr17 5078878 5078879 chr17:5078879:T:C rs77588954 T C T EBF1_EBF_1 -3 0 - 0 0 . chr17 5078892 5078893 chr17:5078893:A:G rs563576855 A G A EBF1_EBF_1 -17 0 - 0 0 . chr17 5112064 5112065 chr17:5112065:G:A rs113475135 G A G EBF1_EBF_1 20 0 - 0 0 . chr17 5112077 5112078 chr17:5112078:C:G rs563479449 C G C EBF1_EBF_1 7 1 - 8.85838202071668 7.877061439635323 ATTCCCAGGGGCCT chr17 5123633 5123634 chr17:5123634:A:G rs146898037 A G A EBF1_EBF_1 25 0 + 0 0 . chr17 5131173 5131174 chr17:5131174:G:A rs11078548 G A G EBF1_EBF_1 -16 0 - 0 0 . chr17 5131177 5131178 chr17:5131178:A:G rs943058337 A G A EBF1_EBF_1 -20 0 - 0 0 . chr17 5227744 5227745 chr17:5227745:C:G rs117642383 C G C EBF1_EBF_1 18 0 - 0 0 . chr17 5255050 5255051 chr17:5255051:T:G rs572456827 T G T EBF1_EBF_1 -20 0 + 0 0 . chr17 5299543 5299544 chr17:5299544:G:T rs35005573 G T T EBF1_EBF_1 21 0 + 0 0 . chr17 5420170 5420171 chr17:5420171:A:G rs377452208 A G A EBF1_EBF_1 26 0 + 0 0 . chr17 5420915 5420916 chr17:5420916:C:T rs4442872 C T C EBF1_EBF_1 9 1 - 5.403489669999182 2.748550404551578 GTCCCCCAAGGAAC chr17 5469131 5469132 chr17:5469132:C:T rs74973471 C T C EBF1_EBF_1 25 0 - 0 0 . chr17 5500003 5500004 chr17:5500004:C:G rs146602762 C G C EBF1_EBF_1 -4 0 - 0 0 . chr17 5500005 5500006 chr17:5500006:C:G rs73341251 C G C EBF1_EBF_1 -6 0 - 0 0 . chr17 5500941 5500942 chr17:5500942:G:C rs872569 G C G EBF1_EBF_1 23 0 + 0 0 . chr17 5500949 5500950 chr17:5500950:C:T rs55910638 C T C EBF1_EBF_1 31 0 + 0 0 . chr17 5516483 5516484 chr17:5516484:T:C rs11868845 T C T EBF1_EBF_1 -15 0 + 0 0 . chr17 5521670 5521671 chr17:5521671:G:A rs11653580 G A G EBF1_EBF_1 14 0 + 0 0 . chr17 5527080 5527081 chr17:5527081:G:A rs11654272 G A G EBF1_EBF_1 3 1 - 5.499140028085397 -0.9062587134679194 AATCCCTGGGGCCG chr17 5536994 5536995 chr17:5536995:T:G rs7216010 T G T EBF1_EBF_1 2 1 + 6.3667982882243495 -0.3815274019761198 GGTCCCCAGGGCCC chr17 5542301 5542302 chr17:5542302:T:C rs12937062 T C C EBF1_EBF_1 9 1 - 5.604142892341579 8.259082157789182 AGTCCCCAGAGCCC chr17 5559958 5559959 chr17:5559959:G:C rs11651595 G C G EBF1_EBF_1 -19 0 - 0 0 . chr17 5562202 5562203 chr17:5562203:T:C rs9897411 T C T EBF1_EBF_1 6 1 - 5.978482429822017 3.8116879985475425 CTTCCCAGAGGAAC chr17 5614129 5614130 chr17:5614130:C:T rs2670655 C T C EBF1_EBF_1 17 0 - 0 0 . chr17 5623769 5623770 chr17:5623770:G:A rs12953185 G A G EBF1_EBF_1 19 0 - 0 0 . chr17 5631028 5631029 chr17:5631029:A:G rs12185249 A G A EBF1_EBF_1 31 0 + 0 0 . chr17 5665140 5665141 chr17:5665141:A:G rs67631879 A G G EBF1_EBF_1 -4 0 + 0 0 . chr17 5665358 5665359 chr17:5665359:C:G rs137991336 C G C EBF1_EBF_1 2 1 + 4.860859713263915 0.0013574345055969578 CGCCCCGTGGGAGC chr17 5672242 5672243 chr17:5672243:T:C rs11870930 T C T EBF1_EBF_1 -20 0 - 0 0 . chr17 5673885 5673886 chr17:5673886:G:T rs4132676 G T G EBF1_EBF_1 15 0 + 0 0 . chr17 5693404 5693405 chr17:5693405:T:C rs150899893 T C T EBF1_EBF_1 -5 0 + 0 0 . chr17 5693960 5693961 chr17:5693961:A:G rs62055561 A G A EBF1_EBF_1 -10 0 + 0 0 . chr17 5694700 5694701 chr17:5694701:T:C rs1558403 T C T EBF1_EBF_1 26 0 + 0 0 . chr17 5705575 5705576 chr17:5705576:C:G rs56742707 C G C EBF1_EBF_1 29 0 + 0 0 . chr17 5713901 5713902 chr17:5713902:T:C rs758823 T C T EBF1_EBF_1 22 0 - 0 0 . chr17 5713943 5713944 chr17:5713944:G:A rs2109609 G A A EBF1_EBF_1 -20 0 - 0 0 . chr17 5769416 5769417 chr17:5769417:C:G rs8068788 C G C EBF1_EBF_1 15 0 - 0 0 . chr17 5776487 5776488 chr17:5776488:C:T rs73346955 C T T EBF1_EBF_1 14 0 - 0 0 . chr17 5799412 5799413 chr17:5799413:G:A rs72832199 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 5799433 5799434 chr17:5799434:T:C rs116230926 T C T EBF1_EBF_1 11 1 - 6.569099310676193 3.6763924670721817 CTCCCCCCGGGACA chr17 5799549 5799550 chr17:5799550:G:A rs12602738 G A G EBF1_EBF_1 16 0 - 0 0 . chr17 5800424 5800425 chr17:5800425:C:T rs7217044 C T C EBF1_EBF_1 2 1 + 9.645592752142656 11.534416163584805 ACCCCCAGGGGACC chr17 5821920 5821921 chr17:5821921:T:C rs7225332 T C C EBF1_EBF_1 -16 0 - 0 0 . chr17 5870281 5870282 chr17:5870282:A:C rs12938618 A C C EBF1_EBF_1 18 0 - 0 0 . chr17 5902580 5902581 chr17:5902581:C:T rs117396239 C T C EBF1_EBF_1 -14 0 + 0 0 . chr17 6020324 6020325 chr17:6020325:T:G rs2188217 T G G EBF1_EBF_1 27 0 + 0 0 . chr17 6058010 6058011 chr17:6058011:A:G rs12450377 A G G EBF1_EBF_1 26 0 + 0 0 . chr17 6086135 6086136 chr17:6086136:T:C rs11078605 T C T EBF1_EBF_1 0 1 - 9.352178635843972 7.459894766279139 AGACCCAAGGGACC chr17 6132241 6132242 chr17:6132242:C:G rs7222339 C G G EBF1_EBF_1 -19 0 + 0 0 . chr17 6157842 6157843 chr17:6157843:C:A rs35851935 C A C EBF1_EBF_1 21 0 + 0 0 . chr17 6159335 6159336 chr17:6159336:C:T rs8074353 C T C EBF1_EBF_1 22 0 + 0 0 . chr17 6170990 6170991 chr17:6170991:C:A rs12603179 C A C EBF1_EBF_1 17 0 - 0 0 . chr17 6244902 6244903 chr17:6244903:C:G rs76268418 C G C EBF1_EBF_1 16 0 + 0 0 . chr17 6259615 6259616 chr17:6259616:T:C rs8076368 T C C EBF1_EBF_1 26 0 - 0 0 . chr17 6259658 6259659 chr17:6259659:G:C rs8070823 G C G EBF1_EBF_1 -17 0 - 0 0 . chr17 6307199 6307200 chr17:6307200:G:T rs917488 G T G EBF1_EBF_1 6 1 - 5.999964436048875 6.361566803850152 AGACCCCTGGGATG chr17 6331068 6331069 chr17:6331069:A:G rs16955810 A G G EBF1_EBF_1 16 0 - 0 0 . chr17 6343840 6343841 chr17:6343841:C:T rs8071721 C T C EBF1_EBF_1 12 1 + 7.742604640372448 6.716338069821599 TCTCCCATGAGACC chr17 6396162 6396163 chr17:6396163:G:A rs114166671 G A G EBF1_EBF_1 -15 0 + 0 0 . chr17 6406735 6406736 chr17:6406736:C:T rs62060986 C T C EBF1_EBF_1 14 0 + 0 0 . chr17 6414584 6414585 chr17:6414585:G:A rs34446948 G A G EBF1_EBF_1 3 1 - 6.688859337981933 0.28346059642861354 ATACCCATGGGGCC chr17 6422161 6422162 chr17:6422162:A:G rs73975071 A G G EBF1_EBF_1 2 1 + 6.4298072937485555 3.3659491911469637 CTACCCTGGGGACC chr17 6422174 6422175 chr17:6422175:G:A rs190504433 G A G EBF1_EBF_1 15 0 + 0 0 . chr17 6427082 6427083 chr17:6427083:A:G rs925615 A G A EBF1_EBF_1 -6 0 + 0 0 . chr17 6437214 6437215 chr17:6437215:C:G rs7214044 C G G EBF1_EBF_1 -19 0 + 0 0 . chr17 6439922 6439923 chr17:6439923:C:T rs7210650 C T C EBF1_EBF_1 -13 0 + 0 0 . chr17 6477222 6477223 chr17:6477223:C:G rs77580616 C G C EBF1_EBF_1 0 1 - 6.544326815705779 6.181352201574012 GGTCCCAAAGGACA chr17 6480487 6480488 chr17:6480488:G:A rs34808092 G A G EBF1_EBF_1 -4 0 - 0 0 . chr17 6480495 6480496 chr17:6480496:G:C rs34996145 G C G EBF1_EBF_1 -12 0 - 0 0 . chr17 6496465 6496466 chr17:6496466:A:C rs1467140 A C C EBF1_EBF_1 -8 0 + 0 0 . chr17 6553288 6553289 chr17:6553289:T:G rs11078637 T G G EBF1_EBF_1 -17 0 + 0 0 . chr17 6555249 6555250 chr17:6555250:G:A rs171395 G A G EBF1_EBF_1 24 0 + 0 0 . chr17 6574958 6574959 chr17:6574959:T:C rs764436 T C T EBF1_EBF_1 -5 0 - 0 0 . chr17 6605508 6605509 chr17:6605509:C:G rs1470297 C G C EBF1_EBF_1 -15 0 + 0 0 . chr17 6640361 6640362 chr17:6640362:G:A rs191141836 G A G EBF1_EBF_1 -1 0 - 0 0 . chr17 6640373 6640374 chr17:6640374:C:G rs1373131753 C G C EBF1_EBF_1 -13 0 - 0 0 . chr17 6651600 6651601 chr17:6651601:A:G rs74504320 A G A EBF1_EBF_1 31 0 - 0 0 . chr17 6660417 6660418 chr17:6660418:G:T rs116772758 G T G EBF1_EBF_1 -17 0 + 0 0 . chr17 6660455 6660456 chr17:6660456:T:C rs9908147 T C T EBF1_EBF_1 21 0 + 0 0 . chr17 6668850 6668851 chr17:6668851:A:G rs218653 A G G EBF1_EBF_1 13 1 + 5.824267795529897 4.709942223460458 TTCCCCACGGGAGA chr17 6671301 6671302 chr17:6671302:C:T rs12937298 C T c EBF1_EBF_1 6 1 + 7.528919368408779 7.830205497270537 CCTCCCCGGGGAGC chr17 6713416 6713417 chr17:6713417:G:A rs115287213 G A G EBF1_EBF_1 4 1 - 11.946380733016962 7.5769937880720395 AATCCCCGGGGACT chr17 6719568 6719569 chr17:6719569:C:G rs12451953 C G c EBF1_EBF_1 -6 0 - 0 0 . chr17 6722989 6722990 chr17:6722990:C:A rs67909507 C A c EBF1_EBF_1 28 0 + 0 0 . chr17 6756904 6756905 chr17:6756905:G:A rs28736274 G A G EBF1_EBF_1 18 0 + 0 0 . chr17 6831561 6831562 chr17:6831562:T:G rs73976293 T G T EBF1_EBF_1 27 0 + 0 0 . chr17 6843981 6843982 chr17:6843982:A:G rs72832733 A G G EBF1_EBF_1 17 0 - 0 0 . chr17 6844434 6844435 chr17:6844435:A:G rs17732046 A G G EBF1_EBF_1 -16 0 + 0 0 . chr17 6909510 6909511 chr17:6909511:G:A rs72832766 G A G EBF1_EBF_1 31 0 - 0 0 . chr17 7018643 7018644 chr17:7018644:G:A rs12450517 G A G EBF1_EBF_1 24 0 + 0 0 . chr17 7024030 7024031 chr17:7024031:A:G rs312461 A G G EBF1_EBF_1 -20 0 + 0 0 . chr17 7041767 7041768 chr17:7041768:G:T rs75493593 G T G EBF1_EBF_1 2 1 - 5.0936134595293305 3.2979692833726046 CTCCCCCAGAGACG chr17 7044073 7044074 chr17:7044074:G:C rs77086571 G C G EBF1_EBF_1 0 1 - 4.364767147738703 4.727741761870469 CCTCCCCCGGGCCT chr17 7114514 7114515 chr17:7114515:C:A rs920765 C A A EBF1_EBF_1 -13 0 + 0 0 . chr17 7126733 7126734 chr17:7126734:T:G rs62061396 T G T EBF1_EBF_1 -20 0 - 0 0 . chr17 7137686 7137687 chr17:7137687:C:T rs167537 C T C EBF1_EBF_1 -7 0 + 0 0 . chr17 7138939 7138940 chr17:7138940:C:T rs749800896 C T C EBF1_EBF_1 30 0 - 0 0 . chr17 7138961 7138962 chr17:7138962:C:T rs189773 C T C EBF1_EBF_1 8 1 - 4.716992602413687 0.49070251352742517 CTTCCCTCGGGCCC chr17 7180207 7180208 chr17:7180208:C:T rs554620346 C T C EBF1_EBF_1 15 0 + 0 0 . chr17 7191665 7191666 chr17:7191666:T:G rs531297047 T G t EBF1_EBF_1 17 0 - 0 0 . chr17 7191878 7191879 chr17:7191879:A:C rs314251 A C A EBF1_EBF_1 32 0 - 0 0 . chr17 7204655 7204656 chr17:7204656:G:A rs144870162 G A G EBF1_EBF_1 -11 0 + 0 0 . chr17 7239710 7239711 chr17:7239711:C:G rs9905006 C G C EBF1_EBF_1 16 0 + 0 0 . chr17 7239711 7239712 chr17:7239712:G:A rs1458675173 G A G EBF1_EBF_1 17 0 + 0 0 . chr17 7251355 7251356 chr17:7251356:C:T rs189274867 C T C EBF1_EBF_1 7 1 + 4.211098670547079 6.028603416829703 AGCCCCCCGGGGGC chr17 7259213 7259214 chr17:7259214:C:T rs11544290 C T C EBF1_EBF_1 -17 0 - 0 0 . chr17 7281344 7281345 chr17:7281345:C:T chr17:7281345:C:T C T C EBF1_EBF_1 4 1 + 5.335665064499213 0.9662781195542904 TTTCCCCGGGGCAA chr17 7282541 7282542 chr17:7282542:T:C rs222849 T C C EBF1_EBF_1 -14 0 + 0 0 . chr17 7318387 7318388 chr17:7318388:G:T rs7216490 G T G EBF1_EBF_1 -4 0 + 0 0 . chr17 7330468 7330469 chr17:7330469:C:T rs60279908 C T C EBF1_EBF_1 9 1 - 11.932000687646696 9.277061422199093 AGCCCCTAGGGACT chr17 7336581 7336582 chr17:7336582:T:C rs528502984 T C T EBF1_EBF_1 7 1 - 6.644157280395544 5.331698875106719 TTCCCCAAGGGGAA chr17 7336591 7336592 chr17:7336592:A:G rs3809827 A G A EBF1_EBF_1 -3 0 - 0 0 . chr17 7348050 7348051 chr17:7348051:G:A rs75068157 G A G EBF1_EBF_1 26 0 - 0 0 . chr17 7348828 7348829 chr17:7348829:G:T rs2292067 G T T EBF1_EBF_1 29 0 + 0 0 . chr17 7351796 7351797 chr17:7351797:C:G rs955533281 C G . EBF1_EBF_1 30 0 - 0 0 . chr17 7353157 7353158 chr17:7353158:G:C rs61737658 G C . EBF1_EBF_1 -17 0 + 0 0 . chr17 7384908 7384909 chr17:7384909:C:T rs7208523 C T C EBF1_EBF_1 15 0 - 0 0 . chr17 7405465 7405466 chr17:7405466:C:T rs528302335 C T C EBF1_EBF_1 24 0 + 0 0 . chr17 7406430 7406431 chr17:7406431:G:T rs143984853 G T G EBF1_EBF_1 16 0 - 0 0 . chr17 7417311 7417312 chr17:7417312:C:T rs201972977 C T c EBF1_EBF_1 29 0 + 0 0 . chr17 7445114 7445115 chr17:7445115:G:C rs199903026 G C G EBF1_EBF_1 27 0 - 0 0 . chr17 7447224 7447225 chr17:7447225:G:A rs2302767 G A A EBF1_EBF_1 7 1 + 6.941618764023584 8.25407716931241 ACTCCCCGGCGACT chr17 7480264 7480265 chr17:7480265:C:A rs71370499 C A c EBF1_EBF_1 14 0 - 0 0 . chr17 7480338 7480339 chr17:7480339:A:G rs111758609 A G a EBF1_EBF_1 7 1 + 5.206645599151211 3.894187193862386 ACTCCACAGGGACA chr17 7480361 7480362 chr17:7480362:C:T chr17:7480362:C:T C T c EBF1_EBF_1 30 0 + 0 0 . chr17 7510225 7510226 chr17:7510226:A:C chr17:7510226:A:C A C A EBF1_EBF_1 -8 0 - 0 0 . chr17 7557199 7557200 chr17:7557200:G:C rs3803798 G C G EBF1_EBF_1 -2 0 + 0 0 . chr17 7557222 7557223 chr17:7557223:G:A rs376560567 G A G EBF1_EBF_1 21 0 + 0 0 . chr17 7557639 7557640 chr17:7557640:G:T rs9907657 G T G EBF1_EBF_1 10 1 + 5.091951557867741 0.9091993716404768 GACCCCCAGGGCAT chr17 7577228 7577229 chr17:7577229:T:A rs2270341 T A A EBF1_EBF_1 16 0 + 0 0 . chr17 7579795 7579796 chr17:7579796:C:A chr17:7579796:C:A C A C EBF1_EBF_1 24 0 - 0 0 . chr17 7579813 7579814 chr17:7579814:G:A rs34474840 G A G EBF1_EBF_1 6 1 - 5.627979038770271 5.929265167632027 TGTCCCCTGGGCTT chr17 7715285 7715286 chr17:7715286:G:A rs11651917 G A G EBF1_EBF_1 31 0 - 0 0 . chr17 7717588 7717589 chr17:7717589:C:G rs4968207 C G C EBF1_EBF_1 31 0 - 0 0 . chr17 7717612 7717613 chr17:7717613:T:C rs543097189 T C T EBF1_EBF_1 7 1 - 5.274661574089534 3.9622031688007087 ACCCCTCAGGGACC chr17 7750398 7750399 chr17:7750399:C:T rs74985562 C T C EBF1_EBF_1 -6 0 - 0 0 . chr17 7804060 7804061 chr17:7804061:A:C rs151267734 A C A EBF1_EBF_1 18 0 - 0 0 . chr17 7835843 7835844 chr17:7835844:T:C rs550686791 T C T EBF1_EBF_1 -16 0 + 0 0 . chr17 7836049 7836050 chr17:7836050:T:C rs182126791 T C T EBF1_EBF_1 -15 0 + 0 0 . chr17 7844159 7844160 chr17:7844160:C:T rs116958298 C T C EBF1_EBF_1 -10 0 - 0 0 . chr17 7844160 7844161 chr17:7844161:G:C rs1047515736 G C G EBF1_EBF_1 -11 0 - 0 0 . chr17 7845159 7845160 chr17:7845160:G:C rs567952856 G C G EBF1_EBF_1 5 1 - 7.238912220882498 0.3340310682473292 ACTCCCTAGAGGCC chr17 7866741 7866742 chr17:7866742:C:T rs6503067 C T T EBF1_EBF_1 23 0 + 0 0 . chr17 7927291 7927292 chr17:7927292:G:A rs9908139 G A g EBF1_EBF_1 8 1 + 7.305258491194476 3.0789684023082144 AATCCCTGGGGTCC chr17 7929763 7929764 chr17:7929764:A:G chr17:7929764:A:G A G A EBF1_EBF_1 7 1 - 4.9446373108766455 3.1271325645940213 TTCCCCCTGGGTCC chr17 7932280 7932281 chr17:7932281:A:G rs944792043 A G A EBF1_EBF_1 -7 0 - 0 0 . chr17 7951832 7951833 chr17:7951833:G:A rs77907213 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 7990531 7990532 chr17:7990532:C:T rs74899172 C T C EBF1_EBF_1 5 1 + 6.624976552009502 1.3031893364688334 ACTCCCCAGGGTGA chr17 8040729 8040730 chr17:8040730:G:A rs4297769 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 8042274 8042275 chr17:8042275:G:T rs7503115 G T G EBF1_EBF_1 -7 0 + 0 0 . chr17 8047075 8047076 chr17:8047076:G:A rs9895916 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 8056443 8056444 chr17:8056444:G:A rs189070065 G A G EBF1_EBF_1 -7 0 - 0 0 . chr17 8058886 8058887 chr17:8058887:G:C rs118106853 G C A EBF1_EBF_1 13 1 - 5.264618120010091 3.7765470986546763 ACTCCCACCGGAAC chr17 8069752 8069753 chr17:8069753:C:G rs55806525 C G C EBF1_EBF_1 30 0 + 0 0 . chr17 8074049 8074050 chr17:8074050:C:T rs7219102 C T T EBF1_EBF_1 -7 0 - 0 0 . chr17 8080833 8080834 chr17:8080834:C:G rs187603116 C G C EBF1_EBF_1 12 1 - 5.12677733688698 6.515413280565132 TTTCCCCTGGGCGC chr17 8080838 8080839 chr17:8080839:A:C rs3816263 A C A EBF1_EBF_1 7 1 - 5.12677733688698 4.290593171685711 TTTCCCCTGGGCGC chr17 8091106 8091107 chr17:8091107:G:A rs16957160 G A G EBF1_EBF_1 32 0 + 0 0 . chr17 8120209 8120210 chr17:8120210:C:G rs979099622 C G C EBF1_EBF_1 8 1 - 9.596354728163123 4.277695867248437 ATTCCCCTGGTAAT chr17 8120210 8120211 chr17:8120211:A:C rs112580302 A C A EBF1_EBF_1 7 1 - 9.596354728163123 8.760170562961855 ATTCCCCTGGTAAT chr17 8120213 8120214 chr17:8120214:G:T chr17:8120214:G:T G T G EBF1_EBF_1 4 1 - 9.596354728163123 3.771795104709655 ATTCCCCTGGTAAT chr17 8126479 8126480 chr17:8126480:C:T rs75842283 C T C EBF1_EBF_1 -9 0 + 0 0 . chr17 8138601 8138602 chr17:8138602:G:A rs554194636 G A G EBF1_EBF_1 -1 0 - 0 0 . chr17 8150597 8150598 chr17:8150598:G:A rs72845601 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 8151290 8151291 chr17:8151291:C:T rs138827457 C T C EBF1_EBF_1 29 0 - 0 0 . chr17 8151315 8151316 chr17:8151316:G:T chr17:8151316:G:T G T G EBF1_EBF_1 4 1 - 5.320787795732547 -0.503771827720921 TTTCCCTGGCGACC chr17 8151326 8151327 chr17:8151327:T:C rs910594298 T C T EBF1_EBF_1 -7 0 - 0 0 . chr17 8187549 8187550 chr17:8187550:T:C rs995226249 T C . EBF1_EBF_1 21 0 + 0 0 . chr17 8187747 8187748 chr17:8187748:T:G rs1054286658 T G . EBF1_EBF_1 -14 0 - 0 0 . chr17 8205012 8205013 chr17:8205013:A:G rs1059476 A G G EBF1_EBF_1 6 1 + 6.092536159790177 3.9257417285157024 GCTCCCATGGGCAC chr17 8205020 8205021 chr17:8205021:G:A rs2241909 G A A EBF1_EBF_1 14 0 + 0 0 . chr17 8220564 8220565 chr17:8220565:C:T rs1389562320 C T C EBF1_EBF_1 13 1 - 7.089650820476259 8.203976392545698 TTTCCCTTGAGACG chr17 8222362 8222363 chr17:8222363:G:T chr17:8222363:G:T G T G EBF1_EBF_1 26 0 - 0 0 . chr17 8222367 8222368 chr17:8222368:G:A rs544469486 G A G EBF1_EBF_1 21 0 - 0 0 . chr17 8222374 8222375 chr17:8222375:G:C rs984137127 G C G EBF1_EBF_1 14 0 - 0 0 . chr17 8222375 8222376 chr17:8222376:G:A rs144925518 G A G EBF1_EBF_1 13 1 - 5.508779281872912 6.35327339645843 AACCCCTAGGAACC chr17 8222400 8222401 chr17:8222401:A:G rs140802402 A G A EBF1_EBF_1 -12 0 - 0 0 . chr17 8226092 8226093 chr17:8226093:T:C rs75503577 T C T EBF1_EBF_1 11 1 - 8.68939449278762 5.79668764918361 ACTCCCATGAGATC chr17 8288619 8288620 chr17:8288620:C:T rs111476121 C T C EBF1_EBF_1 17 0 + 0 0 . chr17 8288632 8288633 chr17:8288633:A:G rs113617815 A G G EBF1_EBF_1 30 0 + 0 0 . chr17 8289651 8289652 chr17:8289652:G:A rs111417202 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 8360015 8360016 chr17:8360016:C:T rs1561 C T C EBF1_EBF_1 4 1 + 6.893634846481811 2.524247901536888 AATCCCAGAGGAAA chr17 8360031 8360032 chr17:8360032:C:G rs912331384 C G C EBF1_EBF_1 20 0 + 0 0 . chr17 8383521 8383522 chr17:8383522:C:T rs773988897 C T C EBF1_EBF_1 24 0 + 0 0 . chr17 8391957 8391958 chr17:8391958:G:A rs192427971 G A G EBF1_EBF_1 15 0 + 0 0 . chr17 8412076 8412077 chr17:8412077:G:A rs72841768 G A G EBF1_EBF_1 -15 0 - 0 0 . chr17 8420591 8420592 chr17:8420592:C:T rs113947879 C T C EBF1_EBF_1 17 0 + 0 0 . chr17 8436500 8436501 chr17:8436501:T:A rs117797572 T A T EBF1_EBF_1 15 0 - 0 0 . chr17 8436525 8436526 chr17:8436526:G:A chr17:8436526:G:A G A G EBF1_EBF_1 -10 0 - 0 0 . chr17 8460305 8460306 chr17:8460306:G:A rs3817003 G A G EBF1_EBF_1 30 0 - 0 0 . chr17 8630264 8630265 chr17:8630265:C:T rs73238724 C T C EBF1_EBF_1 13 1 + 7.504695389951974 8.349189504537492 CGCCCCCAGGGATC chr17 8630320 8630321 chr17:8630321:C:T rs565741585 C T C EBF1_EBF_1 1 1 + 4.78519150591051 5.680624946309256 GCCCCCCAGGGGTC chr17 8692126 8692127 chr17:8692127:A:G rs55776030 A G A EBF1_EBF_1 7 1 - 7.458236943587807 5.640732197305185 ATCCCCATGGGGTC chr17 8799925 8799926 chr17:8799926:T:C rs34110722 T C T EBF1_EBF_1 26 0 + 0 0 . chr17 8799969 8799970 chr17:8799970:T:C rs12950996 T C T EBF1_EBF_1 33 0 - 0 0 . chr17 8833141 8833142 chr17:8833142:C:T rs71371873 C T C EBF1_EBF_1 24 0 - 0 0 . chr17 8858164 8858165 chr17:8858165:C:T rs560333952 C T C EBF1_EBF_1 29 0 + 0 0 . chr17 8867429 8867430 chr17:8867430:C:G rs183584885 C G C EBF1_EBF_1 1 1 + 6.292977576915111 6.48274923148433 CCCCCCCAGGGGCT chr17 8867431 8867432 chr17:8867432:C:T rs530459521 C T C EBF1_EBF_1 3 1 + 6.292977576915111 -0.11242116463820628 CCCCCCCAGGGGCT chr17 8870522 8870523 chr17:8870523:A:G rs442502 A G G EBF1_EBF_1 28 0 - 0 0 . chr17 8885252 8885253 chr17:8885253:C:G rs61761111 C G C EBF1_EBF_1 -4 0 + 0 0 . chr17 8885272 8885273 chr17:8885273:C:A rs61761110 C A C EBF1_EBF_1 16 0 + 0 0 . chr17 8885494 8885495 chr17:8885495:G:T rs186379947 G T g EBF1_EBF_1 8 1 + 5.814052019523365 -1.0773807694538302 CCTCCCCAGGGCCC chr17 8911802 8911803 chr17:8911803:A:G rs61759567 A G G EBF1_EBF_1 24 0 - 0 0 . chr17 8927805 8927806 chr17:8927806:G:A rs72844605 G A g EBF1_EBF_1 23 0 - 0 0 . chr17 8940088 8940089 chr17:8940089:G:A rs737535 G A G EBF1_EBF_1 -17 0 + 0 0 . chr17 8940106 8940107 chr17:8940107:T:C rs77083273 T C T EBF1_EBF_1 1 1 + 8.886791524722817 7.9913580843240695 CTTCCCCTGGGAGA chr17 8941718 8941719 chr17:8941719:A:T rs146848307 A T A EBF1_EBF_1 -13 0 + 0 0 . chr17 8968224 8968225 chr17:8968225:C:A rs11078772 C A C EBF1_EBF_1 23 0 - 0 0 . chr17 9023856 9023857 chr17:9023857:G:A rs940853 G A A EBF1_EBF_1 -13 0 - 0 0 . chr17 9023861 9023862 chr17:9023862:T:C rs940852 T C C EBF1_EBF_1 -18 0 - 0 0 . chr17 9036107 9036108 chr17:9036108:T:C rs8078751 T C C EBF1_EBF_1 -7 0 + 0 0 . chr17 9051107 9051108 chr17:9051108:A:G rs144218862 A G G EBF1_EBF_1 11 1 + 7.7896323370464104 4.896925493442399 ACACCCTGGGGACC chr17 9053606 9053607 chr17:9053607:G:A rs77558380 G A G EBF1_EBF_1 27 0 + 0 0 . chr17 9053611 9053612 chr17:9053612:C:T rs72809957 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 9054012 9054013 chr17:9054013:C:T rs78160087 C T C EBF1_EBF_1 25 0 + 0 0 . chr17 9068836 9068837 chr17:9068837:A:T rs72809978 A T T EBF1_EBF_1 17 0 - 0 0 . chr17 9115389 9115390 chr17:9115390:C:T rs182568358 C T C EBF1_EBF_1 8 1 - 4.823859055420578 0.597568966534316 ACCCCCGGGAGACC chr17 9115710 9115711 chr17:9115711:C:G rs751012792 C G C EBF1_EBF_1 -9 0 + 0 0 . chr17 9153856 9153857 chr17:9153857:G:A rs4791802 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 9185186 9185187 chr17:9185187:G:A rs12602219 G A g EBF1_EBF_1 15 0 + 0 0 . chr17 9224828 9224829 chr17:9224829:T:C rs4357981 T C A EBF1_EBF_1 7 1 + 6.758482182898473 4.940977436615849 TCCCCCCTGGGAGC chr17 9230228 9230229 chr17:9230229:A:T rs149611003 A T a EBF1_EBF_1 11 1 - 5.299670244422545 9.39758450052127 AGCCCCCAGGGTGC chr17 9242650 9242651 chr17:9242651:G:A rs2277672 G A A EBF1_EBF_1 25 0 - 0 0 . chr17 9250037 9250038 chr17:9250038:G:A rs17743616 G A G EBF1_EBF_1 17 0 + 0 0 . chr17 9259871 9259872 chr17:9259872:G:C rs12945366 G C G EBF1_EBF_1 -4 0 - 0 0 . chr17 9308072 9308073 chr17:9308073:G:A rs1263874 G A G EBF1_EBF_1 20 0 - 0 0 . chr17 9409534 9409535 chr17:9409535:C:A rs72816179 C A C EBF1_EBF_1 10 1 - 9.905928085071288 5.7231758988440244 ATTCCCCTGAGAAA chr17 9424300 9424301 chr17:9424301:G:A rs9912462 G A G EBF1_EBF_1 16 0 + 0 0 . chr17 9446508 9446509 chr17:9446509:A:C rs7216753 A C A EBF1_EBF_1 -2 0 - 0 0 . chr17 9490340 9490341 chr17:9490341:T:A rs4791842 T A T EBF1_EBF_1 2 1 + 5.843554446936527 2.1590868593376493 TATCCCCAGGGCTT chr17 9490355 9490356 chr17:9490356:T:C rs4791843 T C T EBF1_EBF_1 17 0 + 0 0 . chr17 9519922 9519923 chr17:9519923:A:G rs12941868 A G A EBF1_EBF_1 -13 0 + 0 0 . chr17 9645029 9645030 chr17:9645030:A:G rs113325816 A G G EBF1_EBF_1 -9 0 + 0 0 . chr17 9676982 9676983 chr17:9676983:C:T rs72820043 C T C EBF1_EBF_1 -2 0 - 0 0 . chr17 9725411 9725412 chr17:9725412:C:T rs79528673 C T T EBF1_EBF_1 17 0 - 0 0 . chr17 9822194 9822195 chr17:9822195:A:G rs9916772 A G G EBF1_EBF_1 9 1 + 5.831956337796355 8.48689560324396 TCCCCCTGGAGAAT chr17 9842718 9842719 chr17:9842719:C:T rs559358936 C T C EBF1_EBF_1 23 0 - 0 0 . chr17 9866103 9866104 chr17:9866104:C:A rs4791897 C A C EBF1_EBF_1 32 0 + 0 0 . chr17 9866317 9866318 chr17:9866318:G:A rs4791898 G A A EBF1_EBF_1 21 0 + 0 0 . chr17 9890521 9890522 chr17:9890522:G:A rs17743980 G A G EBF1_EBF_1 25 0 + 0 0 . chr17 9894676 9894677 chr17:9894677:G:A rs874306 G A A EBF1_EBF_1 26 0 - 0 0 . chr17 9901435 9901436 chr17:9901436:G:A rs2270116 G A G EBF1_EBF_1 -19 0 + 0 0 . chr17 9924934 9924935 chr17:9924935:C:T rs1365518262 C T C EBF1_EBF_1 -14 0 + 0 0 . chr17 9924939 9924940 chr17:9924940:T:C rs138957915 T C T EBF1_EBF_1 -9 0 + 0 0 . chr17 9971614 9971615 chr17:9971615:T:C rs9892809 T C C EBF1_EBF_1 -13 0 + 0 0 . chr17 9976518 9976519 chr17:9976519:G:A rs876046 G A G EBF1_EBF_1 -6 0 + 0 0 . chr17 9979596 9979597 chr17:9979597:C:G rs3786091 C G G EBF1_EBF_1 10 1 - 5.7473271464750395 -1.1434752408544353 GCTCTCCTGGGACC chr17 10031443 10031444 chr17:10031444:G:A rs118100805 G A G EBF1_EBF_1 -12 0 - 0 0 . chr17 10115878 10115879 chr17:10115879:G:A rs76818834 G A g EBF1_EBF_1 15 0 - 0 0 . chr17 10118593 10118594 chr17:10118594:C:T rs12603296 C T c EBF1_EBF_1 10 1 - 5.230416565166608 -0.08761189410035675 AGCCCCCAGGGTAG chr17 10118939 10118940 chr17:10118940:C:T rs12603759 C T c EBF1_EBF_1 4 1 + 10.328241983389573 5.958855038444651 TGTCCCCAGGGACA chr17 10118967 10118968 chr17:10118968:C:T rs4791383 C T t EBF1_EBF_1 32 0 + 0 0 . chr17 10122455 10122456 chr17:10122456:A:T rs545623513 A T A EBF1_EBF_1 -14 0 + 0 0 . chr17 10122484 10122485 chr17:10122485:G:A rs11652852 G A G EBF1_EBF_1 15 0 + 0 0 . chr17 10157182 10157183 chr17:10157183:G:A rs117210825 G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 10163729 10163730 chr17:10163730:A:G rs2320550 A G G EBF1_EBF_1 19 0 - 0 0 . chr17 10165764 10165765 chr17:10165765:G:A rs7226125 G A G EBF1_EBF_1 -3 0 - 0 0 . chr17 10170156 10170157 chr17:10170157:C:A rs147803321 C A C EBF1_EBF_1 -20 0 + 0 0 . chr17 10178128 10178129 chr17:10178129:A:C rs79910491 A C A EBF1_EBF_1 -12 0 - 0 0 . chr17 10192360 10192361 chr17:10192361:C:T rs8081941 C T C EBF1_EBF_1 3 1 + 5.268470325795359 -1.1369284157579571 AGTCCCCTGGGCTG chr17 10198342 10198343 chr17:10198343:C:T rs376272252 C T C EBF1_EBF_1 -2 0 + 0 0 . chr17 10199503 10199504 chr17:10199504:C:G rs8072628 C G G EBF1_EBF_1 -20 0 + 0 0 . chr17 10226884 10226885 chr17:10226885:A:C rs7213829 A C A EBF1_EBF_1 11 1 + 11.318057768587458 6.9020960707350705 AATCCCAAGGGAAG chr17 10237163 10237164 chr17:10237164:G:A rs34053322 G A A EBF1_EBF_1 6 1 + 5.577528561323758 7.744322992598233 CTTCCCGTGGGGCA chr17 10268288 10268289 chr17:10268289:G:A rs149207784 G A g EBF1_EBF_1 22 0 + 0 0 . chr17 10275991 10275992 chr17:10275992:C:T rs34667241 C T C EBF1_EBF_1 13 1 - 5.40982774913726 6.524153321206699 CTCCCCCGGGGATG chr17 10276990 10276991 chr17:10276991:T:A rs17762811 T A T EBF1_EBF_1 -19 0 - 0 0 . chr17 10336814 10336815 chr17:10336815:G:A rs12939209 G A G EBF1_EBF_1 21 0 + 0 0 . chr17 10370647 10370648 chr17:10370648:G:A rs12165041 G A G EBF1_EBF_1 -7 0 - 0 0 . chr17 10579463 10579464 chr17:10579464:C:G rs9889855 C G G EBF1_EBF_1 31 0 - 0 0 . chr17 10604136 10604137 chr17:10604137:A:G rs1123724 A G . EBF1_EBF_1 -10 0 - 0 0 . chr17 10617343 10617344 chr17:10617344:T:C rs8079716 T C T EBF1_EBF_1 -9 0 - 0 0 . chr17 10621107 10621108 chr17:10621108:A:G rs148696201 A G A EBF1_EBF_1 20 0 + 0 0 . chr17 10629566 10629567 chr17:10629567:G:A rs12940161 G A G EBF1_EBF_1 4 1 - 9.185684420519122 4.816297475574198 CCCCCCCAGGGACT chr17 10640775 10640776 chr17:10640776:A:G rs876659 A G A EBF1_EBF_1 23 0 - 0 0 . chr17 10653574 10653575 chr17:10653575:G:A rs3815012 G A G EBF1_EBF_1 -15 0 + 0 0 . chr17 10653587 10653588 chr17:10653588:C:G rs3815011 C G C EBF1_EBF_1 -2 0 + 0 0 . chr17 10657302 10657303 chr17:10657303:G:A rs916347707 G A G EBF1_EBF_1 -19 0 + 0 0 . chr17 10657327 10657328 chr17:10657328:C:T rs78479133 C T C EBF1_EBF_1 6 1 + 4.682795772400976 4.984081901262732 GCTCCCCGGGGCTT chr17 10736943 10736944 chr17:10736944:G:T rs149253069 G T G EBF1_EBF_1 -6 0 + 0 0 . chr17 10748669 10748670 chr17:10748670:G:A rs12451400 G A G EBF1_EBF_1 3 1 - 4.980997606045226 -1.4244011355080912 TACCCCCAGGGCCT chr17 10748683 10748684 chr17:10748684:G:A rs11078859 G A A EBF1_EBF_1 -11 0 - 0 0 . chr17 10748685 10748686 chr17:10748686:A:G rs564609239 A G A EBF1_EBF_1 -13 0 - 0 0 . chr17 10815678 10815679 chr17:10815679:A:G rs6503327 A G G EBF1_EBF_1 24 0 - 0 0 . chr17 10845037 10845038 chr17:10845038:C:T rs551238911 C T C EBF1_EBF_1 18 0 + 0 0 . chr17 10923932 10923933 chr17:10923933:C:T rs1525400 C T C EBF1_EBF_1 -1 0 - 0 0 . chr17 10994721 10994722 chr17:10994722:G:A rs16944037 G A A EBF1_EBF_1 7 1 - 9.638221701276516 11.455726447559138 AGCCCCTCGGGACT chr17 10999599 10999600 chr17:10999600:T:C rs11657226 T C T EBF1_EBF_1 -1 0 - 0 0 . chr17 10999602 10999603 chr17:10999603:A:C rs8080749 A C C EBF1_EBF_1 -4 0 - 0 0 . chr17 11001691 11001692 chr17:11001692:T:C rs62060869 T C C EBF1_EBF_1 -18 0 + 0 0 . chr17 11001706 11001707 chr17:11001707:C:A rs758115 C A C EBF1_EBF_1 -3 0 + 0 0 . chr17 11002113 11002114 chr17:11002114:C:T rs62060870 C T C EBF1_EBF_1 24 0 + 0 0 . chr17 11023776 11023777 chr17:11023777:C:G rs80245147 C G C EBF1_EBF_1 22 0 + 0 0 . chr17 11038020 11038021 chr17:11038021:C:T rs990260 C T T EBF1_EBF_1 8 1 - 5.62971194166935 1.4034218527830902 ATCCCCCAGGAAGT chr17 11313622 11313623 chr17:11313623:G:A rs77814748 G A G EBF1_EBF_1 15 0 - 0 0 . chr17 11330626 11330627 chr17:11330627:A:G rs113247429 A G A EBF1_EBF_1 -2 0 + 0 0 . chr17 11403877 11403878 chr17:11403878:G:A rs7214934 G A A EBF1_EBF_1 -14 0 + 0 0 . chr17 11452220 11452221 chr17:11452221:C:T rs11658629 C T C EBF1_EBF_1 -15 0 - 0 0 . chr17 11470847 11470848 chr17:11470848:A:G rs2908940 A G A EBF1_EBF_1 -18 0 + 0 0 . chr17 11640139 11640140 chr17:11640140:G:T rs11658293 G T G EBF1_EBF_1 17 0 + 0 0 . chr17 11798677 11798678 chr17:11798678:C:T rs35125227 C T c EBF1_EBF_1 -4 0 + 0 0 . chr17 11882546 11882547 chr17:11882547:C:G rs12941277 C G c EBF1_EBF_1 9 1 - 5.685255703591165 0.6095665572457003 GCTCCCTAGGTATC chr17 11943310 11943311 chr17:11943311:G:T rs117300392 G T G EBF1_EBF_1 -11 0 - 0 0 . chr17 11943318 11943319 chr17:11943319:G:C rs75495338 G C G EBF1_EBF_1 -19 0 - 0 0 . chr17 11997173 11997174 chr17:11997174:G:C rs1048112261 G C G EBF1_EBF_1 7 1 - 4.431990910659443 5.4133114917407985 CGCCCCGCGGGACC chr17 12233818 12233819 chr17:12233819:G:A rs143388217 G A G EBF1_EBF_1 -17 0 + 0 0 . chr17 12403151 12403152 chr17:12403152:C:G rs443045 C G C EBF1_EBF_1 -18 0 + 0 0 . chr17 12411430 12411431 chr17:12411431:A:G rs625744 A G A EBF1_EBF_1 13 1 + 8.660679867297597 7.546354295228158 AATCCCTAGAGATA chr17 12411443 12411444 chr17:12411444:C:T rs381616 C T C EBF1_EBF_1 26 0 + 0 0 . chr17 12421785 12421786 chr17:12421786:C:T rs72807228 C T C EBF1_EBF_1 29 0 + 0 0 . chr17 12520362 12520363 chr17:12520363:C:T rs17646290 C T C EBF1_EBF_1 -20 0 - 0 0 . chr17 12522525 12522526 chr17:12522526:A:C rs4792260 A C A EBF1_EBF_1 32 0 + 0 0 . chr17 12648389 12648390 chr17:12648390:C:T rs17775667 C T C EBF1_EBF_1 -20 0 - 0 0 . chr17 12664317 12664318 chr17:12664318:C:T rs1233849 C T T EBF1_EBF_1 -18 0 + 0 0 . chr17 12839243 12839244 chr17:12839244:A:G rs8082570 A G G EBF1_EBF_1 -15 0 - 0 0 . chr17 12940808 12940809 chr17:12940809:A:G rs2072256 A G G EBF1_EBF_1 32 0 - 0 0 . chr17 12985499 12985500 chr17:12985500:C:T rs137892648 C T C EBF1_EBF_1 33 0 + 0 0 . chr17 12989172 12989173 chr17:12989173:G:C chr17:12989173:G:C G C G EBF1_EBF_1 6 1 - 5.947872657969153 4.142680594495956 GATCCCCTGGGTCC chr17 12999370 12999371 chr17:12999371:C:G rs4791522 C G G EBF1_EBF_1 -13 0 - 0 0 . chr17 13013816 13013817 chr17:13013817:A:G rs7210890 A G G EBF1_EBF_1 11 1 + 6.48760219461628 3.594895351012269 AACCTCTAGGGAAC chr17 13024689 13024690 chr17:13024690:G:A rs73302417 G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 13087541 13087542 chr17:13087542:T:C rs758107 T C T EBF1_EBF_1 -15 0 + 0 0 . chr17 13245356 13245357 chr17:13245357:T:C rs11869097 T C T EBF1_EBF_1 -10 0 + 0 0 . chr17 13362947 13362948 chr17:13362948:C:T rs964114782 C T C EBF1_EBF_1 3 1 + 9.131287826400687 2.7258890848473696 CCTCCCTAGGGATA chr17 13387394 13387395 chr17:13387395:T:G rs7221425 T G T EBF1_EBF_1 26 0 - 0 0 . chr17 13403761 13403762 chr17:13403762:T:A rs4517833 T A T EBF1_EBF_1 -14 0 + 0 0 . chr17 13462829 13462830 chr17:13462830:G:A rs72635596 G A G EBF1_EBF_1 -2 0 - 0 0 . chr17 13484079 13484080 chr17:13484080:A:G rs980620 A G G EBF1_EBF_1 11 1 + 6.117459067426528 3.2247522238225166 AACCCCCAAGGATT chr17 13526154 13526155 chr17:13526155:C:T rs74958456 C T C EBF1_EBF_1 0 1 + 4.802879743096298 5.085081803826876 CCTCCCTGGGGCCC chr17 13538471 13538472 chr17:13538472:C:T rs12452587 C T c EBF1_EBF_1 29 0 - 0 0 . chr17 13561162 13561163 chr17:13561163:A:T rs73978692 A T A EBF1_EBF_1 -16 0 + 0 0 . chr17 13561882 13561883 chr17:13561883:C:A rs115719006 C A C EBF1_EBF_1 -13 0 - 0 0 . chr17 13568765 13568766 chr17:13568766:C:A rs62053883 C A C EBF1_EBF_1 0 1 - 8.727120583556633 8.646348030155444 GTTCCCAGGAGACT chr17 13602943 13602944 chr17:13602944:C:T rs74535443 C T C EBF1_EBF_1 -17 0 + 0 0 . chr17 13639085 13639086 chr17:13639086:A:G rs35915956 A G A EBF1_EBF_1 29 0 + 0 0 . chr17 13725863 13725864 chr17:13725864:C:T rs79121897 C T C EBF1_EBF_1 24 0 + 0 0 . chr17 13922554 13922555 chr17:13922555:C:T rs12603173 C T C EBF1_EBF_1 -13 0 - 0 0 . chr17 13925749 13925750 chr17:13925750:C:T rs151315575 C T C EBF1_EBF_1 -9 0 + 0 0 . chr17 13938784 13938785 chr17:13938785:T:G rs7225627 T G T EBF1_EBF_1 -3 0 - 0 0 . chr17 14015251 14015252 chr17:14015252:G:T rs11654192 G T G EBF1_EBF_1 -1 0 + 0 0 . chr17 14091538 14091539 chr17:14091539:A:G rs62054154 A G A EBF1_EBF_1 16 0 + 0 0 . chr17 14302625 14302626 chr17:14302626:A:C rs916604362 A C A EBF1_EBF_1 17 0 + 0 0 . chr17 14318006 14318007 chr17:14318007:C:T rs111683017 C T C EBF1_EBF_1 27 0 + 0 0 . chr17 14351490 14351491 chr17:14351491:C:A rs7215280 C A A EBF1_EBF_1 -18 0 + 0 0 . chr17 14428528 14428529 chr17:14428529:G:A rs142981938 G A G EBF1_EBF_1 -5 0 + 0 0 . chr17 14451404 14451405 chr17:14451405:T:G rs7214552 T G G EBF1_EBF_1 -7 0 - 0 0 . chr17 14452273 14452274 chr17:14452274:T:C rs568764 T C T EBF1_EBF_1 33 0 - 0 0 . chr17 14505905 14505906 chr17:14505906:A:G rs547701 A G G EBF1_EBF_1 17 0 + 0 0 . chr17 14545447 14545448 chr17:14545448:C:G rs575026224 C G T EBF1_EBF_1 6 1 + 6.959424447004333 5.154232383531134 AGCCCCCGGGGATG chr17 14775210 14775211 chr17:14775211:A:G rs1558262 A G A EBF1_EBF_1 1 1 + 7.604576879341312 7.865275711262615 TATCCCCAGAGATT chr17 14777462 14777463 chr17:14777463:G:T rs11658768 G T G EBF1_EBF_1 -9 0 - 0 0 . chr17 14791198 14791199 chr17:14791199:A:G rs17708543 A G G EBF1_EBF_1 33 0 + 0 0 . chr17 14947978 14947979 chr17:14947979:G:A rs11078275 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 14981680 14981681 chr17:14981681:C:A rs9891516 C A A EBF1_EBF_1 -18 0 - 0 0 . chr17 15034349 15034350 chr17:15034350:G:T rs72637338 G T G EBF1_EBF_1 -1 0 + 0 0 . chr17 15036587 15036588 chr17:15036588:A:G rs6502418 A G A EBF1_EBF_1 -16 0 - 0 0 . chr17 15120710 15120711 chr17:15120711:T:C rs11654880 T C T EBF1_EBF_1 7 1 - 5.976432425684851 4.663974020396026 CGTCCCCAGGAAAT chr17 15175502 15175503 chr17:15175503:A:T rs4636946 A T A EBF1_EBF_1 11 1 + 9.507913255720132 5.409998999621406 GACCCCCAGGGAAT chr17 15221103 15221104 chr17:15221104:A:G rs76709224 A G A EBF1_EBF_1 18 0 + 0 0 . chr17 15233501 15233502 chr17:15233502:A:G rs72812936 A G A EBF1_EBF_1 0 1 + 7.211768645451603 5.319484775886769 AACCCCATGAGAGT chr17 15236864 15236865 chr17:15236865:G:A rs77022455 G A G EBF1_EBF_1 -1 0 + 0 0 . chr17 15240252 15240253 chr17:15240253:A:G rs179520 A G G EBF1_EBF_1 -15 0 + 0 0 . chr17 15261491 15261492 chr17:15261492:C:A rs231019 C A C EBF1_EBF_1 -13 0 + 0 0 . chr17 15265489 15265490 chr17:15265490:G:C rs1401908776 G C G EBF1_EBF_1 26 0 + 0 0 . chr17 15268537 15268538 chr17:15268538:C:G rs231013 C G C EBF1_EBF_1 24 0 + 0 0 . chr17 15298406 15298407 chr17:15298407:G:A rs78118822 G A G EBF1_EBF_1 20 0 + 0 0 . chr17 15314993 15314994 chr17:15314994:A:G rs2531950 A G G EBF1_EBF_1 -8 0 - 0 0 . chr17 15381956 15381957 chr17:15381957:G:C rs12450843 G C G EBF1_EBF_1 8 1 + 8.301516135338577 2.9828572744238926 AATCCCCAGAGAAG chr17 15384992 15384993 chr17:15384993:G:T rs8067079 G T G EBF1_EBF_1 -14 0 - 0 0 . chr17 15399088 15399089 chr17:15399089:T:G rs142014303 T G T EBF1_EBF_1 27 0 + 0 0 . chr17 15405138 15405139 chr17:15405139:G:A rs4792596 G A G EBF1_EBF_1 27 0 - 0 0 . chr17 15412146 15412147 chr17:15412147:G:C rs2907267 G C C EBF1_EBF_1 24 0 + 0 0 . chr17 15416550 15416551 chr17:15416551:T:C rs12950130 T C C EBF1_EBF_1 -8 0 - 0 0 . chr17 15452006 15452007 chr17:15452007:C:T rs2906979 C T T EBF1_EBF_1 4 1 + 11.388078453169419 7.018691508224497 AATCCCCAGGGATC chr17 15563389 15563390 chr17:15563390:C:A rs28495834 C A c EBF1_EBF_1 21 0 + 0 0 . chr17 15645738 15645739 chr17:15645739:G:A rs114405319 G A G EBF1_EBF_1 -19 0 - 0 0 . chr17 15740212 15740213 chr17:15740213:T:C rs562608478 T C t EBF1_EBF_1 7 1 - 7.929366442697901 6.616908037409077 ACCCCCAAGAGACA chr17 15787804 15787805 chr17:15787805:C:A rs1054119 C A - EBF1_EBF_1 -13 0 + 0 0 . chr17 15945891 15945892 chr17:15945892:C:G rs2041457 C G - EBF1_EBF_1 6 1 + 6.6142406724280445 4.809048608954846 CACCCCCGGGGAAA chr17 15945912 15945913 chr17:15945913:G:C rs113146623 G C G EBF1_EBF_1 27 0 + 0 0 . chr17 16186281 16186282 chr17:16186282:G:T chr17:16186282:G:T G T G EBF1_EBF_1 -6 0 - 0 0 . chr17 16203256 16203257 chr17:16203257:G:A rs116544174 G A g EBF1_EBF_1 25 0 + 0 0 . chr17 16287103 16287104 chr17:16287104:G:C rs3785625 G C G EBF1_EBF_1 5 1 - 10.782759986076737 3.87787883344157 GGTCCCCAGGGACC chr17 16326079 16326080 chr17:16326080:A:G rs140126036 A G A EBF1_EBF_1 13 1 + 10.305608866822293 9.191283294752854 CTTCCCCTGGGAAA chr17 16416584 16416585 chr17:16416585:C:T rs4792737 C T c EBF1_EBF_1 -13 0 + 0 0 . chr17 16428505 16428506 chr17:16428506:T:C rs4792742 T C . EBF1_EBF_1 -14 0 + 0 0 . chr17 16439126 16439127 chr17:16439127:G:A rs370765922 G A G EBF1_EBF_1 15 0 - 0 0 . chr17 16439147 16439148 chr17:16439148:C:T rs199930566 C T C EBF1_EBF_1 -6 0 - 0 0 . chr17 16470626 16470627 chr17:16470627:C:T rs55781309 C T C EBF1_EBF_1 30 0 - 0 0 . chr17 16502447 16502448 chr17:16502448:G:A rs1736199 G A A EBF1_EBF_1 15 0 + 0 0 . chr17 16536897 16536898 chr17:16536898:A:G rs150191331 A G A EBF1_EBF_1 -12 0 - 0 0 . chr17 16568375 16568376 chr17:16568376:G:A rs16959834 G A G EBF1_EBF_1 28 0 + 0 0 . chr17 16569364 16569365 chr17:16569365:C:A rs1208032585 C A C EBF1_EBF_1 0 1 - 6.024956198187106 5.944183644785918 GTTCCCTTCGGAAC chr17 16589411 16589412 chr17:16589412:G:A rs9890785 G A G EBF1_EBF_1 7 1 + 4.819641055988205 6.132099461277029 CCTCCCCGGGGTCC chr17 16589432 16589433 chr17:16589433:G:T rs77635608 G T G EBF1_EBF_1 28 0 + 0 0 . chr17 16838032 16838033 chr17:16838033:T:C rs1443701310 T C t EBF1_EBF_1 0 1 - 6.130273958035701 4.237990088470868 AACCCCCTGGGGTC chr17 16845351 16845352 chr17:16845352:T:C rs2456088 T C t EBF1_EBF_1 6 1 + 5.0380533781907 4.736767249328942 GCCCCCTGGAGACC chr17 16887108 16887109 chr17:16887109:A:G rs8069610 A G G EBF1_EBF_1 2 1 - 5.06870118309197 3.179877771649819 ACTTCCTGGGGACC chr17 16887115 16887116 chr17:16887116:T:C rs12944244 T C T EBF1_EBF_1 -5 0 - 0 0 . chr17 16887124 16887125 chr17:16887125:T:C rs72637375 T C T EBF1_EBF_1 -14 0 - 0 0 . chr17 16889443 16889444 chr17:16889444:C:T rs11658078 C T T EBF1_EBF_1 4 1 + 7.864508705936591 3.495121760991667 TGTCCCAAGAGAGT chr17 16911309 16911310 chr17:16911310:C:T rs4641788 C T C EBF1_EBF_1 3 1 + 8.58949304777909 2.184094306225772 GCCCCCATGGGACC chr17 16925823 16925824 chr17:16925824:C:T rs72637380 C T C EBF1_EBF_1 13 1 + 6.927653361249401 7.772147475834919 GTTCCCTTGGGCAC chr17 16927884 16927885 chr17:16927885:T:C rs545860428 T C T EBF1_EBF_1 33 0 - 0 0 . chr17 16932399 16932400 chr17:16932400:C:G rs3751989 C G C EBF1_EBF_1 15 0 - 0 0 . chr17 16937133 16937134 chr17:16937134:C:T rs72835980 C T c EBF1_EBF_1 -14 0 - 0 0 . chr17 16951070 16951071 chr17:16951071:T:C rs11656106 T C T EBF1_EBF_1 -19 0 - 0 0 . chr17 16969003 16969004 chr17:16969004:C:A rs8075444 C A C EBF1_EBF_1 -5 0 + 0 0 . chr17 16973236 16973237 chr17:16973237:G:A rs146483836 G A G EBF1_EBF_1 -4 0 - 0 0 . chr17 16975437 16975438 chr17:16975438:T:C rs180749351 T C T EBF1_EBF_1 20 0 + 0 0 . chr17 16988579 16988580 chr17:16988580:G:A rs185926964 G A G EBF1_EBF_1 -19 0 - 0 0 . chr17 16988631 16988632 chr17:16988632:C:G rs12950509 C G C EBF1_EBF_1 -18 0 - 0 0 . chr17 17005748 17005749 chr17:17005749:C:T rs6502553 C T C EBF1_EBF_1 14 0 - 0 0 . chr17 17017202 17017203 chr17:17017203:T:G rs9893453 T G T EBF1_EBF_1 -13 0 - 0 0 . chr17 17025086 17025087 chr17:17025087:T:C rs73979048 T C T EBF1_EBF_1 -3 0 + 0 0 . chr17 17043302 17043303 chr17:17043303:T:G rs75950933 T G . EBF1_EBF_1 20 0 + 0 0 . chr17 17050569 17050570 chr17:17050570:T:G rs4985714 T G . EBF1_EBF_1 13 1 + 6.4835580228830825 4.1509928869421495 CCTCCCTTGGGCCT chr17 17057469 17057470 chr17:17057470:A:G rs11654562 A G A EBF1_EBF_1 7 1 + 7.228194875078569 5.915736469789743 AGACCCCAGGGAGA chr17 17089289 17089290 chr17:17089290:C:T rs72637387 C T C EBF1_EBF_1 10 1 - 8.218436791736151 2.900408332469185 TCTCCCCCGGGACC chr17 17097038 17097039 chr17:17097039:C:T rs139583540 C T C EBF1_EBF_1 -7 0 - 0 0 . chr17 17134836 17134837 chr17:17134837:A:T rs12601325 A T A EBF1_EBF_1 -18 0 - 0 0 . chr17 17140884 17140885 chr17:17140885:A:C rs562206807 A C A EBF1_EBF_1 19 0 + 0 0 . chr17 17156259 17156260 chr17:17156260:G:T rs77689691 G T G EBF1_EBF_1 28 0 - 0 0 . chr17 17182088 17182089 chr17:17182089:C:T rs79154437 C T C EBF1_EBF_1 -9 0 + 0 0 . chr17 17182094 17182095 chr17:17182095:C:A rs7218781 C A A EBF1_EBF_1 -3 0 + 0 0 . chr17 17187327 17187328 chr17:17187328:G:A rs114903876 G A G EBF1_EBF_1 -3 0 + 0 0 . chr17 17237114 17237115 chr17:17237115:G:A rs138847774 G A G EBF1_EBF_1 4 1 - 6.0731388822942085 1.7037519373492847 GCTCCCCTGAGAGC chr17 17237128 17237129 chr17:17237129:C:T rs542327641 C T C EBF1_EBF_1 -10 0 - 0 0 . chr17 17259267 17259268 chr17:17259268:A:G rs79396220 A G A EBF1_EBF_1 -13 0 + 0 0 . chr17 17301242 17301243 chr17:17301243:C:G rs77406230 C G C EBF1_EBF_1 28 0 - 0 0 . chr17 17303606 17303607 chr17:17303607:G:C rs1190416316 G C g EBF1_EBF_1 5 1 - 4.462172309080769 -2.4427088435543993 CGCCCCGCGGGAAC chr17 17322514 17322515 chr17:17322515:C:A rs758742 C A C EBF1_EBF_1 23 0 + 0 0 . chr17 17325494 17325495 chr17:17325495:T:C rs7220829 T C C EBF1_EBF_1 27 0 + 0 0 . chr17 17344099 17344100 chr17:17344100:G:C rs242242 G C G EBF1_EBF_1 -12 0 + 0 0 . chr17 17344144 17344145 chr17:17344145:A:G rs17726858 A G A EBF1_EBF_1 33 0 + 0 0 . chr17 17349345 17349346 chr17:17349346:C:T rs2191383 C T c EBF1_EBF_1 -2 0 + 0 0 . chr17 17349346 17349347 chr17:17349347:G:A rs79786350 G A G EBF1_EBF_1 -1 0 + 0 0 . chr17 17349864 17349865 chr17:17349865:C:G rs74339506 C G C EBF1_EBF_1 -2 0 - 0 0 . chr17 17350959 17350960 chr17:17350960:A:T rs11871699 A T A EBF1_EBF_1 23 0 + 0 0 . chr17 17353413 17353414 chr17:17353414:T:G rs116118734 T G T EBF1_EBF_1 -15 0 - 0 0 . chr17 17401409 17401410 chr17:17401410:T:G rs72826255 T G T EBF1_EBF_1 -12 0 - 0 0 . chr17 17404890 17404891 chr17:17404891:C:T rs16961654 C T C EBF1_EBF_1 -13 0 - 0 0 . chr17 17414000 17414001 chr17:17414001:G:T rs59206606 G T G EBF1_EBF_1 15 0 - 0 0 . chr17 17420771 17420772 chr17:17420772:C:T rs7214190 C T C EBF1_EBF_1 16 0 + 0 0 . chr17 17420772 17420773 chr17:17420773:G:A rs115767339 G A G EBF1_EBF_1 17 0 + 0 0 . chr17 17454374 17454375 chr17:17454375:T:G rs1242478 T G T EBF1_EBF_1 32 0 + 0 0 . chr17 17515279 17515280 chr17:17515280:A:G rs4646405 A G G EBF1_EBF_1 26 0 - 0 0 . chr17 17522694 17522695 chr17:17522695:T:C rs746899 T C C EBF1_EBF_1 24 0 - 0 0 . chr17 17536023 17536024 chr17:17536024:G:A rs112598555 G A G EBF1_EBF_1 -2 0 + 0 0 . chr17 17540437 17540438 chr17:17540438:A:G rs188639206 A G A EBF1_EBF_1 14 0 - 0 0 . chr17 17552804 17552805 chr17:17552805:C:T rs189448564 C T C EBF1_EBF_1 6 1 + 4.7191370107210435 5.0204231395828 GCTCCCCAGGGCAG chr17 17552825 17552826 chr17:17552826:C:A rs4646382 C A C EBF1_EBF_1 27 0 + 0 0 . chr17 17557871 17557872 chr17:17557872:G:T rs60343188 G T G EBF1_EBF_1 9 1 + 5.9503270053116974 -0.886662402777112 CCTCCCCAGGGTTT chr17 17606372 17606373 chr17:17606373:T:C rs11078390 T C C EBF1_EBF_1 23 0 - 0 0 . chr17 17606406 17606407 chr17:17606407:A:C rs12949039 A C A EBF1_EBF_1 -11 0 - 0 0 . chr17 17626933 17626934 chr17:17626934:C:G rs4924797 C G G EBF1_EBF_1 -3 0 - 0 0 . chr17 17640531 17640532 chr17:17640532:T:C rs9891340 T C C EBF1_EBF_1 -5 0 - 0 0 . chr17 17692104 17692105 chr17:17692105:A:G chr17:17692105:A:G A G A EBF1_EBF_1 23 0 - 0 0 . chr17 17694111 17694112 chr17:17694112:C:A rs73292249 C A C EBF1_EBF_1 10 1 - 6.98719302857237 2.8044408423451044 GCTCCCAGGAGACC chr17 17784188 17784189 chr17:17784189:G:C rs1054038015 G C G EBF1_EBF_1 21 0 + 0 0 . chr17 17823394 17823395 chr17:17823395:C:A rs901559046 C A C EBF1_EBF_1 12 1 - 7.557873701337177 7.920243074464478 CTTCCCGAGGGAGA chr17 17840132 17840133 chr17:17840133:C:T chr17:17840133:C:T C T C EBF1_EBF_1 28 0 - 0 0 . chr17 17840133 17840134 chr17:17840134:A:T chr17:17840134:A:T A T a EBF1_EBF_1 27 0 - 0 0 . chr17 17844051 17844052 chr17:17844052:A:C rs2236513 A C C EBF1_EBF_1 -16 0 + 0 0 . chr17 17844364 17844365 chr17:17844365:G:A rs374340257 G A g EBF1_EBF_1 -14 0 + 0 0 . chr17 17874649 17874650 chr17:17874650:A:C rs139614444 A C A EBF1_EBF_1 -4 0 + 0 0 . chr17 17927238 17927239 chr17:17927239:C:T rs9904163 C T T EBF1_EBF_1 18 0 + 0 0 . chr17 17988466 17988467 chr17:17988467:A:G rs7207821 A G A EBF1_EBF_1 -12 0 - 0 0 . chr17 18148660 18148661 chr17:18148661:T:C rs854774 T C C EBF1_EBF_1 27 0 + 0 0 . chr17 18183498 18183499 chr17:18183499:G:A rs568940176 G A G EBF1_EBF_1 22 0 - 0 0 . chr17 18183499 18183500 chr17:18183500:T:G chr17:18183500:T:G T G T EBF1_EBF_1 21 0 - 0 0 . chr17 18183529 18183530 chr17:18183530:G:C rs574002679 G C G EBF1_EBF_1 -9 0 - 0 0 . chr17 18217675 18217676 chr17:18217676:C:T rs72827448 C T C EBF1_EBF_1 4 1 + 8.127820720629135 3.7584337756842103 GGTCCCCAGAGACC chr17 18227921 18227922 chr17:18227922:C:T rs7405677 C T T EBF1_EBF_1 -19 0 - 0 0 . chr17 18235774 18235775 chr17:18235775:G:A rs2290507 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 18241405 18241406 chr17:18241406:C:T rs2245737 C T T EBF1_EBF_1 1 1 - 6.003823674092582 5.743124842171279 CGTCCCCAGGGCCC chr17 18246539 18246540 chr17:18246540:A:G rs2856289 A G G EBF1_EBF_1 -20 0 - 0 0 . chr17 18258799 18258800 chr17:18258800:C:T chr17:18258800:C:T C T C EBF1_EBF_1 23 0 - 0 0 . chr17 18262949 18262950 chr17:18262950:G:A rs141498826 G A G EBF1_EBF_1 -1 0 - 0 0 . chr17 18264082 18264083 chr17:18264083:G:T rs3889402 G T T EBF1_EBF_1 -14 0 + 0 0 . chr17 18320880 18320881 chr17:18320881:G:A rs921865 G A G EBF1_EBF_1 33 0 + 0 0 . chr17 18347212 18347213 chr17:18347213:C:T rs2273027 C T T EBF1_EBF_1 14 0 + 0 0 . chr17 18377556 18377557 chr17:18377557:T:C rs545065355 T C t EBF1_EBF_1 13 1 + 6.575427906574418 5.7309337919889 GCTCCCCTGGGCAT chr17 18497151 18497152 chr17:18497152:C:G rs11654089 C G . EBF1_EBF_1 4 1 + 6.459471792239962 0.833706691090417 AGACCCAAGGGGCC chr17 18501035 18501036 chr17:18501036:G:A rs1725655 G A . EBF1_EBF_1 -15 0 - 0 0 . chr17 18625158 18625159 chr17:18625159:C:A rs144491541 C A . EBF1_EBF_1 0 1 + 5.057475108421462 7.312733592118064 CCACCCCAGGGACG chr17 18681926 18681927 chr17:18681927:C:A chr17:18681927:C:A C A . EBF1_EBF_1 -2 0 - 0 0 . chr17 18948891 18948892 chr17:18948892:G:A rs1634419 G A g EBF1_EBF_1 -3 0 + 0 0 . chr17 18952172 18952173 chr17:18952173:G:A rs2073078 G A g EBF1_EBF_1 8 1 + 5.240322729729909 1.0140326408436475 AGTCCCTCGGGCTC chr17 18976954 18976955 chr17:18976955:C:A rs2472715 C A C EBF1_EBF_1 20 0 + 0 0 . chr17 19000262 19000263 chr17:19000263:T:C rs8064539 T C C EBF1_EBF_1 31 0 - 0 0 . chr17 19005029 19005030 chr17:19005030:C:T rs58094480 C T C EBF1_EBF_1 -8 0 + 0 0 . chr17 19010453 19010454 chr17:19010454:C:T rs60797930 C T C EBF1_EBF_1 33 0 - 0 0 . chr17 19014601 19014602 chr17:19014602:C:T rs2074280 C T T EBF1_EBF_1 33 0 - 0 0 . chr17 19237562 19237563 chr17:19237563:G:A chr17:19237563:G:A G A g EBF1_EBF_1 -5 0 + 0 0 . chr17 19238082 19238083 chr17:19238083:C:T rs867895863 C T c EBF1_EBF_1 21 0 - 0 0 . chr17 19333093 19333094 chr17:19333094:G:T rs3785774 G T G EBF1_EBF_1 -3 0 - 0 0 . chr17 19362483 19362484 chr17:19362484:C:T rs10445411 C T C EBF1_EBF_1 -16 0 - 0 0 . chr17 19378933 19378934 chr17:19378934:G:A rs765309809 G A G EBF1_EBF_1 -13 0 + 0 0 . chr17 19411962 19411963 chr17:19411963:T:C rs739829 T C T EBF1_EBF_1 23 0 - 0 0 . chr17 19437491 19437492 chr17:19437492:A:G rs4924991 A G G EBF1_EBF_1 19 0 + 0 0 . chr17 19538563 19538564 chr17:19538564:G:A rs59547199 G A G EBF1_EBF_1 -15 0 - 0 0 . chr17 19556223 19556224 chr17:19556224:G:A rs2244280 G A G EBF1_EBF_1 -7 0 + 0 0 . chr17 19556248 19556249 chr17:19556249:G:C rs2440150 G C G EBF1_EBF_1 18 0 + 0 0 . chr17 19647912 19647913 chr17:19647913:T:C rs3888642 T C T EBF1_EBF_1 26 0 + 0 0 . chr17 19648277 19648278 chr17:19648278:C:G rs558264434 C G C EBF1_EBF_1 -20 0 - 0 0 . chr17 19683311 19683312 chr17:19683312:C:T rs78025555 C T C EBF1_EBF_1 0 1 - 6.765199561143636 8.657483430708469 GGTCCCCTGGGCAT chr17 19719792 19719793 chr17:19719793:A:G rs9910370 A G G EBF1_EBF_1 19 0 + 0 0 . chr17 19753386 19753387 chr17:19753387:G:A rs16960384 G A G EBF1_EBF_1 -12 0 - 0 0 . chr17 19800399 19800400 chr17:19800400:G:A rs531524329 G A G EBF1_EBF_1 27 0 - 0 0 . chr17 19868490 19868491 chr17:19868491:A:G rs73301996 A G G EBF1_EBF_1 32 0 - 0 0 . chr17 20086016 20086017 chr17:20086017:G:A rs141995747 G A G EBF1_EBF_1 -15 0 - 0 0 . chr17 20092916 20092917 chr17:20092917:C:G rs73981807 C G C EBF1_EBF_1 0 1 - 5.5382408459546975 5.175266231822932 GCTCCCTGGAGAGC chr17 20095644 20095645 chr17:20095645:C:T rs4925084 C T C EBF1_EBF_1 2 1 + 6.912971531850138 8.801794943292286 ATCCCCCGGGGGCA chr17 20256372 20256373 chr17:20256373:T:C rs2703788 T C . EBF1_EBF_1 12 1 + 6.914544108487972 7.9408106790388215 AATCCCCAGGAATT chr17 20269405 20269406 chr17:20269406:C:T rs1017831288 C T . EBF1_EBF_1 10 1 - 5.91420895150133 0.5961804922343636 GCTCACTAGGGACT chr17 20444269 20444270 chr17:20444270:T:C rs1624140 T C . EBF1_EBF_1 -2 0 + 0 0 . chr17 20444297 20444298 chr17:20444298:C:T rs117720402 C T . EBF1_EBF_1 26 0 + 0 0 . chr17 20476826 20476827 chr17:20476827:C:G rs56204413 C G c EBF1_EBF_1 -9 0 + 0 0 . chr17 20544969 20544970 chr17:20544970:G:A rs142927754 G A g EBF1_EBF_1 8 1 + 5.977336860596953 1.7510467717106906 AGCCCCACGGGAGG chr17 20689434 20689435 chr17:20689435:T:C rs7211005 T C . EBF1_EBF_1 30 0 - 0 0 . chr17 20689448 20689449 chr17:20689449:T:G rs115132636 T G . EBF1_EBF_1 16 0 - 0 0 . chr17 20990258 20990259 chr17:20990259:C:T rs4985811 C T . EBF1_EBF_1 6 1 - 9.089588039727616 11.25638247100209 AGTCCCGAGAGAAT chr17 20994150 20994151 chr17:20994151:C:T rs113766487 C T C EBF1_EBF_1 -4 0 + 0 0 . chr17 21099540 21099541 chr17:21099541:C:G rs563416956 C G C EBF1_EBF_1 -7 0 - 0 0 . chr17 21162229 21162230 chr17:21162230:G:A rs748325388 G A g EBF1_EBF_1 10 1 + 8.514617519243409 3.196589059976442 AATCCCTAGCGAAT chr17 21254390 21254391 chr17:21254391:G:T rs6587128 G T G EBF1_EBF_1 9 1 + 7.373746202915634 0.5367567948268248 GTTCCCTAGGGCCC chr17 21257883 21257884 chr17:21257884:T:C rs80331919 T C T EBF1_EBF_1 31 0 - 0 0 . chr17 21275641 21275642 chr17:21275642:C:G rs139149186 C G C EBF1_EBF_1 22 0 - 0 0 . chr17 21282362 21282363 chr17:21282363:T:A rs530030166 T A T EBF1_EBF_1 7 1 + 6.595281719183241 7.071555959270799 ACCCTCCTGGGACT chr17 21282783 21282784 chr17:21282784:G:A rs77032022 G A G EBF1_EBF_1 -6 0 + 0 0 . chr17 21290580 21290581 chr17:21290581:A:T rs72840052 A T A EBF1_EBF_1 16 0 + 0 0 . chr17 21290585 21290586 chr17:21290586:A:G rs72840053 A G A EBF1_EBF_1 21 0 + 0 0 . chr17 21294747 21294748 chr17:21294748:G:C rs9912598 G C G EBF1_EBF_1 -13 0 - 0 0 . chr17 21310709 21310710 chr17:21310710:G:A rs61059691 G A g EBF1_EBF_1 -12 0 - 0 0 . chr17 21310714 21310715 chr17:21310715:C:T rs9901266 C T c EBF1_EBF_1 -17 0 - 0 0 . chr17 21318628 21318629 chr17:21318629:C:G rs73985620 C G c EBF1_EBF_1 14 0 + 0 0 . chr17 21322499 21322500 chr17:21322500:G:C rs923196503 G C G EBF1_EBF_1 -10 0 + 0 0 . chr17 21345129 21345130 chr17:21345130:T:C rs35555831 T C C EBF1_EBF_1 -3 0 + 0 0 . chr17 21357804 21357805 chr17:21357805:C:T rs115005034 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 21375524 21375525 chr17:21375525:A:G rs68138326 A G A EBF1_EBF_1 -19 0 + 0 0 . chr17 21420692 21420693 chr17:21420693:C:G rs1914882 C G G EBF1_EBF_1 -15 0 - 0 0 . chr17 21424388 21424389 chr17:21424389:C:T rs4084688 C T C EBF1_EBF_1 4 1 + 5.605796997875919 1.2364100529309956 GCTCCCTACGGAAC chr17 21487633 21487634 chr17:21487634:G:A chr17:21487634:G:A G A G EBF1_EBF_1 -8 0 - 0 0 . chr17 21511874 21511875 chr17:21511875:C:A rs9904324 C A C EBF1_EBF_1 1 1 - 7.725235270872566 8.430897056702095 AGTCCCCTGGAACT chr17 21512879 21512880 chr17:21512880:G:T rs558201030 G T G EBF1_EBF_1 -9 0 - 0 0 . chr17 21512888 21512889 chr17:21512889:C:T rs7225580 C T C EBF1_EBF_1 -18 0 - 0 0 . chr17 21574438 21574439 chr17:21574439:C:G rs576920248 C G c EBF1_EBF_1 10 1 - 6.876365770827566 -0.014436616501907862 ACTCCCTGGGGCTT chr17 21574440 21574441 chr17:21574441:C:T rs111248962 C T t EBF1_EBF_1 8 1 - 6.876365770827566 2.6500756819413054 ACTCCCTGGGGCTT chr17 21666126 21666127 chr17:21666127:C:G rs1485801669 C G . EBF1_EBF_1 5 1 + 6.28602573482316 -0.6188554178120085 TGTCCCCAGGGCCC chr17 21670039 21670040 chr17:21670040:A:T rs1301349462 A T . EBF1_EBF_1 -7 0 - 0 0 . chr17 21699490 21699491 chr17:21699491:T:A rs1433750114 T A . EBF1_EBF_1 -18 0 - 0 0 . chr17 21704715 21704716 chr17:21704716:G:A rs1218340181 G A . EBF1_EBF_1 -15 0 - 0 0 . chr17 21706723 21706724 chr17:21706724:C:A rs1301538307 C A . EBF1_EBF_1 -11 0 + 0 0 . chr17 21708332 21708333 chr17:21708333:A:G rs1246438986 A G . EBF1_EBF_1 -4 0 + 0 0 . chr17 21767586 21767587 chr17:21767587:C:T rs1287973574 C T . EBF1_EBF_1 12 1 - 6.797886848083725 8.216704190183203 ACTCCCTAAGGAGA chr17 21769462 21769463 chr17:21769463:G:A rs1369693800 G A . EBF1_EBF_1 7 1 - 5.34481401626332 7.162318762545943 CCTCCCTCGGGGCC chr17 21773206 21773207 chr17:21773207:C:G rs1377171857 C G . EBF1_EBF_1 5 1 + 5.750992152393545 -1.1538890002416227 AGTGCCAAGGGAAG chr17 21849085 21849086 chr17:21849086:G:A rs1405902126 G A . EBF1_EBF_1 -16 0 - 0 0 . chr17 21969927 21969928 chr17:21969928:A:G rs1282284520 A G . EBF1_EBF_1 7 1 + 6.759190115551197 5.446731710262372 AATCCCAATGGAAT chr17 22505759 22505760 chr17:22505760:G:A rs55788351 G A . EBF1_EBF_1 -13 0 + 0 0 . chr17 26731996 26731997 chr17:26731997:G:A rs1241516625 G A N EBF1_EBF_1 -10 0 + 0 0 . chr17 26780387 26780388 chr17:26780388:A:C rs1196881017 A C N EBF1_EBF_1 29 0 - 0 0 . chr17 27153218 27153219 chr17:27153219:A:G rs936571 A G g EBF1_EBF_1 6 1 + 6.73807569543061 4.571281264156136 ATTCCCAGGGAACA chr17 27153430 27153431 chr17:27153431:C:G rs2086266 C G c EBF1_EBF_1 6 1 + 7.379053341647258 5.57386127817406 ATCCCCCAGAGAAG chr17 27156333 27156334 chr17:27156334:G:A rs67465449 G A g EBF1_EBF_1 3 1 - 13.266033769184817 6.860635027631504 ATTCCCATGGGACC chr17 27158386 27158387 chr17:27158387:C:T rs11871208 C T c EBF1_EBF_1 15 0 + 0 0 . chr17 27158395 27158396 chr17:27158396:G:A rs115906456 G A g EBF1_EBF_1 24 0 + 0 0 . chr17 27197868 27197869 chr17:27197869:A:G rs1292593240 A G a EBF1_EBF_1 7 1 - 8.772727066516135 6.955222320233513 ACTCCCCTGGGGAA chr17 27245485 27245486 chr17:27245486:C:T rs74867943 C T C EBF1_EBF_1 -3 0 - 0 0 . chr17 27245493 27245494 chr17:27245494:C:T rs973411665 C T C EBF1_EBF_1 -11 0 - 0 0 . chr17 27282446 27282447 chr17:27282447:G:T rs114902197 G T G EBF1_EBF_1 -20 0 + 0 0 . chr17 27323925 27323926 chr17:27323926:A:G rs78370922 A G A EBF1_EBF_1 -19 0 - 0 0 . chr17 27332607 27332608 chr17:27332608:C:T rs1231316802 C T C EBF1_EBF_1 5 1 + 7.562981701107541 2.2411944855668713 AGCCCCCAGGGTAT chr17 27333231 27333232 chr17:27333232:C:T rs57849041 C T C EBF1_EBF_1 7 1 - 5.135087286271229 6.447545691560055 TTTCCCCGGGGCGT chr17 27333247 27333248 chr17:27333248:C:T rs1215843261 C T C EBF1_EBF_1 -9 0 - 0 0 . chr17 27349394 27349395 chr17:27349395:C:T rs150578729 C T C EBF1_EBF_1 -2 0 - 0 0 . chr17 27447940 27447941 chr17:27447941:C:T rs62055356 C T C EBF1_EBF_1 -15 0 - 0 0 . chr17 27473717 27473718 chr17:27473718:G:C rs75103394 G C G EBF1_EBF_1 12 1 - 11.35670989269185 9.9680739490137 TCTCCCCAGGGACT chr17 27489159 27489160 chr17:27489160:G:A rs950665221 G A G EBF1_EBF_1 1 1 + 6.882341324168343 6.621642492247041 AGCCCCTGGGGGCC chr17 27506718 27506719 chr17:27506719:C:T rs1282310785 C T c EBF1_EBF_1 27 0 - 0 0 . chr17 27506758 27506759 chr17:27506759:G:A rs117867046 G A G EBF1_EBF_1 -13 0 - 0 0 . chr17 27531167 27531168 chr17:27531168:G:A rs115091941 G A G EBF1_EBF_1 33 0 + 0 0 . chr17 27552608 27552609 chr17:27552609:G:C rs527469133 G C G EBF1_EBF_1 -2 0 + 0 0 . chr17 27587891 27587892 chr17:27587892:T:G rs145884691 T G T EBF1_EBF_1 30 0 + 0 0 . chr17 27643606 27643607 chr17:27643607:G:A rs361497 G A G EBF1_EBF_1 3 1 - 4.483220137148392 -1.9221786044049247 CGCCCCCTGGGCCT chr17 27647818 27647819 chr17:27647819:C:G rs1292033191 C G C EBF1_EBF_1 15 0 - 0 0 . chr17 27686655 27686656 chr17:27686656:C:T rs12450783 C T C EBF1_EBF_1 31 0 + 0 0 . chr17 27740797 27740798 chr17:27740798:G:A rs8069219 G A g EBF1_EBF_1 -13 0 + 0 0 . chr17 27753691 27753692 chr17:27753692:G:A rs139533473 G A G EBF1_EBF_1 19 0 + 0 0 . chr17 27760418 27760419 chr17:27760419:T:C rs3729661 T C T EBF1_EBF_1 -3 0 + 0 0 . chr17 27806430 27806431 chr17:27806431:C:T rs967439612 C T C EBF1_EBF_1 5 1 + 5.673516081862513 0.3517288663218437 CCTCCCCAGGTACA chr17 27807620 27807621 chr17:27807621:C:G rs551940668 C G C EBF1_EBF_1 4 1 + 7.1993974465554444 1.5736323454059011 TTTCCCAAAGGAAA chr17 27807642 27807643 chr17:27807643:A:G rs2531860 A G G EBF1_EBF_1 26 0 + 0 0 . chr17 27810223 27810224 chr17:27810224:G:T rs2779253 G T G EBF1_EBF_1 -14 0 + 0 0 . chr17 27866392 27866393 chr17:27866393:C:T rs16966684 C T C EBF1_EBF_1 4 1 + 8.427059164187503 4.057672219242578 ACACCCCAGGGACA chr17 27959454 27959455 chr17:27959455:G:A rs73986083 G A G EBF1_EBF_1 28 0 + 0 0 . chr17 27994842 27994843 chr17:27994843:C:T rs571980323 C T C EBF1_EBF_1 24 0 + 0 0 . chr17 28133933 28133934 chr17:28133934:G:C rs34130179 G C C EBF1_EBF_1 22 0 + 0 0 . chr17 28236130 28236131 chr17:28236131:G:A rs144473397 G A G EBF1_EBF_1 -1 0 - 0 0 . chr17 28317641 28317642 chr17:28317642:C:T rs241775 C T T EBF1_EBF_1 -3 0 + 0 0 . chr17 28326180 28326181 chr17:28326181:T:C rs7226182 T C C EBF1_EBF_1 26 0 + 0 0 . chr17 28335871 28335872 chr17:28335872:G:A rs961816677 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 28381055 28381056 chr17:28381056:A:G rs13339695 A G G EBF1_EBF_1 -3 0 - 0 0 . chr17 28465602 28465603 chr17:28465603:G:A rs4636956 G A G EBF1_EBF_1 -12 0 + 0 0 . chr17 28470905 28470906 chr17:28470906:A:G chr17:28470906:A:G A G A EBF1_EBF_1 -10 0 + 0 0 . chr17 28509794 28509795 chr17:28509795:G:C rs7503430 G C C EBF1_EBF_1 15 0 - 0 0 . chr17 28548551 28548552 chr17:28548552:C:G rs73986731 C G G EBF1_EBF_1 -15 0 - 0 0 . chr17 28578908 28578909 chr17:28578909:A:G rs577451 A G A EBF1_EBF_1 29 0 + 0 0 . chr17 28714227 28714228 chr17:28714228:C:T rs72847543 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 28725339 28725340 chr17:28725340:C:T rs2288595 C T C EBF1_EBF_1 16 0 + 0 0 . chr17 28729006 28729007 chr17:28729007:C:A rs7211214 C A t EBF1_EBF_1 8 1 - 6.963467392155844 0.07203460317865074 CTCCCCGGGGGACT chr17 28729007 28729008 chr17:28729008:C:T rs7211220 C T g EBF1_EBF_1 7 1 - 6.963467392155844 8.275925797444671 CTCCCCGGGGGACT chr17 28743810 28743811 chr17:28743811:G:C chr17:28743811:G:C G C G EBF1_EBF_1 -18 0 + 0 0 . chr17 28743817 28743818 chr17:28743818:C:G chr17:28743818:C:G C G C EBF1_EBF_1 -11 0 + 0 0 . chr17 28743835 28743836 chr17:28743836:G:A rs35385774 G A G EBF1_EBF_1 7 1 + 7.328972278311984 8.641430683600811 TCCCCCAGGGGAAA chr17 28743854 28743855 chr17:28743855:A:C rs530998950 A C A EBF1_EBF_1 26 0 + 0 0 . chr17 28839359 28839360 chr17:28839360:C:G rs79625143 C G C EBF1_EBF_1 -7 0 + 0 0 . chr17 28848869 28848870 chr17:28848870:C:G chr17:28848870:C:G C G C EBF1_EBF_1 -2 0 + 0 0 . chr17 28897860 28897861 chr17:28897861:T:C rs760496093 T C T EBF1_EBF_1 -2 0 + 0 0 . chr17 29004230 29004231 chr17:29004231:C:A rs140312826 C A C EBF1_EBF_1 1 1 + 5.332906266183615 5.261979088831531 ACTGCCAGGGGACA chr17 29038751 29038752 chr17:29038752:T:C rs75746748 T C T EBF1_EBF_1 -19 0 - 0 0 . chr17 29096182 29096183 chr17:29096183:T:C rs8067945 T C T EBF1_EBF_1 -14 0 + 0 0 . chr17 29096207 29096208 chr17:29096208:C:T rs869718 C T C EBF1_EBF_1 11 1 + 4.788390689976981 5.106438131730645 GCTCCCCAGGGCGC chr17 29138459 29138460 chr17:29138460:T:C rs373968820 T C T EBF1_EBF_1 20 0 + 0 0 . chr17 29141697 29141698 chr17:29141698:G:A rs75745646 G A G EBF1_EBF_1 24 0 - 0 0 . chr17 29163626 29163627 chr17:29163627:C:T rs56334637 C T C EBF1_EBF_1 4 1 + 8.611109565868237 4.241722620923312 CTTCCCCAGGGATG chr17 29164773 29164774 chr17:29164774:T:C rs77559164 T C T EBF1_EBF_1 13 1 + 8.332529571989808 7.4880354574042896 AGACCCCTGGGATT chr17 29197412 29197413 chr17:29197413:A:C rs55667136 A C A EBF1_EBF_1 6 1 + 8.255115968396687 7.893513600595411 AGCCCCAAGGGGCC chr17 29219517 29219518 chr17:29219518:C:T rs8071875 C T C EBF1_EBF_1 5 1 + 5.591813783320471 0.27002656777980005 GTCCTCAAGGGACC chr17 29249183 29249184 chr17:29249184:C:T rs142631461 C T C EBF1_EBF_1 -3 0 + 0 0 . chr17 29292827 29292828 chr17:29292828:G:A rs544899016 G A - EBF1_EBF_1 9 1 + 6.827748190507456 4.172808925059852 TCACCCCAGGGACC chr17 29370600 29370601 chr17:29370601:G:A rs7222696 G A G EBF1_EBF_1 -7 0 - 0 0 . chr17 29389922 29389923 chr17:29389923:G:A rs115680333 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 29390526 29390527 chr17:29390527:T:C rs772140530 T C T EBF1_EBF_1 -4 0 + 0 0 . chr17 29390527 29390528 chr17:29390528:C:T rs377758698 C T C EBF1_EBF_1 -3 0 + 0 0 . chr17 29390541 29390542 chr17:29390542:A:C rs983890741 A C A EBF1_EBF_1 11 1 + 5.776286105872565 1.3603244080201748 GGCCCCGGGGGACC chr17 29390557 29390558 chr17:29390558:C:A rs565115724 C A C EBF1_EBF_1 27 0 + 0 0 . chr17 29391713 29391714 chr17:29391714:G:T chr17:29391714:G:T G T G EBF1_EBF_1 28 0 + 0 0 . chr17 29563938 29563939 chr17:29563939:A:G rs77339318 A G A EBF1_EBF_1 1 1 - 7.313904398254565 6.41847095785582 CTTCCCTAGAGAAG chr17 29566874 29566875 chr17:29566875:C:A rs62070806 C A C EBF1_EBF_1 0 1 + 4.433047704404098 6.688306188100697 CGCCCCCAGGGTCC chr17 29584517 29584518 chr17:29584518:G:A rs964698923 G A G EBF1_EBF_1 13 1 - 7.981111302303598 8.825605416889116 TCTCCCAAGGGGCC chr17 29584538 29584539 chr17:29584539:A:G rs3744626 A G A EBF1_EBF_1 -8 0 - 0 0 . chr17 29589700 29589701 chr17:29589701:C:G rs1392960501 C G C EBF1_EBF_1 -20 0 + 0 0 . chr17 29589713 29589714 chr17:29589714:C:G rs748297120 C G C EBF1_EBF_1 -7 0 + 0 0 . chr17 29589727 29589728 chr17:29589728:A:G rs1023026495 A G A EBF1_EBF_1 7 1 + 6.006947732614875 4.69448932732605 GCCCCCTAGAGAAA chr17 29594291 29594292 chr17:29594292:T:G chr17:29594292:T:G T G T EBF1_EBF_1 -5 0 + 0 0 . chr17 29596557 29596558 chr17:29596558:C:T rs182094305 C T C EBF1_EBF_1 -13 0 - 0 0 . chr17 29844835 29844836 chr17:29844836:C:T rs116077524 C T C EBF1_EBF_1 4 1 + 7.466616976002247 3.097230031057322 AGCCCCAAGAGATC chr17 30224839 30224840 chr17:30224840:C:T rs4251417 C T C EBF1_EBF_1 27 0 - 0 0 . chr17 30249057 30249058 chr17:30249058:T:C rs1050565 T C T EBF1_EBF_1 -15 0 - 0 0 . chr17 30332422 30332423 chr17:30332423:T:G chr17:30332423:T:G T G T EBF1_EBF_1 -10 0 + 0 0 . chr17 30475425 30475426 chr17:30475426:A:G rs8068557 A G A EBF1_EBF_1 24 0 - 0 0 . chr17 30477605 30477606 chr17:30477606:C:T rs938420982 C T C EBF1_EBF_1 4 1 + 5.638135165599741 1.2687482206548162 GATCCCCGGGGCCT chr17 30551107 30551108 chr17:30551108:G:A rs77621245 G A g EBF1_EBF_1 -4 0 - 0 0 . chr17 30551270 30551271 chr17:30551271:A:G rs216449 A G a EBF1_EBF_1 30 0 + 0 0 . chr17 30552694 30552695 chr17:30552695:G:A rs9908093 G A g EBF1_EBF_1 -3 0 + 0 0 . chr17 30563622 30563623 chr17:30563623:G:A rs112707384 G A g EBF1_EBF_1 -20 0 + 0 0 . chr17 30567426 30567427 chr17:30567427:A:C rs115708596 A C a EBF1_EBF_1 29 0 + 0 0 . chr17 30573896 30573897 chr17:30573897:C:G rs58713975 C G N EBF1_EBF_1 3 1 + 6.136052191995093 0.30828774387987584 CCTCCCTTGGGGTC chr17 30746527 30746528 chr17:30746528:C:T rs62070626 C T . EBF1_EBF_1 30 0 - 0 0 . chr17 30920307 30920308 chr17:30920308:T:A rs186600364 T A T EBF1_EBF_1 15 0 - 0 0 . chr17 31155362 31155363 chr17:31155363:G:A rs2905787 G A A EBF1_EBF_1 -2 0 - 0 0 . chr17 31215710 31215711 chr17:31215711:G:T rs9914217 G T G EBF1_EBF_1 -10 0 + 0 0 . chr17 31358285 31358286 chr17:31358286:A:G rs17887204 A G A EBF1_EBF_1 -15 0 - 0 0 . chr17 31389402 31389403 chr17:31389403:C:T rs145836528 C T C EBF1_EBF_1 28 0 - 0 0 . chr17 31397689 31397690 chr17:31397690:G:A rs2342054 G A A EBF1_EBF_1 21 0 - 0 0 . chr17 31415843 31415844 chr17:31415844:G:A rs12943943 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 31433795 31433796 chr17:31433796:G:A rs542560395 G A G EBF1_EBF_1 -13 0 + 0 0 . chr17 31437428 31437429 chr17:31437429:G:A rs116590143 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 31457412 31457413 chr17:31457413:G:A rs178873 G A G EBF1_EBF_1 23 0 + 0 0 . chr17 31486722 31486723 chr17:31486723:G:T rs55665247 G T G EBF1_EBF_1 17 0 - 0 0 . chr17 31495183 31495184 chr17:31495184:A:G rs112305964 A G A EBF1_EBF_1 20 0 + 0 0 . chr17 31498154 31498155 chr17:31498155:T:C rs34627429 T C T EBF1_EBF_1 2 1 + 5.803085465315868 3.914262053873718 GTTCCCAATGGACT chr17 31508663 31508664 chr17:31508664:G:A rs146765575 G A G EBF1_EBF_1 23 0 + 0 0 . chr17 31523679 31523680 chr17:31523680:G:A rs2074147 G A G EBF1_EBF_1 -14 0 - 0 0 . chr17 31528316 31528317 chr17:31528317:G:A rs12602681 G A G EBF1_EBF_1 -11 0 + 0 0 . chr17 31533820 31533821 chr17:31533821:G:A rs72817714 G A G EBF1_EBF_1 -20 0 + 0 0 . chr17 31533825 31533826 chr17:31533826:G:A rs1285267307 G A g EBF1_EBF_1 -15 0 + 0 0 . chr17 31533850 31533851 chr17:31533851:G:C rs78353841 G C G EBF1_EBF_1 10 1 + 3.632939179070923 -3.257863208258552 AGGCCCCCGGGACC chr17 31536859 31536860 chr17:31536860:C:T rs1551359 C T T EBF1_EBF_1 16 0 - 0 0 . chr17 31538387 31538388 chr17:31538388:G:A rs76844565 G A G EBF1_EBF_1 25 0 - 0 0 . chr17 31541120 31541121 chr17:31541121:G:A rs4795616 G A A EBF1_EBF_1 -3 0 + 0 0 . chr17 31549641 31549642 chr17:31549642:G:C rs9912862 G C G EBF1_EBF_1 20 0 + 0 0 . chr17 31560483 31560484 chr17:31560484:G:A rs115417646 G A G EBF1_EBF_1 -2 0 - 0 0 . chr17 31560490 31560491 chr17:31560491:G:C rs77478075 G C G EBF1_EBF_1 -9 0 - 0 0 . chr17 31565304 31565305 chr17:31565305:G:A rs181742526 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 31565349 31565350 chr17:31565350:T:C rs72817735 T C T EBF1_EBF_1 -13 0 - 0 0 . chr17 31571380 31571381 chr17:31571381:G:A rs9890786 G A G EBF1_EBF_1 -14 0 - 0 0 . chr17 31601013 31601014 chr17:31601014:C:T rs16972316 C T c EBF1_EBF_1 -17 0 + 0 0 . chr17 31601063 31601064 chr17:31601064:G:T rs223154 G T g EBF1_EBF_1 33 0 + 0 0 . chr17 31631036 31631037 chr17:31631037:A:G rs426810 A G G EBF1_EBF_1 -16 0 + 0 0 . chr17 31697952 31697953 chr17:31697953:C:T rs532596490 C T C EBF1_EBF_1 21 0 + 0 0 . chr17 31716398 31716399 chr17:31716399:C:T rs66832689 C T c EBF1_EBF_1 26 0 + 0 0 . chr17 31738469 31738470 chr17:31738470:G:A rs9890482 G A G EBF1_EBF_1 -19 0 + 0 0 . chr17 31743896 31743897 chr17:31743897:A:G rs11871042 A G G EBF1_EBF_1 15 0 + 0 0 . chr17 31788149 31788150 chr17:31788150:G:A rs12325969 G A G EBF1_EBF_1 5 1 - 6.067441993294733 0.7456547777540625 ATTCCCCTGTGACC chr17 31789865 31789866 chr17:31789866:T:C rs16966911 T C T EBF1_EBF_1 -16 0 + 0 0 . chr17 32021303 32021304 chr17:32021304:C:T rs1391418138 C T . EBF1_EBF_1 17 0 - 0 0 . chr17 32079906 32079907 chr17:32079907:C:T rs28402715 C T c EBF1_EBF_1 -3 0 - 0 0 . chr17 32085398 32085399 chr17:32085399:C:T rs1217334 C T . EBF1_EBF_1 2 1 + 7.451176643502033 9.34000005494418 ATCCCCTCGGGGCT chr17 32111455 32111456 chr17:32111456:C:G chr17:32111456:C:G C G . EBF1_EBF_1 0 1 - 4.765223883392305 4.402249269260538 GCTGCCCAGGGACC chr17 32111464 32111465 chr17:32111465:C:G rs192569959 C G . EBF1_EBF_1 26 0 - 0 0 . chr17 32111614 32111615 chr17:32111615:C:T rs779824459 C T . EBF1_EBF_1 11 1 - 6.232035743511259 9.124742587115271 AACCCCAAGGGGGA chr17 32117365 32117366 chr17:32117366:C:A rs56378319 C A C EBF1_EBF_1 -4 0 + 0 0 . chr17 32123157 32123158 chr17:32123158:A:C rs7209884 A C C EBF1_EBF_1 32 0 + 0 0 . chr17 32135626 32135627 chr17:32135627:G:C chr17:32135627:G:C G C G EBF1_EBF_1 16 0 + 0 0 . chr17 32157313 32157314 chr17:32157314:C:T rs73286103 C T C EBF1_EBF_1 30 0 + 0 0 . chr17 32227930 32227931 chr17:32227931:A:G rs7225527 A G A EBF1_EBF_1 -1 0 + 0 0 . chr17 32227946 32227947 chr17:32227947:A:G rs879208186 A G A EBF1_EBF_1 15 0 + 0 0 . chr17 32256812 32256813 chr17:32256813:C:T rs73268600 C T C EBF1_EBF_1 24 0 + 0 0 . chr17 32264504 32264505 chr17:32264505:C:G rs57171938 C G C EBF1_EBF_1 13 1 + 4.574614570190306 3.086543548834891 AGACCCCAGGGCCC chr17 32264509 32264510 chr17:32264510:G:A rs12451338 G A A EBF1_EBF_1 18 0 + 0 0 . chr17 32284098 32284099 chr17:32284099:A:G rs12939254 A G A EBF1_EBF_1 30 0 - 0 0 . chr17 32284204 32284205 chr17:32284205:A:C rs62064215 A C A EBF1_EBF_1 -10 0 + 0 0 . chr17 32303658 32303659 chr17:32303659:T:C rs113808010 T C T EBF1_EBF_1 -18 0 + 0 0 . chr17 32483759 32483760 chr17:32483760:C:T rs148474863 C T C EBF1_EBF_1 -15 0 + 0 0 . chr17 32483768 32483769 chr17:32483769:G:C rs188712211 G C G EBF1_EBF_1 -6 0 + 0 0 . chr17 32483781 32483782 chr17:32483782:C:T rs191526307 C T C EBF1_EBF_1 7 1 + 5.723183267961066 7.540688014243689 CCTCCCTCGGGATG chr17 32488662 32488663 chr17:32488663:G:A rs8192474 G A G EBF1_EBF_1 16 0 - 0 0 . chr17 32493693 32493694 chr17:32493694:G:C rs560987114 G C G EBF1_EBF_1 -20 0 + 0 0 . chr17 32529832 32529833 chr17:32529833:G:A rs185021216 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 32569542 32569543 chr17:32569543:T:C rs115154176 T C T EBF1_EBF_1 24 0 + 0 0 . chr17 32589631 32589632 chr17:32589632:C:T rs76550026 C T C EBF1_EBF_1 25 0 - 0 0 . chr17 32688209 32688210 chr17:32688210:G:A rs2729348 G A A EBF1_EBF_1 5 1 - 7.766232853952522 2.444445638411853 ATTCCCATGGAATT chr17 32822610 32822611 chr17:32822611:C:T rs553797435 C T - EBF1_EBF_1 30 0 - 0 0 . chr17 32995386 32995387 chr17:32995387:T:C rs114757392 T C T EBF1_EBF_1 27 0 - 0 0 . chr17 32995408 32995409 chr17:32995409:G:A rs34727343 G A G EBF1_EBF_1 5 1 - 6.088682452956436 0.7668952374157669 GCACCCCTGGGAAA chr17 33073596 33073597 chr17:33073597:G:C rs9893346 G C G EBF1_EBF_1 6 1 + 6.9064239398201535 8.711616003293353 GATCCCGAGAGACT chr17 33140847 33140848 chr17:33140848:G:T rs55817422 G T G EBF1_EBF_1 -20 0 + 0 0 . chr17 33140857 33140858 chr17:33140858:A:C rs149883379 A C A EBF1_EBF_1 -10 0 + 0 0 . chr17 33154020 33154021 chr17:33154021:A:G rs11657877 A G G EBF1_EBF_1 -10 0 - 0 0 . chr17 33199401 33199402 chr17:33199402:A:G rs111606258 A G A EBF1_EBF_1 1 1 - 7.3067744272008674 6.411340986802122 ATTTCCTAGGGAAC chr17 33202887 33202888 chr17:33202888:C:T rs184413500 C T C EBF1_EBF_1 20 0 - 0 0 . chr17 33208482 33208483 chr17:33208483:C:A rs2275 C A C EBF1_EBF_1 30 0 + 0 0 . chr17 33209611 33209612 chr17:33209612:C:T rs9898045 C T C EBF1_EBF_1 -10 0 - 0 0 . chr17 33292481 33292482 chr17:33292482:T:C rs9906918 T C C EBF1_EBF_1 -11 0 - 0 0 . chr17 33372003 33372004 chr17:33372004:T:C rs7220131 T C C EBF1_EBF_1 -19 0 + 0 0 . chr17 33401715 33401716 chr17:33401716:G:A rs9898140 G A G EBF1_EBF_1 -1 0 + 0 0 . chr17 33500360 33500361 chr17:33500361:G:C rs142006322 G C G EBF1_EBF_1 27 0 - 0 0 . chr17 33520831 33520832 chr17:33520832:G:A rs76925476 G A G EBF1_EBF_1 11 1 + 6.470358921206362 9.363065764810374 CTTCCCCAGGGGGA chr17 33680470 33680471 chr17:33680471:C:T rs902569 C T C EBF1_EBF_1 26 0 + 0 0 . chr17 33955669 33955670 chr17:33955670:C:G rs36029561 C G C EBF1_EBF_1 13 1 + 8.854043825630518 7.365972804275104 AGCCCCACGGGACC chr17 33961448 33961449 chr17:33961449:T:C rs9907580 T C C EBF1_EBF_1 7 1 - 5.635200832880977 4.322742427592152 AATTCCCAGGGACA chr17 33970263 33970264 chr17:33970264:G:C rs11656324 G C G EBF1_EBF_1 -15 0 - 0 0 . chr17 34128305 34128306 chr17:34128306:T:C rs739761 T C T EBF1_EBF_1 -2 0 + 0 0 . chr17 34133397 34133398 chr17:34133398:C:T rs4795869 C T C EBF1_EBF_1 6 1 + 9.199205886392765 9.500492015254522 ACACCCCTGGGAAT chr17 34182520 34182521 chr17:34182521:G:A rs16969340 G A G EBF1_EBF_1 32 0 + 0 0 . chr17 34203576 34203577 chr17:34203577:A:G rs2017180 A G G EBF1_EBF_1 27 0 - 0 0 . chr17 34248193 34248194 chr17:34248194:A:T rs56389898 A T A EBF1_EBF_1 -2 0 - 0 0 . chr17 34254232 34254233 chr17:34254233:T:A rs2857655 T A T EBF1_EBF_1 7 1 - 6.9631638184330855 6.486889578345528 ATTTCCAAGGGACA chr17 34285874 34285875 chr17:34285875:G:A rs1129844 G A G EBF1_EBF_1 15 0 + 0 0 . chr17 34287946 34287947 chr17:34287947:C:T rs41362349 C T C EBF1_EBF_1 21 0 + 0 0 . chr17 34313005 34313006 chr17:34313006:C:T rs12602782 C T C EBF1_EBF_1 -4 0 + 0 0 . chr17 34361739 34361740 chr17:34361740:C:T rs376295184 C T C EBF1_EBF_1 22 0 - 0 0 . chr17 34361740 34361741 chr17:34361741:G:A rs73288091 G A G EBF1_EBF_1 21 0 - 0 0 . chr17 34425080 34425081 chr17:34425081:G:T rs1022062059 G T . EBF1_EBF_1 33 0 - 0 0 . chr17 34425110 34425111 chr17:34425111:G:A rs16969810 G A . EBF1_EBF_1 3 1 - 5.921265969028142 -0.4841327725251753 TCTCCCTTGGGCCC chr17 34446699 34446700 chr17:34446700:C:T rs4795925 C T T EBF1_EBF_1 27 0 + 0 0 . chr17 34479439 34479440 chr17:34479440:C:T rs2289161 C T C EBF1_EBF_1 23 0 + 0 0 . chr17 34484988 34484989 chr17:34484989:G:C rs7216354 G C G EBF1_EBF_1 6 1 - 5.799775073635705 3.9945830101625077 CTCCCCCAGGGGGT chr17 34485298 34485299 chr17:34485299:G:C rs295095 G C G EBF1_EBF_1 10 1 + 8.432567307613574 1.5417649202841008 AGCCCCTAGAGACC chr17 34494925 34494926 chr17:34494926:C:T rs881506 C T C EBF1_EBF_1 -1 0 + 0 0 . chr17 34494933 34494934 chr17:34494934:A:G rs887227 A G A EBF1_EBF_1 7 1 + 7.325163792287045 6.01270538699822 CCTCCCAAGGGGCA chr17 34513115 34513116 chr17:34513116:C:G rs2215228 C G G EBF1_EBF_1 21 0 - 0 0 . chr17 34520362 34520363 chr17:34520363:G:C rs8075823 G C C EBF1_EBF_1 19 0 - 0 0 . chr17 34616210 34616211 chr17:34616211:G:A rs74767750 G A G EBF1_EBF_1 4 1 - 6.624218414440603 2.254831469495679 CCTCCCAGGAGACC chr17 34641238 34641239 chr17:34641239:A:T rs75386390 A T A EBF1_EBF_1 16 0 - 0 0 . chr17 34663423 34663424 chr17:34663424:T:C rs11080291 T C C EBF1_EBF_1 20 0 - 0 0 . chr17 34724366 34724367 chr17:34724367:C:T rs74832914 C T C EBF1_EBF_1 12 1 + 7.195968065005416 6.169701494454566 TCACCCCTGGGACT chr17 34739612 34739613 chr17:34739613:G:A rs28521404 G A A EBF1_EBF_1 1 1 + 5.515406352520326 5.254707520599023 CGTCCCATGGGCCA chr17 34744126 34744127 chr17:34744127:G:A rs59455957 G A G EBF1_EBF_1 23 0 - 0 0 . chr17 34757000 34757001 chr17:34757001:C:T rs187568433 C T C EBF1_EBF_1 -17 0 - 0 0 . chr17 34917826 34917827 chr17:34917827:A:G rs62064817 A G A EBF1_EBF_1 17 0 - 0 0 . chr17 35118132 35118133 chr17:35118133:T:C rs75802835 T C T EBF1_EBF_1 -5 0 + 0 0 . chr17 35119650 35119651 chr17:35119651:C:A rs114252524 C A C EBF1_EBF_1 -5 0 - 0 0 . chr17 35131662 35131663 chr17:35131663:C:T rs1301106868 C T C EBF1_EBF_1 30 0 + 0 0 . chr17 35151825 35151826 chr17:35151826:T:C rs12943325 T C C EBF1_EBF_1 32 0 + 0 0 . chr17 35176901 35176902 chr17:35176902:C:T rs980884864 C T C EBF1_EBF_1 -18 0 + 0 0 . chr17 35232967 35232968 chr17:35232968:C:T rs34701040 C T T EBF1_EBF_1 -13 0 - 0 0 . chr17 35244526 35244527 chr17:35244527:G:A rs11080327 G A A EBF1_EBF_1 31 0 - 0 0 . chr17 35267938 35267939 chr17:35267939:A:G rs12951673 A G A EBF1_EBF_1 -2 0 + 0 0 . chr17 35314930 35314931 chr17:35314931:C:T rs772104 C T T EBF1_EBF_1 -11 0 + 0 0 . chr17 35345705 35345706 chr17:35345706:A:G rs11080340 A G G EBF1_EBF_1 -7 0 + 0 0 . chr17 35345706 35345707 chr17:35345707:G:A rs11080341 G A G EBF1_EBF_1 -6 0 + 0 0 . chr17 35389894 35389895 chr17:35389895:C:T rs767228885 C T . EBF1_EBF_1 31 0 - 0 0 . chr17 35434975 35434976 chr17:35434976:C:G rs2671826 C G - EBF1_EBF_1 25 0 - 0 0 . chr17 35571110 35571111 chr17:35571111:G:T rs111915509 G T - EBF1_EBF_1 4 1 - 4.758839636937567 -1.0657199865159022 GGTCCCCTGAGGCC chr17 35718069 35718070 chr17:35718070:T:A rs72829923 T A T EBF1_EBF_1 -10 0 - 0 0 . chr17 35730069 35730070 chr17:35730070:T:C rs111435405 T C T EBF1_EBF_1 11 1 - 7.246907390818643 4.354200547214632 TTACCCCTGGGACC chr17 35740286 35740287 chr17:35740287:G:A rs11871358 G A G EBF1_EBF_1 -5 0 - 0 0 . chr17 35778762 35778763 chr17:35778763:C:T rs139898638 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 35791856 35791857 chr17:35791857:G:C rs79101645 G C C EBF1_EBF_1 10 1 + 11.217154232707482 4.326351845378009 AGTCCCCAGGGAAG chr17 35795445 35795446 chr17:35795446:C:T rs745659901 C T C EBF1_EBF_1 19 0 - 0 0 . chr17 35795468 35795469 chr17:35795469:C:A rs556184823 C A C EBF1_EBF_1 -4 0 - 0 0 . chr17 35800176 35800177 chr17:35800177:T:C chr17:35800177:T:C T C T EBF1_EBF_1 -18 0 - 0 0 . chr17 35809863 35809864 chr17:35809864:G:A rs117281993 G A G EBF1_EBF_1 -16 0 - 0 0 . chr17 35972835 35972836 chr17:35972836:G:A rs1635272 G A G EBF1_EBF_1 -18 0 - 0 0 . chr17 36000883 36000884 chr17:36000884:T:G rs854624 T G G EBF1_EBF_1 21 0 + 0 0 . chr17 36103649 36103650 chr17:36103650:A:G rs191820992 A G A EBF1_EBF_1 33 0 - 0 0 . chr17 36104903 36104904 chr17:36104904:A:G rs1719148 A G A EBF1_EBF_1 6 1 + 6.613136828506029 4.446342397231554 GGTCCCATGAGATA chr17 36111164 36111165 chr17:36111165:G:A rs1634524 G A G EBF1_EBF_1 32 0 + 0 0 . chr17 36127419 36127420 chr17:36127420:G:A rs191461780 G A g EBF1_EBF_1 16 0 + 0 0 . chr17 36127994 36127995 chr17:36127995:C:T rs757121 C T C EBF1_EBF_1 -12 0 + 0 0 . chr17 36132348 36132349 chr17:36132349:T:C rs1981526 T C T EBF1_EBF_1 -17 0 + 0 0 . chr17 36134138 36134139 chr17:36134139:G:C rs12953230 G C G EBF1_EBF_1 4 1 - 7.372785170902373 1.7470200697528284 ATTCCCCTGAGAGG chr17 36134155 36134156 chr17:36134156:T:A rs2889386 T A A EBF1_EBF_1 -13 0 - 0 0 . chr17 36153855 36153856 chr17:36153856:T:G rs56158832 T G T EBF1_EBF_1 25 0 - 0 0 . chr17 36212302 36212303 chr17:36212303:T:A rs1286025155 T A . EBF1_EBF_1 28 0 + 0 0 . chr17 36225826 36225827 chr17:36225827:A:G rs2457374 A G . EBF1_EBF_1 -2 0 + 0 0 . chr17 36385896 36385897 chr17:36385897:C:A rs1452853344 C A C EBF1_EBF_1 13 1 + 9.203747146824904 8.830001697538929 CCTCCCCAGGGATC chr17 36441522 36441523 chr17:36441523:G:A rs1259241419 G A . EBF1_EBF_1 -2 0 - 0 0 . chr17 36460441 36460442 chr17:36460442:A:T rs8072238 A T t EBF1_EBF_1 17 0 - 0 0 . chr17 36486102 36486103 chr17:36486103:G:A rs7217798 G A g EBF1_EBF_1 -6 0 - 0 0 . chr17 36534996 36534997 chr17:36534997:C:A rs12452785 C A C EBF1_EBF_1 32 0 + 0 0 . chr17 36592411 36592412 chr17:36592412:G:A rs8078006 G A G EBF1_EBF_1 23 0 + 0 0 . chr17 36646019 36646020 chr17:36646020:A:C rs3815053 A C A EBF1_EBF_1 23 0 + 0 0 . chr17 36652896 36652897 chr17:36652897:C:G rs6607331 C G c EBF1_EBF_1 -5 0 + 0 0 . chr17 36659521 36659522 chr17:36659522:T:C rs60775791 T C C EBF1_EBF_1 24 0 - 0 0 . chr17 36683543 36683544 chr17:36683544:G:T rs72820246 G T G EBF1_EBF_1 1 1 - 5.7090623429518255 5.638135165599741 GCTCCCCGGGGCCT chr17 36684306 36684307 chr17:36684307:C:T rs11652926 C T C EBF1_EBF_1 -12 0 - 0 0 . chr17 36721391 36721392 chr17:36721392:A:G rs7342897 A G G EBF1_EBF_1 -4 0 + 0 0 . chr17 36755827 36755828 chr17:36755828:C:T rs184416841 C T c EBF1_EBF_1 22 0 + 0 0 . chr17 36780183 36780184 chr17:36780184:C:A rs295883 C A C EBF1_EBF_1 -16 0 + 0 0 . chr17 36782293 36782294 chr17:36782294:C:A rs8069462 C A C EBF1_EBF_1 7 1 - 7.4305247155195335 8.2667088807208 ACTCCCTGGAGAGC chr17 36783841 36783842 chr17:36783842:G:A rs9889564 G A G EBF1_EBF_1 0 1 - 5.440306570237389 5.722508630967967 CCTCCCCAGGGCCA chr17 36784683 36784684 chr17:36784684:T:C rs12943386 T C T EBF1_EBF_1 -13 0 + 0 0 . chr17 36784684 36784685 chr17:36784685:A:C rs295876 A C C EBF1_EBF_1 -12 0 + 0 0 . chr17 36788379 36788380 chr17:36788380:T:C rs6607348 T C C EBF1_EBF_1 20 0 - 0 0 . chr17 36801579 36801580 chr17:36801580:C:T rs11869352 C T C EBF1_EBF_1 28 0 + 0 0 . chr17 36860126 36860127 chr17:36860127:C:T rs9898923 C T c EBF1_EBF_1 13 1 - 5.423711474153653 6.538037046223092 GCTCCCCAGAGATG chr17 36888065 36888066 chr17:36888066:T:C rs11868406 T C T EBF1_EBF_1 -11 0 + 0 0 . chr17 36892234 36892235 chr17:36892235:C:G rs4795164 C G C EBF1_EBF_1 -16 0 - 0 0 . chr17 36919062 36919063 chr17:36919063:G:A rs79617415 G A G EBF1_EBF_1 10 1 + 5.706340964689226 0.38831250542226 AGTGCCATGGGATC chr17 36935758 36935759 chr17:36935759:A:C rs78260002 A C A EBF1_EBF_1 28 0 - 0 0 . chr17 36939061 36939062 chr17:36939062:C:G rs3826452 C G C EBF1_EBF_1 11 1 + 5.1108673141002114 6.63412216834859 ACTCCCACGGGCTC chr17 37017166 37017167 chr17:37017167:G:A rs17620949 G A G EBF1_EBF_1 30 0 - 0 0 . chr17 37098341 37098342 chr17:37098342:T:G rs1900101 T G T EBF1_EBF_1 19 0 + 0 0 . chr17 37299620 37299621 chr17:37299621:G:C rs185459575 G C G EBF1_EBF_1 15 0 + 0 0 . chr17 37311234 37311235 chr17:37311235:G:C rs77379367 G C G EBF1_EBF_1 -5 0 + 0 0 . chr17 37358823 37358824 chr17:37358824:C:A chr17:37358824:C:A C A C EBF1_EBF_1 -13 0 - 0 0 . chr17 37392276 37392277 chr17:37392277:C:A rs78558597 C A C EBF1_EBF_1 3 1 + 8.779005298260882 1.8728312687950783 ATCCCCAAGGGGTT chr17 37476627 37476628 chr17:37476628:T:C rs151029001 T C T EBF1_EBF_1 0 1 - 8.186522791761876 6.294238922197041 ACTCCCTAAGGACA chr17 37491225 37491226 chr17:37491226:C:T rs4795205 C T C EBF1_EBF_1 -20 0 + 0 0 . chr17 37493517 37493518 chr17:37493518:A:G rs74818499 A G A EBF1_EBF_1 22 0 - 0 0 . chr17 37643298 37643299 chr17:37643299:C:A chr17:37643299:C:A C A C EBF1_EBF_1 -16 0 + 0 0 . chr17 37643329 37643330 chr17:37643330:G:A rs3813910 G A A EBF1_EBF_1 15 0 + 0 0 . chr17 37702452 37702453 chr17:37702453:A:G rs1008284 A G A EBF1_EBF_1 23 0 - 0 0 . chr17 37724248 37724249 chr17:37724249:C:T rs11651496 C T T EBF1_EBF_1 13 1 + 6.575727528198203 7.420221642783721 CCACCCCAGGGAAC chr17 37745866 37745867 chr17:37745867:C:T rs34443065 C T C EBF1_EBF_1 -2 0 - 0 0 . chr17 37745875 37745876 chr17:37745876:C:T rs376064860 C T C EBF1_EBF_1 -11 0 - 0 0 . chr17 37803700 37803701 chr17:37803701:C:T rs140755108 C T C EBF1_EBF_1 29 0 + 0 0 . chr17 37824873 37824874 chr17:37824874:G:C rs115659944 G C G EBF1_EBF_1 28 0 + 0 0 . chr17 37834169 37834170 chr17:37834170:A:G rs1963129 A G G EBF1_EBF_1 -9 0 - 0 0 . chr17 37839264 37839265 chr17:37839265:T:C rs1554233 T C C EBF1_EBF_1 -10 0 + 0 0 . chr17 38329869 38329870 chr17:38329870:C:G rs35803744 C G C EBF1_EBF_1 6 1 + 5.609717997977823 3.8045259345046256 GCTGCCCAGGGACT chr17 38329888 38329889 chr17:38329889:T:C rs138451517 T C T EBF1_EBF_1 25 0 + 0 0 . chr17 38351805 38351806 chr17:38351806:G:A rs999713045 G A G EBF1_EBF_1 4 1 - 6.447545691560055 2.0781587466151317 TTTCCCCAGGGCGT chr17 38415923 38415924 chr17:38415924:G:C rs140690392 G C G EBF1_EBF_1 17 0 + 0 0 . chr17 38436558 38436559 chr17:38436559:G:A rs6503620 G A G EBF1_EBF_1 31 0 - 0 0 . chr17 38437967 38437968 chr17:38437968:G:C rs4795278 G C C EBF1_EBF_1 18 0 - 0 0 . chr17 38439658 38439659 chr17:38439659:A:G rs72834052 A G A EBF1_EBF_1 26 0 + 0 0 . chr17 38447739 38447740 chr17:38447740:T:C rs9972965 T C C EBF1_EBF_1 -15 0 + 0 0 . chr17 38454782 38454783 chr17:38454783:C:T rs553312268 C T C EBF1_EBF_1 8 1 - 5.554208816284017 1.3279187273977555 CTCCCCCCGGGAGC chr17 38454783 38454784 chr17:38454784:G:C rs145081901 G C G EBF1_EBF_1 7 1 - 5.554208816284017 6.535529397365373 CTCCCCCCGGGAGC chr17 38456117 38456118 chr17:38456118:G:A rs112849790 G A G EBF1_EBF_1 31 0 - 0 0 . chr17 38460620 38460621 chr17:38460621:C:T rs12938080 C T C EBF1_EBF_1 -14 0 + 0 0 . chr17 38464423 38464424 chr17:38464424:T:G rs57172683 T G T EBF1_EBF_1 17 0 - 0 0 . chr17 38467360 38467361 chr17:38467361:G:A rs8065549 G A A EBF1_EBF_1 5 1 - 5.781229331099154 0.4594421155584845 AGTCCCCCAGGACA chr17 38526725 38526726 chr17:38526726:C:A rs755560013 C A C EBF1_EBF_1 0 1 - 4.6866044951322685 4.60583194173108 GCCCCCCTGGGCAT chr17 38560730 38560731 chr17:38560731:G:A rs115807201 G A C EBF1_EBF_1 23 0 + 0 0 . chr17 38579548 38579549 chr17:38579549:A:G rs41371051 A G A EBF1_EBF_1 -15 0 + 0 0 . chr17 38588627 38588628 chr17:38588628:C:T rs3859196 C T c EBF1_EBF_1 32 0 + 0 0 . chr17 38589823 38589824 chr17:38589824:T:C rs2471630 T C C EBF1_EBF_1 -19 0 + 0 0 . chr17 38604499 38604500 chr17:38604500:G:T rs12952883 G T G EBF1_EBF_1 9 1 + 4.796022318535863 -2.040967089552948 GGCCCCCAGGGTCC chr17 38614291 38614292 chr17:38614292:A:G rs142727751 A G A EBF1_EBF_1 19 0 + 0 0 . chr17 38617490 38617491 chr17:38617491:G:A rs138353919 G A G EBF1_EBF_1 22 0 + 0 0 . chr17 38663275 38663276 chr17:38663276:C:T rs547960585 C T C EBF1_EBF_1 4 1 + 4.589489351074739 0.2201024061298148 GCCCCCTAGGGCCC chr17 38706867 38706868 chr17:38706868:G:A chr17:38706868:G:A G A G EBF1_EBF_1 32 0 - 0 0 . chr17 38725093 38725094 chr17:38725094:C:T chr17:38725094:C:T C T C EBF1_EBF_1 -16 0 + 0 0 . chr17 38777870 38777871 chr17:38777871:C:T rs2075061 C T T EBF1_EBF_1 28 0 + 0 0 . chr17 38800528 38800529 chr17:38800529:A:C rs138890582 A C A EBF1_EBF_1 30 0 - 0 0 . chr17 38801811 38801812 chr17:38801812:A:G rs8592 A G A EBF1_EBF_1 7 1 + 6.504800350846119 5.192341945557294 CAACCCCAGGGAAC chr17 38833556 38833557 chr17:38833557:G:A rs228269 G A G EBF1_EBF_1 28 0 + 0 0 . chr17 38854092 38854093 chr17:38854093:G:A rs4556823 G A G EBF1_EBF_1 23 0 + 0 0 . chr17 38871179 38871180 chr17:38871180:G:A rs140488461 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 38892862 38892863 chr17:38892863:A:G rs3785462 A G G EBF1_EBF_1 23 0 - 0 0 . chr17 39060163 39060164 chr17:39060164:A:G rs9904250 A G G EBF1_EBF_1 23 0 - 0 0 . chr17 39071099 39071100 chr17:39071100:C:T rs12944738 C T T EBF1_EBF_1 22 0 - 0 0 . chr17 39098525 39098526 chr17:39098526:A:G rs4328475 A G A EBF1_EBF_1 0 1 + 7.434605868320006 5.542321998755171 AGCCCCCAAGGAAT chr17 39108501 39108502 chr17:39108502:A:G rs16228 A G A EBF1_EBF_1 24 0 - 0 0 . chr17 39109124 39109125 chr17:39109125:C:T rs16490 C T T EBF1_EBF_1 13 1 + 12.590553381776617 13.435047496362136 AGTCCCATGGGAAC chr17 39132381 39132382 chr17:39132382:G:A rs75924845 G A G EBF1_EBF_1 -17 0 + 0 0 . chr17 39165826 39165827 chr17:39165827:T:C rs16527 T C C EBF1_EBF_1 24 0 - 0 0 . chr17 39174120 39174121 chr17:39174121:G:A rs74575123 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 39174741 39174742 chr17:39174742:T:G rs600086 T G T EBF1_EBF_1 -11 0 + 0 0 . chr17 39175782 39175783 chr17:39175783:A:G rs529955 A G G EBF1_EBF_1 18 0 - 0 0 . chr17 39197432 39197433 chr17:39197433:C:T rs199687687 C T C EBF1_EBF_1 22 0 - 0 0 . chr17 39212064 39212065 chr17:39212065:C:T rs72823355 C T C EBF1_EBF_1 -17 0 + 0 0 . chr17 39237423 39237424 chr17:39237424:G:A rs56006000 G A G EBF1_EBF_1 23 0 - 0 0 . chr17 39462784 39462785 chr17:39462785:G:A rs35896550 G A G EBF1_EBF_1 8 1 + 7.405091643012847 3.178801554126585 AGCCCCTCGGGAGC chr17 39573956 39573957 chr17:39573957:G:T rs557918284 G T G EBF1_EBF_1 10 1 + 7.493157549471738 3.310405363244474 GGCCCCAAGGGAGA chr17 39605191 39605192 chr17:39605192:C:T rs144216348 C T C EBF1_EBF_1 9 1 - 6.756060212829577 4.101120947381973 CTTCTCCAGGGACC chr17 39619020 39619021 chr17:39619021:A:G rs1874228 A G A EBF1_EBF_1 24 0 + 0 0 . chr17 39623311 39623312 chr17:39623312:C:G rs145414257 C G C EBF1_EBF_1 -16 0 + 0 0 . chr17 39623345 39623346 chr17:39623346:T:C rs66482609 T C C EBF1_EBF_1 18 0 + 0 0 . chr17 39653112 39653113 chr17:39653113:A:G rs114404174 A G A EBF1_EBF_1 -13 0 - 0 0 . chr17 39675007 39675008 chr17:39675008:G:A rs111481657 G A G EBF1_EBF_1 16 0 + 0 0 . chr17 39676112 39676113 chr17:39676113:G:A rs2934952 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 39727783 39727784 chr17:39727784:C:G rs1058808 C G C EBF1_EBF_1 -10 0 + 0 0 . chr17 39788265 39788266 chr17:39788266:C:G rs112301322 C G C EBF1_EBF_1 5 1 + 5.754053487203291 -1.1508276654318779 TGTCCCCTGGGTCA chr17 39903645 39903646 chr17:39903646:T:C rs74370059 T C T EBF1_EBF_1 20 0 - 0 0 . chr17 39929940 39929941 chr17:39929941:T:C rs139695290 T C T EBF1_EBF_1 14 0 + 0 0 . chr17 39960588 39960589 chr17:39960589:G:A rs74879852 G A G EBF1_EBF_1 -11 0 - 0 0 . chr17 39997621 39997622 chr17:39997622:T:A rs7021 T A T EBF1_EBF_1 17 0 - 0 0 . chr17 40014625 40014626 chr17:40014626:T:C rs61753395 T C T EBF1_EBF_1 6 1 - 5.363466574447673 3.196672143173198 GTCCCCAAGGGCTT chr17 40015040 40015041 chr17:40015041:G:C rs2227321 G C G EBF1_EBF_1 23 0 + 0 0 . chr17 40026590 40026591 chr17:40026591:T:C rs2302775 T C T EBF1_EBF_1 29 0 - 0 0 . chr17 40057105 40057106 chr17:40057106:A:G rs868150 A G - EBF1_EBF_1 33 0 + 0 0 . chr17 40061096 40061097 chr17:40061097:C:T rs939345 C T c EBF1_EBF_1 3 1 + 13.620441506107065 7.215042764553749 AATCCCAAGGGACT chr17 40064178 40064179 chr17:40064179:G:T rs61554907 G T G EBF1_EBF_1 -17 0 + 0 0 . chr17 40090200 40090201 chr17:40090201:A:G rs34826591 A G A EBF1_EBF_1 7 1 + 5.592018932967885 4.279560527679059 AGCCCCAAGGGTAG chr17 40092455 40092456 chr17:40092456:T:G rs903675696 T G T EBF1_EBF_1 31 0 + 0 0 . chr17 40113821 40113822 chr17:40113822:A:G chr17:40113822:A:G A G A EBF1_EBF_1 29 0 - 0 0 . chr17 40179863 40179864 chr17:40179864:A:C rs78262563 A C C EBF1_EBF_1 25 0 - 0 0 . chr17 40179985 40179986 chr17:40179986:A:G rs190993752 A G A EBF1_EBF_1 15 0 - 0 0 . chr17 40332233 40332234 chr17:40332234:G:A rs533142459 G A G EBF1_EBF_1 22 0 + 0 0 . chr17 40345052 40345053 chr17:40345053:A:G rs945715685 A G A EBF1_EBF_1 0 1 - 6.587311863684918 6.30510980295434 TGTCCCTAGGGCCC chr17 40345729 40345730 chr17:40345730:G:A rs145105278 G A G EBF1_EBF_1 -13 0 - 0 0 . chr17 40347646 40347647 chr17:40347647:G:A rs1319849995 G A G EBF1_EBF_1 21 0 - 0 0 . chr17 40354188 40354189 chr17:40354189:G:C rs369983981 G C G EBF1_EBF_1 33 0 - 0 0 . chr17 40356378 40356379 chr17:40356379:C:T rs139482076 C T C EBF1_EBF_1 -18 0 - 0 0 . chr17 40405113 40405114 chr17:40405114:T:C rs520630 T C C EBF1_EBF_1 14 0 - 0 0 . chr17 40436737 40436738 chr17:40436738:A:G rs632224 A G G EBF1_EBF_1 33 0 - 0 0 . chr17 40478162 40478163 chr17:40478163:T:C rs544400196 T C T EBF1_EBF_1 -17 0 + 0 0 . chr17 40482277 40482278 chr17:40482278:C:T rs114717573 C T C EBF1_EBF_1 24 0 - 0 0 . chr17 40489894 40489895 chr17:40489895:T:C rs1901187 T C C EBF1_EBF_1 30 0 - 0 0 . chr17 40490127 40490128 chr17:40490128:T:C rs74972943 T C T EBF1_EBF_1 33 0 - 0 0 . chr17 40512706 40512707 chr17:40512707:G:T rs112757854 G T G EBF1_EBF_1 -13 0 - 0 0 . chr17 40516759 40516760 chr17:40516760:C:G rs75361284 C G C EBF1_EBF_1 18 0 + 0 0 . chr17 40532779 40532780 chr17:40532780:G:A rs73983078 G A A EBF1_EBF_1 10 1 + 6.631742849053134 1.3137143897861678 ATTTCCCAGGGAAA chr17 40536866 40536867 chr17:40536867:C:T chr17:40536867:C:T C T C EBF1_EBF_1 13 1 + 6.005498980552015 6.849993095137533 ACCCCCTTGGGCCC chr17 40543335 40543336 chr17:40543336:T:C rs7210040 T C T EBF1_EBF_1 25 0 - 0 0 . chr17 40547523 40547524 chr17:40547524:G:A rs151253586 G A G EBF1_EBF_1 -4 0 + 0 0 . chr17 40549649 40549650 chr17:40549650:C:T rs909748379 C T C EBF1_EBF_1 28 0 - 0 0 . chr17 40550872 40550873 chr17:40550873:T:A rs886490 T A T EBF1_EBF_1 28 0 + 0 0 . chr17 40553466 40553467 chr17:40553467:C:T rs146556010 C T C EBF1_EBF_1 23 0 + 0 0 . chr17 40598547 40598548 chr17:40598548:G:A rs9889953 G A A EBF1_EBF_1 -6 0 + 0 0 . chr17 40599350 40599351 chr17:40599351:C:T rs189782156 C T c EBF1_EBF_1 19 0 + 0 0 . chr17 40617694 40617695 chr17:40617695:C:G rs9902509 C G C EBF1_EBF_1 31 0 - 0 0 . chr17 40791350 40791351 chr17:40791351:C:T rs1476803 C T T EBF1_EBF_1 28 0 + 0 0 . chr17 40857615 40857616 chr17:40857616:G:A rs17475389 G A G EBF1_EBF_1 -1 0 + 0 0 . chr17 40909708 40909709 chr17:40909709:A:G rs112572561 A G A EBF1_EBF_1 17 0 - 0 0 . chr17 40912090 40912091 chr17:40912091:A:G rs7220172 A G G EBF1_EBF_1 -12 0 + 0 0 . chr17 40936503 40936504 chr17:40936504:C:T rs2269859 C T C EBF1_EBF_1 19 0 - 0 0 . chr17 40936531 40936532 chr17:40936532:C:T rs61745879 C T C EBF1_EBF_1 -9 0 - 0 0 . chr17 40936871 40936872 chr17:40936872:G:A rs76165856 G A G EBF1_EBF_1 19 0 + 0 0 . chr17 41008666 41008667 chr17:41008667:C:T rs111531901 C T C EBF1_EBF_1 7 1 - 6.31217947129308 7.624637876581904 AATCCCCGGGGCCA chr17 41009900 41009901 chr17:41009901:T:C rs937980 T C T EBF1_EBF_1 21 0 - 0 0 . chr17 41024829 41024830 chr17:41024830:A:G rs34093854 A G A EBF1_EBF_1 23 0 + 0 0 . chr17 41120815 41120816 chr17:41120816:G:A rs62065041 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 41250194 41250195 chr17:41250195:A:G rs3967754 A G a EBF1_EBF_1 6 1 + 10.41188835641657 8.245093925142097 AGTCCCAAGAGAAC chr17 41250208 41250209 chr17:41250209:C:A rs111927217 C A C EBF1_EBF_1 20 0 + 0 0 . chr17 41328538 41328539 chr17:41328539:C:T rs16966686 C T T EBF1_EBF_1 18 0 + 0 0 . chr17 41445187 41445188 chr17:41445188:G:A rs9900844 G A A EBF1_EBF_1 9 1 + 4.207430668811794 1.5524914033641894 TCCCCCCAGGGCCC chr17 41446156 41446157 chr17:41446157:T:C rs4796784 T C C EBF1_EBF_1 -18 0 + 0 0 . chr17 41449520 41449521 chr17:41449521:G:A rs7220137 G A G EBF1_EBF_1 9 1 + 5.930771147415821 3.2758318819682164 CACCCCAGGGGAGC chr17 41464480 41464481 chr17:41464481:G:C rs2604958 G C C EBF1_EBF_1 -17 0 + 0 0 . chr17 41495319 41495320 chr17:41495320:G:A rs1378496 G A G EBF1_EBF_1 17 0 + 0 0 . chr17 41496959 41496960 chr17:41496960:C:T rs57333321 C T C EBF1_EBF_1 -1 0 + 0 0 . chr17 41496962 41496963 chr17:41496963:T:C rs73983500 T C T EBF1_EBF_1 2 1 + 6.406084420338807 4.517261008896657 ATTCCCCAGGGTGG chr17 41535159 41535160 chr17:41535160:G:A rs7405611 G A G EBF1_EBF_1 -2 0 + 0 0 . chr17 41547947 41547948 chr17:41547948:G:A rs73986318 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 41547972 41547973 chr17:41547973:T:G rs1025235140 T G T EBF1_EBF_1 24 0 - 0 0 . chr17 41551645 41551646 chr17:41551646:C:T rs897414 C T C EBF1_EBF_1 7 1 - 5.737450150613148 7.049908555901974 AGCCCCAGGGGTCC chr17 41588663 41588664 chr17:41588664:T:C rs117701803 T C T EBF1_EBF_1 28 0 + 0 0 . chr17 41593670 41593671 chr17:41593671:T:C rs4796588 T C c EBF1_EBF_1 2 1 + 7.364074009379324 5.4752505979371735 TTTCCCCAGAGAGC chr17 41593701 41593702 chr17:41593702:C:T rs4796666 C T C EBF1_EBF_1 33 0 + 0 0 . chr17 41618867 41618868 chr17:41618868:G:A rs141688182 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 41630252 41630253 chr17:41630253:C:T rs117765614 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 41633986 41633987 chr17:41633987:A:G rs111966531 A G g EBF1_EBF_1 0 1 + 6.83126416911871 4.938980299553877 ACTCCCAGGAGGCT chr17 41647950 41647951 chr17:41647951:C:T chr17:41647951:C:T C T C EBF1_EBF_1 12 1 + 7.688138406418812 6.661871835867962 GCTCCCAAGGGGCA chr17 41647955 41647956 chr17:41647956:T:A rs79428362 T A T EBF1_EBF_1 17 0 + 0 0 . chr17 41676605 41676606 chr17:41676606:G:A rs192173882 G A G EBF1_EBF_1 -1 0 - 0 0 . chr17 41705368 41705369 chr17:41705369:A:T rs7215317 A T T EBF1_EBF_1 15 0 + 0 0 . chr17 41710995 41710996 chr17:41710996:C:T rs34074411 C T C EBF1_EBF_1 -11 0 - 0 0 . chr17 41711004 41711005 chr17:41711005:T:A rs112774254 T A A EBF1_EBF_1 -20 0 - 0 0 . chr17 41728166 41728167 chr17:41728167:C:T rs4796692 C T C EBF1_EBF_1 0 1 - 8.779264702348307 10.67154857191314 GGCCCCATGGGACC chr17 41757017 41757018 chr17:41757018:G:C rs573674027 G C G EBF1_EBF_1 -3 0 + 0 0 . chr17 41761072 41761073 chr17:41761073:A:C rs4606765 A C C EBF1_EBF_1 -7 0 - 0 0 . chr17 41772122 41772123 chr17:41772123:C:T rs74957385 C T T EBF1_EBF_1 9 1 - 8.138901024907828 5.4839617594602235 ATCCCCCTGGGAGG chr17 41777159 41777160 chr17:41777160:G:A rs8079111 G A G EBF1_EBF_1 5 1 - 6.658546134108883 1.3367589185682132 CCTCCCCAGGGCCT chr17 41777314 41777315 chr17:41777315:G:A rs75490311 G A G EBF1_EBF_1 5 1 - 9.887045681560888 4.565258466020218 TTCCCCCTGGGACT chr17 41786798 41786799 chr17:41786799:C:T chr17:41786799:C:T C T C EBF1_EBF_1 -10 0 - 0 0 . chr17 41816812 41816813 chr17:41816813:A:G rs12938766 A G A EBF1_EBF_1 1 1 - 5.588595931912912 4.693162491514166 ATTGCCCTGGGACG chr17 41827596 41827597 chr17:41827597:G:C rs1128966 G C G EBF1_EBF_1 -13 0 + 0 0 . chr17 41835373 41835374 chr17:41835374:G:C rs77648124 G C G EBF1_EBF_1 29 0 - 0 0 . chr17 41893219 41893220 chr17:41893220:A:G rs4796736 A G G EBF1_EBF_1 6 1 + 6.990653486695053 4.823859055420578 ACCCCCAGGAGACC chr17 41895275 41895276 chr17:41895276:G:C rs1234062193 G C G EBF1_EBF_1 -20 0 - 0 0 . chr17 41906829 41906830 chr17:41906830:A:C rs11079024 A C C EBF1_EBF_1 -18 0 + 0 0 . chr17 41917550 41917551 chr17:41917551:G:C chr17:41917551:G:C G C g EBF1_EBF_1 21 0 + 0 0 . chr17 41917552 41917553 chr17:41917553:G:A chr17:41917553:G:A G A G EBF1_EBF_1 23 0 + 0 0 . chr17 41959372 41959373 chr17:41959373:C:T rs9303324 C T C EBF1_EBF_1 22 0 - 0 0 . chr17 41975518 41975519 chr17:41975519:T:C rs4796751 T C T EBF1_EBF_1 26 0 - 0 0 . chr17 42105496 42105497 chr17:42105497:G:A rs2074157 G A A EBF1_EBF_1 9 1 + 6.39027648645215 3.7353372210045457 TTTCCCTACGGACC chr17 42105638 42105639 chr17:42105639:T:C rs73986521 T C T EBF1_EBF_1 13 1 + 8.154229076991871 7.309734962406353 TTTCCCCAGGGTCT chr17 42133513 42133514 chr17:42133514:T:G rs144108875 T G T EBF1_EBF_1 -20 0 + 0 0 . chr17 42133540 42133541 chr17:42133541:C:T rs59781854 C T C EBF1_EBF_1 7 1 + 5.957015865278063 7.774520611560686 AGCCCCTCGAGATT chr17 42143150 42143151 chr17:42143151:G:T rs143805194 G T G EBF1_EBF_1 2 1 - 6.29592719678378 4.500283020627054 TACCCCCTGAGAAT chr17 42312703 42312704 chr17:42312704:G:C rs909056 G C G EBF1_EBF_1 26 0 - 0 0 . chr17 42387935 42387936 chr17:42387936:G:A rs113377876 G A G EBF1_EBF_1 -11 0 + 0 0 . chr17 42388956 42388957 chr17:42388957:A:G rs16967725 A G A EBF1_EBF_1 -15 0 + 0 0 . chr17 42404228 42404229 chr17:42404229:G:A rs6416923 G A A EBF1_EBF_1 15 0 + 0 0 . chr17 42422369 42422370 chr17:42422370:A:C rs34610643 A C A EBF1_EBF_1 1 1 - 5.035040044167351 4.329378258337822 CTTCCCTCGGGTCC chr17 42428403 42428404 chr17:42428404:G:T rs143672790 G T G EBF1_EBF_1 27 0 - 0 0 . chr17 42521702 42521703 chr17:42521703:T:C rs938671 T C T EBF1_EBF_1 2 1 + 6.240113125868808 4.351289714426659 CATCCCCAGGGGTC chr17 42535439 42535440 chr17:42535440:C:T chr17:42535440:C:T C T C EBF1_EBF_1 7 1 - 6.700431038966605 8.01288944425543 ATTCCCAGGAGGTT chr17 42536105 42536106 chr17:42536106:G:T rs145830042 G T G EBF1_EBF_1 -15 0 + 0 0 . chr17 42536128 42536129 chr17:42536129:G:A rs538885425 G A G EBF1_EBF_1 8 1 + 8.521625458774016 4.295335369887754 GCCCCCAAGGGAGT chr17 42536134 42536135 chr17:42536135:A:G rs76407245 A G A EBF1_EBF_1 14 0 + 0 0 . chr17 42554994 42554995 chr17:42554995:C:T chr17:42554995:C:T C T C EBF1_EBF_1 10 1 - 10.159086540023667 4.841058080756704 CATCCCCAGGGACC chr17 42555011 42555012 chr17:42555012:C:T rs2229233 C T C EBF1_EBF_1 -7 0 - 0 0 . chr17 42567455 42567456 chr17:42567456:T:C rs2292755 T C T EBF1_EBF_1 0 1 - 8.570819718409306 6.678535848844472 AGTCCCCCGAGACT chr17 42569023 42569024 chr17:42569024:C:T rs650558 C T C EBF1_EBF_1 22 0 - 0 0 . chr17 42583622 42583623 chr17:42583623:C:T rs10454087 C T C EBF1_EBF_1 -6 0 + 0 0 . chr17 42608215 42608216 chr17:42608216:A:G rs4793039 A G A EBF1_EBF_1 20 0 + 0 0 . chr17 42633308 42633309 chr17:42633309:C:T rs147555410 C T C EBF1_EBF_1 29 0 - 0 0 . chr17 42674221 42674222 chr17:42674222:A:C chr17:42674222:A:C A C A EBF1_EBF_1 11 1 + 6.23718297413264 1.8212212762802502 CGCCCCCCGGGACC chr17 42677058 42677059 chr17:42677059:G:C rs1011758306 G C g EBF1_EBF_1 11 1 + 4.2196564050097205 2.6964015507613412 CCTCCCGGGGGGCC chr17 42677061 42677062 chr17:42677062:C:A rs967807083 C A C EBF1_EBF_1 14 0 + 0 0 . chr17 42678402 42678403 chr17:42678403:C:T rs147345210 C T C EBF1_EBF_1 0 1 + 7.400086340702351 7.6822884014329285 CCTCCCTTGAGACC chr17 42709405 42709406 chr17:42709406:G:A rs2242461 G A A EBF1_EBF_1 16 0 + 0 0 . chr17 42787836 42787837 chr17:42787837:G:T rs55781437 G T G EBF1_EBF_1 20 0 - 0 0 . chr17 42833774 42833775 chr17:42833775:G:C rs115525472 G C G EBF1_EBF_1 -4 0 - 0 0 . chr17 42964705 42964706 chr17:42964706:G:A rs690979 G A G EBF1_EBF_1 -9 0 + 0 0 . chr17 42964706 42964707 chr17:42964707:G:C chr17:42964707:G:C G C G EBF1_EBF_1 -8 0 + 0 0 . chr17 42964708 42964709 chr17:42964709:T:A rs185666240 T A T EBF1_EBF_1 -6 0 + 0 0 . chr17 42980500 42980501 chr17:42980501:C:A rs989122218 C A C EBF1_EBF_1 7 1 - 9.030523745697941 9.866707910899207 AACCCCAGGGGAGT chr17 42998105 42998106 chr17:42998106:A:G chr17:42998106:A:G A G A EBF1_EBF_1 15 0 + 0 0 . chr17 43021208 43021209 chr17:43021209:A:T rs9915489 A T T EBF1_EBF_1 32 0 + 0 0 . chr17 43043007 43043008 chr17:43043008:T:A rs11659028 T A A EBF1_EBF_1 6 1 - 7.071087827723388 7.010771588783867 CTTCCCAAGGGCCC chr17 43124330 43124331 chr17:43124331:T:C rs8176077 T C C EBF1_EBF_1 -7 0 + 0 0 . chr17 43151053 43151054 chr17:43151054:C:T rs34059614 C T C EBF1_EBF_1 11 1 + 9.266030072480467 9.58407751423413 ATTCCCTAGGGCCC chr17 43212236 43212237 chr17:43212237:G:T rs1168337934 G T G EBF1_EBF_1 20 0 + 0 0 . chr17 43212890 43212891 chr17:43212891:A:G rs3803871 A G a EBF1_EBF_1 33 0 + 0 0 . chr17 43309698 43309699 chr17:43309699:G:A rs71367986 G A g EBF1_EBF_1 6 1 - 9.675720862124699 9.977006990986457 TGTCCCCAGGGATC chr17 43338990 43338991 chr17:43338991:C:G rs2100982 C G C EBF1_EBF_1 30 0 + 0 0 . chr17 43399474 43399475 chr17:43399475:C:T rs753396 C T c EBF1_EBF_1 -12 0 + 0 0 . chr17 43417939 43417940 chr17:43417940:C:T rs12938992 C T T EBF1_EBF_1 7 1 - 5.16948799786354 6.4819464031523655 AACCCCCGGAGAGC chr17 43431437 43431438 chr17:43431438:T:G rs11079380 T G T EBF1_EBF_1 -3 0 - 0 0 . chr17 43576747 43576748 chr17:43576748:G:C rs11653520 G C G EBF1_EBF_1 14 0 - 0 0 . chr17 43576771 43576772 chr17:43576772:G:A rs562263814 G A G EBF1_EBF_1 -10 0 - 0 0 . chr17 43578961 43578962 chr17:43578962:C:T rs7216851 C T C EBF1_EBF_1 -5 0 + 0 0 . chr17 43632898 43632899 chr17:43632899:A:G rs9890545 A G G EBF1_EBF_1 6 1 - 7.17173234297279 6.870446214111031 AGTCCCTAGGGCGC chr17 43663162 43663163 chr17:43663163:T:C rs574089531 T C T EBF1_EBF_1 -13 0 - 0 0 . chr17 43671332 43671333 chr17:43671333:G:A rs72833186 G A G EBF1_EBF_1 4 1 - 7.765779608906689 3.396392663961764 ATTCCCCAAGGAGC chr17 43679612 43679613 chr17:43679613:T:C rs9902563 T C C EBF1_EBF_1 11 1 - 5.642625910719464 2.749919067115453 GACCCCCTGGGATG chr17 43699490 43699491 chr17:43699491:G:C rs9908642 G C C EBF1_EBF_1 20 0 + 0 0 . chr17 43745797 43745798 chr17:43745798:G:A rs568128704 G A G EBF1_EBF_1 -6 0 + 0 0 . chr17 43760860 43760861 chr17:43760861:C:T rs1237278 C T T EBF1_EBF_1 -13 0 + 0 0 . chr17 43779133 43779134 chr17:43779134:G:A rs62078610 G A G EBF1_EBF_1 -16 0 - 0 0 . chr17 43819172 43819173 chr17:43819173:G:A rs62078657 G A G EBF1_EBF_1 31 0 + 0 0 . chr17 43832853 43832854 chr17:43832854:G:A rs574708014 G A G EBF1_EBF_1 -5 0 + 0 0 . chr17 43880617 43880618 chr17:43880618:G:A rs978123898 G A G EBF1_EBF_1 28 0 - 0 0 . chr17 43907144 43907145 chr17:43907145:A:C rs437425 A C A EBF1_EBF_1 32 0 - 0 0 . chr17 43907148 43907149 chr17:43907149:A:C rs425135 A C C EBF1_EBF_1 28 0 - 0 0 . chr17 43907181 43907182 chr17:43907182:G:A rs114351668 G A G EBF1_EBF_1 -5 0 - 0 0 . chr17 43997394 43997395 chr17:43997395:C:T rs72822632 C T C EBF1_EBF_1 9 1 - 7.224639569936731 4.569700304489127 ATCCCCATGGTAAC chr17 44002195 44002196 chr17:44002196:C:T rs192502178 C T C EBF1_EBF_1 4 1 + 5.955867931008025 1.5864809860631017 TCCCCCCCGGGACA chr17 44002196 44002197 chr17:44002197:C:G rs1859223 C G - EBF1_EBF_1 5 1 + 5.955867931008025 -0.9490132216271423 TCCCCCCCGGGACA chr17 44004946 44004947 chr17:44004947:C:T rs200607114 C T C EBF1_EBF_1 12 1 + 6.448637006927097 5.422370436376247 AGTCCCCAGAGCCT chr17 44024351 44024352 chr17:44024352:G:A rs12450410 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 44071238 44071239 chr17:44071239:A:G rs548313333 A G A EBF1_EBF_1 20 0 + 0 0 . chr17 44071979 44071980 chr17:44071980:C:G rs181902945 C G C EBF1_EBF_1 -8 0 - 0 0 . chr17 44111346 44111347 chr17:44111347:G:T rs373306124 G T G EBF1_EBF_1 3 1 - 5.333811590043313 -1.572362439422495 CCCCCCATGGGGCC chr17 44122725 44122726 chr17:44122726:G:A rs142937410 G A G EBF1_EBF_1 30 0 + 0 0 . chr17 44124078 44124079 chr17:44124079:G:A rs1006934255 G A G EBF1_EBF_1 14 0 + 0 0 . chr17 44200446 44200447 chr17:44200447:C:G rs540683280 C G C EBF1_EBF_1 -3 0 - 0 0 . chr17 44200448 44200449 chr17:44200449:G:A chr17:44200449:G:A G A G EBF1_EBF_1 -5 0 - 0 0 . chr17 44204124 44204125 chr17:44204125:A:G rs72822685 A G A EBF1_EBF_1 14 0 - 0 0 . chr17 44210759 44210760 chr17:44210760:T:G rs2526011 T G T EBF1_EBF_1 7 1 + 3.94654789269565 3.110363727494383 GCCCCCCTGGGGGC chr17 44307732 44307733 chr17:44307733:G:A rs7221978 G A G EBF1_EBF_1 10 1 + 5.195423330328567 -0.12260512893839876 CACCCCCGGGGAGA chr17 44328933 44328934 chr17:44328934:C:T rs138166610 C T C EBF1_EBF_1 12 1 + 7.165133578069491 6.138867007518641 ATACCCAAGGGGCC chr17 44345455 44345456 chr17:44345456:T:G rs1356206695 T G T EBF1_EBF_1 11 1 - 5.755089694299226 1.339127996446837 AACCCCCAAGGAGT chr17 44360970 44360971 chr17:44360971:C:T rs9894891 C T C EBF1_EBF_1 -10 0 + 0 0 . chr17 44502990 44502991 chr17:44502991:C:T rs1344208659 C T C EBF1_EBF_1 10 1 - 9.268386844733998 3.950358385467032 GCTCCCAGGGGACA chr17 44502995 44502996 chr17:44502996:G:A rs115260200 G A G EBF1_EBF_1 5 1 - 9.268386844733998 3.9465996291933276 GCTCCCAGGGGACA chr17 44536852 44536853 chr17:44536853:C:T rs74831722 C T C EBF1_EBF_1 27 0 + 0 0 . chr17 44543505 44543506 chr17:44543506:G:A rs541204207 G A G EBF1_EBF_1 11 1 + 7.698909241573021 10.59161608517703 CCTCCCAAGGGGCC chr17 44569711 44569712 chr17:44569712:C:T rs148074202 C T C EBF1_EBF_1 25 0 + 0 0 . chr17 44587328 44587329 chr17:44587329:G:A rs9944523 G A G EBF1_EBF_1 -12 0 + 0 0 . chr17 44593291 44593292 chr17:44593292:G:A rs8082632 G A G EBF1_EBF_1 27 0 + 0 0 . chr17 44609523 44609524 chr17:44609524:G:A rs8074359 G A G EBF1_EBF_1 17 0 + 0 0 . chr17 44621646 44621647 chr17:44621647:A:G rs8074387 A G a EBF1_EBF_1 -17 0 - 0 0 . chr17 44738774 44738775 chr17:44738775:A:T rs9914875 A T A EBF1_EBF_1 -14 0 - 0 0 . chr17 44773705 44773706 chr17:44773706:G:A rs79592537 G A G EBF1_EBF_1 9 1 + 5.80365994223383 3.148720676786226 CTTCCTCAGGGACC chr17 44779081 44779082 chr17:44779082:T:C rs74455853 T C T EBF1_EBF_1 26 0 - 0 0 . chr17 44850831 44850832 chr17:44850832:C:T rs117979815 C T C EBF1_EBF_1 8 1 - 6.170666151441513 1.944376062555252 TCTCCCAAGAGGCT chr17 44867927 44867928 chr17:44867928:A:G rs2120276 A G G EBF1_EBF_1 7 1 - 6.45971527303282 4.642210526750197 TTTCCCCTGGGTCA chr17 44907923 44907924 chr17:44907924:C:G rs530101302 C G C EBF1_EBF_1 25 0 - 0 0 . chr17 44917124 44917125 chr17:44917125:G:A rs373377415 G A G EBF1_EBF_1 -1 0 - 0 0 . chr17 44927067 44927068 chr17:44927068:G:A rs61739617 G A G EBF1_EBF_1 19 0 - 0 0 . chr17 44959688 44959689 chr17:44959689:C:T rs3024301 C T C EBF1_EBF_1 23 0 + 0 0 . chr17 44987591 44987592 chr17:44987592:C:T rs892960470 C T C EBF1_EBF_1 -19 0 - 0 0 . chr17 45061040 45061041 chr17:45061041:G:T rs115000396 G T G EBF1_EBF_1 22 0 - 0 0 . chr17 45061044 45061045 chr17:45061045:G:A rs778698571 G A G EBF1_EBF_1 18 0 - 0 0 . chr17 45123625 45123626 chr17:45123626:G:A rs62066672 G A G EBF1_EBF_1 3 1 - 5.31226117032482 -1.0931375712284952 CCTCCCCTGGGTAA chr17 45130058 45130059 chr17:45130059:G:T rs62066674 G T G EBF1_EBF_1 -16 0 - 0 0 . chr17 45143777 45143778 chr17:45143778:C:T rs1226401512 C T C EBF1_EBF_1 19 0 - 0 0 . chr17 45144440 45144441 chr17:45144441:G:A rs71373536 G A G EBF1_EBF_1 -14 0 - 0 0 . chr17 45160872 45160873 chr17:45160873:G:A rs146371170 G A G EBF1_EBF_1 -10 0 - 0 0 . chr17 45170562 45170563 chr17:45170563:A:C rs7208548 A C C EBF1_EBF_1 13 1 + 6.150137778213656 6.523883227499632 CCTCCCAAGGGTAA chr17 45172858 45172859 chr17:45172859:C:T rs556930401 C T c EBF1_EBF_1 15 0 - 0 0 . chr17 45172873 45172874 chr17:45172874:T:C rs147076103 T C t EBF1_EBF_1 0 1 - 6.013808929936265 4.121525060371432 ACCCCCTGGGGCCT chr17 45224553 45224554 chr17:45224554:C:G rs78088934 C G C EBF1_EBF_1 -6 0 - 0 0 . chr17 45227281 45227282 chr17:45227282:G:A rs79091156 G A G EBF1_EBF_1 -17 0 + 0 0 . chr17 45227287 45227288 chr17:45227288:G:A rs150913006 G A G EBF1_EBF_1 -11 0 + 0 0 . chr17 45235883 45235884 chr17:45235884:T:G rs530412480 T G T EBF1_EBF_1 31 0 - 0 0 . chr17 45235895 45235896 chr17:45235896:C:G chr17:45235896:C:G C G C EBF1_EBF_1 19 0 - 0 0 . chr17 45257281 45257282 chr17:45257282:C:A rs60163605 C A A EBF1_EBF_1 -14 0 - 0 0 . chr17 45257285 45257286 chr17:45257286:T:C rs58314091 T C C EBF1_EBF_1 -18 0 - 0 0 . chr17 45263406 45263407 chr17:45263407:C:T rs147386015 C T C EBF1_EBF_1 1 1 + 8.354332698455837 9.249766138854582 GCTCCCCTGGGAGA chr17 45299080 45299081 chr17:45299081:C:T rs2867316 C T C EBF1_EBF_1 18 0 - 0 0 . chr17 45389281 45389282 chr17:45389282:T:C rs1230068 T C C EBF1_EBF_1 -19 0 + 0 0 . chr17 45389287 45389288 chr17:45389288:C:G rs1230069 C G G EBF1_EBF_1 -13 0 + 0 0 . chr17 45391697 45391698 chr17:45391698:G:A rs1230091 G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 45412138 45412139 chr17:45412139:T:C rs139822291 T C T EBF1_EBF_1 19 0 - 0 0 . chr17 45425536 45425537 chr17:45425537:C:T rs1044115156 C T C EBF1_EBF_1 -4 0 + 0 0 . chr17 45436449 45436450 chr17:45436450:G:A rs1193601694 G A G EBF1_EBF_1 1 1 + 7.0730723375687345 6.8123735056474315 CGCCCCCAGGGAAG chr17 45439885 45439886 chr17:45439886:T:C rs35489312 T C T EBF1_EBF_1 -10 0 + 0 0 . chr17 45672531 45672532 chr17:45672532:C:G rs555342747 C G C EBF1_EBF_1 21 0 + 0 0 . chr17 45678068 45678069 chr17:45678069:A:G rs1635289 A G G EBF1_EBF_1 17 0 - 0 0 . chr17 45691100 45691101 chr17:45691101:C:T rs56100013 C T T EBF1_EBF_1 25 0 - 0 0 . chr17 45691129 45691130 chr17:45691130:C:T rs55980621 C T C EBF1_EBF_1 -4 0 - 0 0 . chr17 45691134 45691135 chr17:45691135:C:T rs55669046 C T C EBF1_EBF_1 -9 0 - 0 0 . chr17 45730275 45730276 chr17:45730276:T:G rs76493835 T G T EBF1_EBF_1 12 1 - 7.92669907771687 7.896517679295544 CACCCCCAGGGAAA chr17 45733415 45733416 chr17:45733416:G:C rs113790915 G C C EBF1_EBF_1 11 1 - 8.076542696497826 9.599797550746205 AGTCCCAAGGGCGT chr17 45747896 45747897 chr17:45747897:T:A rs7212750 T A T EBF1_EBF_1 -3 0 - 0 0 . chr17 45752037 45752038 chr17:45752038:C:T rs1880755 C T C EBF1_EBF_1 30 0 + 0 0 . chr17 45752038 45752039 chr17:45752039:G:A rs35350903 G A A EBF1_EBF_1 31 0 + 0 0 . chr17 45775766 45775767 chr17:45775767:T:C rs62055950 T C T EBF1_EBF_1 25 0 - 0 0 . chr17 45775775 45775776 chr17:45775776:G:A chr17:45775776:G:A G A G EBF1_EBF_1 16 0 - 0 0 . chr17 45785099 45785100 chr17:45785100:C:G rs183754654 C G C EBF1_EBF_1 28 0 - 0 0 . chr17 45809537 45809538 chr17:45809538:G:A rs74370049 G A G EBF1_EBF_1 -15 0 - 0 0 . chr17 45815317 45815318 chr17:45815318:G:C rs72834580 G C G EBF1_EBF_1 1 1 - 5.41702255507655 5.606794209645768 ACCCCCCTGAGGCT chr17 45820082 45820083 chr17:45820083:A:T rs62057113 A T A EBF1_EBF_1 7 1 - 7.405096279023155 7.881370519110712 AGCCCCATGGGGCA chr17 45826181 45826182 chr17:45826182:C:T rs62057150 C T C EBF1_EBF_1 32 0 - 0 0 . chr17 45834158 45834159 chr17:45834159:C:T rs1876828 C T C EBF1_EBF_1 19 0 - 0 0 . chr17 45846763 45846764 chr17:45846764:G:A rs12373139 G A G EBF1_EBF_1 8 1 + 5.2544112829726135 1.0281211940863517 TCCCCCCTGGGGCC chr17 45858644 45858645 chr17:45858645:A:G rs55886302 A G A EBF1_EBF_1 0 1 + 8.417171384989189 6.524887515424355 ACTCTCTAGGGACC chr17 45873853 45873854 chr17:45873854:T:G rs34416056 T G G EBF1_EBF_1 -12 0 + 0 0 . chr17 45942372 45942373 chr17:45942373:C:T rs1011683032 C T C EBF1_EBF_1 9 1 - 7.4158868877604345 4.760947622312831 ACACCCTGGGGACA chr17 45942395 45942396 chr17:45942396:G:T chr17:45942396:G:T G T G EBF1_EBF_1 -14 0 - 0 0 . chr17 45949949 45949950 chr17:45949950:G:A rs62062796 G A G EBF1_EBF_1 9 1 + 9.537638058686703 6.8826987932391 AATCCCCAGGGAGG chr17 45966011 45966012 chr17:45966012:T:C rs62063291 T C C EBF1_EBF_1 2 1 + 5.944465875600273 4.055642464158124 GCTCCCTTGGAACT chr17 45974917 45974918 chr17:45974918:G:A rs17651243 G A G EBF1_EBF_1 29 0 - 0 0 . chr17 46010133 46010134 chr17:46010134:A:G rs41543317 A G G EBF1_EBF_1 -7 0 - 0 0 . chr17 46013279 46013280 chr17:46013280:A:G rs12150170 A G G EBF1_EBF_1 -13 0 - 0 0 . chr17 46025929 46025930 chr17:46025930:T:C rs7687 T C C EBF1_EBF_1 22 0 + 0 0 . chr17 46026337 46026338 chr17:46026338:G:A rs16940802 G A G EBF1_EBF_1 33 0 - 0 0 . chr17 46026458 46026459 chr17:46026459:T:C rs75010486 T C c EBF1_EBF_1 -4 0 + 0 0 . chr17 46026459 46026460 chr17:46026460:G:A rs16940806 G A G EBF1_EBF_1 -3 0 + 0 0 . chr17 46045259 46045260 chr17:46045260:C:A rs62063669 C A A EBF1_EBF_1 10 1 - 7.779483569407942 3.596731383180678 ATTCCCATGGGTGC chr17 46071930 46071931 chr17:46071931:A:C rs1117253 A C A EBF1_EBF_1 -11 0 - 0 0 . chr17 46073091 46073092 chr17:46073092:A:G rs115618956 A G A EBF1_EBF_1 -13 0 + 0 0 . chr17 46073097 46073098 chr17:46073098:T:C rs974292 T C C EBF1_EBF_1 -7 0 + 0 0 . chr17 46073113 46073114 chr17:46073114:A:T rs974291 A T T EBF1_EBF_1 9 1 + 9.19282841067522 5.010778268034016 TGTCCCTAGAGACT chr17 46156693 46156694 chr17:46156694:A:G rs1528074 A G G EBF1_EBF_1 11 1 + 6.118081740495585 3.225374896891573 CCTCCCAAGGAACT chr17 46156697 46156698 chr17:46156698:G:A rs1528073 G A G EBF1_EBF_1 15 0 + 0 0 . chr17 46186588 46186589 chr17:46186589:A:G rs111466270 A G A EBF1_EBF_1 -13 0 - 0 0 . chr17 46192692 46192693 chr17:46192693:A:T rs2696633 A T T EBF1_EBF_1 2 1 - 5.647031692668642 1.9625641050697646 AATCCCCCGGAACT chr17 46192700 46192701 chr17:46192701:A:C chr17:46192701:A:C A C A EBF1_EBF_1 -6 0 - 0 0 . chr17 46259842 46259843 chr17:46259843:C:T rs112008792 C T C EBF1_EBF_1 -2 0 + 0 0 . chr17 46264756 46264757 chr17:46264757:G:C rs2732677 G C . EBF1_EBF_1 20 0 - 0 0 . chr17 46279930 46279931 chr17:46279931:G:T rs17588637 G T . EBF1_EBF_1 27 0 - 0 0 . chr17 46284330 46284331 chr17:46284331:T:C rs147047792 T C . EBF1_EBF_1 -20 0 - 0 0 . chr17 46666044 46666045 chr17:46666045:G:T rs78891640 G T G EBF1_EBF_1 -13 0 - 0 0 . chr17 46835952 46835953 chr17:46835953:G:A rs114778273 G A G EBF1_EBF_1 27 0 - 0 0 . chr17 46851318 46851319 chr17:46851319:G:A rs118065250 G A G EBF1_EBF_1 2 1 - 4.92097387456237 6.809797286004519 AGCCCCCCGGGCCT chr17 46894285 46894286 chr17:46894286:C:A rs16941192 C A C EBF1_EBF_1 24 0 + 0 0 . chr17 46894545 46894546 chr17:46894546:G:C rs116439093 G C G EBF1_EBF_1 -3 0 + 0 0 . chr17 46929840 46929841 chr17:46929841:C:G rs75921757 C G C EBF1_EBF_1 -15 0 - 0 0 . chr17 46935904 46935905 chr17:46935905:T:C rs17608766 T C T EBF1_EBF_1 14 0 - 0 0 . chr17 46935910 46935911 chr17:46935911:C:T rs771737850 C T C EBF1_EBF_1 8 1 - 6.6052899805300855 2.378999891643823 ATTCACAGGGGACC chr17 46942723 46942724 chr17:46942724:A:G rs1054689206 A G A EBF1_EBF_1 -12 0 - 0 0 . chr17 46949348 46949349 chr17:46949349:T:G rs9898981 T G T EBF1_EBF_1 -4 0 + 0 0 . chr17 46950281 46950282 chr17:46950282:T:C rs2317997 T C T EBF1_EBF_1 13 1 + 7.583678891536502 6.739184776950984 GGTCCCCAGAGAGT chr17 46995271 46995272 chr17:46995272:A:G rs7214665 A G . EBF1_EBF_1 -17 0 + 0 0 . chr17 46995285 46995286 chr17:46995286:C:A rs7206930 C A . EBF1_EBF_1 -3 0 + 0 0 . chr17 47057429 47057430 chr17:47057430:G:A rs147910723 G A g EBF1_EBF_1 5 1 - 5.577528561323758 0.2557413457830871 CTTCCCGTGGGGCA chr17 47063234 47063235 chr17:47063235:A:C rs4968304 A C C EBF1_EBF_1 8 1 + 8.111874410353305 7.019505638324881 AATCCCAGAGGAAT chr17 47072946 47072947 chr17:47072947:C:T rs571718830 C T C EBF1_EBF_1 19 0 + 0 0 . chr17 47120976 47120977 chr17:47120977:C:T rs731790 C T C EBF1_EBF_1 28 0 - 0 0 . chr17 47159837 47159838 chr17:47159838:C:T rs1634265 C T N EBF1_EBF_1 3 1 + 5.711730915972944 -0.6936678255803714 CTCCTCCAGGGACT chr17 47238607 47238608 chr17:47238608:T:G rs58403709 T G G EBF1_EBF_1 -5 0 - 0 0 . chr17 47239768 47239769 chr17:47239769:G:T rs79061424 G T G EBF1_EBF_1 19 0 - 0 0 . chr17 47240132 47240133 chr17:47240133:T:C rs17605348 T C T EBF1_EBF_1 -19 0 + 0 0 . chr17 47244327 47244328 chr17:47244328:C:T rs35439279 C T C EBF1_EBF_1 25 0 - 0 0 . chr17 47257886 47257887 chr17:47257887:C:G rs58030886 C G C EBF1_EBF_1 -2 0 - 0 0 . chr17 47275822 47275823 chr17:47275823:T:C rs11868894 T C T EBF1_EBF_1 -6 0 + 0 0 . chr17 47281592 47281593 chr17:47281593:C:G rs192747801 C G C EBF1_EBF_1 -3 0 + 0 0 . chr17 47288793 47288794 chr17:47288794:T:C rs3785870 T C T EBF1_EBF_1 7 1 - 6.858970092349269 5.546511687060443 ACTCCCCAAGGATA chr17 47324318 47324319 chr17:47324319:T:C rs561013011 T C T EBF1_EBF_1 25 0 - 0 0 . chr17 47324344 47324345 chr17:47324345:T:G rs35983484 T G G EBF1_EBF_1 -1 0 - 0 0 . chr17 47649983 47649984 chr17:47649984:A:C rs374694042 A C A EBF1_EBF_1 -17 0 + 0 0 . chr17 47694132 47694133 chr17:47694133:G:A chr17:47694133:G:A G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 47701699 47701700 chr17:47701700:C:G rs117626754 C G C EBF1_EBF_1 4 1 + 4.852425146881608 -0.7733399542679364 GCTCCCAGGGGCCA chr17 47706365 47706366 chr17:47706366:A:G rs117552358 A G A EBF1_EBF_1 -7 0 - 0 0 . chr17 47777095 47777096 chr17:47777096:T:G rs67441169 T G G EBF1_EBF_1 -17 0 + 0 0 . chr17 47780930 47780931 chr17:47780931:C:T rs17773010 C T C EBF1_EBF_1 -7 0 - 0 0 . chr17 47781377 47781378 chr17:47781378:C:T rs11655306 C T C EBF1_EBF_1 -12 0 + 0 0 . chr17 47802818 47802819 chr17:47802819:C:T rs17773387 C T G EBF1_EBF_1 26 0 + 0 0 . chr17 47811007 47811008 chr17:47811008:A:G rs62076103 A G A EBF1_EBF_1 6 1 + 5.463129176436605 3.296334745162129 CTTCCCATGAGGCC chr17 47815416 47815417 chr17:47815417:C:T rs112619147 C T C EBF1_EBF_1 26 0 - 0 0 . chr17 47815454 47815455 chr17:47815455:G:A rs17700604 G A G EBF1_EBF_1 -12 0 - 0 0 . chr17 47838028 47838029 chr17:47838029:T:C rs6503875 T C T EBF1_EBF_1 25 0 + 0 0 . chr17 47843676 47843677 chr17:47843677:T:A rs550763136 T A T EBF1_EBF_1 13 1 - 8.439418571947424 9.657658135818918 TGCCCCCAGGGACA chr17 47875243 47875244 chr17:47875244:G:A rs66721410 G A G EBF1_EBF_1 6 1 - 5.57084227771069 5.872128406572448 CCCCCCCGGGGAAG chr17 47892238 47892239 chr17:47892239:G:A rs13353189 G A G EBF1_EBF_1 -6 0 + 0 0 . chr17 47896757 47896758 chr17:47896758:C:T rs34194452 C T C EBF1_EBF_1 1 1 + 6.655772059192419 7.551205499591164 GCTCCCCGGAGAAC chr17 47896770 47896771 chr17:47896771:A:G chr17:47896771:A:G A G A EBF1_EBF_1 14 0 + 0 0 . chr17 47927742 47927743 chr17:47927743:C:T rs75562971 C T C EBF1_EBF_1 27 0 + 0 0 . chr17 47941955 47941956 chr17:47941956:C:T rs147883701 C T C EBF1_EBF_1 4 1 + 5.716159951508199 1.346773006563275 CCCCCCGTGGGAAA chr17 47988035 47988036 chr17:47988036:G:A rs55982711 G A G EBF1_EBF_1 -18 0 - 0 0 . chr17 47998024 47998025 chr17:47998025:G:T rs2905852 G T G EBF1_EBF_1 22 0 + 0 0 . chr17 48043404 48043405 chr17:48043405:T:C rs10491182 T C T EBF1_EBF_1 30 0 - 0 0 . chr17 48100477 48100478 chr17:48100478:G:A rs66652835 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 48131220 48131221 chr17:48131221:G:A rs188170131 G A G EBF1_EBF_1 -8 0 - 0 0 . chr17 48334137 48334138 chr17:48334138:A:G rs9303542 A G A EBF1_EBF_1 9 1 + 6.259128291665771 8.914067557113373 TACCCCAAGAGACC chr17 48441742 48441743 chr17:48441743:C:A rs1533057 C A C EBF1_EBF_1 2 1 + 8.262366113053119 6.466721936896393 AACCCCAAGAGAAC chr17 48483159 48483160 chr17:48483160:C:A rs948627100 C A C EBF1_EBF_1 23 0 - 0 0 . chr17 48483760 48483761 chr17:48483761:T:C rs146273280 T C T EBF1_EBF_1 33 0 + 0 0 . chr17 48515762 48515763 chr17:48515763:C:T rs62065847 C T T EBF1_EBF_1 4 1 + 6.665867206931183 2.296480261986259 GATCCCTTGAGATC chr17 48518949 48518950 chr17:48518950:C:T rs11079825 C T C EBF1_EBF_1 12 1 + 5.6344242637286115 4.608157693177762 ATCCTCCAGGGACG chr17 48555027 48555028 chr17:48555028:T:C rs115963008 T C t EBF1_EBF_1 -1 0 + 0 0 . chr17 48659600 48659601 chr17:48659601:G:C rs11651729 G C G EBF1_EBF_1 33 0 + 0 0 . chr17 48708025 48708026 chr17:48708026:T:C rs7215321 T C T EBF1_EBF_1 33 0 - 0 0 . chr17 48733223 48733224 chr17:48733224:C:T rs145922598 C T C EBF1_EBF_1 22 0 - 0 0 . chr17 48830995 48830996 chr17:48830996:A:T rs488223 A T A EBF1_EBF_1 19 0 - 0 0 . chr17 48898729 48898730 chr17:48898730:C:T rs117673670 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 48928146 48928147 chr17:48928147:T:G rs1057897 T G G EBF1_EBF_1 15 0 + 0 0 . chr17 48970973 48970974 chr17:48970974:C:A rs143417681 C A C EBF1_EBF_1 26 0 + 0 0 . chr17 49014523 49014524 chr17:49014524:G:A rs78259596 G A G EBF1_EBF_1 5 1 - 4.787785448972516 -0.5340017665681549 CCTCCCCAGGGCTC chr17 49022024 49022025 chr17:49022025:C:T rs113380629 C T C EBF1_EBF_1 15 0 - 0 0 . chr17 49068764 49068765 chr17:49068765:G:A rs114130666 G A G EBF1_EBF_1 -12 0 - 0 0 . chr17 49194049 49194050 chr17:49194050:A:G rs1372335573 A G A EBF1_EBF_1 -6 0 - 0 0 . chr17 49223663 49223664 chr17:49223664:G:C rs561354314 G C G EBF1_EBF_1 4 1 - 6.388197017680571 0.7624319165310274 ACCCCCAAAGGAAA chr17 49249495 49249496 chr17:49249496:C:T rs78570009 C T C EBF1_EBF_1 -9 0 - 0 0 . chr17 49254117 49254118 chr17:49254118:C:T chr17:49254118:C:T C T C EBF1_EBF_1 23 0 + 0 0 . chr17 49448193 49448194 chr17:49448194:G:A rs77973141 G A G EBF1_EBF_1 -3 0 + 0 0 . chr17 49453521 49453522 chr17:49453522:C:A rs2671663 C A C EBF1_EBF_1 14 0 - 0 0 . chr17 49472035 49472036 chr17:49472036:C:T rs9895781 C T C EBF1_EBF_1 -1 0 + 0 0 . chr17 49472573 49472574 chr17:49472574:A:T rs2671626 A T T EBF1_EBF_1 18 0 - 0 0 . chr17 49524430 49524431 chr17:49524431:G:A rs7225781 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 49634975 49634976 chr17:49634976:T:C rs6504619 T C t EBF1_EBF_1 7 1 + 9.21108248390039 7.3935777376177665 ATTCCCATGAGATA chr17 49700123 49700124 chr17:49700124:T:C rs2412129 T C C EBF1_EBF_1 2 1 + 7.583678891536502 5.6948554800943505 GGTCCCCAGAGAGT chr17 49740685 49740686 chr17:49740686:A:C rs73335263 A C C EBF1_EBF_1 -2 0 + 0 0 . chr17 49775468 49775469 chr17:49775469:A:C rs16948360 A C A EBF1_EBF_1 -3 0 + 0 0 . chr17 49813838 49813839 chr17:49813839:C:T rs7213050 C T C EBF1_EBF_1 2 1 + 8.199586340627292 10.08840975206944 GTCCCCCAGGGATA chr17 49836235 49836236 chr17:49836236:A:G rs941058475 A G A EBF1_EBF_1 26 0 + 0 0 . chr17 49881550 49881551 chr17:49881551:C:A rs9893716 C A C EBF1_EBF_1 -19 0 + 0 0 . chr17 49911311 49911312 chr17:49911312:T:C rs8076417 T C T EBF1_EBF_1 -7 0 - 0 0 . chr17 49914282 49914283 chr17:49914283:G:A rs75111834 G A G EBF1_EBF_1 5 1 - 9.337053351097598 4.015266135556926 TTCCCCCAGGGATT chr17 49919957 49919958 chr17:49919958:A:C rs187958 A C C EBF1_EBF_1 30 0 - 0 0 . chr17 49947455 49947456 chr17:49947456:T:G chr17:49947456:T:G T G T EBF1_EBF_1 11 1 + 5.687426834638967 6.892634247133682 CATCCCCAGGGTCA chr17 49969083 49969084 chr17:49969084:A:G rs574373019 A G A EBF1_EBF_1 30 0 - 0 0 . chr17 49969106 49969107 chr17:49969107:G:A rs56132909 G A G EBF1_EBF_1 7 1 - 5.863437524846664 7.680942271129288 CGCCCCCCGGGACA chr17 49985378 49985379 chr17:49985379:T:C rs12951146 T C T EBF1_EBF_1 14 0 + 0 0 . chr17 50026486 50026487 chr17:50026487:G:A rs11657960 G A G EBF1_EBF_1 21 0 - 0 0 . chr17 50027230 50027231 chr17:50027231:C:G rs890396 C G G EBF1_EBF_1 31 0 - 0 0 . chr17 50027265 50027266 chr17:50027266:G:C rs890395 G C C EBF1_EBF_1 -4 0 - 0 0 . chr17 50033293 50033294 chr17:50033294:C:T rs73328678 C T T EBF1_EBF_1 -14 0 + 0 0 . chr17 50033338 50033339 chr17:50033339:G:A rs7208910 G A A EBF1_EBF_1 31 0 + 0 0 . chr17 50036839 50036840 chr17:50036840:C:T rs74788205 C T C EBF1_EBF_1 -19 0 - 0 0 . chr17 50051172 50051173 chr17:50051173:G:A rs59992631 G A G EBF1_EBF_1 3 1 - 5.131325090468011 -1.2740736510853077 CCCCCCAAGGGCCT chr17 50059803 50059804 chr17:50059804:C:T rs9894097 C T T EBF1_EBF_1 -7 0 - 0 0 . chr17 50076919 50076920 chr17:50076920:C:G rs138215272 C G C EBF1_EBF_1 28 0 + 0 0 . chr17 50148156 50148157 chr17:50148157:G:T rs909439612 G T G EBF1_EBF_1 10 1 + 6.605361036067016 2.4226088498397518 TCCCCCCAGGGGAT chr17 50148519 50148520 chr17:50148520:C:T rs73987431 C T C EBF1_EBF_1 15 0 + 0 0 . chr17 50148523 50148524 chr17:50148524:G:C rs1482086496 G C G EBF1_EBF_1 19 0 + 0 0 . chr17 50174110 50174111 chr17:50174111:G:A rs2586477 G A G EBF1_EBF_1 16 0 + 0 0 . chr17 50181746 50181747 chr17:50181747:A:G rs113024705 A G A EBF1_EBF_1 6 1 + 4.937252911111031 2.770458479836556 TCCCCCATGGGCCT chr17 50188133 50188134 chr17:50188134:C:T rs1800215 C T C EBF1_EBF_1 24 0 - 0 0 . chr17 50194557 50194558 chr17:50194558:G:T rs758006385 G T T EBF1_EBF_1 23 0 - 0 0 . chr17 50196906 50196907 chr17:50196907:C:T rs41317353 C T C EBF1_EBF_1 -11 0 - 0 0 . chr17 50199440 50199441 chr17:50199441:C:A chr17:50199441:C:A C A C EBF1_EBF_1 1 1 + 6.239313410781806 6.168386233429722 TCTCCCTTGGGTCC chr17 50200384 50200385 chr17:50200385:C:T rs376722154 C T C EBF1_EBF_1 18 0 - 0 0 . chr17 50200387 50200388 chr17:50200388:C:A rs1800012 C A C EBF1_EBF_1 15 0 - 0 0 . chr17 50210445 50210446 chr17:50210446:G:A rs80178717 G A G EBF1_EBF_1 -13 0 + 0 0 . chr17 50215892 50215893 chr17:50215893:T:C rs72826522 T C T EBF1_EBF_1 -13 0 + 0 0 . chr17 50277271 50277272 chr17:50277272:A:G rs6504641 A G G EBF1_EBF_1 -6 0 + 0 0 . chr17 50280382 50280383 chr17:50280383:G:A rs1362797800 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 50297624 50297625 chr17:50297625:C:T rs538384883 C T C EBF1_EBF_1 -12 0 + 0 0 . chr17 50353286 50353287 chr17:50353287:C:A rs72832451 C A C EBF1_EBF_1 3 1 + 10.576713597262723 3.6705395677969177 CTTCCCTTGGGACA chr17 50364349 50364350 chr17:50364350:G:A rs9675299 G A G EBF1_EBF_1 6 1 - 5.695526440403343 5.996812569265101 CCACCCCTGGGACA chr17 50365337 50365338 chr17:50365338:C:T rs56211526 C T C EBF1_EBF_1 -12 0 - 0 0 . chr17 50365400 50365401 chr17:50365401:G:A rs1433568184 G A G EBF1_EBF_1 16 0 + 0 0 . chr17 50432603 50432604 chr17:50432604:T:C rs9912065 T C C EBF1_EBF_1 16 0 + 0 0 . chr17 50471805 50471806 chr17:50471806:A:G rs4794150 A G G EBF1_EBF_1 17 0 - 0 0 . chr17 50495286 50495287 chr17:50495287:G:C rs7212436 G C C EBF1_EBF_1 11 1 + 5.413562096953867 3.8903072427054886 GCTCCCCTGAGGCT chr17 50515132 50515133 chr17:50515133:T:A rs148039790 T A T EBF1_EBF_1 1 1 + 7.072460074053876 6.106099456303048 GTTCCCCAGGGCCC chr17 50515158 50515159 chr17:50515159:G:A rs12602861 G A A EBF1_EBF_1 27 0 + 0 0 . chr17 50519911 50519912 chr17:50519912:G:T rs6504664 G T T EBF1_EBF_1 5 1 - 6.365818401016417 -0.5390627516187495 AGACCCCAGAGAAC chr17 50531831 50531832 chr17:50531832:G:A rs2277625 G A A EBF1_EBF_1 -13 0 + 0 0 . chr17 50531876 50531877 chr17:50531877:C:T rs139584543 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 50532427 50532428 chr17:50532428:G:A rs9900747 G A G EBF1_EBF_1 -1 0 - 0 0 . chr17 50548566 50548567 chr17:50548567:C:G rs8076632 C G C EBF1_EBF_1 12 1 + 7.167547463599054 5.778911519920903 TACCCCTGGGGACA chr17 50551950 50551951 chr17:50551951:G:C rs8082568 G C C EBF1_EBF_1 -17 0 - 0 0 . chr17 50567641 50567642 chr17:50567642:A:C rs187316571 A C A EBF1_EBF_1 6 1 - 10.459952026209981 8.353473833875025 ACCCCCTGGGGAAT chr17 50586227 50586228 chr17:50586228:C:T rs11079917 C T C EBF1_EBF_1 -9 0 + 0 0 . chr17 50612438 50612439 chr17:50612439:G:A rs3785914 G A G EBF1_EBF_1 13 1 + 5.392916381309081 6.50724195337852 TGCCCCACGGGACG chr17 50620961 50620962 chr17:50620962:C:T rs78991059 C T C EBF1_EBF_1 4 1 + 5.951333116091837 1.581946171146913 AACCCCCTGGGTCC chr17 50626326 50626327 chr17:50626327:C:A rs200141555 C A C EBF1_EBF_1 -4 0 + 0 0 . chr17 50630715 50630716 chr17:50630716:C:T rs141252368 C T C EBF1_EBF_1 25 0 + 0 0 . chr17 50631710 50631711 chr17:50631711:T:C rs2214566 T C C EBF1_EBF_1 -10 0 + 0 0 . chr17 50631753 50631754 chr17:50631754:C:T rs34958340 C T C EBF1_EBF_1 33 0 + 0 0 . chr17 50646675 50646676 chr17:50646676:A:C rs34858494 A C C EBF1_EBF_1 11 1 - 8.271619108945304 9.476826521440017 ATTCCCTGGGGTCC chr17 50691967 50691968 chr17:50691968:C:T rs17563146 C T C EBF1_EBF_1 -12 0 - 0 0 . chr17 50708854 50708855 chr17:50708855:T:C rs11651060 T C T EBF1_EBF_1 28 0 - 0 0 . chr17 50764770 50764771 chr17:50764771:G:C rs72839295 G C G EBF1_EBF_1 -5 0 - 0 0 . chr17 50767192 50767193 chr17:50767193:C:T rs571427558 C T C EBF1_EBF_1 -19 0 + 0 0 . chr17 50770170 50770171 chr17:50770171:C:T rs113249766 C T C EBF1_EBF_1 10 1 - 5.846487129196197 0.5284586699292312 ATCTCCATGGGAAT chr17 50797715 50797716 chr17:50797716:C:T rs9807043 C T C EBF1_EBF_1 16 0 - 0 0 . chr17 50797716 50797717 chr17:50797717:A:G rs9807052 A G A EBF1_EBF_1 15 0 - 0 0 . chr17 50837687 50837688 chr17:50837688:C:T rs117269946 C T C EBF1_EBF_1 -11 0 + 0 0 . chr17 50838869 50838870 chr17:50838870:T:C rs7212152 T C C EBF1_EBF_1 -10 0 + 0 0 . chr17 50838888 50838889 chr17:50838889:G:A rs7225619 G A G EBF1_EBF_1 9 1 + 8.182901633308479 5.527962367860875 AGTCCCAGGGGCAT chr17 50845361 50845362 chr17:50845362:C:T rs147170418 C T C EBF1_EBF_1 -1 0 - 0 0 . chr17 50904719 50904720 chr17:50904720:T:C rs117165301 T C T EBF1_EBF_1 -15 0 - 0 0 . chr17 50905015 50905016 chr17:50905016:G:C rs111938187 G C G EBF1_EBF_1 13 1 + 5.55586034258001 7.043931363935425 AGCCCCTAGAGAGG chr17 50905648 50905649 chr17:50905649:C:T rs140888967 C T C EBF1_EBF_1 1 1 - 6.289224942679863 6.028526110758561 CGACCCCTGGGAAC chr17 50918416 50918417 chr17:50918417:A:G rs758633 A G G EBF1_EBF_1 7 1 + 9.363065764810374 8.050607359521548 CTTCCCCAGGGAGA chr17 50931709 50931710 chr17:50931710:C:T rs550621065 C T C EBF1_EBF_1 10 1 - 4.4908227790803315 -0.827205680186635 GCTCCCCGGGGCCA chr17 50944071 50944072 chr17:50944072:A:G rs11658266 A G G EBF1_EBF_1 -20 0 + 0 0 . chr17 50955056 50955057 chr17:50955057:T:C rs11657465 T C T EBF1_EBF_1 30 0 + 0 0 . chr17 50955807 50955808 chr17:50955808:G:A rs1558286 G A G EBF1_EBF_1 0 1 + 5.924243025836322 7.816526895401156 GTCCCCCTGAGAGT chr17 51042001 51042002 chr17:51042002:T:G rs151041388 T G T EBF1_EBF_1 -1 0 - 0 0 . chr17 51091779 51091780 chr17:51091780:T:C rs17572837 T C T EBF1_EBF_1 21 0 - 0 0 . chr17 51120200 51120201 chr17:51120201:C:A rs577180472 C A C EBF1_EBF_1 31 0 - 0 0 . chr17 51121095 51121096 chr17:51121096:A:G rs4427849 A G G EBF1_EBF_1 31 0 - 0 0 . chr17 51121099 51121100 chr17:51121100:G:A rs4514716 G A G EBF1_EBF_1 27 0 - 0 0 . chr17 51153190 51153191 chr17:51153191:T:G rs3760469 T G G EBF1_EBF_1 -1 0 + 0 0 . chr17 51153191 51153192 chr17:51153192:T:C rs12103683 T C T EBF1_EBF_1 0 1 + 7.171107146349133 6.888905085618555 TATCCCTAGGGCCT chr17 51165898 51165899 chr17:51165899:G:A rs930320678 G A G EBF1_EBF_1 29 0 - 0 0 . chr17 51166497 51166498 chr17:51166498:G:A rs115848216 G A G EBF1_EBF_1 -18 0 + 0 0 . chr17 51353402 51353403 chr17:51353403:A:G rs6504708 A G G EBF1_EBF_1 -19 0 - 0 0 . chr17 51374475 51374476 chr17:51374476:A:G rs2159343 A G G EBF1_EBF_1 26 0 + 0 0 . chr17 51403902 51403903 chr17:51403903:A:G rs567510880 A G A EBF1_EBF_1 -14 0 + 0 0 . chr17 51491629 51491630 chr17:51491630:T:C rs4794256 T C C EBF1_EBF_1 6 1 - 6.775265262001339 4.608470830726863 CACCCCAGGGGAGT chr17 51540117 51540118 chr17:51540118:C:T rs12451693 C T C EBF1_EBF_1 26 0 - 0 0 . chr17 51540125 51540126 chr17:51540126:A:G rs9303588 A G A EBF1_EBF_1 18 0 - 0 0 . chr17 51550560 51550561 chr17:51550561:T:A rs9912985 T A T EBF1_EBF_1 0 1 - 8.921310260433714 6.94825383746769 AGCCCCCTGGGAGC chr17 51685982 51685983 chr17:51685983:G:A rs1263952 G A . EBF1_EBF_1 -6 0 - 0 0 . chr17 51711910 51711911 chr17:51711911:A:T rs1455289102 A T A EBF1_EBF_1 27 0 + 0 0 . chr17 52114964 52114965 chr17:52114965:G:A rs7213446 G A G EBF1_EBF_1 -13 0 + 0 0 . chr17 52220546 52220547 chr17:52220547:A:G rs56222435 A G A EBF1_EBF_1 13 1 + 6.197185793664037 5.082860221594598 AGTTCCTAGGGACA chr17 52252613 52252614 chr17:52252614:G:A rs16951211 G A A EBF1_EBF_1 5 1 - 8.17618771971229 2.854400504171619 AACCCCGAGGGAGT chr17 52367070 52367071 chr17:52367071:T:C rs7212568 T C C EBF1_EBF_1 -9 0 + 0 0 . chr17 52380996 52380997 chr17:52380997:G:T rs7225225 G T G EBF1_EBF_1 -8 0 - 0 0 . chr17 52681656 52681657 chr17:52681657:G:C rs9899657 G C C EBF1_EBF_1 8 1 - 7.113724479742212 12.432383340656898 AATCCCAACGGAAA chr17 52790792 52790793 chr17:52790793:G:A chr17:52790793:G:A G A G EBF1_EBF_1 4 1 - 10.255894591009318 5.886507646064395 TGTCCCCTGGGAAC chr17 53275708 53275709 chr17:53275709:A:C rs75261020 A C A EBF1_EBF_1 11 1 + 7.84449088396936 3.4285291861169704 AATCCCCAAGGAAA chr17 53701178 53701179 chr17:53701179:G:T rs16953626 G T T EBF1_EBF_1 14 0 - 0 0 . chr17 53894798 53894799 chr17:53894799:G:A rs17654999 G A G EBF1_EBF_1 33 0 + 0 0 . chr17 53901134 53901135 chr17:53901135:C:A chr17:53901135:C:A C A C EBF1_EBF_1 23 0 + 0 0 . chr17 54265200 54265201 chr17:54265201:G:A rs111690773 G A G EBF1_EBF_1 9 1 + 7.902208414682619 5.2472691492350165 CTTCCCCAGGGTAT chr17 54346060 54346061 chr17:54346061:G:C rs1821531 G C G EBF1_EBF_1 -4 0 + 0 0 . chr17 54626217 54626218 chr17:54626218:C:T rs73310235 C T C EBF1_EBF_1 -14 0 + 0 0 . chr17 54755716 54755717 chr17:54755717:G:A rs9904198 G A G EBF1_EBF_1 8 1 + 9.853426428352419 5.627136339466158 AGTCCCTGGAGACT chr17 54781649 54781650 chr17:54781650:C:A rs2191228 C A A EBF1_EBF_1 4 1 + 6.822009923210857 0.9974502997573893 ACTCCCGTAGGACT chr17 54801701 54801702 chr17:54801702:G:T rs1017099 G T G EBF1_EBF_1 10 1 + 5.509463871085102 1.3267116848578369 AATCCACAGGGACC chr17 54955282 54955283 chr17:54955283:A:G rs35551341 A G G EBF1_EBF_1 25 0 + 0 0 . chr17 54969305 54969306 chr17:54969306:C:A rs7208403 C A C EBF1_EBF_1 2 1 + 5.3673483436837985 3.5717041675270718 AACCCCGTGGGAGG chr17 55061786 55061787 chr17:55061787:C:T rs11651927 C T C EBF1_EBF_1 -1 0 - 0 0 . chr17 55081911 55081912 chr17:55081912:T:C rs2628301 T C C EBF1_EBF_1 -11 0 - 0 0 . chr17 55169270 55169271 chr17:55169271:G:A rs1384196871 G A G EBF1_EBF_1 12 1 + 8.790714189539324 10.2095315316388 ACTCCCACGGGAGA chr17 55329968 55329969 chr17:55329969:G:A rs72834896 G A A EBF1_EBF_1 -8 0 + 0 0 . chr17 55332847 55332848 chr17:55332848:T:C rs72834898 T C C EBF1_EBF_1 27 0 - 0 0 . chr17 55367768 55367769 chr17:55367769:C:T rs9911896 C T C EBF1_EBF_1 11 1 - 5.4332513123142645 8.325958155918276 ATTCCCTGGAGGGC chr17 55421484 55421485 chr17:55421485:C:T rs75646226 C T C EBF1_EBF_1 -14 0 + 0 0 . chr17 55439671 55439672 chr17:55439672:T:C rs371447797 T C T EBF1_EBF_1 16 0 + 0 0 . chr17 55456805 55456806 chr17:55456806:T:G rs76076103 T G G EBF1_EBF_1 -4 0 - 0 0 . chr17 55577911 55577912 chr17:55577912:G:A rs79908670 G A G EBF1_EBF_1 4 1 - 5.9315011623538485 1.5621142174089249 ATTCCCCAGGTGCA chr17 55577932 55577933 chr17:55577933:C:G rs8074391 C G C EBF1_EBF_1 -17 0 - 0 0 . chr17 55701472 55701473 chr17:55701473:T:G rs71387416 T G T EBF1_EBF_1 17 0 + 0 0 . chr17 55770626 55770627 chr17:55770627:A:C rs4303611 A C A EBF1_EBF_1 -2 0 - 0 0 . chr17 55783855 55783856 chr17:55783856:C:T rs12939171 C T C EBF1_EBF_1 -8 0 + 0 0 . chr17 55845734 55845735 chr17:55845735:C:G rs147578961 C G C EBF1_EBF_1 15 0 + 0 0 . chr17 55845751 55845752 chr17:55845752:A:G rs9892859 A G G EBF1_EBF_1 32 0 + 0 0 . chr17 55939169 55939170 chr17:55939170:C:T rs75729430 C T C EBF1_EBF_1 33 0 + 0 0 . chr17 56302293 56302294 chr17:56302294:G:T rs62073048 G T G EBF1_EBF_1 -6 0 - 0 0 . chr17 56418981 56418982 chr17:56418982:G:C rs12051627 G C G EBF1_EBF_1 2 1 - 7.33440215394294 2.474899875184621 ATCCCCCTGAGATC chr17 56511031 56511032 chr17:56511032:C:T rs186058348 C T C EBF1_EBF_1 26 0 + 0 0 . chr17 56592703 56592704 chr17:56592704:A:G chr17:56592704:A:G A G A EBF1_EBF_1 32 0 + 0 0 . chr17 56604865 56604866 chr17:56604866:A:T rs11079243 A T T EBF1_EBF_1 26 0 + 0 0 . chr17 56654181 56654182 chr17:56654182:A:G rs766930568 A G A EBF1_EBF_1 14 0 + 0 0 . chr17 56654200 56654201 chr17:56654201:C:T rs537740839 C T C EBF1_EBF_1 33 0 + 0 0 . chr17 56714461 56714462 chr17:56714462:T:C rs227688 T C C EBF1_EBF_1 7 1 + 8.373247949385911 6.555743203103288 ACCCCCTTGGGGCT chr17 56784741 56784742 chr17:56784742:A:G rs11079259 A G G EBF1_EBF_1 24 0 + 0 0 . chr17 56814519 56814520 chr17:56814520:G:A rs72837357 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 56870607 56870608 chr17:56870608:C:G rs11651323 C G C EBF1_EBF_1 6 1 - 5.715217928935048 7.520409992408246 ATTCCCGAGGGTGA chr17 56870624 56870625 chr17:56870625:A:G rs771679922 A G A EBF1_EBF_1 -11 0 - 0 0 . chr17 56870626 56870627 chr17:56870627:G:C rs4794673 G C G EBF1_EBF_1 -13 0 - 0 0 . chr17 56901953 56901954 chr17:56901954:G:C rs117540241 G C G EBF1_EBF_1 5 1 - 6.604084986967116 -0.30079616566805156 GTTCCCCTAGGATT chr17 56914009 56914010 chr17:56914010:A:G rs7212740 A G G EBF1_EBF_1 -5 0 - 0 0 . chr17 56978992 56978993 chr17:56978993:T:G rs2214565 T G G EBF1_EBF_1 32 0 - 0 0 . chr17 56982966 56982967 chr17:56982967:T:C rs3095493 T C T EBF1_EBF_1 24 0 - 0 0 . chr17 57098087 57098088 chr17:57098088:G:T rs117084696 G T G EBF1_EBF_1 -10 0 + 0 0 . chr17 57109916 57109917 chr17:57109917:G:A rs11079277 G A G EBF1_EBF_1 26 0 - 0 0 . chr17 57110196 57110197 chr17:57110197:C:G rs72828911 C G G EBF1_EBF_1 -5 0 + 0 0 . chr17 57110232 57110233 chr17:57110233:G:A rs115163154 G A G EBF1_EBF_1 31 0 + 0 0 . chr17 57211344 57211345 chr17:57211345:C:T rs34645501 C T C EBF1_EBF_1 24 0 - 0 0 . chr17 57258793 57258794 chr17:57258794:G:A rs8071182 G A G EBF1_EBF_1 28 0 - 0 0 . chr17 57258840 57258841 chr17:57258841:C:G rs8071058 C G G EBF1_EBF_1 -19 0 - 0 0 . chr17 57260533 57260534 chr17:57260534:A:C rs11649830 A C A EBF1_EBF_1 -11 0 - 0 0 . chr17 57276815 57276816 chr17:57276816:C:T rs7215751 C T C EBF1_EBF_1 -10 0 - 0 0 . chr17 57329698 57329699 chr17:57329699:C:T rs73325415 C T C EBF1_EBF_1 10 1 - 5.611550576309083 0.29352211704211734 CCTCACAAGGGACT chr17 57376247 57376248 chr17:57376248:A:C rs1982270 A C A EBF1_EBF_1 13 1 + 6.512385561416115 6.8861310107020905 GATCCCTGGAGAAA chr17 57392300 57392301 chr17:57392301:G:A rs59663173 G A G EBF1_EBF_1 -10 0 - 0 0 . chr17 57438768 57438769 chr17:57438769:A:G rs11867342 A G G EBF1_EBF_1 -19 0 + 0 0 . chr17 57438819 57438820 chr17:57438820:T:C rs11079301 T C T EBF1_EBF_1 32 0 + 0 0 . chr17 57439452 57439453 chr17:57439453:G:A rs12947910 G A g EBF1_EBF_1 -12 0 + 0 0 . chr17 57440594 57440595 chr17:57440595:A:G rs1012752371 A G A EBF1_EBF_1 -16 0 + 0 0 . chr17 57440616 57440617 chr17:57440617:A:G chr17:57440617:A:G A G A EBF1_EBF_1 6 1 + 5.495046125691543 3.3282516944170677 GCTGCCATGGGACT chr17 57440952 57440953 chr17:57440953:G:A rs75288118 G A G EBF1_EBF_1 33 0 + 0 0 . chr17 57441352 57441353 chr17:57441353:C:A rs77692559 C A C EBF1_EBF_1 8 1 - 10.4098436292117 3.5184108402345062 CCTCCCAAGGGATT chr17 57484732 57484733 chr17:57484733:G:T rs277060 G T G EBF1_EBF_1 27 0 + 0 0 . chr17 57522840 57522841 chr17:57522841:G:T rs56947983 G T T EBF1_EBF_1 23 0 - 0 0 . chr17 57547065 57547066 chr17:57547066:A:G rs7211027 A G G EBF1_EBF_1 12 1 + 5.86722686506927 4.448409522969793 CCTCCCTGAGGAAT chr17 57557521 57557522 chr17:57557522:T:G rs8079649 T G G EBF1_EBF_1 30 0 - 0 0 . chr17 57578570 57578571 chr17:57578571:G:C rs72833096 G C G EBF1_EBF_1 -10 0 - 0 0 . chr17 57590103 57590104 chr17:57590104:A:C rs17761974 A C A EBF1_EBF_1 -7 0 - 0 0 . chr17 57605695 57605696 chr17:57605696:T:A chr17:57605696:T:A T A T EBF1_EBF_1 -19 0 + 0 0 . chr17 57619755 57619756 chr17:57619756:C:T rs79368426 C T C EBF1_EBF_1 18 0 - 0 0 . chr17 57707749 57707750 chr17:57707750:C:T rs116643288 C T C EBF1_EBF_1 7 1 + 6.084816844315427 7.90232159059805 AGTCCCTCGGGCTT chr17 57727937 57727938 chr17:57727938:G:T rs16942253 G T G EBF1_EBF_1 10 1 + 5.276446376333045 1.0936941901057802 CCTCCTCTGGGACT chr17 57761219 57761220 chr17:57761220:G:A rs78619535 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 57850410 57850411 chr17:57850411:G:A rs73992323 G A G EBF1_EBF_1 5 1 - 6.7349181171192205 1.4131309015785503 GCTCCCCTAGGACT chr17 57860847 57860848 chr17:57860848:C:T rs534151710 C T C EBF1_EBF_1 28 0 + 0 0 . chr17 57881122 57881123 chr17:57881123:C:G rs73992336 C G C EBF1_EBF_1 0 1 + 4.893377380457143 5.25635199458891 CCTCCCAGGGGCAC chr17 57881145 57881146 chr17:57881146:A:T rs1215819895 A T A EBF1_EBF_1 23 0 + 0 0 . chr17 57886444 57886445 chr17:57886445:G:A rs2685507 G A G EBF1_EBF_1 10 1 + 5.151389711981758 -0.16663874728520778 GAACCCCGGGGACA chr17 57889425 57889426 chr17:57889426:G:A rs2012327 G A G EBF1_EBF_1 -4 0 - 0 0 . chr17 57898987 57898988 chr17:57898988:G:A rs76717358 G A G EBF1_EBF_1 30 0 + 0 0 . chr17 57908441 57908442 chr17:57908442:G:A rs79359914 G A G EBF1_EBF_1 -6 0 + 0 0 . chr17 57912801 57912802 chr17:57912802:A:T rs11655699 A T A EBF1_EBF_1 31 0 - 0 0 . chr17 57915849 57915850 chr17:57915850:T:C rs112368900 T C T EBF1_EBF_1 -5 0 - 0 0 . chr17 57925429 57925430 chr17:57925430:C:G rs8082319 C G G EBF1_EBF_1 -7 0 + 0 0 . chr17 57927306 57927307 chr17:57927307:C:T rs78922630 C T C EBF1_EBF_1 7 1 + 6.398702930869535 8.21620767715216 CTCCCCCCGGGAGT chr17 57930443 57930444 chr17:57930444:T:A rs28420469 T A T EBF1_EBF_1 33 0 - 0 0 . chr17 57941220 57941221 chr17:57941221:C:T rs115141686 C T C EBF1_EBF_1 -6 0 - 0 0 . chr17 57942074 57942075 chr17:57942075:C:T rs77469926 C T C EBF1_EBF_1 19 0 + 0 0 . chr17 57945010 57945011 chr17:57945011:G:A rs76349908 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 57954633 57954634 chr17:57954634:G:A rs879642025 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 58111922 58111923 chr17:58111923:T:A rs181223 T A A EBF1_EBF_1 -1 0 + 0 0 . chr17 58172539 58172540 chr17:58172540:A:G chr17:58172540:A:G A G A EBF1_EBF_1 15 0 + 0 0 . chr17 58182767 58182768 chr17:58182768:C:T rs9889505 C T T EBF1_EBF_1 30 0 + 0 0 . chr17 58281522 58281523 chr17:58281523:A:G rs2243828 A G A EBF1_EBF_1 19 0 - 0 0 . chr17 58281857 58281858 chr17:58281858:C:T rs182370673 C T C EBF1_EBF_1 -6 0 - 0 0 . chr17 58284721 58284722 chr17:58284722:A:G rs185239133 A G A EBF1_EBF_1 22 0 - 0 0 . chr17 58300705 58300706 chr17:58300706:G:A rs12451466 G A G EBF1_EBF_1 28 0 + 0 0 . chr17 58302679 58302680 chr17:58302680:G:A rs72839980 G A G EBF1_EBF_1 -8 0 - 0 0 . chr17 58308635 58308636 chr17:58308636:G:A rs61732758 G A G EBF1_EBF_1 -20 0 + 0 0 . chr17 58310994 58310995 chr17:58310995:C:T rs61745692 C T C EBF1_EBF_1 -14 0 - 0 0 . chr17 58311535 58311536 chr17:58311536:C:T rs115568020 C T C EBF1_EBF_1 -5 0 + 0 0 . chr17 58315576 58315577 chr17:58315577:T:C rs72839991 T C T EBF1_EBF_1 -9 0 + 0 0 . chr17 58326716 58326717 chr17:58326717:C:T rs765140985 C T C EBF1_EBF_1 -11 0 + 0 0 . chr17 58327240 58327241 chr17:58327241:C:G rs1250184776 C G C EBF1_EBF_1 -13 0 + 0 0 . chr17 58327263 58327264 chr17:58327264:G:C rs11656162 G C G EBF1_EBF_1 10 1 + 6.487471034888943 -0.4033313524405324 AGCCCCTAAGGACA chr17 58513645 58513646 chr17:58513646:G:A rs11869483 G A G EBF1_EBF_1 7 1 - 5.100188987560044 6.9176937338426665 ATCCCCCCGGGTCC chr17 58519259 58519260 chr17:58519260:G:A rs62082152 G A G EBF1_EBF_1 31 0 + 0 0 . chr17 58529553 58529554 chr17:58529554:C:T rs9901693 C T C EBF1_EBF_1 -18 0 + 0 0 . chr17 58534509 58534510 chr17:58534510:G:C rs2003537 G C C EBF1_EBF_1 4 1 - 6.421849730216978 0.7960846290674346 AATCCCCAGTGACT chr17 58540668 58540669 chr17:58540669:C:T rs8073754 C T C EBF1_EBF_1 23 0 + 0 0 . chr17 59178611 59178612 chr17:59178612:T:C rs11079369 T C C EBF1_EBF_1 13 1 - 7.280170174011244 6.165844601941805 TTCCCCCTGGGAGA chr17 59199201 59199202 chr17:59199202:G:C rs493740 G C G EBF1_EBF_1 32 0 + 0 0 . chr17 59342714 59342715 chr17:59342715:C:A rs117182204 C A C EBF1_EBF_1 -13 0 - 0 0 . chr17 59344304 59344305 chr17:59344305:A:G rs9893761 A G a EBF1_EBF_1 -17 0 - 0 0 . chr17 59374006 59374007 chr17:59374007:A:G rs1055859867 A G A EBF1_EBF_1 26 0 - 0 0 . chr17 59415537 59415538 chr17:59415538:C:G rs73325196 C G G EBF1_EBF_1 8 1 - 7.4355351397855625 2.1168762788708766 TGTCCCCAGGGGCA chr17 59423525 59423526 chr17:59423526:C:T rs112569844 C T C EBF1_EBF_1 -10 0 - 0 0 . chr17 59428223 59428224 chr17:59428224:G:C rs73327008 G C G EBF1_EBF_1 26 0 - 0 0 . chr17 59428242 59428243 chr17:59428243:G:A rs764424 G A G EBF1_EBF_1 7 1 - 4.949450566334464 6.766955312617088 CACCCCACGGGAGC chr17 59441740 59441741 chr17:59441741:C:G rs117730224 C G C EBF1_EBF_1 -16 0 - 0 0 . chr17 59570818 59570819 chr17:59570819:A:C rs58077324 A C A EBF1_EBF_1 -6 0 - 0 0 . chr17 59619488 59619489 chr17:59619489:C:T rs757610468 C T C EBF1_EBF_1 -6 0 + 0 0 . chr17 59619493 59619494 chr17:59619494:C:A rs559623948 C A C EBF1_EBF_1 -1 0 + 0 0 . chr17 59620846 59620847 chr17:59620847:C:G rs1528492 C G G EBF1_EBF_1 -7 0 + 0 0 . chr17 59829489 59829490 chr17:59829490:T:C rs1200108598 T C T EBF1_EBF_1 -8 0 + 0 0 . chr17 59829501 59829502 chr17:59829502:C:G rs117319837 C G C EBF1_EBF_1 4 1 + 10.439195935212089 4.813430834062545 GGTCCCCAGGGAAA chr17 59838803 59838804 chr17:59838804:G:A rs62081825 G A G EBF1_EBF_1 10 1 + 6.369512267356526 1.0514838080895599 TCACCCATGGGAAA chr17 59893318 59893319 chr17:59893319:G:A rs56376112 G A G EBF1_EBF_1 31 0 - 0 0 . chr17 59893417 59893418 chr17:59893418:C:T rs564232759 C T C EBF1_EBF_1 8 1 - 4.9744705384646055 0.7481804495783448 GCCCCCCTGGGTCT chr17 60006574 60006575 chr17:60006575:T:C rs546201151 T C . EBF1_EBF_1 18 0 - 0 0 . chr17 60037706 60037707 chr17:60037707:A:C rs9897112 A C A EBF1_EBF_1 25 0 + 0 0 . chr17 60078927 60078928 chr17:60078928:G:C rs749416141 G C G EBF1_EBF_1 31 0 - 0 0 . chr17 60078968 60078969 chr17:60078969:A:G rs1078378 A G G EBF1_EBF_1 -10 0 - 0 0 . chr17 60141779 60141780 chr17:60141780:T:C rs2639692 T C - EBF1_EBF_1 15 0 - 0 0 . chr17 60154690 60154691 chr17:60154691:G:A rs74616492 G A - EBF1_EBF_1 -10 0 + 0 0 . chr17 60678160 60678161 chr17:60678161:T:G rs976436074 T G T EBF1_EBF_1 -2 0 + 0 0 . chr17 60712933 60712934 chr17:60712934:T:C rs7213766 T C T EBF1_EBF_1 -1 0 - 0 0 . chr17 61286195 61286196 chr17:61286196:T:G rs3785860 T G T EBF1_EBF_1 19 0 - 0 0 . chr17 61315623 61315624 chr17:61315624:A:G rs758468 A G G EBF1_EBF_1 7 1 + 7.320191458374807 6.007733053085982 TGTCCCCAAGGACT chr17 61322890 61322891 chr17:61322891:G:C rs77582326 G C g EBF1_EBF_1 12 1 - 5.335069651732524 3.946433708054372 TCTCCCAGAGGACC chr17 61338690 61338691 chr17:61338691:C:T rs367591776 C T C EBF1_EBF_1 -9 0 - 0 0 . chr17 61339105 61339106 chr17:61339106:A:G rs3843245 A G G EBF1_EBF_1 -10 0 + 0 0 . chr17 61366447 61366448 chr17:61366448:C:T chr17:61366448:C:T C T C EBF1_EBF_1 26 0 - 0 0 . chr17 61377739 61377740 chr17:61377740:G:A rs929471 G A G EBF1_EBF_1 9 1 + 8.793041528400517 6.138102262952914 CCTCCCGTGGGACT chr17 61396223 61396224 chr17:61396224:G:T rs150021789 G T G EBF1_EBF_1 -18 0 + 0 0 . chr17 61401512 61401513 chr17:61401513:C:A rs563333220 C A C EBF1_EBF_1 -7 0 - 0 0 . chr17 61403734 61403735 chr17:61403735:T:C rs28696765 T C T EBF1_EBF_1 -12 0 - 0 0 . chr17 61408723 61408724 chr17:61408724:G:T rs59382073 G T G EBF1_EBF_1 -2 0 - 0 0 . chr17 61411687 61411688 chr17:61411688:T:C rs1251158001 T C T EBF1_EBF_1 18 0 - 0 0 . chr17 61414627 61414628 chr17:61414628:C:T rs8064242 C T C EBF1_EBF_1 9 1 - 4.364767147738703 1.7098278822910986 CCTCCCCCGGGCCT chr17 61457929 61457930 chr17:61457930:G:A rs4968565 G A G EBF1_EBF_1 4 1 - 4.497627424842046 0.12824047989712029 CCCCCCAGGGGGCC chr17 61462967 61462968 chr17:61462968:G:C rs147203808 G C G EBF1_EBF_1 19 0 - 0 0 . chr17 61479561 61479562 chr17:61479562:G:A rs3785822 G A A EBF1_EBF_1 -17 0 - 0 0 . chr17 61482146 61482147 chr17:61482147:T:A rs3785821 T A T EBF1_EBF_1 -1 0 - 0 0 . chr17 61504238 61504239 chr17:61504239:C:T rs12601646 C T C EBF1_EBF_1 18 0 + 0 0 . chr17 61784720 61784721 chr17:61784721:G:A rs72842992 G A G EBF1_EBF_1 16 0 + 0 0 . chr17 61784737 61784738 chr17:61784738:G:A rs8078977 G A G EBF1_EBF_1 33 0 + 0 0 . chr17 62178522 62178523 chr17:62178523:C:T rs7207144 C T T EBF1_EBF_1 5 1 + 6.200574711060626 0.8787874955199574 GTTCCCCAGGAAAC chr17 62470914 62470915 chr17:62470915:G:A rs748495701 G A - EBF1_EBF_1 31 0 + 0 0 . chr17 62477638 62477639 chr17:62477639:T:C rs143417313 T C t EBF1_EBF_1 20 0 + 0 0 . chr17 62652917 62652918 chr17:62652918:G:T rs566130218 G T G EBF1_EBF_1 14 0 - 0 0 . chr17 62688958 62688959 chr17:62688959:G:A rs144406119 G A G EBF1_EBF_1 -14 0 + 0 0 . chr17 62692945 62692946 chr17:62692946:C:T rs542872460 C T C EBF1_EBF_1 14 0 - 0 0 . chr17 62695195 62695196 chr17:62695196:C:T rs544193445 C T C EBF1_EBF_1 -1 0 - 0 0 . chr17 62919682 62919683 chr17:62919683:A:G rs62076576 A G G EBF1_EBF_1 -20 0 - 0 0 . chr17 62966680 62966681 chr17:62966681:C:T rs1038236267 C T C EBF1_EBF_1 -13 0 + 0 0 . chr17 62966716 62966717 chr17:62966717:C:T rs569427058 C T C EBF1_EBF_1 23 0 + 0 0 . chr17 62967188 62967189 chr17:62967189:A:T rs72839495 A T A EBF1_EBF_1 -9 0 + 0 0 . chr17 63083405 63083406 chr17:63083406:C:T rs9916518 C T T EBF1_EBF_1 3 1 + 5.420024287213174 -0.9853744543401431 ATCCGCCTGGGAAC chr17 63288220 63288221 chr17:63288221:C:T rs2927295 C T C EBF1_EBF_1 17 0 - 0 0 . chr17 63425633 63425634 chr17:63425634:G:A rs77971529 G A G EBF1_EBF_1 8 1 + 7.737007979556401 3.5107178906701373 ATCCCCTCGGGAGA chr17 63433490 63433491 chr17:63433491:G:A rs374086154 G A G EBF1_EBF_1 2 1 - 8.261733475093372 10.150556886535519 AGCCCCCTGGGGCT chr17 63434358 63434359 chr17:63434359:G:C rs73327747 G C G EBF1_EBF_1 -19 0 + 0 0 . chr17 63440880 63440881 chr17:63440881:G:T rs2286572 G T G EBF1_EBF_1 -20 0 + 0 0 . chr17 63442595 63442596 chr17:63442596:C:T rs185119342 C T C EBF1_EBF_1 3 1 + 5.515822889028243 -0.889575852525075 ACCCCCAAGGGCTC chr17 63479654 63479655 chr17:63479655:C:T rs9911359 C T C EBF1_EBF_1 -2 0 - 0 0 . chr17 63483624 63483625 chr17:63483625:A:T rs4312 A T A EBF1_EBF_1 -13 0 + 0 0 . chr17 63483655 63483656 chr17:63483656:C:T rs4313 C T C EBF1_EBF_1 18 0 + 0 0 . chr17 63484960 63484961 chr17:63484961:T:C rs4317 T C C EBF1_EBF_1 -16 0 + 0 0 . chr17 63494090 63494091 chr17:63494091:C:T rs4354 C T c EBF1_EBF_1 14 0 + 0 0 . chr17 63497951 63497952 chr17:63497952:C:A rs74979666 C A C EBF1_EBF_1 0 1 + 5.932444645511968 8.187703129208568 CCCCCCAGGGGAAG chr17 63527374 63527375 chr17:63527375:A:G rs12941370 A G A EBF1_EBF_1 -15 0 - 0 0 . chr17 63531343 63531344 chr17:63531344:G:C rs11655956 G C G EBF1_EBF_1 27 0 - 0 0 . chr17 63543968 63543969 chr17:63543969:C:T rs76957877 C T C EBF1_EBF_1 10 1 - 5.268871809278988 -0.04915664998797846 ACTGCCCAGGGAGC chr17 63568745 63568746 chr17:63568746:G:C rs112873389 G C g EBF1_EBF_1 -1 0 - 0 0 . chr17 63568751 63568752 chr17:63568752:G:A rs16946988 G A g EBF1_EBF_1 -7 0 - 0 0 . chr17 63631784 63631785 chr17:63631785:A:G rs6504169 A G A EBF1_EBF_1 -18 0 + 0 0 . chr17 63631807 63631808 chr17:63631808:C:T rs6504170 C T T EBF1_EBF_1 5 1 + 7.946264311842559 2.624477096301889 TTCCCCATGGGAAG chr17 63699510 63699511 chr17:63699511:C:G rs752974791 C G C EBF1_EBF_1 -7 0 + 0 0 . chr17 63699513 63699514 chr17:63699514:C:A rs371207166 C A C EBF1_EBF_1 -4 0 + 0 0 . chr17 63701328 63701329 chr17:63701329:A:C rs11542436 A C C EBF1_EBF_1 11 1 + 6.049758011429037 1.6337963135766471 CTTCCCCAGCGACC chr17 63774390 63774391 chr17:63774391:T:G rs3760254 T G G EBF1_EBF_1 33 0 - 0 0 . chr17 63834616 63834617 chr17:63834617:C:T rs9914042 C T C EBF1_EBF_1 -13 0 + 0 0 . chr17 63837965 63837966 chr17:63837966:G:A rs2028567 G A A EBF1_EBF_1 4 1 - 6.172358222666128 1.8029712777212052 CTTGCCCAGGGAAT chr17 63842362 63842363 chr17:63842363:A:G rs2665799 A G A EBF1_EBF_1 0 1 + 8.964743943618709 7.072460074053876 ATTCCCCAGGGCCC chr17 63842603 63842604 chr17:63842604:G:A rs547749828 G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 63844638 63844639 chr17:63844639:G:T rs2727323 G T g EBF1_EBF_1 5 1 - 6.792795145986209 -0.11208600664895818 CTTCCCAGAGGACT chr17 63874475 63874476 chr17:63874476:G:T rs2665804 G T - EBF1_EBF_1 19 0 - 0 0 . chr17 63874499 63874500 chr17:63874500:C:T rs2665803 C T - EBF1_EBF_1 -5 0 - 0 0 . chr17 63882542 63882543 chr17:63882543:G:A rs187101188 G A t EBF1_EBF_1 26 0 - 0 0 . chr17 63897186 63897187 chr17:63897187:C:T rs559486640 C T C EBF1_EBF_1 29 0 - 0 0 . chr17 63897214 63897215 chr17:63897215:T:C rs2727341 T C C EBF1_EBF_1 1 1 - 10.159086540023667 10.41978537194497 CATCCCCAGGGACC chr17 63899582 63899583 chr17:63899583:G:C rs115805411 G C G EBF1_EBF_1 -12 0 + 0 0 . chr17 63913526 63913527 chr17:63913527:C:G rs114720500 C G A EBF1_EBF_1 27 0 + 0 0 . chr17 63919461 63919462 chr17:63919462:C:A rs373513414 C A C EBF1_EBF_1 8 1 - 10.41978537194497 3.528352582967777 CGTCCCCAGGGACC chr17 63954509 63954510 chr17:63954510:G:A rs149731337 G A G EBF1_EBF_1 28 0 - 0 0 . chr17 63980371 63980372 chr17:63980372:T:G rs9902270 T G T EBF1_EBF_1 2 1 + 5.075549092813851 -1.6727765973866178 AGTCCCGTGGAACC chr17 63990633 63990634 chr17:63990634:T:A rs193253573 T A T EBF1_EBF_1 -5 0 - 0 0 . chr17 64073880 64073881 chr17:64073881:C:A rs74456684 C A C EBF1_EBF_1 -17 0 + 0 0 . chr17 64075870 64075871 chr17:64075871:G:C rs2077041 G C G EBF1_EBF_1 -1 0 - 0 0 . chr17 64154797 64154798 chr17:64154798:T:G rs147185315 T G T EBF1_EBF_1 -1 0 + 0 0 . chr17 64154804 64154805 chr17:64154805:T:C rs118141221 T C T EBF1_EBF_1 6 1 + 7.700443726866283 7.3991575980045265 GATCCCTGGAGACT chr17 64180047 64180048 chr17:64180048:T:C rs9896682 T C C EBF1_EBF_1 14 0 + 0 0 . chr17 64216718 64216719 chr17:64216719:C:G rs555057848 C G C EBF1_EBF_1 -14 0 - 0 0 . chr17 64216857 64216858 chr17:64216858:C:T rs146475430 C T C EBF1_EBF_1 8 1 - 5.085081803826876 0.8587917149406139 TCTCCCTGGGGCCC chr17 64265308 64265309 chr17:64265309:G:A rs73329544 G A A EBF1_EBF_1 -6 0 + 0 0 . chr17 64265339 64265340 chr17:64265340:T:A rs73329545 T A T EBF1_EBF_1 25 0 + 0 0 . chr17 64282283 64282284 chr17:64282284:T:G rs150218152 T G T EBF1_EBF_1 -15 0 + 0 0 . chr17 64282711 64282712 chr17:64282712:C:T rs57584670 C T C EBF1_EBF_1 23 0 - 0 0 . chr17 64282727 64282728 chr17:64282728:T:C rs4968715 T C C EBF1_EBF_1 7 1 - 6.106099456303048 4.793641051014223 GATCCCCAGGGCCC chr17 64329157 64329158 chr17:64329158:T:C rs28528314 T C T EBF1_EBF_1 8 1 - 7.773832581895084 12.000122670781344 CTTCCCCAAGGAAT chr17 64334224 64334225 chr17:64334225:C:T rs73341028 C T T EBF1_EBF_1 7 1 + 5.591557722133025 7.409062468415648 AGTCCCCCGGGCCA chr17 64334250 64334251 chr17:64334251:C:T rs8066504 C T C EBF1_EBF_1 33 0 + 0 0 . chr17 64346266 64346267 chr17:64346267:T:G rs752370509 T G T EBF1_EBF_1 -8 0 + 0 0 . chr17 64346290 64346291 chr17:64346291:G:T rs118200528 G T G EBF1_EBF_1 16 0 + 0 0 . chr17 64546897 64546898 chr17:64546898:C:A rs1190554721 C A C EBF1_EBF_1 4 1 + 8.441619910698853 2.6170602872453834 TCCCCCCAGGGATT chr17 64679803 64679804 chr17:64679804:G:A rs774152197 G A G EBF1_EBF_1 7 1 - 6.783455442385827 8.60096018866845 CTTCCCCCGGGGCT chr17 64732806 64732807 chr17:64732807:G:A rs762818327 G A . EBF1_EBF_1 27 0 + 0 0 . chr17 64821145 64821146 chr17:64821146:C:T rs7225193 C T C EBF1_EBF_1 29 0 - 0 0 . chr17 64837693 64837694 chr17:64837694:C:T rs189661883 C T . EBF1_EBF_1 17 0 + 0 0 . chr17 64919825 64919826 chr17:64919826:A:C rs113265565 A C . EBF1_EBF_1 23 0 + 0 0 . chr17 64919834 64919835 chr17:64919835:G:A rs553895885 G A . EBF1_EBF_1 32 0 + 0 0 . chr17 64938921 64938922 chr17:64938922:C:T rs16959293 C T T EBF1_EBF_1 -14 0 - 0 0 . chr17 64994638 64994639 chr17:64994639:G:A rs9910274 G A G EBF1_EBF_1 13 1 + 6.982436870971482 8.096762443040921 CTTCCCCAGAGACG chr17 64998673 64998674 chr17:64998674:A:C rs74852308 A C A EBF1_EBF_1 -10 0 - 0 0 . chr17 65058302 65058303 chr17:65058303:A:C rs79408343 A C A EBF1_EBF_1 21 0 - 0 0 . chr17 65073164 65073165 chr17:65073165:C:T rs34197123 C T C EBF1_EBF_1 19 0 - 0 0 . chr17 65073168 65073169 chr17:65073169:A:G rs9891038 A G A EBF1_EBF_1 15 0 - 0 0 . chr17 65144737 65144738 chr17:65144738:G:A rs73345887 G A G EBF1_EBF_1 18 0 + 0 0 . chr17 65160728 65160729 chr17:65160729:A:G rs8074507 A G A EBF1_EBF_1 13 1 + 7.4355351397855625 6.3212095677161235 TGTCCCCAGGGGCA chr17 65173531 65173532 chr17:65173532:C:T rs117299101 C T C EBF1_EBF_1 5 1 + 6.648069389368492 1.3262821738278214 ACACCCAAGGGATG chr17 65201801 65201802 chr17:65201802:T:C rs2292593 T C T EBF1_EBF_1 -7 0 + 0 0 . chr17 65227882 65227883 chr17:65227883:G:A rs2869586 G A A EBF1_EBF_1 -6 0 - 0 0 . chr17 65244563 65244564 chr17:65244564:C:T rs74892079 C T T EBF1_EBF_1 8 1 - 8.197750754686421 3.9714606658001594 AGTCCTCAGGGACT chr17 65305103 65305104 chr17:65305104:G:C rs7225676 G C C EBF1_EBF_1 0 1 - 5.294837539969372 5.657812154101139 CGTCCCAAGGGTGC chr17 65312512 65312513 chr17:65312513:T:C rs9904448 T C c EBF1_EBF_1 23 0 + 0 0 . chr17 65389807 65389808 chr17:65389808:C:T rs77657763 C T C EBF1_EBF_1 -3 0 + 0 0 . chr17 65447121 65447122 chr17:65447122:G:A rs7224031 G A G EBF1_EBF_1 22 0 + 0 0 . chr17 65516649 65516650 chr17:65516650:G:C rs9916199 G C G EBF1_EBF_1 2 1 - 8.138901024907828 3.279398746149508 ATCCCCCTGGGAGG chr17 65531101 65531102 chr17:65531102:G:A rs4074947 G A G EBF1_EBF_1 26 0 - 0 0 . chr17 65533702 65533703 chr17:65533703:C:T rs11651031 C T C EBF1_EBF_1 20 0 + 0 0 . chr17 65560518 65560519 chr17:65560519:A:G rs144740217 A G A EBF1_EBF_1 30 0 - 0 0 . chr17 65561594 65561595 chr17:65561595:T:A rs1048485136 T A T EBF1_EBF_1 2 1 + 5.401786067563808 1.717318479964931 ACTCCCCCGGGCCA chr17 65562027 65562028 chr17:65562028:T:C rs147393808 T C T EBF1_EBF_1 -20 0 - 0 0 . chr17 65667875 65667876 chr17:65667876:G:A rs4791139 G A G EBF1_EBF_1 -9 0 + 0 0 . chr17 65777445 65777446 chr17:65777446:G:A rs1541609 G A A EBF1_EBF_1 -15 0 + 0 0 . chr17 65931393 65931394 chr17:65931394:A:G rs9898017 A G A EBF1_EBF_1 -19 0 + 0 0 . chr17 65953719 65953720 chr17:65953720:T:G rs1596320 T G G EBF1_EBF_1 -14 0 + 0 0 . chr17 65954265 65954266 chr17:65954266:C:G rs12947016 C G C EBF1_EBF_1 33 0 - 0 0 . chr17 65954268 65954269 chr17:65954269:C:T rs1584042 C T C EBF1_EBF_1 30 0 - 0 0 . chr17 65959683 65959684 chr17:65959684:T:C rs2016053 T C C EBF1_EBF_1 15 0 + 0 0 . chr17 66014283 66014284 chr17:66014284:C:T rs67847886 C T C EBF1_EBF_1 28 0 - 0 0 . chr17 66262932 66262933 chr17:66262933:C:T rs11656217 C T C EBF1_EBF_1 -16 0 + 0 0 . chr17 66262953 66262954 chr17:66262954:C:T rs78830189 C T T EBF1_EBF_1 5 1 + 6.815451175861496 1.4936639603208266 GTTCCCCAGAGGAT chr17 66304162 66304163 chr17:66304163:T:C rs12945884 T C T EBF1_EBF_1 0 1 - 10.78622044419942 8.893936574634587 AGCCCCCAGGGACC chr17 66387748 66387749 chr17:66387749:T:A rs28541858 T A T EBF1_EBF_1 -12 0 - 0 0 . chr17 66503229 66503230 chr17:66503230:C:T rs56048603 C T C EBF1_EBF_1 26 0 - 0 0 . chr17 66522205 66522206 chr17:66522206:G:T rs73996243 G T G EBF1_EBF_1 3 1 - 7.2457634852163455 0.3395894557505384 TCTCCCCAGGGGCA chr17 66550305 66550306 chr17:66550306:G:C rs190018185 G C G EBF1_EBF_1 -16 0 - 0 0 . chr17 66578310 66578311 chr17:66578311:C:A rs72845931 C A C EBF1_EBF_1 9 1 - 5.752680118652428 -1.084309289436382 AAACCCATGGGGAC chr17 66579015 66579016 chr17:66579016:G:A rs56292749 G A G EBF1_EBF_1 15 0 - 0 0 . chr17 66654007 66654008 chr17:66654008:T:C rs9915719 T C T EBF1_EBF_1 18 0 - 0 0 . chr17 66674159 66674160 chr17:66674160:G:A rs73997132 G A G EBF1_EBF_1 -7 0 - 0 0 . chr17 66734698 66734699 chr17:66734699:C:G rs61760356 C G C EBF1_EBF_1 -5 0 - 0 0 . chr17 66739887 66739888 chr17:66739888:A:G rs61761466 A G A EBF1_EBF_1 16 0 - 0 0 . chr17 66822294 66822295 chr17:66822295:G:A rs56080516 G A g EBF1_EBF_1 22 0 + 0 0 . chr17 66824374 66824375 chr17:66824375:C:T rs72838648 C T c EBF1_EBF_1 17 0 - 0 0 . chr17 66850906 66850907 chr17:66850907:C:T rs117823639 C T C EBF1_EBF_1 26 0 + 0 0 . chr17 66858702 66858703 chr17:66858703:A:G rs1050008239 A G A EBF1_EBF_1 -4 0 + 0 0 . chr17 66858737 66858738 chr17:66858738:C:A rs58588637 C A C EBF1_EBF_1 31 0 + 0 0 . chr17 66893695 66893696 chr17:66893696:C:T rs79063765 C T C EBF1_EBF_1 28 0 + 0 0 . chr17 66893994 66893995 chr17:66893995:G:A rs874368 G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 66905648 66905649 chr17:66905649:T:G rs141953103 T G T EBF1_EBF_1 -13 0 + 0 0 . chr17 66912518 66912519 chr17:66912519:A:C rs149688634 A C A EBF1_EBF_1 -13 0 - 0 0 . chr17 66965505 66965506 chr17:66965506:G:T rs1048362834 G T g EBF1_EBF_1 7 1 + 4.602091355834615 5.438275521035883 ACCCCCGGGGGAGG chr17 66973885 66973886 chr17:66973886:A:G rs3826347 A G A EBF1_EBF_1 6 1 + 9.566765206880584 7.399970775606109 ATCCCCATGAGACT chr17 66997473 66997474 chr17:66997474:T:A rs113277844 T A T EBF1_EBF_1 11 1 - 8.472882685448868 4.374968429350143 CATCCCCGGGGACA chr17 67017868 67017869 chr17:67017869:G:A rs35835247 G A A EBF1_EBF_1 -9 0 - 0 0 . chr17 67033147 67033148 chr17:67033148:C:T rs3803815 C T C EBF1_EBF_1 23 0 - 0 0 . chr17 67033561 67033562 chr17:67033562:G:A rs9912868 G A G EBF1_EBF_1 -11 0 - 0 0 . chr17 67056475 67056476 chr17:67056476:A:G rs76510674 A G A EBF1_EBF_1 -20 0 - 0 0 . chr17 67121374 67121375 chr17:67121375:T:G rs142689884 T G T EBF1_EBF_1 21 0 + 0 0 . chr17 67245091 67245092 chr17:67245092:C:G rs894022693 C G C EBF1_EBF_1 13 1 + 4.873980102961174 3.3859090816057593 CCTCCCCGGAGAGC chr17 67246724 67246725 chr17:67246725:G:A rs140520141 G A G EBF1_EBF_1 -16 0 - 0 0 . chr17 67293538 67293539 chr17:67293539:C:T rs145880861 C T C EBF1_EBF_1 -16 0 + 0 0 . chr17 67327417 67327418 chr17:67327418:G:A rs57618638 G A G EBF1_EBF_1 -13 0 + 0 0 . chr17 67328899 67328900 chr17:67328900:T:C rs4455006 T C T EBF1_EBF_1 4 1 - 7.037593855927183 7.236388378231106 ATTCACTTGGGAAA chr17 67376993 67376994 chr17:67376994:C:T rs544542916 C T C EBF1_EBF_1 9 1 - 6.945060524652455 4.290121259204851 TGACCCTAGGGACA chr17 67403140 67403141 chr17:67403141:A:G rs150048125 A G A EBF1_EBF_1 6 1 + 9.883240547917534 7.716446116643062 AATCCCAAGGGGCC chr17 67490728 67490729 chr17:67490729:A:C rs2916136 A C A EBF1_EBF_1 14 0 + 0 0 . chr17 67574786 67574787 chr17:67574787:A:G rs12951174 A G A EBF1_EBF_1 6 1 - 8.802556526896883 8.501270398035128 ATCCCCTTGGGATG chr17 67578398 67578399 chr17:67578399:G:T rs916005678 G T G EBF1_EBF_1 -4 0 + 0 0 . chr17 67636537 67636538 chr17:67636538:G:T rs2706686 G T T EBF1_EBF_1 26 0 + 0 0 . chr17 67795601 67795602 chr17:67795602:A:G rs12453450 A G A EBF1_EBF_1 0 1 + 7.334397368727143 5.44211349916231 ATTCCCCAGGCACT chr17 67994580 67994581 chr17:67994581:A:G rs545901233 A G A EBF1_EBF_1 -17 0 + 0 0 . chr17 68101889 68101890 chr17:68101890:G:T rs150250680 G T G EBF1_EBF_1 -5 0 + 0 0 . chr17 68101898 68101899 chr17:68101899:G:T rs560712890 G T G EBF1_EBF_1 4 1 + 4.786709893763902 6.043088049968523 AGCCGCCAGGGACA chr17 68101907 68101908 chr17:68101908:A:T rs541230927 A T A EBF1_EBF_1 13 1 + 4.786709893763902 6.004949457635396 AGCCGCCAGGGACA chr17 68174369 68174370 chr17:68174370:C:T rs80150557 C T C EBF1_EBF_1 3 1 + 4.621034207310064 -1.784364534243255 GGCCCCTTGGGTCC chr17 68176467 68176468 chr17:68176468:A:G rs12949006 A G G EBF1_EBF_1 -18 0 - 0 0 . chr17 68182835 68182836 chr17:68182836:A:G rs73340753 A G A EBF1_EBF_1 -3 0 + 0 0 . chr17 68182854 68182855 chr17:68182855:A:G rs79549931 A G A EBF1_EBF_1 16 0 + 0 0 . chr17 68184442 68184443 chr17:68184443:C:T rs149409586 C T C EBF1_EBF_1 6 1 + 6.361793299747576 6.663079428609333 GCTCCCCAGCGACT chr17 68196178 68196179 chr17:68196179:G:A rs185156382 G A G EBF1_EBF_1 18 0 + 0 0 . chr17 68208059 68208060 chr17:68208060:A:G rs12949830 A G G EBF1_EBF_1 16 0 + 0 0 . chr17 68225270 68225271 chr17:68225271:A:G rs12943854 A G g EBF1_EBF_1 -10 0 + 0 0 . chr17 68229244 68229245 chr17:68229245:G:A rs73998053 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 68229245 68229246 chr17:68229246:C:T rs112463671 C T C EBF1_EBF_1 31 0 - 0 0 . chr17 68240165 68240166 chr17:68240166:A:G rs6501321 A G G EBF1_EBF_1 -20 0 + 0 0 . chr17 68292078 68292079 chr17:68292079:C:T rs138277676 C T C EBF1_EBF_1 19 0 - 0 0 . chr17 68292089 68292090 chr17:68292090:C:G rs112675814 C G C EBF1_EBF_1 8 1 - 5.1658543572280635 -0.1528045036866229 GCTCCCTGGGGCCC chr17 68292090 68292091 chr17:68292091:C:G rs781862091 C G C EBF1_EBF_1 7 1 - 5.1658543572280635 4.184533776146708 GCTCCCTGGGGCCC chr17 68319281 68319282 chr17:68319282:G:T rs16972881 G T T EBF1_EBF_1 10 1 + 6.245522249839093 2.062770063611827 ATCCCCTAGAGGCC chr17 68320485 68320486 chr17:68320486:C:T rs74588734 C T C EBF1_EBF_1 7 1 + 5.253270627707922 7.070775373990545 TCTCCCTCGGGGCA chr17 68320510 68320511 chr17:68320511:A:C rs139061489 A C A EBF1_EBF_1 32 0 + 0 0 . chr17 68363906 68363907 chr17:68363907:G:C rs12952243 G C G EBF1_EBF_1 -17 0 + 0 0 . chr17 68388401 68388402 chr17:68388402:C:T rs76248943 C T C EBF1_EBF_1 33 0 - 0 0 . chr17 68388402 68388403 chr17:68388403:G:T rs72841846 G T G EBF1_EBF_1 32 0 - 0 0 . chr17 68388412 68388413 chr17:68388413:A:T rs1007041 A T A EBF1_EBF_1 22 0 - 0 0 . chr17 68400270 68400271 chr17:68400271:T:C rs553326195 T C T EBF1_EBF_1 -2 0 + 0 0 . chr17 68400272 68400273 chr17:68400273:C:G rs74315846 C G C EBF1_EBF_1 0 1 + 6.508196433239799 6.871171047371565 CTTCCCTCGAGAAC chr17 68401297 68401298 chr17:68401298:C:G rs141077819 C G C EBF1_EBF_1 21 0 + 0 0 . chr17 68513337 68513338 chr17:68513338:A:G rs546391064 A G A EBF1_EBF_1 7 1 + 10.061102173273921 8.748643767985097 ATTCCCAAGAGATC chr17 68582081 68582082 chr17:68582082:A:G rs3815357 A G A EBF1_EBF_1 19 0 - 0 0 . chr17 68774022 68774023 chr17:68774023:A:G rs11652718 A G A EBF1_EBF_1 22 0 - 0 0 . chr17 68774502 68774503 chr17:68774503:G:A rs113140894 G A G EBF1_EBF_1 16 0 - 0 0 . chr17 68777954 68777955 chr17:68777955:T:C rs11867173 T C T EBF1_EBF_1 30 0 - 0 0 . chr17 68877488 68877489 chr17:68877489:G:A rs189866452 G A G EBF1_EBF_1 3 1 - 7.1811334566399365 0.7757347150866174 ATACCCAAGGGAGG chr17 69061027 69061028 chr17:69061028:C:T rs7212490 C T T EBF1_EBF_1 14 0 + 0 0 . chr17 69234444 69234445 chr17:69234445:A:G rs7222780 A G G EBF1_EBF_1 26 0 - 0 0 . chr17 69268744 69268745 chr17:69268745:A:T rs57949169 A T A EBF1_EBF_1 29 0 + 0 0 . chr17 69326738 69326739 chr17:69326739:C:G rs369591688 C G C EBF1_EBF_1 15 0 - 0 0 . chr17 69326767 69326768 chr17:69326768:C:A rs554660469 C A C EBF1_EBF_1 -14 0 - 0 0 . chr17 69327013 69327014 chr17:69327014:G:A rs77935401 G A G EBF1_EBF_1 28 0 + 0 0 . chr17 69327400 69327401 chr17:69327401:G:C chr17:69327401:G:C G C G EBF1_EBF_1 -6 0 + 0 0 . chr17 69408031 69408032 chr17:69408032:A:G rs60246155 A G A EBF1_EBF_1 -13 0 + 0 0 . chr17 69416021 69416022 chr17:69416022:G:A rs2034100 G A G EBF1_EBF_1 -5 0 - 0 0 . chr17 69534191 69534192 chr17:69534192:C:A rs61289363 C A C EBF1_EBF_1 21 0 + 0 0 . chr17 69594614 69594615 chr17:69594615:A:G rs7217453 A G A EBF1_EBF_1 31 0 + 0 0 . chr17 69594971 69594972 chr17:69594972:G:A rs16974223 G A G EBF1_EBF_1 30 0 - 0 0 . chr17 69802528 69802529 chr17:69802529:C:A rs116564981 C A A EBF1_EBF_1 -11 0 + 0 0 . chr17 69878875 69878876 chr17:69878876:A:G rs10512531 A G G EBF1_EBF_1 12 1 + 6.031036929342975 4.612219587243498 ATTCCCATTGGAAA chr17 69898812 69898813 chr17:69898813:G:T rs179925 G T T EBF1_EBF_1 29 0 + 0 0 . chr17 69978486 69978487 chr17:69978487:A:C rs12453357 A C A EBF1_EBF_1 21 0 - 0 0 . chr17 70053231 70053232 chr17:70053232:C:T rs11652259 C T T EBF1_EBF_1 16 0 - 0 0 . chr17 70271776 70271777 chr17:70271777:G:A rs11868515 G A G EBF1_EBF_1 -2 0 + 0 0 . chr17 70336756 70336757 chr17:70336757:A:G rs312685 A G G EBF1_EBF_1 18 0 - 0 0 . chr17 70420855 70420856 chr17:70420856:G:A rs11654179 G A G EBF1_EBF_1 -3 0 + 0 0 . chr17 70594818 70594819 chr17:70594819:T:A rs9892329 T A A EBF1_EBF_1 15 0 - 0 0 . chr17 70594826 70594827 chr17:70594827:T:G rs965414928 T G T EBF1_EBF_1 7 1 - 8.937352695210977 6.643573708840796 ATTCCCCAGGAAAT chr17 70617703 70617704 chr17:70617704:G:C rs189391758 G C G EBF1_EBF_1 8 1 + 5.032083704539722 -0.28657515637496345 GCTTCCCAGGGACT chr17 70856772 70856773 chr17:70856773:T:A rs9891391 T A g EBF1_EBF_1 23 0 + 0 0 . chr17 70955652 70955653 chr17:70955653:G:A rs9894692 G A A EBF1_EBF_1 15 0 - 0 0 . chr17 71054007 71054008 chr17:71054008:C:T rs7220864 C T T EBF1_EBF_1 25 0 + 0 0 . chr17 71189144 71189145 chr17:71189145:C:G rs16976451 C G C EBF1_EBF_1 8 1 - 8.178972852355358 2.8603139914406714 CTTCCCAAGGTAAT chr17 71900908 71900909 chr17:71900909:A:G rs12948457 A G A EBF1_EBF_1 29 0 + 0 0 . chr17 71945273 71945274 chr17:71945274:G:A rs9912936 G A G EBF1_EBF_1 27 0 + 0 0 . chr17 71976120 71976121 chr17:71976121:C:T rs1409648548 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 72078006 72078007 chr17:72078007:T:C rs9913936 T C C EBF1_EBF_1 22 0 - 0 0 . chr17 72101028 72101029 chr17:72101029:C:T chr17:72101029:C:T C T C EBF1_EBF_1 31 0 + 0 0 . chr17 72151147 72151148 chr17:72151148:C:G rs118049199 C G C EBF1_EBF_1 -4 0 - 0 0 . chr17 72151155 72151156 chr17:72151156:G:A chr17:72151156:G:A G A G EBF1_EBF_1 -12 0 - 0 0 . chr17 72151157 72151158 chr17:72151158:T:G rs901182055 T G T EBF1_EBF_1 -14 0 - 0 0 . chr17 72155617 72155618 chr17:72155618:A:C rs17826646 A C A EBF1_EBF_1 1 1 - 5.80298345667074 5.097321670841211 CTTCCCCCGAGACA chr17 72166047 72166048 chr17:72166048:A:C rs6501523 A C C EBF1_EBF_1 -16 0 + 0 0 . chr17 72173819 72173820 chr17:72173820:A:G rs7211965 A G G EBF1_EBF_1 17 0 - 0 0 . chr17 72299890 72299891 chr17:72299891:A:G rs1029643 A G G EBF1_EBF_1 -4 0 - 0 0 . chr17 72341985 72341986 chr17:72341986:A:G rs7217268 A G G EBF1_EBF_1 22 0 - 0 0 . chr17 72344163 72344164 chr17:72344164:T:A rs4793283 T A A EBF1_EBF_1 6 1 + 5.667561397443841 5.7278776363833614 ACTCCCTAAGGGCC chr17 72364586 72364587 chr17:72364587:A:G rs11654064 A G G EBF1_EBF_1 -16 0 + 0 0 . chr17 72366045 72366046 chr17:72366046:G:A rs149693492 G A G EBF1_EBF_1 1 1 + 4.8941906070785395 4.633491775157236 TGCCCCCAGGGGTC chr17 72386675 72386676 chr17:72386676:G:A rs4793440 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 72389288 72389289 chr17:72389289:A:G rs11077605 A G G EBF1_EBF_1 13 1 - 7.084607240454326 6.240113125868808 CATCCCCAGGGGTT chr17 72390894 72390895 chr17:72390895:T:G rs17779721 T G T EBF1_EBF_1 -4 0 + 0 0 . chr17 72392506 72392507 chr17:72392507:G:A rs4140874 G A G EBF1_EBF_1 -2 0 + 0 0 . chr17 72407548 72407549 chr17:72407549:C:G rs141389156 C G C EBF1_EBF_1 28 0 - 0 0 . chr17 72407589 72407590 chr17:72407590:G:A rs76840109 G A G EBF1_EBF_1 -13 0 - 0 0 . chr17 72407602 72407603 chr17:72407603:C:A rs149969521 C A C EBF1_EBF_1 -14 0 + 0 0 . chr17 72407644 72407645 chr17:72407645:G:A rs148938265 G A G EBF1_EBF_1 28 0 + 0 0 . chr17 72408791 72408792 chr17:72408792:A:G rs11657253 A G G EBF1_EBF_1 25 0 - 0 0 . chr17 72412083 72412084 chr17:72412084:G:A rs79928057 G A G EBF1_EBF_1 25 0 - 0 0 . chr17 72439133 72439134 chr17:72439134:C:G rs117457176 C G C EBF1_EBF_1 -20 0 + 0 0 . chr17 72463856 72463857 chr17:72463857:G:A rs12942075 G A G EBF1_EBF_1 -3 0 + 0 0 . chr17 72501577 72501578 chr17:72501578:C:G rs116952072 C G C EBF1_EBF_1 22 0 + 0 0 . chr17 72551322 72551323 chr17:72551323:G:A rs8079629 G A G EBF1_EBF_1 8 1 + 6.055410518167308 1.8291204292810477 CGCCCCCCGGGATT chr17 72564134 72564135 chr17:72564135:C:T rs77293498 C T C EBF1_EBF_1 10 1 - 7.058138226792898 1.7401097675259316 ACTCCCTGGGGCCC chr17 72572188 72572189 chr17:72572189:T:C rs6501555 T C C EBF1_EBF_1 7 1 + 5.763051330504282 3.9455465842216575 ATCCCCCTAGGATC chr17 72634984 72634985 chr17:72634985:T:C rs72849437 T C T EBF1_EBF_1 -14 0 + 0 0 . chr17 72650674 72650675 chr17:72650675:C:T rs4793469 C T C EBF1_EBF_1 10 1 - 6.59850563682431 1.280477177557344 ATTCCCTCGGGCCA chr17 72660875 72660876 chr17:72660876:C:G rs7208843 C G T EBF1_EBF_1 33 0 - 0 0 . chr17 72688866 72688867 chr17:72688867:T:C rs11653866 T C C EBF1_EBF_1 -10 0 - 0 0 . chr17 72690301 72690302 chr17:72690302:C:A rs4076588 C A A EBF1_EBF_1 13 1 + 6.416632234705522 6.042886785419546 CCTCCCAGGGGGAC chr17 72690351 72690352 chr17:72690352:C:T rs58684855 C T c EBF1_EBF_1 -7 0 + 0 0 . chr17 72716451 72716452 chr17:72716452:T:C rs116897009 T C T EBF1_EBF_1 -19 0 + 0 0 . chr17 72716454 72716455 chr17:72716455:G:A rs9899648 G A G EBF1_EBF_1 -16 0 + 0 0 . chr17 72716496 72716497 chr17:72716497:G:A rs375376944 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 72719793 72719794 chr17:72719794:G:A rs60186466 G A G EBF1_EBF_1 9 1 - 6.214436983583065 4.453136721839719 AGTCCCTGGCGACA chr17 72790240 72790241 chr17:72790241:G:C rs58378827 G C G EBF1_EBF_1 16 0 - 0 0 . chr17 72790261 72790262 chr17:72790262:G:A rs8077030 G A G EBF1_EBF_1 -5 0 - 0 0 . chr17 72832333 72832334 chr17:72832334:C:T rs72847923 C T C EBF1_EBF_1 19 0 + 0 0 . chr17 72886218 72886219 chr17:72886219:A:G rs4969123 A G G EBF1_EBF_1 12 1 + 5.357188342702924 3.9383710006034462 GCTCCCCTGGGCAA chr17 72886230 72886231 chr17:72886231:T:C rs4969124 T C C EBF1_EBF_1 24 0 + 0 0 . chr17 72946690 72946691 chr17:72946691:T:C rs56006728 T C T EBF1_EBF_1 -8 0 - 0 0 . chr17 72984451 72984452 chr17:72984452:A:G rs9890173 A G G EBF1_EBF_1 -7 0 - 0 0 . chr17 73072213 73072214 chr17:73072214:G:A rs2344873 G A G EBF1_EBF_1 7 1 - 9.629037032166828 11.446541778449454 ATTCCCCCGGGAAG chr17 73072694 73072695 chr17:73072695:G:A rs147825105 G A G EBF1_EBF_1 15 0 + 0 0 . chr17 73087811 73087812 chr17:73087812:A:G rs7224603 A G G EBF1_EBF_1 -17 0 + 0 0 . chr17 73088978 73088979 chr17:73088979:C:T rs34207139 C T C EBF1_EBF_1 20 0 + 0 0 . chr17 73091623 73091624 chr17:73091624:G:A rs182383391 G A G EBF1_EBF_1 10 1 + 10.41188835641657 5.093859897149606 AGTCCCAAGAGAAC chr17 73091645 73091646 chr17:73091646:G:C rs76254397 G C G EBF1_EBF_1 32 0 + 0 0 . chr17 73107478 73107479 chr17:73107479:C:T rs78849743 C T C EBF1_EBF_1 -11 0 - 0 0 . chr17 73157478 73157479 chr17:73157479:G:A rs4969075 G A A EBF1_EBF_1 -2 0 + 0 0 . chr17 73231411 73231412 chr17:73231412:C:G rs1466114 C G G EBF1_EBF_1 27 0 - 0 0 . chr17 73232181 73232182 chr17:73232182:C:G chr17:73232182:C:G C G C EBF1_EBF_1 -11 0 + 0 0 . chr17 73232669 73232670 chr17:73232670:C:T rs550467623 C T C EBF1_EBF_1 9 1 - 7.246275297131283 4.591336031683678 GCCCCCCAGGGAAG chr17 73232677 73232678 chr17:73232678:G:C rs186192253 G C G EBF1_EBF_1 1 1 - 7.246275297131283 7.4360469517005 GCCCCCCAGGGAAG chr17 73236547 73236548 chr17:73236548:T:C rs745143 T C C EBF1_EBF_1 -19 0 - 0 0 . chr17 73236990 73236991 chr17:73236991:A:G rs11869253 A G G EBF1_EBF_1 -10 0 - 0 0 . chr17 73263087 73263088 chr17:73263088:G:A rs9906464 G A G EBF1_EBF_1 21 0 - 0 0 . chr17 73263127 73263128 chr17:73263128:G:C rs902022992 G C G EBF1_EBF_1 -19 0 - 0 0 . chr17 73281962 73281963 chr17:73281963:C:T rs141961906 C T C EBF1_EBF_1 33 0 - 0 0 . chr17 73317430 73317431 chr17:73317431:G:C rs2047467 G C C EBF1_EBF_1 -14 0 + 0 0 . chr17 73319133 73319134 chr17:73319134:C:T rs9907050 C T T EBF1_EBF_1 30 0 + 0 0 . chr17 73336523 73336524 chr17:73336524:C:T rs868837 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 73338333 73338334 chr17:73338334:G:A rs3195414 G A G EBF1_EBF_1 -5 0 + 0 0 . chr17 73357845 73357846 chr17:73357846:T:C rs2279730 T C C EBF1_EBF_1 28 0 - 0 0 . chr17 73357870 73357871 chr17:73357871:G:A rs79421907 G A G EBF1_EBF_1 3 1 - 6.26917870760831 -0.13622003394500654 GGCCCCCAGAGAAC chr17 73366396 73366397 chr17:73366397:C:T rs368076016 C T A EBF1_EBF_1 17 0 - 0 0 . chr17 73366433 73366434 chr17:73366434:T:C rs185261367 T C T EBF1_EBF_1 -20 0 - 0 0 . chr17 73401226 73401227 chr17:73401227:G:A rs11653524 G A G EBF1_EBF_1 -7 0 - 0 0 . chr17 73411102 73411103 chr17:73411103:G:A rs11650320 G A G EBF1_EBF_1 32 0 + 0 0 . chr17 73419105 73419106 chr17:73419106:G:A rs8071352 G A G EBF1_EBF_1 -17 0 + 0 0 . chr17 73438787 73438788 chr17:73438788:G:C rs35931417 G C G EBF1_EBF_1 16 0 - 0 0 . chr17 73458397 73458398 chr17:73458398:G:A rs12232483 G A A EBF1_EBF_1 24 0 + 0 0 . chr17 73492574 73492575 chr17:73492575:C:T rs77676950 C T C EBF1_EBF_1 -11 0 + 0 0 . chr17 73494108 73494109 chr17:73494109:G:A rs1872078 G A G EBF1_EBF_1 31 0 + 0 0 . chr17 73494663 73494664 chr17:73494664:C:T rs11653635 C T C EBF1_EBF_1 32 0 - 0 0 . chr17 73517852 73517853 chr17:73517853:A:T rs4789100 A T T EBF1_EBF_1 -9 0 - 0 0 . chr17 73519389 73519390 chr17:73519390:C:T rs12452724 C T C EBF1_EBF_1 30 0 - 0 0 . chr17 73520583 73520584 chr17:73520584:A:G rs1531862 A G G EBF1_EBF_1 8 1 + 6.73247890704669 10.95876899593295 CTTCCCTGAGGACT chr17 73541054 73541055 chr17:73541055:C:G rs1872088 C G C EBF1_EBF_1 2 1 + 5.2185812400067935 0.35907896124847605 GGCCCCCTGAGAGT chr17 73587680 73587681 chr17:73587681:C:T rs187861408 C T C EBF1_EBF_1 19 0 + 0 0 . chr17 73632094 73632095 chr17:73632095:C:T rs117917805 C T C EBF1_EBF_1 -20 0 - 0 0 . chr17 73639353 73639354 chr17:73639354:G:A rs4789234 G A G EBF1_EBF_1 5 1 - 6.91634213833284 1.594554922792169 ATCCCCGGGGGAAG chr17 73639712 73639713 chr17:73639713:G:A rs62063640 G A G EBF1_EBF_1 8 1 - 6.529108815208139 4.956334887145631 TGTCCCTACGGACT chr17 73641687 73641688 chr17:73641688:C:T rs17191645 C T C EBF1_EBF_1 -19 0 - 0 0 . chr17 73655786 73655787 chr17:73655787:A:G rs6501656 A G G EBF1_EBF_1 7 1 - 10.077896627539754 8.260391881257132 ACCCCCTTGGGAAA chr17 73712185 73712186 chr17:73712186:C:T rs9897834 C T C EBF1_EBF_1 7 1 + 6.34379426087002 8.161299007152643 ATTCTCCCGGGACA chr17 73750287 73750288 chr17:73750288:G:A rs35481671 G A G EBF1_EBF_1 19 0 + 0 0 . chr17 73750295 73750296 chr17:73750296:C:T rs34026863 C T C EBF1_EBF_1 27 0 + 0 0 . chr17 73752864 73752865 chr17:73752865:G:A rs1552011 G A G EBF1_EBF_1 -14 0 - 0 0 . chr17 73770617 73770618 chr17:73770618:C:T rs11650983 C T C EBF1_EBF_1 -7 0 + 0 0 . chr17 73826015 73826016 chr17:73826016:G:A rs2586794 G A A EBF1_EBF_1 31 0 + 0 0 . chr17 73855920 73855921 chr17:73855921:C:T rs10744991 C T T EBF1_EBF_1 19 0 + 0 0 . chr17 73902581 73902582 chr17:73902582:A:G rs73996861 A G A EBF1_EBF_1 -10 0 + 0 0 . chr17 73902624 73902625 chr17:73902625:T:G rs9902441 T G T EBF1_EBF_1 33 0 + 0 0 . chr17 73949036 73949037 chr17:73949037:A:G rs12939964 A G G EBF1_EBF_1 -10 0 + 0 0 . chr17 73952418 73952419 chr17:73952419:G:C rs73998607 G C G EBF1_EBF_1 -8 0 + 0 0 . chr17 73952422 73952423 chr17:73952423:C:G rs12952445 C G G EBF1_EBF_1 -4 0 + 0 0 . chr17 73952449 73952450 chr17:73952450:C:T rs76950578 C T C EBF1_EBF_1 23 0 + 0 0 . chr17 73957791 73957792 chr17:73957792:G:A rs12937596 G A G EBF1_EBF_1 -4 0 + 0 0 . chr17 74048115 74048116 chr17:74048116:G:A rs8074431 G A G EBF1_EBF_1 -15 0 - 0 0 . chr17 74083346 74083347 chr17:74083347:C:T rs74395926 C T C EBF1_EBF_1 -16 0 - 0 0 . chr17 74095742 74095743 chr17:74095743:A:G rs12453494 A G A EBF1_EBF_1 2 1 - 7.604576879341312 5.7157534678991615 TATCCCCAGAGATT chr17 74098054 74098055 chr17:74098055:G:C rs35170919 G C G EBF1_EBF_1 30 0 + 0 0 . chr17 74102604 74102605 chr17:74102605:C:G rs67278171 C G C EBF1_EBF_1 13 1 + 7.188493655864695 5.70042263450928 AGTCCCCAGGGTGC chr17 74109599 74109600 chr17:74109600:C:T rs67899163 C T C EBF1_EBF_1 -16 0 - 0 0 . chr17 74140522 74140523 chr17:74140523:A:G rs8077479 A G A EBF1_EBF_1 25 0 + 0 0 . chr17 74148052 74148053 chr17:74148053:C:A rs56083323 C A C EBF1_EBF_1 -17 0 + 0 0 . chr17 74176792 74176793 chr17:74176793:C:A rs12944198 C A C EBF1_EBF_1 -7 0 + 0 0 . chr17 74176827 74176828 chr17:74176828:T:C rs114790579 T C T EBF1_EBF_1 28 0 + 0 0 . chr17 74176831 74176832 chr17:74176832:C:T rs1329978622 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 74192288 74192289 chr17:74192289:T:C rs140710355 T C T EBF1_EBF_1 19 0 - 0 0 . chr17 74193208 74193209 chr17:74193209:G:A rs116349041 G A G EBF1_EBF_1 33 0 - 0 0 . chr17 74193260 74193261 chr17:74193261:C:T rs7219987 C T C EBF1_EBF_1 -19 0 - 0 0 . chr17 74196926 74196927 chr17:74196927:G:A rs2382842 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 74196938 74196939 chr17:74196939:A:T rs3892366 A T A EBF1_EBF_1 20 0 - 0 0 . chr17 74210907 74210908 chr17:74210908:G:A rs113670065 G A G EBF1_EBF_1 -18 0 + 0 0 . chr17 74211948 74211949 chr17:74211949:T:C rs116562680 T C C EBF1_EBF_1 -7 0 - 0 0 . chr17 74268598 74268599 chr17:74268599:G:T rs73358670 G T G EBF1_EBF_1 5 1 - 6.293652457754777 -0.6112286948803903 TCTCCCTTGAGAGC chr17 74274302 74274303 chr17:74274303:A:G rs1877687 A G G EBF1_EBF_1 -4 0 + 0 0 . chr17 74276273 74276274 chr17:74276274:G:A rs9912714 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 74276376 74276377 chr17:74276377:G:C rs117482863 G C G EBF1_EBF_1 3 1 - 6.089905400794175 0.2621409526789585 CCCCCCGTGGGAAC chr17 74284951 74284952 chr17:74284952:A:G rs112296200 A G A EBF1_EBF_1 -1 0 + 0 0 . chr17 74309675 74309676 chr17:74309676:G:A rs11653157 G A G EBF1_EBF_1 24 0 - 0 0 . chr17 74309917 74309918 chr17:74309918:T:A rs1006753803 T A T EBF1_EBF_1 -18 0 + 0 0 . chr17 74325519 74325520 chr17:74325520:T:C rs75307053 T C T EBF1_EBF_1 -14 0 - 0 0 . chr17 74335798 74335799 chr17:74335799:G:T rs877791 G T G EBF1_EBF_1 1 1 - 7.903407413651623 7.8324802362995385 CCCCCCCGGGGAAT chr17 74341511 74341512 chr17:74341512:C:T rs1010161 C T C EBF1_EBF_1 15 0 - 0 0 . chr17 74352601 74352602 chr17:74352602:T:C rs11655890 T C C EBF1_EBF_1 -9 0 + 0 0 . chr17 74362505 74362506 chr17:74362506:G:A rs145690403 G A G EBF1_EBF_1 -15 0 + 0 0 . chr17 74363082 74363083 chr17:74363083:G:A rs3892641 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 74373788 74373789 chr17:74373789:C:T rs116184977 C T C EBF1_EBF_1 13 1 - 5.499650309904779 6.613975881974218 TTCCCCAAGGGGCG chr17 74373820 74373821 chr17:74373821:C:G rs80189076 C G C EBF1_EBF_1 -19 0 - 0 0 . chr17 74387589 74387590 chr17:74387590:G:A rs7211972 G A G EBF1_EBF_1 19 0 + 0 0 . chr17 74394470 74394471 chr17:74394471:A:C rs7222995 A C G EBF1_EBF_1 30 0 + 0 0 . chr17 74395039 74395040 chr17:74395040:A:G rs73348323 A G A EBF1_EBF_1 18 0 + 0 0 . chr17 74427712 74427713 chr17:74427713:T:C rs72852290 T C T EBF1_EBF_1 10 1 + 6.540138621880964 3.832088420778754 ACTCCCCAGGTAGA chr17 74431160 74431161 chr17:74431161:C:T rs3803790 C T C EBF1_EBF_1 26 0 - 0 0 . chr17 74444084 74444085 chr17:74444085:A:C rs2891033 A C C EBF1_EBF_1 -20 0 - 0 0 . chr17 74453617 74453618 chr17:74453618:G:C rs77166265 G C G EBF1_EBF_1 16 0 + 0 0 . chr17 74455516 74455517 chr17:74455517:T:C rs2250549 T C C EBF1_EBF_1 -17 0 + 0 0 . chr17 74456033 74456034 chr17:74456034:T:C rs2670841 T C C EBF1_EBF_1 15 0 - 0 0 . chr17 74462951 74462952 chr17:74462952:T:G rs72844326 T G G EBF1_EBF_1 -2 0 + 0 0 . chr17 74462963 74462964 chr17:74462964:C:T rs2706532 C T T EBF1_EBF_1 10 1 + 4.395605524633947 7.103655725736157 AGTCCCCAGGCAGC chr17 74463636 74463637 chr17:74463637:G:A rs62087200 G A G EBF1_EBF_1 31 0 + 0 0 . chr17 74538427 74538428 chr17:74538428:C:T rs111360466 C T C EBF1_EBF_1 5 1 + 6.993742822907094 1.6719556073664235 CCTCCCCAGGGGAA chr17 74540599 74540600 chr17:74540600:C:T rs111721319 C T C EBF1_EBF_1 -7 0 - 0 0 . chr17 74541754 74541755 chr17:74541755:A:G rs2140253 A G G EBF1_EBF_1 -13 0 + 0 0 . chr17 74549717 74549718 chr17:74549718:A:G rs783236 A G A EBF1_EBF_1 0 1 + 5.755512172253694 3.86322830268886 AGTCCCTGGAGTCT chr17 74608469 74608470 chr17:74608470:G:C rs564445 G C C EBF1_EBF_1 16 0 - 0 0 . chr17 74630382 74630383 chr17:74630383:C:A rs526485 C A C EBF1_EBF_1 10 1 - 5.643359854521832 1.4606076682945686 ACCGCCCAGGGAAT chr17 74640989 74640990 chr17:74640990:G:A rs539298229 G A G EBF1_EBF_1 -3 0 + 0 0 . chr17 74645921 74645922 chr17:74645922:G:A rs60752047 G A G EBF1_EBF_1 13 1 + 5.193887709831147 6.308213281900586 AACCCCAGGGGGCG chr17 74729724 74729725 chr17:74729725:C:A rs11658622 C A C EBF1_EBF_1 26 0 + 0 0 . chr17 74736942 74736943 chr17:74736943:C:T rs117125167 C T C EBF1_EBF_1 -18 0 + 0 0 . chr17 74746313 74746314 chr17:74746314:C:T rs145861031 C T C EBF1_EBF_1 5 1 + 6.031871656242048 0.7100844407013784 ACTCCCTGGGGCTC chr17 74758159 74758160 chr17:74758160:G:T rs114617311 G T G EBF1_EBF_1 22 0 + 0 0 . chr17 74765055 74765056 chr17:74765056:C:G rs117900576 C G C EBF1_EBF_1 -4 0 - 0 0 . chr17 74770988 74770989 chr17:74770989:C:T rs143705430 C T C EBF1_EBF_1 -18 0 + 0 0 . chr17 74857307 74857308 chr17:74857308:A:G rs690578 A G G EBF1_EBF_1 29 0 + 0 0 . chr17 74862251 74862252 chr17:74862252:C:T rs116191557 C T - EBF1_EBF_1 -9 0 - 0 0 . chr17 74866582 74866583 chr17:74866583:G:A rs2070918 G A G EBF1_EBF_1 5 1 - 10.263055172709075 4.9412679571684075 ATTCCCGGGGGACC chr17 74873897 74873898 chr17:74873898:G:T rs572362 G T G EBF1_EBF_1 -18 0 + 0 0 . chr17 74877652 74877653 chr17:74877653:T:C rs73997533 T C C EBF1_EBF_1 19 0 - 0 0 . chr17 74877826 74877827 chr17:74877827:A:G rs73997537 A G G EBF1_EBF_1 15 0 - 0 0 . chr17 74920945 74920946 chr17:74920946:G:A rs1079436 G A G EBF1_EBF_1 20 0 - 0 0 . chr17 74923335 74923336 chr17:74923336:C:T rs1393006293 C T C EBF1_EBF_1 5 1 + 6.551258661588218 1.2294714460475467 CTTCCCCTGGGTCC chr17 74938329 74938330 chr17:74938330:A:G rs2131049 A G A EBF1_EBF_1 20 0 - 0 0 . chr17 74960440 74960441 chr17:74960441:T:A rs12602146 T A T EBF1_EBF_1 -14 0 + 0 0 . chr17 75011568 75011569 chr17:75011569:C:G rs544602063 C G C EBF1_EBF_1 -11 0 + 0 0 . chr17 75011885 75011886 chr17:75011886:T:A rs35303667 T A T EBF1_EBF_1 17 0 + 0 0 . chr17 75013128 75013129 chr17:75013129:C:G rs9898711 C G C EBF1_EBF_1 30 0 - 0 0 . chr17 75033055 75033056 chr17:75033056:C:T rs116075492 C T C EBF1_EBF_1 26 0 + 0 0 . chr17 75033668 75033669 chr17:75033669:A:T rs35552011 A T T EBF1_EBF_1 20 0 - 0 0 . chr17 75081969 75081970 chr17:75081970:T:C rs4789130 T C T EBF1_EBF_1 33 0 + 0 0 . chr17 75088685 75088686 chr17:75088686:C:T rs28417351 C T C EBF1_EBF_1 18 0 + 0 0 . chr17 75089035 75089036 chr17:75089036:C:T rs118161061 C T C EBF1_EBF_1 2 1 + 5.359086469919965 7.247909881362115 AGCCCCTGGGGCCC chr17 75094995 75094996 chr17:75094996:C:T rs4789136 C T C EBF1_EBF_1 -13 0 - 0 0 . chr17 75099875 75099876 chr17:75099876:A:G rs55633524 A G G EBF1_EBF_1 7 1 + 13.51953797022709 12.207079564938264 AGTCCCCAGGGACT chr17 75102453 75102454 chr17:75102454:C:T rs112293248 C T C EBF1_EBF_1 -13 0 - 0 0 . chr17 75110239 75110240 chr17:75110240:C:T rs781575668 C T C EBF1_EBF_1 32 0 - 0 0 . chr17 75250367 75250368 chr17:75250368:G:A rs146786838 G A G EBF1_EBF_1 21 0 - 0 0 . chr17 75250395 75250396 chr17:75250396:G:A rs60686330 G A G EBF1_EBF_1 -7 0 - 0 0 . chr17 75288889 75288890 chr17:75288890:G:A rs1279161612 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 75289129 75289130 chr17:75289130:G:C rs116125756 G C G EBF1_EBF_1 14 0 - 0 0 . chr17 75289865 75289866 chr17:75289866:A:G rs7207911 A G A EBF1_EBF_1 31 0 - 0 0 . chr17 75289878 75289879 chr17:75289879:G:T chr17:75289879:G:T G T G EBF1_EBF_1 18 0 - 0 0 . chr17 75515355 75515356 chr17:75515356:C:T rs115678042 C T C EBF1_EBF_1 0 1 + 4.150184095543397 4.432386156273974 CGCCCCCAGGGGCG chr17 75536491 75536492 chr17:75536492:C:G rs7211129 C G G EBF1_EBF_1 16 0 - 0 0 . chr17 75536492 75536493 chr17:75536493:C:G rs7211130 C G G EBF1_EBF_1 15 0 - 0 0 . chr17 75542682 75542683 chr17:75542683:A:C rs7502690 A C A EBF1_EBF_1 -17 0 - 0 0 . chr17 75548857 75548858 chr17:75548858:A:G rs59138015 A G A EBF1_EBF_1 -4 0 + 0 0 . chr17 75551087 75551088 chr17:75551088:G:C rs4789211 G C C EBF1_EBF_1 16 0 + 0 0 . chr17 75552831 75552832 chr17:75552832:T:G rs145791488 T G T EBF1_EBF_1 18 0 - 0 0 . chr17 75557539 75557540 chr17:75557540:A:G rs144196024 A G A EBF1_EBF_1 -7 0 - 0 0 . chr17 75557814 75557815 chr17:75557815:A:T rs116207549 A T A EBF1_EBF_1 -15 0 - 0 0 . chr17 75565635 75565636 chr17:75565636:G:A rs3785430 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 75574760 75574761 chr17:75574761:T:C rs35199943 T C C EBF1_EBF_1 0 1 - 8.504754140638665 6.612470271073833 AGCCCCGTGGGACC chr17 75588004 75588005 chr17:75588005:C:T rs62089218 C T C EBF1_EBF_1 7 1 - 8.735782856121599 10.048241261410423 CCTCCCCGGGGATT chr17 75588743 75588744 chr17:75588744:C:T rs62089221 C T C EBF1_EBF_1 8 1 - 5.226170596167584 0.9998805072813224 GCTCCCAGGGGCCC chr17 75588770 75588771 chr17:75588771:G:A rs114717599 G A G EBF1_EBF_1 -19 0 - 0 0 . chr17 75589627 75589628 chr17:75589628:C:T rs181767924 C T C EBF1_EBF_1 -7 0 + 0 0 . chr17 75589638 75589639 chr17:75589639:C:T rs759849335 C T C EBF1_EBF_1 4 1 + 6.286896304007283 1.9175093590623593 AGTCCCCGGCGACC chr17 75592151 75592152 chr17:75592152:G:T rs56298033 G T G EBF1_EBF_1 10 1 + 6.016360625248707 1.833608439021443 CTTCCCTGGGGTCC chr17 75614894 75614895 chr17:75614895:G:A rs820143 G A G EBF1_EBF_1 9 1 + 5.712522801074509 3.0575835356269048 ACCCCCCGGGGCCT chr17 75626864 75626865 chr17:75626865:C:G rs73995934 C G G EBF1_EBF_1 30 0 + 0 0 . chr17 75633563 75633564 chr17:75633564:G:A rs111344412 G A G EBF1_EBF_1 -2 0 + 0 0 . chr17 75634119 75634120 chr17:75634120:G:A rs185961263 G A G EBF1_EBF_1 -7 0 - 0 0 . chr17 75643599 75643600 chr17:75643600:G:A rs72854945 G A G EBF1_EBF_1 19 0 - 0 0 . chr17 75667291 75667292 chr17:75667292:A:T rs820156 A T A EBF1_EBF_1 2 1 - 6.9162658512202855 3.2317982636214078 GATCCCAGGAGACC chr17 75667303 75667304 chr17:75667304:C:G rs190934477 C G C EBF1_EBF_1 -10 0 - 0 0 . chr17 75677715 75677716 chr17:75677716:G:A rs9912282 G A G EBF1_EBF_1 -15 0 + 0 0 . chr17 75688946 75688947 chr17:75688947:G:A rs141922883 G A C EBF1_EBF_1 16 0 + 0 0 . chr17 75693131 75693132 chr17:75693132:C:T rs75267429 C T C EBF1_EBF_1 -18 0 - 0 0 . chr17 75695330 75695331 chr17:75695331:G:A rs186236783 G A G EBF1_EBF_1 4 1 - 6.312240943851992 1.9428539989070683 TGCCCCAAGGGGAC chr17 75750565 75750566 chr17:75750566:T:C rs141170229 T C T EBF1_EBF_1 2 1 + 4.66605327660046 2.7772298651583096 CCTCCCTCGGGCCT chr17 75753266 75753267 chr17:75753267:G:A rs369045711 G A G EBF1_EBF_1 29 0 + 0 0 . chr17 75765314 75765315 chr17:75765315:T:C rs60521621 T C T EBF1_EBF_1 19 0 + 0 0 . chr17 75779492 75779493 chr17:75779493:G:T rs192743683 G T g EBF1_EBF_1 -18 0 + 0 0 . chr17 75779503 75779504 chr17:75779504:G:A rs60700976 G A g EBF1_EBF_1 -7 0 + 0 0 . chr17 75809230 75809231 chr17:75809231:G:T rs4318268 G T G EBF1_EBF_1 7 1 - 9.011087797100764 11.304866783470944 CATCCCTCGGGACT chr17 75813360 75813361 chr17:75813361:A:G rs3803733 A G A EBF1_EBF_1 -5 0 - 0 0 . chr17 75822790 75822791 chr17:75822791:G:A rs1106343 G A G EBF1_EBF_1 -7 0 + 0 0 . chr17 75828704 75828705 chr17:75828705:C:T rs75988962 C T C EBF1_EBF_1 -13 0 - 0 0 . chr17 75830318 75830319 chr17:75830319:A:G rs114246714 A G A EBF1_EBF_1 30 0 + 0 0 . chr17 75845096 75845097 chr17:75845097:C:T rs1442253578 C T C EBF1_EBF_1 5 1 + 7.101592413459522 1.779805197918852 GCTCCCGGGGGACA chr17 75845618 75845619 chr17:75845619:A:C rs138804583 A C A EBF1_EBF_1 27 0 + 0 0 . chr17 75861224 75861225 chr17:75861225:A:G rs11867582 A G G EBF1_EBF_1 -13 0 + 0 0 . chr17 75892180 75892181 chr17:75892181:G:A rs150111787 G A G EBF1_EBF_1 -12 0 - 0 0 . chr17 75897441 75897442 chr17:75897442:C:T rs551447969 C T C EBF1_EBF_1 17 0 + 0 0 . chr17 75905212 75905213 chr17:75905213:G:T rs55956362 G T G EBF1_EBF_1 -7 0 + 0 0 . chr17 75987602 75987603 chr17:75987603:C:T rs4561495 C T T EBF1_EBF_1 26 0 + 0 0 . chr17 76000463 76000464 chr17:76000464:G:A rs62624498 G A G EBF1_EBF_1 -8 0 + 0 0 . chr17 76000477 76000478 chr17:76000478:A:G rs115295379 A G G EBF1_EBF_1 6 1 + 5.508961940231886 3.342167508957411 CACCCCAGGAGACT chr17 76005647 76005648 chr17:76005648:A:G rs2069539 A G A EBF1_EBF_1 23 0 - 0 0 . chr17 76005664 76005665 chr17:76005665:G:A rs191601284 G A G EBF1_EBF_1 6 1 - 5.534821002237531 5.836107131099289 AGTGCCCGGGGACC chr17 76022558 76022559 chr17:76022559:C:G rs1806914 C G C EBF1_EBF_1 -2 0 + 0 0 . chr17 76022573 76022574 chr17:76022574:C:T rs2598417 C T C EBF1_EBF_1 13 1 + 5.734910639379133 6.579404753964651 GTCCCCAAAGGACC chr17 76077632 76077633 chr17:76077633:C:A rs73361860 C A . EBF1_EBF_1 -11 0 - 0 0 . chr17 76132762 76132763 chr17:76132763:G:A rs881564 G A G EBF1_EBF_1 32 0 + 0 0 . chr17 76136947 76136948 chr17:76136948:T:A rs112515663 T A T EBF1_EBF_1 -4 0 - 0 0 . chr17 76159017 76159018 chr17:76159018:G:A rs55777329 G A G EBF1_EBF_1 -12 0 + 0 0 . chr17 76159059 76159060 chr17:76159060:A:C rs73345600 A C A EBF1_EBF_1 30 0 + 0 0 . chr17 76172049 76172050 chr17:76172050:C:T rs59479793 C T C EBF1_EBF_1 17 0 + 0 0 . chr17 76173600 76173601 chr17:76173601:C:G rs11655525 C G C EBF1_EBF_1 30 0 - 0 0 . chr17 76182128 76182129 chr17:76182129:G:C rs56897111 G C G EBF1_EBF_1 19 0 + 0 0 . chr17 76213650 76213651 chr17:76213651:C:G rs6501862 C G G EBF1_EBF_1 -9 0 + 0 0 . chr17 76216218 76216219 chr17:76216219:C:A rs9916150 C A C EBF1_EBF_1 25 0 - 0 0 . chr17 76256110 76256111 chr17:76256111:C:T rs11655819 C T C EBF1_EBF_1 3 1 + 5.795183342216563 -0.6102153993367554 CTCCCCTTGGGGCA chr17 76266171 76266172 chr17:76266172:C:G chr17:76266172:C:G C G C EBF1_EBF_1 22 0 - 0 0 . chr17 76266191 76266192 chr17:76266192:G:T rs74254475 G T G EBF1_EBF_1 2 1 - 8.623392366664183 6.827748190507456 TCCCCCCAGGGACC chr17 76275384 76275385 chr17:76275385:C:T rs73350376 C T C EBF1_EBF_1 -10 0 + 0 0 . chr17 76275986 76275987 chr17:76275987:C:T rs138950766 C T C EBF1_EBF_1 31 0 - 0 0 . chr17 76277217 76277218 chr17:76277218:G:A rs73350377 G A G EBF1_EBF_1 -8 0 - 0 0 . chr17 76279610 76279611 chr17:76279611:G:A chr17:76279611:G:A G A G EBF1_EBF_1 -9 0 + 0 0 . chr17 76279617 76279618 chr17:76279618:G:C rs559632226 G C G EBF1_EBF_1 -2 0 + 0 0 . chr17 76304849 76304850 chr17:76304850:G:A rs79767228 G A G EBF1_EBF_1 -6 0 + 0 0 . chr17 76332799 76332800 chr17:76332800:G:A chr17:76332800:G:A G A G EBF1_EBF_1 3 1 + 6.604618892541682 5.526209311191091 AATGCCAAGGGAAA chr17 76383308 76383309 chr17:76383309:A:C rs528471547 A C A EBF1_EBF_1 7 1 + 3.671459681744503 1.3776806953743226 CGGCCCCAGGGACC chr17 76383319 76383320 chr17:76383320:G:C chr17:76383320:G:C G C G EBF1_EBF_1 18 0 + 0 0 . chr17 76384219 76384220 chr17:76384220:C:G rs193132702 C G C EBF1_EBF_1 6 1 - 7.394565309344309 9.199757372817507 TCTCCCGGGGGACC chr17 76417366 76417367 chr17:76417367:G:A rs394873 G A A EBF1_EBF_1 16 0 + 0 0 . chr17 76420310 76420311 chr17:76420311:T:G rs12941950 T G T EBF1_EBF_1 -5 0 - 0 0 . chr17 76441877 76441878 chr17:76441878:C:T rs117717596 C T C EBF1_EBF_1 -9 0 + 0 0 . chr17 76461979 76461980 chr17:76461980:C:A rs12946216 C A A EBF1_EBF_1 -9 0 - 0 0 . chr17 76492266 76492267 chr17:76492267:G:A rs546475808 G A G EBF1_EBF_1 -3 0 - 0 0 . chr17 76500749 76500750 chr17:76500750:C:T rs12939796 C T T EBF1_EBF_1 -17 0 - 0 0 . chr17 76517959 76517960 chr17:76517960:C:G rs78303492 C G C EBF1_EBF_1 15 0 + 0 0 . chr17 76527708 76527709 chr17:76527709:C:A chr17:76527709:C:A C A C EBF1_EBF_1 6 1 - 6.642303404886549 8.748781597221504 AGCCCCGGGGGATC chr17 76527708 76527709 chr17:76527709:C:T rs8189 C T C EBF1_EBF_1 6 1 - 6.642303404886549 8.809097836161023 AGCCCCGGGGGATC chr17 76534962 76534963 chr17:76534963:A:G rs4647879 A G A EBF1_EBF_1 18 0 - 0 0 . chr17 76540831 76540832 chr17:76540832:G:A rs949220633 G A G EBF1_EBF_1 5 1 - 5.695513552471376 0.3737263369307072 TGCCCCGGGGGACC chr17 76542942 76542943 chr17:76542943:C:T rs3803740 C T c EBF1_EBF_1 -3 0 - 0 0 . chr17 76544887 76544888 chr17:76544888:G:A rs189599263 G A G EBF1_EBF_1 15 0 + 0 0 . chr17 76545054 76545055 chr17:76545055:C:T rs4648339 C T T EBF1_EBF_1 -16 0 + 0 0 . chr17 76573115 76573116 chr17:76573116:C:T rs34207189 C T C EBF1_EBF_1 18 0 - 0 0 . chr17 76597533 76597534 chr17:76597534:A:G rs7217431 A G A EBF1_EBF_1 0 1 - 6.251786875676067 5.969584814945489 TTTCCCAAGAGGAC chr17 76597550 76597551 chr17:76597551:T:A rs9914184 T A T EBF1_EBF_1 -17 0 - 0 0 . chr17 76602061 76602062 chr17:76602062:A:C rs9904870 A C A EBF1_EBF_1 -10 0 + 0 0 . chr17 76614398 76614399 chr17:76614399:G:A rs2590258 G A G EBF1_EBF_1 8 1 + 7.9479297842402366 3.721639695353976 ATTCACAAGGGAAC chr17 76614410 76614411 chr17:76614411:A:G rs2590259 A G G EBF1_EBF_1 20 0 + 0 0 . chr17 76615184 76615185 chr17:76615185:C:T rs2215288 C T C EBF1_EBF_1 15 0 + 0 0 . chr17 76625215 76625216 chr17:76625216:C:T rs8073763 C T C EBF1_EBF_1 -2 0 - 0 0 . chr17 76659755 76659756 chr17:76659756:A:C rs60818738 A C a EBF1_EBF_1 -12 0 - 0 0 . chr17 76708331 76708332 chr17:76708332:G:A rs1400713211 G A G EBF1_EBF_1 -3 0 + 0 0 . chr17 76710967 76710968 chr17:76710968:G:C rs71383084 G C - EBF1_EBF_1 -11 0 + 0 0 . chr17 76716803 76716804 chr17:76716804:G:A rs1014390 G A G EBF1_EBF_1 0 1 + 4.8045134121076805 6.696797281672515 GGACCCCCGGGACC chr17 76737016 76737017 chr17:76737017:G:A rs237057 G A G EBF1_EBF_1 12 1 - 6.060115490180291 5.033848919629441 CATCCCGCGGGACC chr17 76737898 76737899 chr17:76737899:G:C rs237056 G C G EBF1_EBF_1 9 1 + 4.4655189911906925 -0.610170155154771 ACTCCCCGCGGAGC chr17 76737919 76737920 chr17:76737920:C:T rs237055 C T C EBF1_EBF_1 30 0 + 0 0 . chr17 76789301 76789302 chr17:76789302:C:G rs113662270 C G C EBF1_EBF_1 -9 0 + 0 0 . chr17 76820313 76820314 chr17:76820314:A:G rs6501924 A G G EBF1_EBF_1 26 0 + 0 0 . chr17 76845979 76845980 chr17:76845980:G:A rs73362039 G A G EBF1_EBF_1 22 0 + 0 0 . chr17 76869116 76869117 chr17:76869117:G:C rs78271640 G C G EBF1_EBF_1 18 0 - 0 0 . chr17 76869888 76869889 chr17:76869889:G:T rs192881554 G T G EBF1_EBF_1 18 0 + 0 0 . chr17 76870348 76870349 chr17:76870349:T:C rs11077872 T C C EBF1_EBF_1 31 0 - 0 0 . chr17 76871118 76871119 chr17:76871119:G:T rs116212505 G T G EBF1_EBF_1 -4 0 + 0 0 . chr17 76882526 76882527 chr17:76882527:A:G rs547205 A G G EBF1_EBF_1 -16 0 + 0 0 . chr17 76888948 76888949 chr17:76888949:G:T rs7210252 G T T EBF1_EBF_1 12 1 - 11.063589800476825 11.093771198898152 TGTCCCAAGGGACC chr17 76888966 76888967 chr17:76888967:C:T rs7211485 C T C EBF1_EBF_1 -6 0 - 0 0 . chr17 76891693 76891694 chr17:76891694:C:T rs6501927 C T T EBF1_EBF_1 -6 0 - 0 0 . chr17 76897541 76897542 chr17:76897542:G:C rs9898379 G C G EBF1_EBF_1 3 1 - 7.50638452512128 1.6786200770060637 ACACCCAGGGGAAA chr17 76904166 76904167 chr17:76904167:G:A rs628830 G A G EBF1_EBF_1 12 1 - 5.5476784960439325 4.521411925493083 ACCCCCAGGGGTCC chr17 76904502 76904503 chr17:76904503:C:A rs535136 C A C EBF1_EBF_1 9 1 - 6.788177245790439 -0.04881216229837082 ATTCCCTCAGGACA chr17 76905094 76905095 chr17:76905095:C:G rs508628 C G C EBF1_EBF_1 -11 0 - 0 0 . chr17 76918019 76918020 chr17:76918020:T:C rs894948 T C C EBF1_EBF_1 25 0 - 0 0 . chr17 76933823 76933824 chr17:76933824:G:A rs56789328 G A G EBF1_EBF_1 20 0 - 0 0 . chr17 76946923 76946924 chr17:76946924:G:T rs73999242 G T G EBF1_EBF_1 10 1 + 5.510336912914022 1.3275847266867575 GCCCCCGAGGGAGC chr17 76946924 76946925 chr17:76946925:A:T rs73999243 A T A EBF1_EBF_1 11 1 + 5.510336912914022 1.4124226568152969 GCCCCCGAGGGAGC chr17 76946937 76946938 chr17:76946938:G:A rs35272294 G A G EBF1_EBF_1 24 0 + 0 0 . chr17 76968933 76968934 chr17:76968934:G:T rs1867464 G T G EBF1_EBF_1 29 0 + 0 0 . chr17 76981351 76981352 chr17:76981352:G:T rs8074278 G T G EBF1_EBF_1 -2 0 - 0 0 . chr17 76996612 76996613 chr17:76996613:C:T rs59638939 C T C EBF1_EBF_1 -19 0 - 0 0 . chr17 77017060 77017061 chr17:77017061:G:T rs116342882 G T G EBF1_EBF_1 26 0 + 0 0 . chr17 77017063 77017064 chr17:77017064:T:G rs72880093 T G T EBF1_EBF_1 29 0 + 0 0 . chr17 77020766 77020767 chr17:77020767:T:C rs72880101 T C T EBF1_EBF_1 13 1 + 7.822858456413176 6.978364341827658 AATGCCAAGGGAAT chr17 77034130 77034131 chr17:77034131:G:T rs80175678 G T G EBF1_EBF_1 6 1 + 5.910484061996294 8.016962254331247 CCTCCCGAGGGATG chr17 77052807 77052808 chr17:77052808:A:C rs665032 A C a EBF1_EBF_1 12 1 + 7.603746012172462 7.573564613751135 CTCCCCTTGGGAAG chr17 77135385 77135386 chr17:77135386:A:C rs72882059 A C A EBF1_EBF_1 0 1 + 10.674827050210268 8.41956856651367 ACTCCCCAGAGACT chr17 77140601 77140602 chr17:77140602:C:G chr17:77140602:C:G C G C EBF1_EBF_1 28 0 - 0 0 . chr17 77140605 77140606 chr17:77140606:T:C rs568610148 T C T EBF1_EBF_1 24 0 - 0 0 . chr17 77140619 77140620 chr17:77140620:C:T rs113670313 C T C EBF1_EBF_1 10 1 - 9.099610082982206 3.7815816237152404 AGCCCCAAGGGGCT chr17 77140632 77140633 chr17:77140633:A:T rs555653505 A T A EBF1_EBF_1 -3 0 - 0 0 . chr17 77140643 77140644 chr17:77140644:G:A rs28411689 G A G EBF1_EBF_1 -14 0 - 0 0 . chr17 77192537 77192538 chr17:77192538:G:C rs3826282 G C G EBF1_EBF_1 31 0 + 0 0 . chr17 77211881 77211882 chr17:77211882:C:T rs117178832 C T C EBF1_EBF_1 15 0 + 0 0 . chr17 77248260 77248261 chr17:77248261:C:T rs11657145 C T C EBF1_EBF_1 -14 0 + 0 0 . chr17 77273847 77273848 chr17:77273848:C:G rs8064951 C G c EBF1_EBF_1 5 1 + 9.435043799657786 2.5301626470226175 AGTCCCTAGGGCAT chr17 77273861 77273862 chr17:77273862:C:T rs639280 C T c EBF1_EBF_1 19 0 + 0 0 . chr17 77286848 77286849 chr17:77286849:G:A rs73997439 G A G EBF1_EBF_1 33 0 - 0 0 . chr17 77286894 77286895 chr17:77286895:G:A rs1007723319 G A G EBF1_EBF_1 -13 0 - 0 0 . chr17 77311229 77311230 chr17:77311230:C:G rs8068778 C G C EBF1_EBF_1 -15 0 + 0 0 . chr17 77319216 77319217 chr17:77319217:T:C rs9889391 T C C EBF1_EBF_1 -2 0 + 0 0 . chr17 77323717 77323718 chr17:77323718:C:T rs73997493 C T C EBF1_EBF_1 -13 0 + 0 0 . chr17 77331782 77331783 chr17:77331783:G:A rs147676222 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 77334114 77334115 chr17:77334115:C:T rs16969912 C T C EBF1_EBF_1 5 1 + 6.1507337932634405 0.8289465777227703 TTCCCCATGGGTCT chr17 77334127 77334128 chr17:77334128:T:A rs9896100 T A T EBF1_EBF_1 18 0 + 0 0 . chr17 77363211 77363212 chr17:77363212:C:T rs9909052 C T C EBF1_EBF_1 -2 0 - 0 0 . chr17 77365820 77365821 chr17:77365821:C:T rs74000216 C T C EBF1_EBF_1 18 0 + 0 0 . chr17 77366028 77366029 chr17:77366029:C:T rs138466989 C T C EBF1_EBF_1 8 1 - 5.274853458396092 1.0485633695098309 TGTCCCTGGGGCCC chr17 77371563 77371564 chr17:77371564:T:C rs11650953 T C T EBF1_EBF_1 -18 0 - 0 0 . chr17 77372815 77372816 chr17:77372816:C:T rs114322325 C T C EBF1_EBF_1 -12 0 - 0 0 . chr17 77376853 77376854 chr17:77376854:C:A rs190998399 C A C EBF1_EBF_1 16 0 + 0 0 . chr17 77380329 77380330 chr17:77380330:G:C rs146443150 G C G EBF1_EBF_1 -14 0 - 0 0 . chr17 77380806 77380807 chr17:77380807:G:A rs556747752 G A G EBF1_EBF_1 10 1 + 9.114757243167038 3.796728783900072 CACCCCCAGGGACT chr17 77383356 77383357 chr17:77383357:T:C rs388090 T C T EBF1_EBF_1 -18 0 + 0 0 . chr17 77388141 77388142 chr17:77388142:G:C rs74000227 G C G EBF1_EBF_1 19 0 + 0 0 . chr17 77388144 77388145 chr17:77388145:C:T rs79546075 C T c EBF1_EBF_1 22 0 + 0 0 . chr17 77391921 77391922 chr17:77391922:G:A rs417734 G A G EBF1_EBF_1 17 0 + 0 0 . chr17 77400704 77400705 chr17:77400705:G:A rs372182144 G A G EBF1_EBF_1 16 0 + 0 0 . chr17 77405695 77405696 chr17:77405696:G:A rs4789002 G A G EBF1_EBF_1 -14 0 - 0 0 . chr17 77427115 77427116 chr17:77427116:C:A rs312893 C A A EBF1_EBF_1 17 0 - 0 0 . chr17 77440007 77440008 chr17:77440008:G:A rs116838735 G A G EBF1_EBF_1 -4 0 + 0 0 . chr17 77450708 77450709 chr17:77450709:C:G rs143934204 C G C EBF1_EBF_1 3 1 + 7.551385631445624 1.7236211833304071 GTCCCCCAGGGGCT chr17 77455963 77455964 chr17:77455964:A:C rs72887155 A C C EBF1_EBF_1 -9 0 - 0 0 . chr17 77455972 77455973 chr17:77455973:G:C rs532393333 G C G EBF1_EBF_1 8 1 + 4.951984498846165 -0.366674362068522 TCTCCCCCGGGGCA chr17 77457967 77457968 chr17:77457968:C:T rs924516931 C T C EBF1_EBF_1 19 0 + 0 0 . chr17 77460361 77460362 chr17:77460362:C:T rs312841 C T C EBF1_EBF_1 -6 0 + 0 0 . chr17 77479992 77479993 chr17:77479993:A:G rs72887176 A G A EBF1_EBF_1 12 1 - 5.438207384201054 6.464473954751904 TCTCCCCTGGGCTT chr17 77481545 77481546 chr17:77481546:C:T rs9896149 C T c EBF1_EBF_1 31 0 + 0 0 . chr17 77483356 77483357 chr17:77483357:T:C rs440357 T C t EBF1_EBF_1 24 0 + 0 0 . chr17 77484022 77484023 chr17:77484023:T:C rs444487 T C T EBF1_EBF_1 -8 0 + 0 0 . chr17 77488073 77488074 chr17:77488074:C:T rs441670 C T C EBF1_EBF_1 14 0 + 0 0 . chr17 77488931 77488932 chr17:77488932:A:C rs426885 A C C EBF1_EBF_1 -3 0 - 0 0 . chr17 77492932 77492933 chr17:77492933:T:C rs188747 T C T EBF1_EBF_1 -4 0 - 0 0 . chr17 77494742 77494743 chr17:77494743:G:A rs76002597 G A g EBF1_EBF_1 20 0 + 0 0 . chr17 77526783 77526784 chr17:77526784:G:A rs58539842 G A G EBF1_EBF_1 26 0 + 0 0 . chr17 77529406 77529407 chr17:77529407:C:G rs564881952 C G C EBF1_EBF_1 -20 0 - 0 0 . chr17 77543688 77543689 chr17:77543689:T:C rs11077912 T C C EBF1_EBF_1 29 0 - 0 0 . chr17 77566358 77566359 chr17:77566359:A:G rs4789465 A G A EBF1_EBF_1 15 0 - 0 0 . chr17 77570397 77570398 chr17:77570398:G:A rs6501963 G A G EBF1_EBF_1 7 1 - 4.825146923212535 6.642651669495159 GGCCCCGCGGGAAC chr17 77591477 77591478 chr17:77591478:G:T rs150534672 G T G EBF1_EBF_1 14 0 - 0 0 . chr17 77598295 77598296 chr17:77598296:C:T rs72887002 C T C EBF1_EBF_1 4 1 + 8.958769559570227 4.589382614625304 AGTCCCTTGGGCAT chr17 77601776 77601777 chr17:77601777:C:T rs8079645 C T C EBF1_EBF_1 4 1 + 7.326536038617535 2.95714909367261 GCTCCCCAGGGGCA chr17 77601782 77601783 chr17:77601783:G:A rs575666941 G A G EBF1_EBF_1 10 1 + 7.326536038617535 2.008507579350568 GCTCCCCAGGGGCA chr17 77603186 77603187 chr17:77603187:C:T rs72888810 C T C EBF1_EBF_1 8 1 - 6.189308130848838 1.9630180419625762 CCTCCCTCGGGGCT chr17 77613029 77613030 chr17:77613030:G:A rs2077252 G A G EBF1_EBF_1 7 1 + 7.95685736319925 9.269315768488076 CCTCCCGGGGGACT chr17 77613589 77613590 chr17:77613590:A:T rs77708509 A T A EBF1_EBF_1 -5 0 + 0 0 . chr17 77639247 77639248 chr17:77639248:G:T rs11077920 G T G EBF1_EBF_1 28 0 + 0 0 . chr17 77666305 77666306 chr17:77666306:C:T rs2870776 C T C EBF1_EBF_1 -18 0 - 0 0 . chr17 77677351 77677352 chr17:77677352:C:G rs6501980 C G G EBF1_EBF_1 -6 0 - 0 0 . chr17 77687856 77687857 chr17:77687857:T:C rs56186085 T C T EBF1_EBF_1 -2 0 - 0 0 . chr17 77687870 77687871 chr17:77687871:A:G rs8070344 A G A EBF1_EBF_1 -16 0 - 0 0 . chr17 77691410 77691411 chr17:77691411:C:T rs73997975 C T C EBF1_EBF_1 4 1 + 12.30798310081824 7.938596155873317 AATCCCAGGGGACT chr17 77691922 77691923 chr17:77691923:G:C rs1865990 G C C EBF1_EBF_1 -2 0 + 0 0 . chr17 77691954 77691955 chr17:77691955:C:T rs112152610 C T C EBF1_EBF_1 30 0 + 0 0 . chr17 77695045 77695046 chr17:77695046:G:T rs62077085 G T G EBF1_EBF_1 30 0 + 0 0 . chr17 77695940 77695941 chr17:77695941:C:G rs80095505 C G C EBF1_EBF_1 7 1 - 10.318256153496115 9.33693557241476 AGCCCCCGGGGACT chr17 77699410 77699411 chr17:77699411:C:T rs62077088 C T C EBF1_EBF_1 26 0 - 0 0 . chr17 77699584 77699585 chr17:77699585:C:T rs56272149 C T C EBF1_EBF_1 31 0 - 0 0 . chr17 77700949 77700950 chr17:77700950:G:A rs17548047 G A G EBF1_EBF_1 19 0 - 0 0 . chr17 77723178 77723179 chr17:77723179:G:A rs9916134 G A G EBF1_EBF_1 -5 0 + 0 0 . chr17 77725157 77725158 chr17:77725158:G:A rs117720853 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 77726873 77726874 chr17:77726874:A:G rs9893950 A G G EBF1_EBF_1 28 0 + 0 0 . chr17 77738382 77738383 chr17:77738383:C:T rs62077110 C T C EBF1_EBF_1 1 1 + 7.295028951768852 8.190462392167595 CCTCCCTAGGGGAA chr17 77744289 77744290 chr17:77744290:G:A rs67864355 G A G EBF1_EBF_1 12 1 - 5.9267181654411045 4.900451594890255 AGGCCCCAGGGACC chr17 77744366 77744367 chr17:77744367:C:T rs78723995 C T C EBF1_EBF_1 -8 0 + 0 0 . chr17 77755767 77755768 chr17:77755768:C:T rs72898481 C T C EBF1_EBF_1 -10 0 + 0 0 . chr17 77768904 77768905 chr17:77768905:G:A rs3906744 G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 77783113 77783114 chr17:77783114:C:T rs11654468 C T C EBF1_EBF_1 -2 0 + 0 0 . chr17 77783120 77783121 chr17:77783121:C:G rs56011944 C G C EBF1_EBF_1 5 1 + 6.923901167624611 0.019020014989443612 GCCCCCCAGAGAAT chr17 77793773 77793774 chr17:77793774:T:C rs4789487 T C T EBF1_EBF_1 -3 0 - 0 0 . chr17 77818448 77818449 chr17:77818449:G:A rs12952819 G A G EBF1_EBF_1 21 0 - 0 0 . chr17 77832318 77832319 chr17:77832319:T:C rs11655599 T C C EBF1_EBF_1 13 1 + 8.513064090022917 7.668569975437399 AGCCCCGGGGGACT chr17 77843337 77843338 chr17:77843338:C:T rs149819459 C T C EBF1_EBF_1 27 0 + 0 0 . chr17 77846939 77846940 chr17:77846940:G:A rs183298080 G A G EBF1_EBF_1 17 0 - 0 0 . chr17 77854634 77854635 chr17:77854635:G:A rs62078465 G A A EBF1_EBF_1 14 0 + 0 0 . chr17 77854645 77854646 chr17:77854646:A:G rs143009964 A G A EBF1_EBF_1 25 0 + 0 0 . chr17 77856697 77856698 chr17:77856698:G:C rs74000941 G C C EBF1_EBF_1 7 1 + 4.0100105860788915 3.028690004997536 GGCCCCCGGGGCCT chr17 77863944 77863945 chr17:77863945:T:C rs28622249 T C C EBF1_EBF_1 25 0 - 0 0 . chr17 77863968 77863969 chr17:77863969:C:A rs62078482 C A C EBF1_EBF_1 1 1 - 6.003823674092582 6.709485459922111 CGTCCCCAGGGCCC chr17 77865551 77865552 chr17:77865552:T:G rs8066136 T G G EBF1_EBF_1 1 1 + 9.802217917331465 9.096556131501938 GTTCCCCGGGGACA chr17 77865570 77865571 chr17:77865571:G:A rs9911477 G A G EBF1_EBF_1 20 0 + 0 0 . chr17 77892447 77892448 chr17:77892448:A:G rs7217145 A G A EBF1_EBF_1 -18 0 + 0 0 . chr17 77897180 77897181 chr17:77897181:C:T rs79362477 C T C EBF1_EBF_1 -8 0 - 0 0 . chr17 77897274 77897275 chr17:77897275:C:T rs72892276 C T C EBF1_EBF_1 20 0 + 0 0 . chr17 77957942 77957943 chr17:77957943:C:T rs115466428 C T C EBF1_EBF_1 -15 0 + 0 0 . chr17 77958013 77958014 chr17:77958014:T:C rs567411263 T C T EBF1_EBF_1 7 1 - 9.20435238782937 7.891893982540546 GCTCCCCAGGGAGC chr17 77958027 77958028 chr17:77958028:G:C rs1048767538 G C G EBF1_EBF_1 -7 0 - 0 0 . chr17 77958031 77958032 chr17:77958032:A:C chr17:77958032:A:C A C A EBF1_EBF_1 -11 0 - 0 0 . chr17 78048596 78048597 chr17:78048597:G:A rs141873668 G A G EBF1_EBF_1 15 0 - 0 0 . chr17 78112903 78112904 chr17:78112904:C:T rs58948849 C T C EBF1_EBF_1 2 1 + 10.774077362714722 12.662900774156872 AGCCCCAAGGGACA chr17 78115514 78115515 chr17:78115515:G:A rs66468151 G A A EBF1_EBF_1 0 1 - 6.517468582819535 6.799670643550114 CCTCCCCTGGGGAA chr17 78119219 78119220 chr17:78119220:G:A rs58711252 G A G EBF1_EBF_1 -1 0 - 0 0 . chr17 78121488 78121489 chr17:78121489:G:C rs2613520 G C . EBF1_EBF_1 9 1 + 5.948670930093592 0.8729817837481276 CCTCCCCAGGGGGC chr17 78124451 78124452 chr17:78124452:C:T rs117892474 C T . EBF1_EBF_1 -6 0 - 0 0 . chr17 78133554 78133555 chr17:78133555:T:C rs417780 T C C EBF1_EBF_1 -15 0 + 0 0 . chr17 78145428 78145429 chr17:78145429:A:C rs34816169 A C A EBF1_EBF_1 -14 0 - 0 0 . chr17 78146195 78146196 chr17:78146196:C:A rs71385935 C A C EBF1_EBF_1 10 1 - 8.301516135338577 4.118763949111313 AATCCCCAGAGAAG chr17 78146320 78146321 chr17:78146321:G:A rs2310998 G A A EBF1_EBF_1 -5 0 + 0 0 . chr17 78151738 78151739 chr17:78151739:A:T rs9906439 A T A EBF1_EBF_1 -18 0 + 0 0 . chr17 78168322 78168323 chr17:78168323:A:C rs9900387 A C C EBF1_EBF_1 24 0 - 0 0 . chr17 78186723 78186724 chr17:78186724:G:C rs79878080 G C - EBF1_EBF_1 25 0 - 0 0 . chr17 78211600 78211601 chr17:78211601:C:T rs3764385 C T C EBF1_EBF_1 5 1 + 7.30956517969384 1.9877779641531699 CACCCCGAGGGACT chr17 78227583 78227584 chr17:78227584:C:T rs113792002 C T C EBF1_EBF_1 -17 0 - 0 0 . chr17 78232529 78232530 chr17:78232530:G:A rs58233940 G A G EBF1_EBF_1 29 0 - 0 0 . chr17 78232548 78232549 chr17:78232549:C:T rs9907137 C T C EBF1_EBF_1 10 1 - 6.028783087154417 0.7107546278874507 AAACCCCGGGGAGC chr17 78251954 78251955 chr17:78251955:A:G rs34219567 A G G EBF1_EBF_1 -16 0 - 0 0 . chr17 78253153 78253154 chr17:78253154:C:A rs115220863 C A C EBF1_EBF_1 -4 0 - 0 0 . chr17 78258423 78258424 chr17:78258424:A:C rs576024493 A C A EBF1_EBF_1 7 1 + 5.954392704438846 3.6606137180686655 ACCCCCCAGGGTGT chr17 78269185 78269186 chr17:78269186:C:G rs9905582 C G G EBF1_EBF_1 -16 0 + 0 0 . chr17 78270033 78270034 chr17:78270034:T:G rs749883 T G G EBF1_EBF_1 19 0 - 0 0 . chr17 78270037 78270038 chr17:78270038:G:A rs57875761 G A G EBF1_EBF_1 15 0 - 0 0 . chr17 78278969 78278970 chr17:78278970:G:A rs4789569 G A G EBF1_EBF_1 31 0 - 0 0 . chr17 78279012 78279013 chr17:78279013:G:C rs4789570 G C G EBF1_EBF_1 -12 0 - 0 0 . chr17 78304486 78304487 chr17:78304487:C:A rs937892 C A C EBF1_EBF_1 -13 0 + 0 0 . chr17 78308383 78308384 chr17:78308384:G:C rs117591900 G C G EBF1_EBF_1 25 0 - 0 0 . chr17 78308389 78308390 chr17:78308390:A:T rs4789025 A T T EBF1_EBF_1 19 0 - 0 0 . chr17 78308428 78308429 chr17:78308429:C:G rs8081523 C G C EBF1_EBF_1 -20 0 - 0 0 . chr17 78312889 78312890 chr17:78312890:C:T rs59745781 C T C EBF1_EBF_1 21 0 + 0 0 . chr17 78314218 78314219 chr17:78314219:G:T rs10852710 G T G EBF1_EBF_1 7 1 + 6.9578047232926945 7.793988888493962 CACCCCCGGGGACC chr17 78314225 78314226 chr17:78314226:C:G rs79277884 C G C EBF1_EBF_1 14 0 + 0 0 . chr17 78315797 78315798 chr17:78315798:C:T rs532615999 C T C EBF1_EBF_1 -7 0 + 0 0 . chr17 78330017 78330018 chr17:78330018:A:G rs75138979 A G G EBF1_EBF_1 14 0 + 0 0 . chr17 78359893 78359894 chr17:78359894:G:T rs192803725 G T G EBF1_EBF_1 -10 0 + 0 0 . chr17 78366631 78366632 chr17:78366632:A:G rs28539061 A G G EBF1_EBF_1 23 0 - 0 0 . chr17 78374791 78374792 chr17:78374792:C:G rs188017733 C G C EBF1_EBF_1 2 1 + 8.419982137405022 3.5604798586467044 AGCCCCTCGGGACA chr17 78374814 78374815 chr17:78374815:C:T rs62079153 C T C EBF1_EBF_1 25 0 + 0 0 . chr17 78386709 78386710 chr17:78386710:C:T rs12948394 C T C EBF1_EBF_1 -11 0 + 0 0 . chr17 78397280 78397281 chr17:78397281:A:G rs754922932 A G A EBF1_EBF_1 -15 0 - 0 0 . chr17 78397814 78397815 chr17:78397815:C:A rs7212201 C A c EBF1_EBF_1 -14 0 - 0 0 . chr17 78416866 78416867 chr17:78416867:G:T rs147155207 G T G EBF1_EBF_1 26 0 + 0 0 . chr17 78423555 78423556 chr17:78423556:T:C rs72903322 T C C EBF1_EBF_1 -7 0 + 0 0 . chr17 78429587 78429588 chr17:78429588:T:C rs55814501 T C C EBF1_EBF_1 -9 0 + 0 0 . chr17 78437602 78437603 chr17:78437603:A:G rs2289757 A G A EBF1_EBF_1 -17 0 - 0 0 . chr17 78451198 78451199 chr17:78451199:C:T rs75188384 C T T EBF1_EBF_1 6 1 + 5.2474719500243365 5.5487580788860935 AGCCCCCGAGGACC chr17 78451205 78451206 chr17:78451206:C:T rs75759647 C T C EBF1_EBF_1 13 1 + 5.2474719500243365 6.091966064609855 AGCCCCCGAGGACC chr17 78458299 78458300 chr17:78458300:A:C rs35109504 A C A EBF1_EBF_1 -14 0 + 0 0 . chr17 78460025 78460026 chr17:78460026:C:T rs57622590 C T C EBF1_EBF_1 10 1 - 7.051941467871389 1.7339130086044225 ACTCCCATCGGACC chr17 78460052 78460053 chr17:78460053:G:A rs2289756 G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 78501446 78501447 chr17:78501447:G:T rs7212619 G T G EBF1_EBF_1 10 1 + 4.795405281813631 0.6126530955863666 GCTGCCCAGGGAAC chr17 78501695 78501696 chr17:78501696:T:C rs671899 T C T EBF1_EBF_1 32 0 - 0 0 . chr17 78501723 78501724 chr17:78501724:G:A rs186475700 G A G EBF1_EBF_1 4 1 - 4.961424248049635 0.592037303104713 TGTCCCAGGGGCCA chr17 78507477 78507478 chr17:78507478:C:A rs62073553 C A . EBF1_EBF_1 -15 0 + 0 0 . chr17 78508248 78508249 chr17:78508249:G:A rs9902160 G A . EBF1_EBF_1 21 0 - 0 0 . chr17 78512208 78512209 chr17:78512209:T:C rs9909967 T C . EBF1_EBF_1 -15 0 - 0 0 . chr17 78525822 78525823 chr17:78525823:C:T rs4969158 C T C EBF1_EBF_1 -19 0 - 0 0 . chr17 78526083 78526084 chr17:78526084:T:C rs4969213 T C - EBF1_EBF_1 27 0 + 0 0 . chr17 78526286 78526287 chr17:78526287:G:A rs77134151 G A G EBF1_EBF_1 6 1 - 5.627979038770271 5.929265167632027 TGTCCCCTGGGCTT chr17 78550642 78550643 chr17:78550643:C:T rs74643714 C T C EBF1_EBF_1 -15 0 - 0 0 . chr17 78569258 78569259 chr17:78569259:G:A rs72920970 G A G EBF1_EBF_1 31 0 + 0 0 . chr17 78572973 78572974 chr17:78572974:G:T rs12951733 G T - EBF1_EBF_1 1 1 - 9.28399038434138 9.213063206989295 ACCCCCCGGGGACC chr17 78573017 78573018 chr17:78573018:G:A rs74829233 G A - EBF1_EBF_1 25 0 - 0 0 . chr17 78611812 78611813 chr17:78611813:A:T rs4796830 A T T EBF1_EBF_1 -19 0 - 0 0 . chr17 78614680 78614681 chr17:78614681:C:T rs4131401 C T C EBF1_EBF_1 2 1 + 5.529057790164515 7.417881201606665 ATCCCCCTGGGTGC chr17 78617546 78617547 chr17:78617547:C:T rs76728411 C T C EBF1_EBF_1 9 1 - 6.645364671472441 3.990425406024837 ACTGCCAAGGGACA chr17 78617564 78617565 chr17:78617565:C:T rs540580127 C T C EBF1_EBF_1 -9 0 - 0 0 . chr17 78617570 78617571 chr17:78617571:G:A rs115350867 G A G EBF1_EBF_1 -15 0 - 0 0 . chr17 78625967 78625968 chr17:78625968:T:G rs55691740 T G G EBF1_EBF_1 -20 0 + 0 0 . chr17 78629112 78629113 chr17:78629113:G:A rs28604312 G A G EBF1_EBF_1 2 1 - 5.570041862430891 7.458865273873041 AGCCCCTAGAGGAC chr17 78641399 78641400 chr17:78641400:G:A rs72848533 G A G EBF1_EBF_1 -5 0 - 0 0 . chr17 78650352 78650353 chr17:78650353:T:G rs8068157 T G G EBF1_EBF_1 14 0 - 0 0 . chr17 78673508 78673509 chr17:78673509:T:C rs34744043 T C T EBF1_EBF_1 7 1 - 6.33542801006115 5.022969604772326 ATTCCCCAGGTGAC chr17 78677103 78677104 chr17:78677104:G:A chr17:78677104:G:A G A G EBF1_EBF_1 3 1 - 10.679611292504124 4.274212550950806 ATTCCCCGGGGAGC chr17 78722471 78722472 chr17:78722472:A:T rs7219351 A T T EBF1_EBF_1 -17 0 + 0 0 . chr17 78874210 78874211 chr17:78874211:A:G rs2377005 A G G EBF1_EBF_1 19 0 + 0 0 . chr17 78883633 78883634 chr17:78883634:G:A rs570335320 G A G EBF1_EBF_1 -12 0 - 0 0 . chr17 78899603 78899604 chr17:78899604:C:T rs114628718 C T C EBF1_EBF_1 -7 0 + 0 0 . chr17 78903232 78903233 chr17:78903233:G:A rs115467730 G A G EBF1_EBF_1 23 0 + 0 0 . chr17 78916607 78916608 chr17:78916608:G:A rs59573630 G A G EBF1_EBF_1 -15 0 + 0 0 . chr17 78977256 78977257 chr17:78977257:T:C rs1113032 T C T EBF1_EBF_1 20 0 - 0 0 . chr17 78980426 78980427 chr17:78980427:C:G rs150935276 C G C EBF1_EBF_1 7 1 - 7.8517060206193054 6.870385439537951 CTCCCCTGGGGACA chr17 78980647 78980648 chr17:78980648:C:T rs149745437 C T C EBF1_EBF_1 20 0 - 0 0 . chr17 78987836 78987837 chr17:78987837:G:A rs146476260 G A g EBF1_EBF_1 -17 0 + 0 0 . chr17 78990580 78990581 chr17:78990581:C:T rs9915230 C T T EBF1_EBF_1 29 0 - 0 0 . chr17 79001301 79001302 chr17:79001302:A:G rs78136886 A G A EBF1_EBF_1 11 1 + 6.90642047517118 4.013713631567169 TCTCCCAGGAGACC chr17 79020234 79020235 chr17:79020235:C:T rs3744180 C T C EBF1_EBF_1 3 1 + 7.296172857371149 0.8907741158178314 CTACCCTTGGGAAC chr17 79025548 79025549 chr17:79025549:A:G rs34459274 A G G EBF1_EBF_1 0 1 + 8.446728463033722 6.554444593468888 AGCCCCAGGGGAGC chr17 79026584 79026585 chr17:79026585:C:T rs59047978 C T c EBF1_EBF_1 14 0 - 0 0 . chr17 79038467 79038468 chr17:79038468:C:T rs58006697 C T T EBF1_EBF_1 -5 0 + 0 0 . chr17 79042133 79042134 chr17:79042134:C:T rs62063353 C T C EBF1_EBF_1 16 0 + 0 0 . chr17 79044711 79044712 chr17:79044712:C:T rs62063358 C T C EBF1_EBF_1 8 1 - 6.983380340376135 2.757090251489875 CTTCCCTAGGAAAT chr17 79054380 79054381 chr17:79054381:C:G rs73408678 C G C EBF1_EBF_1 -13 0 + 0 0 . chr17 79094390 79094391 chr17:79094391:A:G rs61042144 A G a EBF1_EBF_1 15 0 + 0 0 . chr17 79096943 79096944 chr17:79096944:T:C rs8072150 T C T EBF1_EBF_1 -17 0 + 0 0 . chr17 79097651 79097652 chr17:79097652:A:G rs59021665 A G G EBF1_EBF_1 18 0 + 0 0 . chr17 79098634 79098635 chr17:79098635:A:G rs9906059 A G A EBF1_EBF_1 28 0 + 0 0 . chr17 79107994 79107995 chr17:79107995:G:A rs74686730 G A A EBF1_EBF_1 -17 0 - 0 0 . chr17 79137594 79137595 chr17:79137595:G:A rs1870623 G A G EBF1_EBF_1 10 1 + 3.8202389315096745 -1.497789527757292 GCCCCCCGGGGCCT chr17 79137601 79137602 chr17:79137602:C:T rs58249933 C T C EBF1_EBF_1 17 0 + 0 0 . chr17 79141853 79141854 chr17:79141854:A:G rs2707037 A G A EBF1_EBF_1 -19 0 + 0 0 . chr17 79142881 79142882 chr17:79142882:A:C rs8080872 A C C EBF1_EBF_1 -2 0 - 0 0 . chr17 79143645 79143646 chr17:79143646:G:A rs9900428 G A G EBF1_EBF_1 -13 0 + 0 0 . chr17 79152176 79152177 chr17:79152177:T:G rs9789026 T G G EBF1_EBF_1 -10 0 - 0 0 . chr17 79157893 79157894 chr17:79157894:G:A rs67762970 G A G EBF1_EBF_1 25 0 - 0 0 . chr17 79167265 79167266 chr17:79167266:A:G rs57176251 A G G EBF1_EBF_1 -20 0 + 0 0 . chr17 79175672 79175673 chr17:79175673:A:G rs11077415 A G A EBF1_EBF_1 23 0 + 0 0 . chr17 79177956 79177957 chr17:79177957:G:A rs11869004 G A G EBF1_EBF_1 0 1 + 7.4360469517005 9.328330821265332 GGCCCCCAGGGAAG chr17 79182967 79182968 chr17:79182968:C:A rs181663966 C A C EBF1_EBF_1 0 1 + 8.00254360162359 10.25780208532019 CTTCCCCGGAGACT chr17 79202068 79202069 chr17:79202069:A:G rs138734294 A G A EBF1_EBF_1 30 0 - 0 0 . chr17 79202114 79202115 chr17:79202115:C:T rs34238853 C T C EBF1_EBF_1 -16 0 - 0 0 . chr17 79202538 79202539 chr17:79202539:A:G rs35866811 A G A EBF1_EBF_1 6 1 + 8.922778718636422 6.75598428736195 AACCCCATGGGACG chr17 79280380 79280381 chr17:79280381:T:C rs11651201 T C T EBF1_EBF_1 -4 0 - 0 0 . chr17 79300737 79300738 chr17:79300738:C:A rs72848068 C A C EBF1_EBF_1 30 0 + 0 0 . chr17 79307061 79307062 chr17:79307062:T:C rs897595 T C C EBF1_EBF_1 7 1 - 7.997878186200068 6.685419780911244 TCTCCCCAGGGATG chr17 79325250 79325251 chr17:79325251:T:A rs12600588 T A T EBF1_EBF_1 6 1 + 6.311202542183666 6.371518781123187 CATCCCTGGAGATT chr17 79329387 79329388 chr17:79329388:C:G rs9895586 C G c EBF1_EBF_1 25 0 - 0 0 . chr17 79331508 79331509 chr17:79331509:C:A rs11653508 C A C EBF1_EBF_1 -6 0 + 0 0 . chr17 79331536 79331537 chr17:79331537:C:T rs9911346 C T C EBF1_EBF_1 22 0 + 0 0 . chr17 79340408 79340409 chr17:79340409:A:G rs35647708 A G A EBF1_EBF_1 -15 0 + 0 0 . chr17 79340426 79340427 chr17:79340427:C:T rs35105443 C T C EBF1_EBF_1 3 1 + 6.137701641886661 -0.2676970996666572 TTCCCCATGGGGCA chr17 79369336 79369337 chr17:79369337:G:A rs2377408 G A G EBF1_EBF_1 0 1 - 8.373413482994298 8.655615543724876 CCTCCCCGGGGAGT chr17 79369338 79369339 chr17:79369339:G:A rs2124605 G A A EBF1_EBF_1 -2 0 - 0 0 . chr17 79372259 79372260 chr17:79372260:G:C rs9914068 G C G EBF1_EBF_1 -12 0 + 0 0 . chr17 79399750 79399751 chr17:79399751:T:C rs933380588 T C T EBF1_EBF_1 -11 0 + 0 0 . chr17 79409744 79409745 chr17:79409745:C:T rs11077438 C T C EBF1_EBF_1 -6 0 + 0 0 . chr17 79437212 79437213 chr17:79437213:C:A rs9783840 C A C EBF1_EBF_1 20 0 + 0 0 . chr17 79438735 79438736 chr17:79438736:C:T rs7406215 C T C EBF1_EBF_1 15 0 + 0 0 . chr17 79440279 79440280 chr17:79440280:C:T rs147742071 C T C EBF1_EBF_1 28 0 - 0 0 . chr17 79451310 79451311 chr17:79451311:C:T rs7219446 C T C EBF1_EBF_1 4 1 + 5.388308694771896 1.0189217498269727 GAACCCCCGGGACT chr17 79456728 79456729 chr17:79456729:C:T rs869442 C T C EBF1_EBF_1 2 1 + 6.180487091777816 8.069310503219965 ACCCCCCAGGGCCC chr17 79544662 79544663 chr17:79544663:A:G rs73426839 A G G EBF1_EBF_1 -12 0 + 0 0 . chr17 79561505 79561506 chr17:79561506:G:A rs879206336 G A A EBF1_EBF_1 21 0 + 0 0 . chr17 79591228 79591229 chr17:79591229:G:A rs35717502 G A G EBF1_EBF_1 -17 0 - 0 0 . chr17 79612939 79612940 chr17:79612940:T:A chr17:79612940:T:A T A T EBF1_EBF_1 -5 0 - 0 0 . chr17 79620756 79620757 chr17:79620757:C:T rs34086540 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 79625301 79625302 chr17:79625302:A:G rs35359812 A G a EBF1_EBF_1 0 1 + 8.211428784117231 6.319144914552399 ATTCCCCAGAGATG chr17 79625316 79625317 chr17:79625317:G:A rs998528553 G A g EBF1_EBF_1 15 0 + 0 0 . chr17 79656038 79656039 chr17:79656039:G:A rs879249051 G A c EBF1_EBF_1 32 0 + 0 0 . chr17 79702155 79702156 chr17:79702156:C:G rs114931704 C G c EBF1_EBF_1 32 0 - 0 0 . chr17 79702193 79702194 chr17:79702194:T:G rs77976334 T G T EBF1_EBF_1 -6 0 - 0 0 . chr17 79721280 79721281 chr17:79721281:C:T rs77138600 C T c EBF1_EBF_1 -20 0 + 0 0 . chr17 79728583 79728584 chr17:79728584:T:C rs6565475 T C c EBF1_EBF_1 -11 0 + 0 0 . chr17 79728623 79728624 chr17:79728624:T:A rs78678801 T A t EBF1_EBF_1 29 0 + 0 0 . chr17 79730719 79730720 chr17:79730720:C:T rs62077882 C T c EBF1_EBF_1 30 0 - 0 0 . chr17 79730727 79730728 chr17:79730728:C:A rs149916382 C A c EBF1_EBF_1 22 0 - 0 0 . chr17 79733318 79733319 chr17:79733319:C:T rs3923264 C T c EBF1_EBF_1 -11 0 + 0 0 . chr17 79733321 79733322 chr17:79733322:G:A rs3923265 G A g EBF1_EBF_1 -8 0 + 0 0 . chr17 79733336 79733337 chr17:79733337:C:A rs534268443 C A c EBF1_EBF_1 7 1 + 5.39435942004863 7.688138406418812 GCTCCCACGGGGCA chr17 79748377 79748378 chr17:79748378:G:C rs188328671 G C G EBF1_EBF_1 17 0 + 0 0 . chr17 79749657 79749658 chr17:79749658:T:C rs8077115 T C C EBF1_EBF_1 33 0 + 0 0 . chr17 79768007 79768008 chr17:79768008:G:A rs542262134 G A g EBF1_EBF_1 6 1 + 7.296166998746579 9.462961430021055 AATCCCGTGAGATT chr17 79780978 79780979 chr17:79780979:T:C rs2036316 T C C EBF1_EBF_1 28 0 - 0 0 . chr17 79780979 79780980 chr17:79780980:T:C rs2036317 T C C EBF1_EBF_1 27 0 - 0 0 . chr17 79781271 79781272 chr17:79781272:A:C rs4243243 A C C EBF1_EBF_1 16 0 - 0 0 . chr17 79784797 79784798 chr17:79784798:C:T rs76915888 C T C EBF1_EBF_1 23 0 + 0 0 . chr17 79795367 79795368 chr17:79795368:G:A rs146798598 G A G EBF1_EBF_1 2 1 - 6.901443717126165 8.790267128568313 GGCCCCTCGGGACC chr17 79795598 79795599 chr17:79795599:T:C rs61013672 T C T EBF1_EBF_1 -5 0 + 0 0 . chr17 79806526 79806527 chr17:79806527:T:C chr17:79806527:T:C T C T EBF1_EBF_1 -11 0 - 0 0 . chr17 79811181 79811182 chr17:79811182:G:A rs56672613 G A G EBF1_EBF_1 19 0 - 0 0 . chr17 79825752 79825753 chr17:79825753:T:C rs56229531 T C C EBF1_EBF_1 7 1 + 6.842838826922753 5.02533408064013 CAACCCCTGGGACT chr17 79831136 79831137 chr17:79831137:G:C rs9747031 G C G EBF1_EBF_1 -11 0 + 0 0 . chr17 79836674 79836675 chr17:79836675:C:A rs77447679 C A C EBF1_EBF_1 10 1 - 5.873020353067365 1.6902681668401018 ACTCCCCAGGGTTG chr17 79844818 79844819 chr17:79844819:G:A rs557015126 G A G EBF1_EBF_1 -10 0 - 0 0 . chr17 79870491 79870492 chr17:79870492:G:T rs73414719 G T G EBF1_EBF_1 -14 0 - 0 0 . chr17 79873133 79873134 chr17:79873134:A:C rs4889795 A C A EBF1_EBF_1 -5 0 + 0 0 . chr17 79891959 79891960 chr17:79891960:A:C rs150432078 A C A EBF1_EBF_1 -18 0 - 0 0 . chr17 79893043 79893044 chr17:79893044:G:C rs577952719 G C G EBF1_EBF_1 27 0 + 0 0 . chr17 79914571 79914572 chr17:79914572:C:T rs57958374 C T C EBF1_EBF_1 30 0 + 0 0 . chr17 79915137 79915138 chr17:79915138:C:T rs62076597 C T C EBF1_EBF_1 18 0 + 0 0 . chr17 79915140 79915141 chr17:79915141:A:T rs78746605 A T A EBF1_EBF_1 21 0 + 0 0 . chr17 79925495 79925496 chr17:79925496:C:T rs141122121 C T C EBF1_EBF_1 -14 0 + 0 0 . chr17 79928985 79928986 chr17:79928986:C:T rs35918704 C T C EBF1_EBF_1 10 1 - 7.329996496740218 2.011968037473251 ACCCCCCAGGGGCA chr17 79928989 79928990 chr17:79928990:G:C rs11651448 G C G EBF1_EBF_1 6 1 - 7.329996496740218 5.52480443326702 ACCCCCCAGGGGCA chr17 79934043 79934044 chr17:79934044:G:A rs71389720 G A G EBF1_EBF_1 14 0 + 0 0 . chr17 79936940 79936941 chr17:79936941:C:T rs56014161 C T T EBF1_EBF_1 -5 0 + 0 0 . chr17 79937167 79937168 chr17:79937168:G:A rs3209587 G A G EBF1_EBF_1 12 1 + 6.444423588741333 7.86324093084081 ATTCCCCAGAGGGC chr17 79937174 79937175 chr17:79937175:T:G rs894872 T G G EBF1_EBF_1 19 0 + 0 0 . chr17 79947793 79947794 chr17:79947794:C:T rs117000719 C T C EBF1_EBF_1 -7 0 - 0 0 . chr17 79948812 79948813 chr17:79948813:C:T rs8079001 C T C EBF1_EBF_1 -17 0 - 0 0 . chr17 79951343 79951344 chr17:79951344:C:T rs550326323 C T C EBF1_EBF_1 3 1 + 5.057800341058208 -1.3475984004951105 AGCCCCCGGGGCCC chr17 79970755 79970756 chr17:79970756:A:G rs77209150 A G A EBF1_EBF_1 26 0 - 0 0 . chr17 79981227 79981228 chr17:79981228:A:G rs76459583 A G A EBF1_EBF_1 32 0 + 0 0 . chr17 79991327 79991328 chr17:79991328:T:A rs143993363 T A T EBF1_EBF_1 25 0 - 0 0 . chr17 80008508 80008509 chr17:80008509:G:A rs143601331 G A G EBF1_EBF_1 17 0 - 0 0 . chr17 80009653 80009654 chr17:80009654:C:A rs11658424 C A C EBF1_EBF_1 13 1 + 5.104375093965673 4.730629644679697 AGCCTCCGGGGACC chr17 80065669 80065670 chr17:80065670:C:T rs56126512 C T C EBF1_EBF_1 28 0 + 0 0 . chr17 80083263 80083264 chr17:80083264:A:C rs9910668 A C C EBF1_EBF_1 -17 0 - 0 0 . chr17 80086828 80086829 chr17:80086829:T:A rs1561811 T A T EBF1_EBF_1 32 0 + 0 0 . chr17 80096341 80096342 chr17:80096342:G:A rs4889958 G A G EBF1_EBF_1 -4 0 - 0 0 . chr17 80096348 80096349 chr17:80096349:T:C rs4889959 T C C EBF1_EBF_1 -11 0 - 0 0 . chr17 80102205 80102206 chr17:80102206:C:A rs8077055 C A C EBF1_EBF_1 10 1 - 6.645975734542124 2.4632235483148595 TCACCCCAGGGATT chr17 80102206 80102207 chr17:80102207:C:T rs8077056 C T C EBF1_EBF_1 9 1 - 6.645975734542124 3.99103646909452 TCACCCCAGGGATT chr17 80106971 80106972 chr17:80106972:T:C rs8068555 T C T EBF1_EBF_1 18 0 - 0 0 . chr17 80106997 80106998 chr17:80106998:C:T rs12602422 C T C EBF1_EBF_1 -8 0 - 0 0 . chr17 80107507 80107508 chr17:80107508:C:T rs78855075 C T C EBF1_EBF_1 18 0 - 0 0 . chr17 80110888 80110889 chr17:80110889:C:A rs2304843 C A C EBF1_EBF_1 -4 0 - 0 0 . chr17 80111067 80111068 chr17:80111068:G:T rs2304842 G T G EBF1_EBF_1 25 0 + 0 0 . chr17 80113059 80113060 chr17:80113060:G:A rs775660444 G A G EBF1_EBF_1 -19 0 + 0 0 . chr17 80113092 80113093 chr17:80113093:C:T rs2304835 C T C EBF1_EBF_1 14 0 + 0 0 . chr17 80113095 80113096 chr17:80113096:A:G rs2304834 A G A EBF1_EBF_1 17 0 + 0 0 . chr17 80117128 80117129 chr17:80117129:G:A rs2304832 G A A EBF1_EBF_1 11 1 - 5.914481624288611 6.232529066042275 TCTCCCCAGGGCTT chr17 80117132 80117133 chr17:80117133:T:C rs2304831 T C C EBF1_EBF_1 7 1 - 5.914481624288611 4.602023218999786 TCTCCCCAGGGCTT chr17 80147296 80147297 chr17:80147297:C:G rs117796868 C G C EBF1_EBF_1 28 0 - 0 0 . chr17 80151657 80151658 chr17:80151658:A:G rs12941119 A G G EBF1_EBF_1 32 0 - 0 0 . chr17 80201683 80201684 chr17:80201684:T:C rs2304856 T C C EBF1_EBF_1 31 0 - 0 0 . chr17 80215209 80215210 chr17:80215210:C:T rs4889840 C T C EBF1_EBF_1 -9 0 + 0 0 . chr17 80219814 80219815 chr17:80219815:A:G rs74000631 A G A EBF1_EBF_1 -17 0 - 0 0 . chr17 80219878 80219879 chr17:80219879:C:T rs112554619 C T C EBF1_EBF_1 0 1 - 8.972314835214654 10.864598704779485 GGTCCCCAGAGACT chr17 80219884 80219885 chr17:80219885:A:C chr17:80219885:A:C A C A EBF1_EBF_1 -6 0 - 0 0 . chr17 80251973 80251974 chr17:80251974:G:A rs73432166 G A A EBF1_EBF_1 -9 0 + 0 0 . chr17 80251987 80251988 chr17:80251988:C:T rs144250546 C T C EBF1_EBF_1 5 1 + 6.015169500710797 0.6933822851701268 CATCCCGGGGGATC chr17 80254007 80254008 chr17:80254008:G:A rs116483268 G A G EBF1_EBF_1 21 0 + 0 0 . chr17 80257603 80257604 chr17:80257604:C:T rs12948644 C T C EBF1_EBF_1 -1 0 + 0 0 . chr17 80261488 80261489 chr17:80261489:C:T rs76524822 C T C EBF1_EBF_1 8 1 - 4.82066204847996 0.5943719595936985 CTCCCCCAGGGCCC chr17 80261500 80261501 chr17:80261501:A:G rs11658567 A G A EBF1_EBF_1 -4 0 - 0 0 . chr17 80261505 80261506 chr17:80261506:G:A rs570770787 G A G EBF1_EBF_1 -9 0 - 0 0 . chr17 80284994 80284995 chr17:80284995:G:A rs7214614 G A G EBF1_EBF_1 -15 0 - 0 0 . chr17 80290056 80290057 chr17:80290057:G:C rs34801706 G C G EBF1_EBF_1 24 0 + 0 0 . chr17 80316509 80316510 chr17:80316510:G:A rs7503341 G A G EBF1_EBF_1 16 0 - 0 0 . chr17 80421560 80421561 chr17:80421561:C:T rs41298702 C T C EBF1_EBF_1 8 1 - 8.299209345137339 4.072919256251079 GCTCCCCCGGGACC chr17 80421620 80421621 chr17:80421621:G:A rs41298704 G A G EBF1_EBF_1 7 1 - 5.510175197937209 7.327679944219832 GTACCCCCGGGACC chr17 80428012 80428013 chr17:80428013:C:G rs41300768 C G C EBF1_EBF_1 16 0 - 0 0 . chr17 80429389 80429390 chr17:80429390:C:T rs4075784 C T C EBF1_EBF_1 -2 0 + 0 0 . chr17 80431889 80431890 chr17:80431890:T:C rs4424945 T C C EBF1_EBF_1 0 1 + 7.502906338135313 7.220704277404735 TGTCCCCAGAGAGT chr17 80442417 80442418 chr17:80442418:A:G rs34369758 A G A EBF1_EBF_1 11 1 + 6.853119284578189 3.960412440974178 CCCCCCCAGGGACG chr17 80442429 80442430 chr17:80442430:C:T rs12950638 C T C EBF1_EBF_1 23 0 + 0 0 . chr17 80444424 80444425 chr17:80444425:G:A rs28654082 G A A EBF1_EBF_1 -12 0 + 0 0 . chr17 80444431 80444432 chr17:80444432:G:A rs55633958 G A G EBF1_EBF_1 -5 0 + 0 0 . chr17 80446368 80446369 chr17:80446369:A:G rs4074301 A G G EBF1_EBF_1 32 0 - 0 0 . chr17 80453060 80453061 chr17:80453061:A:G rs9900499 A G G EBF1_EBF_1 9 1 + 5.603132812951536 8.258072078399138 GCCCCCCTGAGAAC chr17 80477282 80477283 chr17:80477283:G:C rs9890103 G C C EBF1_EBF_1 -1 0 - 0 0 . chr17 80481502 80481503 chr17:80481503:C:G rs4555197 C G C EBF1_EBF_1 27 0 + 0 0 . chr17 80603837 80603838 chr17:80603838:G:A rs12937297 G A G EBF1_EBF_1 33 0 + 0 0 . chr17 80631211 80631212 chr17:80631212:A:G rs8082303 A G G EBF1_EBF_1 17 0 + 0 0 . chr17 80635593 80635594 chr17:80635594:A:G rs8079792 A G G EBF1_EBF_1 33 0 - 0 0 . chr17 80635600 80635601 chr17:80635601:A:G rs192716075 A G A EBF1_EBF_1 26 0 - 0 0 . chr17 80647527 80647528 chr17:80647528:T:C rs9900877 T C T EBF1_EBF_1 -2 0 + 0 0 . chr17 80649892 80649893 chr17:80649893:G:T chr17:80649893:G:T G T G EBF1_EBF_1 -18 0 + 0 0 . chr17 80702693 80702694 chr17:80702694:G:A rs34710385 G A G EBF1_EBF_1 -9 0 + 0 0 . chr17 80702709 80702710 chr17:80702710:T:C rs9894952 T C T EBF1_EBF_1 7 1 + 9.271536552076316 7.454031805793694 AGCCCCATGGGATA chr17 80758250 80758251 chr17:80758251:C:G rs116491694 C G C EBF1_EBF_1 3 1 + 5.937530196246482 0.10976574813126555 GTTCCCAGAGGACA chr17 80758270 80758271 chr17:80758271:G:T rs72851595 G T G EBF1_EBF_1 23 0 + 0 0 . chr17 80773921 80773922 chr17:80773922:C:T rs12941973 C T C EBF1_EBF_1 29 0 - 0 0 . chr17 80773943 80773944 chr17:80773944:T:C rs9900956 T C C EBF1_EBF_1 7 1 - 4.912369123412944 3.599910718124119 AGCCCCCAGGGCAG chr17 80791155 80791156 chr17:80791156:A:G rs184236240 A G A EBF1_EBF_1 -18 0 + 0 0 . chr17 80811631 80811632 chr17:80811632:C:T rs4494606 C T C EBF1_EBF_1 20 0 + 0 0 . chr17 80811681 80811682 chr17:80811682:C:T rs4998688 C T c EBF1_EBF_1 20 0 + 0 0 . chr17 80811747 80811748 chr17:80811748:C:A rs35688078 C A c EBF1_EBF_1 -10 0 + 0 0 . chr17 80811865 80811866 chr17:80811866:G:A rs191278357 G A G EBF1_EBF_1 8 1 + 5.49803211645251 1.271742027566249 GTACCCACGGGACA chr17 80829607 80829608 chr17:80829608:C:A rs62070470 C A C EBF1_EBF_1 33 0 + 0 0 . chr17 80833015 80833016 chr17:80833016:G:A rs955016611 G A G EBF1_EBF_1 12 1 - 5.1803185022802385 4.154051931729389 ACTCCCCGGTGACT chr17 80833020 80833021 chr17:80833021:C:T rs1244186823 C T C EBF1_EBF_1 7 1 - 5.1803185022802385 6.4927769075690644 ACTCCCCGGTGACT chr17 80849109 80849110 chr17:80849110:G:C rs2672899 G C C EBF1_EBF_1 12 1 + 5.714544373849282 7.103180317527434 TACCCCCCGGGAGT chr17 80872582 80872583 chr17:80872583:G:A rs35987612 G A G EBF1_EBF_1 0 1 - 6.908138827396964 7.190340888127542 CTTCCCAGGGGGCA chr17 80900958 80900959 chr17:80900959:A:G rs7208835 A G G EBF1_EBF_1 25 0 + 0 0 . chr17 80920602 80920603 chr17:80920603:C:T rs1291038648 C T C EBF1_EBF_1 17 0 + 0 0 . chr17 80937240 80937241 chr17:80937241:C:T rs1877926 C T C EBF1_EBF_1 -20 0 - 0 0 . chr17 80946036 80946037 chr17:80946037:G:C rs2138119 G C G EBF1_EBF_1 -12 0 + 0 0 . chr17 80946058 80946059 chr17:80946059:G:C rs2271610 G C C EBF1_EBF_1 10 1 + 5.27453734369757 -1.6162650436319046 ACTCCCCAGTGACA chr17 80955778 80955779 chr17:80955779:A:T rs369479284 A T A EBF1_EBF_1 18 0 - 0 0 . chr17 80959591 80959592 chr17:80959592:C:T rs568626814 C T C EBF1_EBF_1 3 1 + 5.510336912914022 -0.8950618286392961 GCCCCCGAGGGAGC chr17 80963364 80963365 chr17:80963365:G:A rs34781048 G A G EBF1_EBF_1 30 0 + 0 0 . chr17 80964771 80964772 chr17:80964772:G:A rs116582044 G A G EBF1_EBF_1 -19 0 - 0 0 . chr17 80966163 80966164 chr17:80966164:C:T rs1045626 C T C EBF1_EBF_1 14 0 + 0 0 . chr17 80966494 80966495 chr17:80966495:C:T rs373187589 C T C EBF1_EBF_1 15 0 + 0 0 . chr17 80967359 80967360 chr17:80967360:C:G rs6565506 C G G EBF1_EBF_1 -13 0 - 0 0 . chr17 80970663 80970664 chr17:80970664:G:C rs80072612 G C G EBF1_EBF_1 0 1 + 4.688955612299718 4.3259809981679505 GCTCCCCAGGGCCG chr17 80979348 80979349 chr17:80979349:A:G rs534899710 A G A EBF1_EBF_1 31 0 - 0 0 . chr17 80979383 80979384 chr17:80979384:C:T rs117678363 C T C EBF1_EBF_1 -4 0 - 0 0 . chr17 80979778 80979779 chr17:80979779:C:A rs6565511 C A C EBF1_EBF_1 -5 0 + 0 0 . chr17 80992207 80992208 chr17:80992208:G:C rs8080539 G C C EBF1_EBF_1 7 1 + 5.397027054633285 4.41570647355193 CTTCCCCGGGGCCC chr17 80992211 80992212 chr17:80992212:C:G rs573825706 C G C EBF1_EBF_1 11 1 + 5.397027054633285 6.920281908881663 CTTCCCCGGGGCCC chr17 80997375 80997376 chr17:80997376:A:G rs9989475 A G A EBF1_EBF_1 28 0 + 0 0 . chr17 80998620 80998621 chr17:80998621:A:G rs11653865 A G G EBF1_EBF_1 -18 0 - 0 0 . chr17 80999673 80999674 chr17:80999674:T:C rs1128687 T C T EBF1_EBF_1 33 0 + 0 0 . chr17 81032644 81032645 chr17:81032645:G:A rs547914839 G A G EBF1_EBF_1 -20 0 + 0 0 . chr17 81033963 81033964 chr17:81033964:T:C rs957355756 T C T EBF1_EBF_1 7 1 - 6.241019618638932 4.928561213350107 CCTCCCAAGGGGAG chr17 81041266 81041267 chr17:81041267:C:T rs117356593 C T C EBF1_EBF_1 31 0 - 0 0 . chr17 81049281 81049282 chr17:81049282:G:C rs4073044 G C G EBF1_EBF_1 -17 0 - 0 0 . chr17 81057217 81057218 chr17:81057218:T:A rs531632549 T A T EBF1_EBF_1 11 1 - 5.752720616420603 1.6548063603218774 CCTCCTCAGGGACT chr17 81065090 81065091 chr17:81065091:T:A rs1416186021 T A T EBF1_EBF_1 13 1 - 4.917108219919679 6.135347783791173 ACGCCCCTGGGAAA chr17 81065097 81065098 chr17:81065098:G:C rs62072981 G C G EBF1_EBF_1 6 1 - 4.917108219919679 3.111916156446482 ACGCCCCTGGGAAA chr17 81067877 81067878 chr17:81067878:C:T rs75593691 C T C EBF1_EBF_1 29 0 - 0 0 . chr17 81067881 81067882 chr17:81067882:A:G rs8065423 A G G EBF1_EBF_1 25 0 - 0 0 . chr17 81074278 81074279 chr17:81074279:T:C rs12051762 T C T EBF1_EBF_1 -19 0 - 0 0 . chr17 81082333 81082334 chr17:81082334:C:A chr17:81082334:C:A C A C EBF1_EBF_1 0 1 + 5.664135170573723 7.919393654270322 CCTCCCCGGGGTCT chr17 81093532 81093533 chr17:81093533:A:G rs60613650 A G A EBF1_EBF_1 12 1 - 7.644748948117404 8.671015518668252 CATCCCCAGGGATG chr17 81094096 81094097 chr17:81094097:C:T rs117813994 C T C EBF1_EBF_1 6 1 + 4.599821880248359 4.901108009110116 TGTCCCCGGGGCCA chr17 81094100 81094101 chr17:81094101:G:A rs56982120 G A G EBF1_EBF_1 10 1 + 4.599821880248359 -0.7182065790186074 TGTCCCCGGGGCCA chr17 81122844 81122845 chr17:81122845:G:A rs72854175 G A G EBF1_EBF_1 1 1 - 5.586514451303348 6.481947891702093 GCCCCCGGGGGACC chr17 81132350 81132351 chr17:81132351:G:T rs4075838 G T T EBF1_EBF_1 19 0 - 0 0 . chr17 81134162 81134163 chr17:81134163:C:T rs112898360 C T C EBF1_EBF_1 29 0 - 0 0 . chr17 81159628 81159629 chr17:81159629:G:C rs575038628 G C A EBF1_EBF_1 -18 0 - 0 0 . chr17 81160565 81160566 chr17:81160566:C:T rs906184 C T C EBF1_EBF_1 -6 0 - 0 0 . chr17 81221220 81221221 chr17:81221221:C:T rs8073077 C T C EBF1_EBF_1 5 1 + 8.166023251424868 2.8442360358841974 ACTCCCTGGGTAAT chr17 81229155 81229156 chr17:81229156:T:C rs2048057 T C T EBF1_EBF_1 7 1 + 7.310623210594992 5.493118464312369 TGCCCCCTGGGATC chr17 81232267 81232268 chr17:81232268:G:A rs143585715 G A G EBF1_EBF_1 28 0 - 0 0 . chr17 81233654 81233655 chr17:81233655:C:A rs144199126 C A C EBF1_EBF_1 4 1 + 6.079607991610643 0.25504836815717424 GGTCCCCAGAGGCT chr17 81250862 81250863 chr17:81250863:C:T rs149950205 C T C EBF1_EBF_1 1 1 - 5.102553707763814 4.841854875842512 CGCCCCTTGGGTCT chr17 81256986 81256987 chr17:81256987:G:T rs884028 G T G EBF1_EBF_1 0 1 - 6.250472965154627 8.505731448851227 CCTCCCAGGAGACA chr17 81262036 81262037 chr17:81262037:G:C rs56138245 G C G EBF1_EBF_1 -7 0 - 0 0 . chr17 81278572 81278573 chr17:81278573:G:A rs35602265 G A G EBF1_EBF_1 -18 0 + 0 0 . chr17 81285300 81285301 chr17:81285301:C:T rs968584665 C T C EBF1_EBF_1 -14 0 - 0 0 . chr17 81303992 81303993 chr17:81303993:T:C rs1546407 T C C EBF1_EBF_1 -3 0 + 0 0 . chr17 81304016 81304017 chr17:81304017:A:G rs1546406 A G T EBF1_EBF_1 6 1 + 4.5278088232633715 2.361014391988895 CCCCCCAGGGGGAC chr17 81315057 81315058 chr17:81315058:A:G rs74002239 A G A EBF1_EBF_1 7 1 + 5.450744778552164 4.138286373263338 GCCCCCCAGGGTCT chr17 81333806 81333807 chr17:81333807:G:C rs72852110 G C G EBF1_EBF_1 -15 0 - 0 0 . chr17 81343234 81343235 chr17:81343235:A:G rs8068942 A G G EBF1_EBF_1 13 1 + 5.926081063223605 4.811755491154166 AGTTCCCAGGGAAA chr17 81352167 81352168 chr17:81352168:A:G rs8069726 A G - EBF1_EBF_1 32 0 - 0 0 . chr17 81352184 81352185 chr17:81352185:T:C rs9894195 T C - EBF1_EBF_1 15 0 - 0 0 . chr17 81358420 81358421 chr17:81358421:T:C rs62072914 T C T EBF1_EBF_1 -13 0 - 0 0 . chr17 81365636 81365637 chr17:81365637:G:A rs62072944 G A G EBF1_EBF_1 10 1 + 6.468640568980578 1.150612109713612 TCTCCCCAGAGAGC chr17 81369821 81369822 chr17:81369822:T:G rs60443162 T G C EBF1_EBF_1 7 1 - 5.916428400996387 3.622649414626205 CTTCCCCAGGTATC chr17 81378958 81378959 chr17:81378959:T:C rs11651142 T C T EBF1_EBF_1 -7 0 + 0 0 . chr17 81385169 81385170 chr17:81385170:A:C chr17:81385170:A:C A C A EBF1_EBF_1 13 1 - 8.288735436361566 5.9561703004206334 CTTCCCCAGAGATT chr17 81388421 81388422 chr17:81388422:G:A rs1811556 G A G EBF1_EBF_1 17 0 + 0 0 . chr17 81390450 81390451 chr17:81390451:G:A rs9907128 G A G EBF1_EBF_1 18 0 + 0 0 . chr17 81392760 81392761 chr17:81392761:C:A rs73362102 C A C EBF1_EBF_1 27 0 + 0 0 . chr17 81394169 81394170 chr17:81394170:C:T chr17:81394170:C:T C T C EBF1_EBF_1 17 0 - 0 0 . chr17 81423523 81423524 chr17:81423524:C:T rs1376873788 C T C EBF1_EBF_1 33 0 - 0 0 . chr17 81423558 81423559 chr17:81423559:G:A rs182754073 G A G EBF1_EBF_1 -2 0 - 0 0 . chr17 81427488 81427489 chr17:81427489:A:G rs1028135831 A G g EBF1_EBF_1 23 0 + 0 0 . chr17 81429396 81429397 chr17:81429397:G:T rs1389237467 G T g EBF1_EBF_1 33 0 + 0 0 . chr17 81434215 81434216 chr17:81434216:C:T rs72853241 C T C EBF1_EBF_1 -1 0 + 0 0 . chr17 81434247 81434248 chr17:81434248:C:T rs140160969 C T C EBF1_EBF_1 31 0 + 0 0 . chr17 81434248 81434249 chr17:81434249:G:A rs371615494 G A G EBF1_EBF_1 32 0 + 0 0 . chr17 81434248 81434249 chr17:81434249:G:T chr17:81434249:G:T G T G EBF1_EBF_1 32 0 + 0 0 . chr17 81434395 81434396 chr17:81434396:A:G rs72853246 A G A EBF1_EBF_1 7 1 + 6.642118842029364 5.329660436740537 TTCCCCCAGGGAGG chr17 81449186 81449187 chr17:81449187:C:T rs74333240 C T C EBF1_EBF_1 -19 0 + 0 0 . chr17 81449206 81449207 chr17:81449207:A:G rs58210364 A G A EBF1_EBF_1 1 1 + 7.77228893852891 8.032987770450214 AATCCCCAGGGTGT chr17 81463008 81463009 chr17:81463009:C:T rs79478942 C T C EBF1_EBF_1 18 0 - 0 0 . chr17 81479943 81479944 chr17:81479944:G:A rs544330915 G A g EBF1_EBF_1 21 0 + 0 0 . chr17 81484453 81484454 chr17:81484454:G:A chr17:81484454:G:A G A G EBF1_EBF_1 23 0 + 0 0 . chr17 81484460 81484461 chr17:81484461:T:G chr17:81484461:T:G T G T EBF1_EBF_1 30 0 + 0 0 . chr17 81490842 81490843 chr17:81490843:C:T rs9913948 C T C EBF1_EBF_1 -10 0 + 0 0 . chr17 81499146 81499147 chr17:81499147:G:T rs137964489 G T G EBF1_EBF_1 22 0 + 0 0 . chr17 81500493 81500494 chr17:81500494:T:C rs7212066 T C C EBF1_EBF_1 -14 0 + 0 0 . chr17 81508818 81508819 chr17:81508819:G:A rs578174537 G A A EBF1_EBF_1 31 0 + 0 0 . chr17 81519308 81519309 chr17:81519309:C:G rs113478707 C G C EBF1_EBF_1 -6 0 + 0 0 . chr17 81520095 81520096 chr17:81520096:C:T rs74989642 C T C EBF1_EBF_1 26 0 - 0 0 . chr17 81520115 81520116 chr17:81520116:A:G rs114303677 A G A EBF1_EBF_1 6 1 - 8.345203014122813 8.043916885261055 GGCCCCTTGGGACA chr17 81526940 81526941 chr17:81526941:C:T rs34232035 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 81527326 81527327 chr17:81527327:C:T chr17:81527327:C:T C T C EBF1_EBF_1 27 0 + 0 0 . chr17 81541012 81541013 chr17:81541013:T:G rs188872255 T G T EBF1_EBF_1 -13 0 - 0 0 . chr17 81545540 81545541 chr17:81545541:C:T rs8074089 C T C EBF1_EBF_1 7 1 - 5.827806774565869 7.140265179854694 GATCCCCGAGGACT chr17 81546223 81546224 chr17:81546224:G:A rs74002415 G A G EBF1_EBF_1 10 1 + 6.782773282876311 1.4647448236093457 ACACCCCAGGGGAT chr17 81554008 81554009 chr17:81554009:T:G rs55834735 T G T EBF1_EBF_1 -10 0 - 0 0 . chr17 81558091 81558092 chr17:81558092:T:G rs6565596 T G T EBF1_EBF_1 19 0 + 0 0 . chr17 81576902 81576903 chr17:81576903:T:G rs8079542 T G T EBF1_EBF_1 -6 0 + 0 0 . chr17 81580010 81580011 chr17:81580011:A:T rs79973778 A T A EBF1_EBF_1 0 1 + 5.272076633940737 3.2990202109747133 ACTCACCAGGGAGC chr17 81584037 81584038 chr17:81584038:A:G rs7405646 A G G EBF1_EBF_1 0 1 - 7.522303615631281 7.240101554900703 TGTCCCAAGGGCAT chr17 81584057 81584058 chr17:81584058:C:T rs1025486545 C T A EBF1_EBF_1 -20 0 - 0 0 . chr17 81636704 81636705 chr17:81636705:G:T rs78593750 G T G EBF1_EBF_1 16 0 - 0 0 . chr17 81636714 81636715 chr17:81636715:T:C rs7502346 T C C EBF1_EBF_1 6 1 - 9.130261823430319 6.963467392155844 CTCCCCAGGGGACT chr17 81636964 81636965 chr17:81636965:G:A rs764873357 G A G EBF1_EBF_1 -15 0 + 0 0 . chr17 81642523 81642524 chr17:81642524:C:T rs7406636 C T C EBF1_EBF_1 -9 0 - 0 0 . chr17 81650589 81650590 chr17:81650590:A:C rs1126835 A C A EBF1_EBF_1 -20 0 + 0 0 . chr17 81665561 81665562 chr17:81665562:G:T rs111959393 G T G EBF1_EBF_1 -17 0 + 0 0 . chr17 81666813 81666814 chr17:81666814:T:G rs1021918831 T G T EBF1_EBF_1 -7 0 + 0 0 . chr17 81667002 81667003 chr17:81667003:C:T rs12943115 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 81670034 81670035 chr17:81670035:T:C rs57405099 T C C EBF1_EBF_1 29 0 - 0 0 . chr17 81673532 81673533 chr17:81673533:G:A rs12949741 G A G EBF1_EBF_1 3 1 - 4.344387808392403 -2.061010933160916 CTCCCCCTGGGCCC chr17 81676188 81676189 chr17:81676189:T:C rs1410869835 T C T EBF1_EBF_1 13 1 - 7.881887419040632 6.767561846971193 CTCCCCTGGGGAAA chr17 81684570 81684571 chr17:81684571:A:G rs111399065 A G A EBF1_EBF_1 -11 0 - 0 0 . chr17 81688414 81688415 chr17:81688415:G:A rs114154154 G A G EBF1_EBF_1 32 0 - 0 0 . chr17 81701866 81701867 chr17:81701867:C:T rs7189 C T C EBF1_EBF_1 -3 0 - 0 0 . chr17 81709135 81709136 chr17:81709136:T:C rs11868024 T C C EBF1_EBF_1 28 0 + 0 0 . chr17 81712122 81712123 chr17:81712123:C:T rs145262943 C T c EBF1_EBF_1 10 1 - 5.775531516849784 0.45750305758281845 ACTCCCCCGGGCCC chr17 81712123 81712124 chr17:81712124:C:T rs8079987 C T c EBF1_EBF_1 9 1 - 5.775531516849784 3.12059225140218 ACTCCCCCGGGCCC chr17 81712152 81712153 chr17:81712153:C:T rs980802898 C T C EBF1_EBF_1 -20 0 - 0 0 . chr17 81713690 81713691 chr17:81713691:C:T rs748981934 C T C EBF1_EBF_1 -13 0 + 0 0 . chr17 81716520 81716521 chr17:81716521:T:C rs74006104 T C T EBF1_EBF_1 23 0 - 0 0 . chr17 81720829 81720830 chr17:81720830:G:A rs1129921 G A G EBF1_EBF_1 18 0 - 0 0 . chr17 81720862 81720863 chr17:81720863:C:T rs13912 C T c EBF1_EBF_1 -15 0 - 0 0 . chr17 81734884 81734885 chr17:81734885:T:C rs76730615 T C T EBF1_EBF_1 7 1 - 4.756577490787504 3.4441190854986776 AGTCCCAATGGAGC chr17 81811292 81811293 chr17:81811293:C:G rs5386 C G C EBF1_EBF_1 0 1 - 8.520191125348612 8.157216511216847 GGCCCCCAGGGACA chr17 81821760 81821761 chr17:81821761:C:T rs149016816 C T C EBF1_EBF_1 -11 0 - 0 0 . chr17 81821761 81821762 chr17:81821762:G:A rs550776449 G A g EBF1_EBF_1 -12 0 - 0 0 . chr17 81821870 81821871 chr17:81821871:C:G rs556366497 C G C EBF1_EBF_1 6 1 + 6.040848347053364 4.235656283580165 CCTCCCCGGGGAGG chr17 81860175 81860176 chr17:81860176:T:G rs8069408 T G G EBF1_EBF_1 17 0 + 0 0 . chr17 81860183 81860184 chr17:81860184:C:T rs563840788 C T C EBF1_EBF_1 25 0 + 0 0 . chr17 81866383 81866384 chr17:81866384:G:C rs111835606 G C G EBF1_EBF_1 25 0 - 0 0 . chr17 81888483 81888484 chr17:81888484:C:T rs115610695 C T C EBF1_EBF_1 -11 0 + 0 0 . chr17 81902083 81902084 chr17:81902084:C:T rs777136579 C T C EBF1_EBF_1 27 0 - 0 0 . chr17 81910663 81910664 chr17:81910664:G:A rs34186154 G A G EBF1_EBF_1 -10 0 - 0 0 . chr17 81923416 81923417 chr17:81923417:C:G rs79001837 C G C EBF1_EBF_1 5 1 + 6.48969228306888 -0.4151888695662886 TGCCCCTAGAGAAC chr17 81934847 81934848 chr17:81934848:C:T rs145279600 C T C EBF1_EBF_1 10 1 - 5.883642541211227 0.5656140819442603 CTCCCCCTGGGAGG chr17 81954287 81954288 chr17:81954288:G:A rs79066457 G A G EBF1_EBF_1 -8 0 - 0 0 . chr17 81966675 81966676 chr17:81966676:C:A rs74002709 C A c EBF1_EBF_1 3 1 + 7.521352014981396 0.6151779855155906 CCCCCCCTGGGAAA chr17 81966677 81966678 chr17:81966678:C:T rs180841508 C T C EBF1_EBF_1 5 1 + 7.521352014981396 2.199564799440727 CCCCCCCTGGGAAA chr17 81966907 81966908 chr17:81966908:G:A rs111343749 G A G EBF1_EBF_1 -16 0 + 0 0 . chr17 81968058 81968059 chr17:81968059:C:G chr17:81968059:C:G C G C EBF1_EBF_1 16 0 - 0 0 . chr17 81977928 81977929 chr17:81977929:G:A rs185610488 G A g EBF1_EBF_1 4 1 - 4.8045134121076805 0.43512646716275627 GGACCCCCGGGACC chr17 81977958 81977959 chr17:81977959:G:A rs1454697209 G A g EBF1_EBF_1 -17 0 - 0 0 . chr17 81992288 81992289 chr17:81992289:G:A rs115487722 G A G EBF1_EBF_1 8 1 + 4.697908534282508 0.4716184453962459 TTTCCCCCGGGCCC chr17 82005548 82005549 chr17:82005549:C:G rs34713087 C G C EBF1_EBF_1 22 0 + 0 0 . chr17 82065417 82065418 chr17:82065418:C:T rs540408290 C T C EBF1_EBF_1 -7 0 + 0 0 . chr17 82094265 82094266 chr17:82094266:C:T rs148222862 C T C EBF1_EBF_1 -4 0 + 0 0 . chr17 82106890 82106891 chr17:82106891:C:G rs531094452 C G C EBF1_EBF_1 -14 0 - 0 0 . chr17 82116403 82116404 chr17:82116404:C:T rs8072702 C T C EBF1_EBF_1 24 0 + 0 0 . chr17 82126719 82126720 chr17:82126720:C:T rs11658272 C T c EBF1_EBF_1 -4 0 + 0 0 . chr17 82155445 82155446 chr17:82155446:T:G rs8078087 T G G EBF1_EBF_1 8 1 - 6.556469897190478 5.4641011251620535 GGTCCCCAAGGACC chr17 82159945 82159946 chr17:82159946:G:T rs36043222 G T G EBF1_EBF_1 23 0 + 0 0 . chr17 82212477 82212478 chr17:82212478:G:A rs7212023 G A G EBF1_EBF_1 18 0 - 0 0 . chr17 82216980 82216981 chr17:82216981:C:T rs145488757 C T C EBF1_EBF_1 26 0 + 0 0 . chr17 82217544 82217545 chr17:82217545:T:C rs4506966 T C C EBF1_EBF_1 18 0 - 0 0 . chr17 82217567 82217568 chr17:82217568:G:A rs4597372 G A G EBF1_EBF_1 -5 0 - 0 0 . chr17 82218215 82218216 chr17:82218216:A:G rs9747989 A G G EBF1_EBF_1 13 1 - 4.6039534961269135 3.7594593815413946 ACCCCCCAGTGACT chr17 82226047 82226048 chr17:82226048:C:T rs9907757 C T C EBF1_EBF_1 -1 0 + 0 0 . chr17 82236548 82236549 chr17:82236549:C:A chr17:82236549:C:A C A C EBF1_EBF_1 -8 0 - 0 0 . chr17 82238644 82238645 chr17:82238645:C:G rs532768848 C G C EBF1_EBF_1 16 0 - 0 0 . chr17 82238654 82238655 chr17:82238655:G:C rs763437228 G C G EBF1_EBF_1 6 1 - 4.397202323381011 2.592010259907812 TGCCCCCAGGGCCC chr17 82242527 82242528 chr17:82242528:G:C chr17:82242528:G:C G C G EBF1_EBF_1 -9 0 - 0 0 . chr17 82251055 82251056 chr17:82251056:C:A rs114393820 C A C EBF1_EBF_1 -17 0 + 0 0 . chr17 82251056 82251057 chr17:82251057:C:T rs11653735 C T C EBF1_EBF_1 -16 0 + 0 0 . chr17 82251103 82251104 chr17:82251104:C:T rs115493807 C T C EBF1_EBF_1 31 0 + 0 0 . chr17 82278996 82278997 chr17:82278997:C:A chr17:82278997:C:A C A C EBF1_EBF_1 -14 0 - 0 0 . chr17 82278996 82278997 chr17:82278997:C:G rs568634743 C G C EBF1_EBF_1 -14 0 - 0 0 . chr17 82301395 82301396 chr17:82301396:G:A chr17:82301396:G:A G A G EBF1_EBF_1 -20 0 + 0 0 . chr17 82301431 82301432 chr17:82301432:A:G rs4077843 A G G EBF1_EBF_1 16 0 + 0 0 . chr17 82314468 82314469 chr17:82314469:G:T rs3176834 G T G EBF1_EBF_1 23 0 + 0 0 . chr17 82315530 82315531 chr17:82315531:G:C rs4530194 G C G EBF1_EBF_1 8 1 + 6.616059442042808 1.297400581128121 ACCCCCACGGGGCT chr17 82316927 82316928 chr17:82316928:T:C rs146449655 T C T EBF1_EBF_1 24 0 - 0 0 . chr17 82327199 82327200 chr17:82327200:T:C rs73999868 T C t EBF1_EBF_1 13 1 + 7.5728947706111445 6.728400656025626 GGTCCCAAGGGCCT chr17 82327208 82327209 chr17:82327209:T:G rs73999869 T G G EBF1_EBF_1 22 0 + 0 0 . chr17 82332396 82332397 chr17:82332397:T:C rs142825500 T C T EBF1_EBF_1 20 0 - 0 0 . chr17 82334800 82334801 chr17:82334801:C:T rs148475596 C T C EBF1_EBF_1 6 1 - 7.885930185847164 10.05272461712164 CATCCCGGGGGACT chr17 82362684 82362685 chr17:82362685:G:A rs7502620 G A A EBF1_EBF_1 16 0 + 0 0 . chr17 82365637 82365638 chr17:82365638:A:G rs7225657 A G A EBF1_EBF_1 25 0 + 0 0 . chr17 82376490 82376491 chr17:82376491:A:G rs56348720 A G a EBF1_EBF_1 -19 0 - 0 0 . chr17 82376591 82376592 chr17:82376592:G:A rs11650125 G A G EBF1_EBF_1 -2 0 + 0 0 . chr17 82376609 82376610 chr17:82376610:C:T rs79589817 C T C EBF1_EBF_1 16 0 + 0 0 . chr17 82378836 82378837 chr17:82378837:C:T rs192932186 C T C EBF1_EBF_1 27 0 + 0 0 . chr17 82382823 82382824 chr17:82382824:C:T rs55786107 C T C EBF1_EBF_1 5 1 + 5.153803984719049 -0.1679832308216216 CGTCCCCTGGGCCA chr17 82391748 82391749 chr17:82391749:T:C rs28517555 T C C EBF1_EBF_1 6 1 - 5.730981760686582 3.5641873294121065 CATCCCAAGGGCCA chr17 82392055 82392056 chr17:82392056:G:A rs8067393 G A G EBF1_EBF_1 31 0 - 0 0 . chr17 82394423 82394424 chr17:82394424:C:T rs79314916 C T C EBF1_EBF_1 -13 0 + 0 0 . chr17 82394426 82394427 chr17:82394427:G:A rs62079523 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 82394643 82394644 chr17:82394644:G:A rs62079524 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 82412041 82412042 chr17:82412042:T:G rs574230173 T G t EBF1_EBF_1 7 1 + 5.750787604597723 4.914603439396456 ACCCTCCTGGGACC chr17 82440863 82440864 chr17:82440864:G:A rs572397862 G A G EBF1_EBF_1 23 0 + 0 0 . chr17 82444829 82444830 chr17:82444830:C:T rs77954010 C T T EBF1_EBF_1 18 0 - 0 0 . chr17 82485779 82485780 chr17:82485780:A:G chr17:82485780:A:G A G A EBF1_EBF_1 24 0 - 0 0 . chr17 82497622 82497623 chr17:82497623:C:T rs73999968 C T C EBF1_EBF_1 29 0 - 0 0 . chr17 82497631 82497632 chr17:82497632:C:G rs28454086 C G C EBF1_EBF_1 20 0 - 0 0 . chr17 82497645 82497646 chr17:82497646:G:C rs991023362 G C G EBF1_EBF_1 6 1 - 5.100274220271978 3.2950821567987796 GTTCCCCGGCGACC chr17 82497658 82497659 chr17:82497659:C:G rs28420799 C G C EBF1_EBF_1 -7 0 - 0 0 . chr17 82519241 82519242 chr17:82519242:C:T rs1019894173 C T - EBF1_EBF_1 8 1 - 8.039720230555146 3.813430141668886 AGACCCAGGGGACC chr17 82519258 82519259 chr17:82519259:G:A chr17:82519259:G:A G A - EBF1_EBF_1 -9 0 - 0 0 . chr17 82521130 82521131 chr17:82521131:G:C rs190054380 G C G EBF1_EBF_1 -9 0 - 0 0 . chr17 82555292 82555293 chr17:82555293:A:G rs72861086 A G A EBF1_EBF_1 29 0 - 0 0 . chr17 82555330 82555331 chr17:82555331:C:T rs73369902 C T C EBF1_EBF_1 -9 0 - 0 0 . chr17 82587451 82587452 chr17:82587452:G:A rs192075449 G A G EBF1_EBF_1 16 0 - 0 0 . chr17 82597297 82597298 chr17:82597298:A:C rs11649764 A C A EBF1_EBF_1 14 0 + 0 0 . chr17 82601101 82601102 chr17:82601102:G:A rs11651812 G A G EBF1_EBF_1 27 0 + 0 0 . chr17 82647434 82647435 chr17:82647435:G:A rs78310203 G A G EBF1_EBF_1 -10 0 + 0 0 . chr17 82664224 82664225 chr17:82664225:G:A rs756072 G A g EBF1_EBF_1 -11 0 - 0 0 . chr17 82664413 82664414 chr17:82664414:A:G rs2279393 A G G EBF1_EBF_1 12 1 + 4.894749626787633 3.4759322846881555 GCTCCCCGGGGCAC chr17 82664467 82664468 chr17:82664468:G:A rs2279392 G A G EBF1_EBF_1 -2 0 - 0 0 . chr17 82664799 82664800 chr17:82664800:G:C rs79751177 G C g EBF1_EBF_1 12 1 - 5.5202390569735 4.131603113295348 AGCCCCCTGGGCCA chr17 82674678 82674679 chr17:82674679:C:T rs9890713 C T c EBF1_EBF_1 17 0 - 0 0 . chr17 82676079 82676080 chr17:82676080:C:T rs73357200 C T C EBF1_EBF_1 7 1 + 4.965016650222944 6.782521396505568 TCTCCCCCGGGTCT chr17 82677568 82677569 chr17:82677569:C:T rs56002738 C T C EBF1_EBF_1 24 0 - 0 0 . chr17 82690807 82690808 chr17:82690808:C:T rs150581754 C T c EBF1_EBF_1 8 1 - 5.796647863353324 1.5703577744670638 GTTCCCCAGGAACA chr17 82690880 82690881 chr17:82690881:T:G rs2451199 T G N EBF1_EBF_1 14 0 - 0 0 . chr17 82704737 82704738 chr17:82704738:C:T rs2250606 C T C EBF1_EBF_1 20 0 - 0 0 . chr17 82709586 82709587 chr17:82709587:C:T rs73359170 C T C EBF1_EBF_1 20 0 - 0 0 . chr17 82722517 82722518 chr17:82722518:T:C rs2246577 T C T EBF1_EBF_1 -18 0 - 0 0 . chr17 82744990 82744991 chr17:82744991:C:G rs1055967875 C G C EBF1_EBF_1 12 1 + 6.06487017200047 4.676234228322318 ATTGCCCAGGGACG chr17 82749311 82749312 chr17:82749312:C:T rs11871729 C T C EBF1_EBF_1 21 0 - 0 0 . chr17 82781982 82781983 chr17:82781983:G:C rs3744163 G C G EBF1_EBF_1 -3 0 + 0 0 . chr17 82794255 82794256 chr17:82794256:G:T rs75596709 G T G EBF1_EBF_1 6 1 - 4.598463772956059 4.960066140757337 CCCCCCCTGGGGCA chr17 82794257 82794258 chr17:82794258:G:C rs184240319 G C G EBF1_EBF_1 4 1 - 4.598463772956059 -1.0273013281934857 CCCCCCCTGGGGCA chr17 82796187 82796188 chr17:82796188:C:T rs7215667 C T C EBF1_EBF_1 32 0 + 0 0 . chr17 82845127 82845128 chr17:82845128:G:A rs116221180 G A G EBF1_EBF_1 19 0 - 0 0 . chr17 82854316 82854317 chr17:82854317:G:A rs12937095 G A g EBF1_EBF_1 27 0 + 0 0 . chr17 82854972 82854973 chr17:82854973:C:T rs7223204 C T c EBF1_EBF_1 14 0 - 0 0 . chr17 82868399 82868400 chr17:82868400:G:A rs75107337 G A G EBF1_EBF_1 8 1 + 4.727741761870469 0.5014516729842073 GCTCCCCCGGGCCT chr17 82880846 82880847 chr17:82880847:C:T rs114001811 C T G EBF1_EBF_1 18 0 + 0 0 . chr17 82882261 82882262 chr17:82882262:A:G rs7221057 A G A EBF1_EBF_1 19 0 + 0 0 . chr17 82907242 82907243 chr17:82907243:C:T rs4986136 C T C EBF1_EBF_1 13 1 + 4.886450676956788 5.730944791542306 TCTCGCCAGGGACC chr17 82911898 82911899 chr17:82911899:T:C rs12600371 T C T EBF1_EBF_1 19 0 + 0 0 . chr17 82914618 82914619 chr17:82914619:C:G rs6502014 C G C EBF1_EBF_1 -5 0 + 0 0 . chr17 82929574 82929575 chr17:82929575:A:G rs2034962 A G G EBF1_EBF_1 -1 0 - 0 0 . chr17 82929771 82929772 chr17:82929772:C:T rs112064048 C T C EBF1_EBF_1 6 1 - 5.857804103622238 8.024598534896713 AACCCCGAGGGGAC chr17 82931211 82931212 chr17:82931212:C:T rs3785515 C T C EBF1_EBF_1 -10 0 + 0 0 . chr17 82937001 82937002 chr17:82937002:G:A rs3785517 G A G EBF1_EBF_1 14 0 - 0 0 . chr17 82937097 82937098 chr17:82937098:T:C rs3785518 T C T EBF1_EBF_1 25 0 + 0 0 . chr17 82941630 82941631 chr17:82941631:C:T rs2292968 C T C EBF1_EBF_1 -8 0 - 0 0 . chr17 82957940 82957941 chr17:82957941:T:C rs35857397 T C T EBF1_EBF_1 15 0 + 0 0 . chr17 82958003 82958004 chr17:82958004:C:G rs116603656 C G C EBF1_EBF_1 -5 0 - 0 0 . chr17 82959930 82959931 chr17:82959931:G:A rs73372818 G A g EBF1_EBF_1 12 1 + 4.788390689976981 6.207208032076458 GCTCCCCAGGGCGC chr17 82963277 82963278 chr17:82963278:G:A rs12952892 G A G EBF1_EBF_1 -5 0 + 0 0 . chr17 82963311 82963312 chr17:82963312:C:T rs76605898 C T C EBF1_EBF_1 29 0 + 0 0 . chr17 82965088 82965089 chr17:82965089:G:A rs9972880 G A - EBF1_EBF_1 19 0 + 0 0 . chr17 82970138 82970139 chr17:82970139:A:G rs66522553 A G N EBF1_EBF_1 -6 0 + 0 0 . chr17 82974129 82974130 chr17:82974130:G:T rs10775371 G T T EBF1_EBF_1 29 0 + 0 0 . chr17 83054790 83054791 chr17:83054791:A:G rs145745793 A G A EBF1_EBF_1 24 0 - 0 0 . chr17 83055266 83055267 chr17:83055267:A:G rs12103644 A G - EBF1_EBF_1 13 1 + 5.0903556758760775 3.9760301038066386 GGTCCCCACGGACA chr17 83057754 83057755 chr17:83057755:T:C rs56975743 T C C EBF1_EBF_1 17 0 + 0 0 . chr17 83067477 83067478 chr17:83067478:G:T rs11657032 G T G EBF1_EBF_1 24 0 - 0 0 . chr17 83067516 83067517 chr17:83067517:A:C rs11652770 A C G EBF1_EBF_1 -15 0 - 0 0 . chr17 83091587 83091588 chr17:83091588:T:C rs4986107 T C c EBF1_EBF_1 7 1 + 10.22571319258799 8.408208446305368 TGTCCCCTGGGACC chr17 83091591 83091592 chr17:83091592:A:G rs4986079 A G g EBF1_EBF_1 11 1 + 10.22571319258799 7.333006348983981 TGTCCCCTGGGACC chr17 83092102 83092103 chr17:83092103:C:T rs62074712 C T t EBF1_EBF_1 16 0 - 0 0 . chr17 83097054 83097055 chr17:83097055:G:A rs901617200 G A G EBF1_EBF_1 -2 0 - 0 0 . chr17 83102025 83102026 chr17:83102026:G:A rs937877977 G A g EBF1_EBF_1 -19 0 + 0 0 . chr17 83102028 83102029 chr17:83102029:C:T rs9902462 C T C EBF1_EBF_1 -16 0 + 0 0 . chr17 83104623 83104624 chr17:83104624:C:G rs150358698 C G C EBF1_EBF_1 19 0 - 0 0 . chr17 83127335 83127336 chr17:83127336:G:A rs993831731 G A g EBF1_EBF_1 0 1 - 4.373477099201016 4.655679159931593 CGTCCCCAGGCACT chr17 83145210 83145211 chr17:83145211:G:A rs148719156 G A G EBF1_EBF_1 16 0 - 0 0 . chr17 83173810 83173811 chr17:83173811:G:A rs371137634 G A G EBF1_EBF_1 -10 0 - 0 0 . chr17 83174701 83174702 chr17:83174702:C:G rs796489853 C G c EBF1_EBF_1 21 0 - 0 0 . chr17 83190555 83190556 chr17:83190556:C:T rs376806702 C T c EBF1_EBF_1 10 1 - 10.177124856960297 4.859096397693332 CATCCCAAGGGAAA chr17 83198886 83198887 chr17:83198887:C:T rs967674355 C T c EBF1_EBF_1 -4 0 + 0 0 . chr17 83207424 83207425 chr17:83207425:T:C rs878956680 T C t EBF1_EBF_1 -9 0 - 0 0 . chr18 111019 111020 chr18:111020:C:A rs62076751 C A . EBF1_EBF_1 3 1 + 5.291098713204518 -1.615075316261288 ATCCCCCAGGTGAT chr18 112002 112003 chr18:112003:C:T rs10459989 C T . EBF1_EBF_1 10 1 - 4.333046856266416 -0.984981603000549 CACCCCCGGAGAAC chr18 112011 112012 chr18:112012:T:C rs10459990 T C . EBF1_EBF_1 1 1 - 4.333046856266416 4.593745688187719 CACCCCCGGAGAAC chr18 112043 112044 chr18:112044:C:T rs371997658 C T . EBF1_EBF_1 1 1 - 5.527549434005025 5.266850602083722 CGTCCCCTGGGCCC chr18 112061 112062 chr18:112062:C:T rs77689502 C T . EBF1_EBF_1 -17 0 - 0 0 . chr18 112534 112535 chr18:112535:G:A rs9284390 G A . EBF1_EBF_1 23 0 + 0 0 . chr18 242493 242494 chr18:242494:A:G rs11080944 A G A EBF1_EBF_1 1 1 + 6.172720589865094 6.433419421786396 TATCCCTAAGGAAA chr18 268169 268170 chr18:268170:T:C rs140829524 T C T EBF1_EBF_1 13 1 + 7.47774031560689 6.633246201021372 TTTCCCTAGCGACT chr18 296340 296341 chr18:296341:C:T rs669769 C T T EBF1_EBF_1 26 0 + 0 0 . chr18 330654 330655 chr18:330655:C:T rs9966942 C T C EBF1_EBF_1 -5 0 + 0 0 . chr18 331505 331506 chr18:331506:G:A rs505110 G A g EBF1_EBF_1 -3 0 + 0 0 . chr18 334993 334994 chr18:334994:A:G rs2305025 A G G EBF1_EBF_1 -16 0 + 0 0 . chr18 361436 361437 chr18:361437:A:G rs612879 A G A EBF1_EBF_1 16 0 + 0 0 . chr18 376601 376602 chr18:376602:T:C rs2009107 T C T EBF1_EBF_1 33 0 - 0 0 . chr18 376625 376626 chr18:376626:C:T rs7244565 C T C EBF1_EBF_1 9 1 - 5.992952793335284 3.338013527887681 GCTCCCCAAGGACA chr18 417805 417806 chr18:417806:C:G rs146348465 C G C EBF1_EBF_1 1 1 + 5.358033214876246 5.547804869445463 TCTCCCTGGAGACG chr18 417810 417811 chr18:417811:T:C rs34659626 T C T EBF1_EBF_1 6 1 + 5.358033214876246 5.0567470860144885 TCTCCCTGGAGACG chr18 417964 417965 chr18:417965:C:T rs9303943 C T T EBF1_EBF_1 -5 0 - 0 0 . chr18 429238 429239 chr18:429239:C:T rs62089977 C T T EBF1_EBF_1 -7 0 + 0 0 . chr18 452950 452951 chr18:452951:A:C rs11081116 A C A EBF1_EBF_1 23 0 + 0 0 . chr18 464398 464399 chr18:464399:T:C rs79185634 T C T EBF1_EBF_1 7 1 + 9.030408485490671 7.212903739208048 TTCCCCATGGGACA chr18 494531 494532 chr18:494532:C:A rs8098978 C A A EBF1_EBF_1 -20 0 - 0 0 . chr18 604994 604995 chr18:604995:G:A rs11663860 G A G EBF1_EBF_1 33 0 - 0 0 . chr18 662077 662078 chr18:662078:C:T rs113333865 C T C EBF1_EBF_1 8 1 - 6.169418528925189 1.9431284400389282 ATCCCCCCGAGACA chr18 690733 690734 chr18:690734:C:T rs111572339 C T c EBF1_EBF_1 25 0 + 0 0 . chr18 690809 690810 chr18:690810:C:T rs2262096 C T C EBF1_EBF_1 -12 0 + 0 0 . chr18 705657 705658 chr18:705658:G:A rs11081289 G A G EBF1_EBF_1 29 0 + 0 0 . chr18 712746 712747 chr18:712747:G:T rs114391608 G T G EBF1_EBF_1 19 0 - 0 0 . chr18 889903 889904 chr18:889904:A:G rs4797325 A G G EBF1_EBF_1 -11 0 + 0 0 . chr18 909131 909132 chr18:909132:G:A rs78532905 G A G EBF1_EBF_1 18 0 - 0 0 . chr18 955040 955041 chr18:955041:C:T rs35217638 C T T EBF1_EBF_1 22 0 + 0 0 . chr18 985516 985517 chr18:985517:C:A rs113067582 C A C EBF1_EBF_1 -19 0 + 0 0 . chr18 985535 985536 chr18:985536:A:G rs67036978 A G A EBF1_EBF_1 0 1 + 9.240513463369481 7.348229593804648 ATTCCCTAGGGTAA chr18 1037990 1037991 chr18:1037991:T:C rs789023 T C A EBF1_EBF_1 6 1 - 8.90541223060223 6.738617799327756 CCTCCCAGGGGACA chr18 1046302 1046303 chr18:1046303:T:C rs1022177 T C T EBF1_EBF_1 27 0 + 0 0 . chr18 1053492 1053493 chr18:1053493:T:C rs789030 T C T EBF1_EBF_1 29 0 - 0 0 . chr18 1053887 1053888 chr18:1053888:C:T rs9952180 C T C EBF1_EBF_1 -18 0 - 0 0 . chr18 1664331 1664332 chr18:1664332:G:A rs1362891622 G A G EBF1_EBF_1 -19 0 - 0 0 . chr18 1684076 1684077 chr18:1684077:C:T rs9948302 C T t EBF1_EBF_1 6 1 + 3.949231036110613 4.25051716497237 AGCCCCCAGTGACC chr18 1718794 1718795 chr18:1718795:G:A rs56310874 G A G EBF1_EBF_1 17 0 - 0 0 . chr18 1895903 1895904 chr18:1895904:A:G rs184329 A G A EBF1_EBF_1 24 0 + 0 0 . chr18 1920752 1920753 chr18:1920753:G:A rs7242078 G A G EBF1_EBF_1 -20 0 - 0 0 . chr18 1954005 1954006 chr18:1954006:C:A rs62081060 C A C EBF1_EBF_1 13 1 + 7.260759436689517 6.887013987403541 CTTCCCAAAGGACC chr18 1954565 1954566 chr18:1954566:G:T rs59914583 G T G EBF1_EBF_1 10 1 + 6.145381648906551 1.9626294626792864 CTTCACCAGGGACT chr18 2002258 2002259 chr18:2002259:G:A rs114723145 G A G EBF1_EBF_1 -9 0 - 0 0 . chr18 2079873 2079874 chr18:2079874:A:G rs1630870 A G A EBF1_EBF_1 17 0 + 0 0 . chr18 2102212 2102213 chr18:2102213:G:T rs116348842 G T G EBF1_EBF_1 -14 0 + 0 0 . chr18 2304740 2304741 chr18:2304741:G:A rs79756316 G A G EBF1_EBF_1 -14 0 - 0 0 . chr18 2311131 2311132 chr18:2311132:A:T rs28641595 A T T EBF1_EBF_1 -19 0 + 0 0 . chr18 2417927 2417928 chr18:2417928:A:C rs67470148 A C C EBF1_EBF_1 10 1 - 6.160996970085524 10.34374915631279 AACCCCCAGGTATT chr18 2511738 2511739 chr18:2511739:C:T rs12606983 C T C EBF1_EBF_1 4 1 + 5.429977081532723 1.0605901365877997 AATGCCTAGGGAAG chr18 2540665 2540666 chr18:2540666:C:T rs2677882 C T C EBF1_EBF_1 -16 0 + 0 0 . chr18 2656866 2656867 chr18:2656867:G:C rs73380269 G C G EBF1_EBF_1 23 0 - 0 0 . chr18 2656893 2656894 chr18:2656894:C:G rs111917063 C G T EBF1_EBF_1 -4 0 - 0 0 . chr18 2678567 2678568 chr18:2678568:C:T rs11080977 C T C EBF1_EBF_1 -3 0 + 0 0 . chr18 2687877 2687878 chr18:2687878:A:C rs73382134 A C A EBF1_EBF_1 -11 0 + 0 0 . chr18 2787284 2787285 chr18:2787285:A:C rs62077716 A C A EBF1_EBF_1 28 0 + 0 0 . chr18 2854425 2854426 chr18:2854426:G:T rs77227390 G T G EBF1_EBF_1 -9 0 - 0 0 . chr18 2855162 2855163 chr18:2855163:C:T rs76724145 C T C EBF1_EBF_1 19 0 - 0 0 . chr18 2856483 2856484 chr18:2856484:C:A rs894761 C A A EBF1_EBF_1 27 0 + 0 0 . chr18 2869445 2869446 chr18:2869446:G:C rs599001 G C G EBF1_EBF_1 9 1 + 4.74530709626724 -0.3303820500782242 CCTCCCTGGGAACT chr18 2878913 2878914 chr18:2878914:G:A rs116896231 G A G EBF1_EBF_1 -4 0 + 0 0 . chr18 2881242 2881243 chr18:2881243:G:A rs117698823 G A G EBF1_EBF_1 23 0 + 0 0 . chr18 2902403 2902404 chr18:2902404:T:C rs628141 T C C EBF1_EBF_1 13 1 + 5.056801879182476 4.212307764596958 AGCCCCCAGAGGTT chr18 2912921 2912922 chr18:2912922:C:A rs3745014 C A A EBF1_EBF_1 32 0 - 0 0 . chr18 2916055 2916056 chr18:2916056:G:A rs642926 G A A EBF1_EBF_1 -13 0 - 0 0 . chr18 2916670 2916671 chr18:2916671:G:C rs527935341 G C G EBF1_EBF_1 -12 0 + 0 0 . chr18 2932726 2932727 chr18:2932727:G:A rs9966095 G A G EBF1_EBF_1 -3 0 + 0 0 . chr18 2932737 2932738 chr18:2932738:G:A rs57303493 G A G EBF1_EBF_1 8 1 + 7.337306873771744 3.1110167848854813 CCTCCCCAGGGGCC chr18 2972258 2972259 chr18:2972259:G:A rs369231549 G A G EBF1_EBF_1 6 1 + 6.585658059441917 8.752452490716392 ATCCCCGTGAGAAC chr18 2972262 2972263 chr18:2972263:G:A rs180724477 G A G EBF1_EBF_1 10 1 + 6.585658059441917 1.2676296001749507 ATCCCCGTGAGAAC chr18 3035215 3035216 chr18:3035216:C:T rs9951223 C T T EBF1_EBF_1 31 0 + 0 0 . chr18 3040383 3040384 chr18:3040384:A:G rs28705323 A G a EBF1_EBF_1 11 1 + 5.670941809222925 2.778234965618914 TTCCCCTGGAGATT chr18 3062119 3062120 chr18:3062120:C:A rs9964224 C A A EBF1_EBF_1 3 1 + 6.697958609757008 -0.20821541970880109 AACCCCAGGGGAGG chr18 3066423 3066424 chr18:3066424:C:A rs72858605 C A C EBF1_EBF_1 23 0 + 0 0 . chr18 3103774 3103775 chr18:3103775:A:G rs113619054 A G A EBF1_EBF_1 2 1 - 10.60689499568405 8.718071584241901 CTTCCCTTGGGAAA chr18 3114260 3114261 chr18:3114261:T:G rs11081009 T G T EBF1_EBF_1 16 0 - 0 0 . chr18 3153560 3153561 chr18:3153561:A:C rs8091637 A C A EBF1_EBF_1 12 1 + 7.4947178373725905 7.4645364389512645 AATCCCCTGGAAAT chr18 3214919 3214920 chr18:3214920:G:C rs7232679 G C T EBF1_EBF_1 23 0 - 0 0 . chr18 3245948 3245949 chr18:3245949:T:G rs540428976 T G T EBF1_EBF_1 1 1 + 6.282096467924811 5.576434682095284 ATCCCCAAGGTAGC chr18 3247197 3247198 chr18:3247198:G:C rs112015547 G C C EBF1_EBF_1 24 0 - 0 0 . chr18 3247224 3247225 chr18:3247225:C:G rs759738160 C G C EBF1_EBF_1 -3 0 - 0 0 . chr18 3264156 3264157 chr18:3264157:G:C rs949302 G C G EBF1_EBF_1 -17 0 - 0 0 . chr18 3297521 3297522 chr18:3297522:A:G chr18:3297522:A:G A G A EBF1_EBF_1 12 1 + 5.31226117032482 3.893443828225343 CCTCCCCTGGGTAA chr18 3297522 3297523 chr18:3297523:A:G rs747346114 A G A EBF1_EBF_1 13 1 + 5.31226117032482 4.197935598255381 CCTCCCCTGGGTAA chr18 3306604 3306605 chr18:3306605:C:T rs369928427 C T C EBF1_EBF_1 18 0 - 0 0 . chr18 3319607 3319608 chr18:3319608:C:G rs11081032 C G C EBF1_EBF_1 -9 0 + 0 0 . chr18 3319618 3319619 chr18:3319619:C:T rs182039743 C T C EBF1_EBF_1 2 1 + 4.362173204676697 6.250996616118847 GCCCCCCCGGGGCT chr18 3374133 3374134 chr18:3374134:C:T rs16944782 C T C EBF1_EBF_1 6 1 + 4.317519773914692 4.61880590277645 CCTCCCCGAGGACA chr18 3449876 3449877 chr18:3449877:A:G rs945941665 A G A EBF1_EBF_1 17 0 - 0 0 . chr18 3449878 3449879 chr18:3449879:A:G rs238133 A G A EBF1_EBF_1 15 0 - 0 0 . chr18 3451806 3451807 chr18:3451807:A:T rs61331871 A T A EBF1_EBF_1 11 1 + 3.6495816751335557 -0.4483325809651697 CTCCCCGCGGGACG chr18 3451897 3451898 chr18:3451898:G:A rs11571508 G A G EBF1_EBF_1 4 1 - 5.005630807722891 0.6362438627779685 CCTCCCAAGGGTCG chr18 3509509 3509510 chr18:3509510:T:C rs9676216 T C C EBF1_EBF_1 26 0 + 0 0 . chr18 3520908 3520909 chr18:3520909:G:A rs8087156 G A A EBF1_EBF_1 24 0 - 0 0 . chr18 3534176 3534177 chr18:3534177:G:A rs2072389 G A G EBF1_EBF_1 24 0 + 0 0 . chr18 3543766 3543767 chr18:3543767:A:C rs12970610 A C A EBF1_EBF_1 17 0 - 0 0 . chr18 3543780 3543781 chr18:3543781:G:T chr18:3543781:G:T G T G EBF1_EBF_1 3 1 - 6.259426428863384 -0.646747600602423 CTCCCCATGGGGAC chr18 3552555 3552556 chr18:3552556:G:C rs11081054 G C G EBF1_EBF_1 6 1 - 6.688306188100697 4.8831141246275 AGCCCCCAGGGTCC chr18 3615555 3615556 chr18:3615556:G:A rs145552688 G A G EBF1_EBF_1 29 0 + 0 0 . chr18 3771459 3771460 chr18:3771460:C:T rs62083251 C T C EBF1_EBF_1 14 0 + 0 0 . chr18 3771475 3771476 chr18:3771476:G:A rs62083252 G A G EBF1_EBF_1 30 0 + 0 0 . chr18 3772748 3772749 chr18:3772749:A:G rs9961699 A G G EBF1_EBF_1 1 1 + 6.40535294481581 6.666051776737112 CACCCCCTGGGAGC chr18 3772762 3772763 chr18:3772763:T:C rs11664689 T C T EBF1_EBF_1 15 0 + 0 0 . chr18 3793791 3793792 chr18:3793792:C:T rs80319636 C T C EBF1_EBF_1 28 0 - 0 0 . chr18 3793797 3793798 chr18:3793798:C:G rs75589745 C G C EBF1_EBF_1 22 0 - 0 0 . chr18 3822749 3822750 chr18:3822750:T:C rs902080780 T C T EBF1_EBF_1 23 0 + 0 0 . chr18 3836848 3836849 chr18:3836849:G:A rs3850803 G A T EBF1_EBF_1 3 1 - 4.548826019137408 -1.8565727224159096 CTTCGCAGGGGACC chr18 3916094 3916095 chr18:3916095:C:T rs4798143 C T T EBF1_EBF_1 27 0 + 0 0 . chr18 3998884 3998885 chr18:3998885:T:C rs9966360 T C C EBF1_EBF_1 24 0 - 0 0 . chr18 3998922 3998923 chr18:3998923:C:G chr18:3998923:C:G C G C EBF1_EBF_1 -14 0 - 0 0 . chr18 3999885 3999886 chr18:3999886:C:G rs1992474 C G A EBF1_EBF_1 32 0 - 0 0 . chr18 4040973 4040974 chr18:4040974:G:A rs115148591 G A G EBF1_EBF_1 0 1 - 8.768918453273386 9.051120514003964 CCTCCCTAGGGAGA chr18 4055714 4055715 chr18:4055715:A:G rs11663512 A G A EBF1_EBF_1 -9 0 - 0 0 . chr18 4083711 4083712 chr18:4083712:C:G rs9964492 C G G EBF1_EBF_1 30 0 - 0 0 . chr18 4107301 4107302 chr18:4107302:A:T rs72858709 A T A EBF1_EBF_1 27 0 + 0 0 . chr18 4125354 4125355 chr18:4125355:G:A rs74317193 G A G EBF1_EBF_1 7 1 + 7.801552302639693 9.11401070792852 GCTCCCTGGAGAAT chr18 4125707 4125708 chr18:4125708:C:G rs8084562 C G C EBF1_EBF_1 -2 0 + 0 0 . chr18 4134807 4134808 chr18:4134808:A:G rs145384236 A G A EBF1_EBF_1 13 1 + 8.194224320289404 7.079898748219965 TTCCCCAGGGGACA chr18 4179844 4179845 chr18:4179845:C:A rs6506192 C A A EBF1_EBF_1 13 1 - 8.39235715350879 10.724922289449722 TTCCCCAAGGGACG chr18 4353472 4353473 chr18:4353473:G:C rs16946562 G C G EBF1_EBF_1 23 0 + 0 0 . chr18 4400114 4400115 chr18:4400115:C:T rs744450 C T C EBF1_EBF_1 6 1 + 5.5613523862059475 5.862638515067705 CATCCCCAGGGCTT chr18 4400275 4400276 chr18:4400276:C:G rs9958739 C G C EBF1_EBF_1 22 0 - 0 0 . chr18 4413295 4413296 chr18:4413296:T:A rs3891478 T A A EBF1_EBF_1 28 0 + 0 0 . chr18 4454747 4454748 chr18:4454748:C:A chr18:4454748:C:A C A C EBF1_EBF_1 -4 0 - 0 0 . chr18 4638103 4638104 chr18:4638104:T:C rs62085462 T C T EBF1_EBF_1 -13 0 - 0 0 . chr18 4638105 4638106 chr18:4638106:C:T rs72871333 C T T EBF1_EBF_1 -15 0 - 0 0 . chr18 4640555 4640556 chr18:4640556:G:C rs9955367 G C G EBF1_EBF_1 25 0 - 0 0 . chr18 4851505 4851506 chr18:4851506:T:G rs76904535 T G T EBF1_EBF_1 14 0 - 0 0 . chr18 5173179 5173180 chr18:5173180:T:C rs9950787 T C T EBF1_EBF_1 -7 0 + 0 0 . chr18 5173191 5173192 chr18:5173192:C:T rs9959392 C T C EBF1_EBF_1 5 1 + 8.12108714336506 2.7992999278243893 ATTTCCTAGGGACT chr18 5196212 5196213 chr18:5196213:G:T rs73371910 G T G EBF1_EBF_1 -17 0 + 0 0 . chr18 5196260 5196261 chr18:5196261:C:T rs292284 C T T EBF1_EBF_1 31 0 + 0 0 . chr18 5199065 5199066 chr18:5199066:A:C rs139734697 A C A EBF1_EBF_1 -8 0 - 0 0 . chr18 5251192 5251193 chr18:5251193:T:G rs4798330 T G T EBF1_EBF_1 8 1 - 5.485171466399043 4.392802694370618 CCTCCCTTAGGAAA chr18 5416160 5416161 chr18:5416161:T:C rs8082898 T C T EBF1_EBF_1 -20 0 + 0 0 . chr18 5468295 5468296 chr18:5468296:G:A rs11662552 G A G EBF1_EBF_1 18 0 - 0 0 . chr18 5553867 5553868 chr18:5553868:C:T rs1719959 C T C EBF1_EBF_1 11 1 - 4.190784580168491 7.083491423772502 GGCCCCCAGAGGCT chr18 5628414 5628415 chr18:5628415:T:C rs1997119 T C T EBF1_EBF_1 -12 0 + 0 0 . chr18 5628458 5628459 chr18:5628459:G:A rs77972237 G A G EBF1_EBF_1 32 0 + 0 0 . chr18 5709890 5709891 chr18:5709891:T:C rs12608096 T C T EBF1_EBF_1 6 1 + 8.110753428842376 7.809467299980618 ATCCCCTCGGGAGC chr18 5868847 5868848 chr18:5868848:C:T rs77691100 C T T EBF1_EBF_1 3 1 + 5.84087428666668 -0.5645244548866368 ACTCACCTGGGAAA chr18 5890571 5890572 chr18:5890572:T:C rs7506026 T C T EBF1_EBF_1 29 0 - 0 0 . chr18 5890572 5890573 chr18:5890573:G:C rs1244506852 G C G EBF1_EBF_1 28 0 - 0 0 . chr18 5890584 5890585 chr18:5890585:C:T rs369822552 C T C EBF1_EBF_1 16 0 - 0 0 . chr18 5890607 5890608 chr18:5890608:G:T chr18:5890608:G:T G T G EBF1_EBF_1 -7 0 - 0 0 . chr18 5890811 5890812 chr18:5890812:G:A rs188327877 G A G EBF1_EBF_1 -3 0 + 0 0 . chr18 5896079 5896080 chr18:5896080:A:G rs11663009 A G G EBF1_EBF_1 -14 0 + 0 0 . chr18 5899533 5899534 chr18:5899534:T:C rs7504640 T C T EBF1_EBF_1 -3 0 + 0 0 . chr18 5941426 5941427 chr18:5941427:C:A rs948227 C A C EBF1_EBF_1 11 1 - 5.180676218943717 3.9754688064490025 AATCCCATAGGGCC chr18 5951582 5951583 chr18:5951583:A:T rs948291 A T A EBF1_EBF_1 20 0 - 0 0 . chr18 5959695 5959696 chr18:5959696:T:A rs10502342 T A T EBF1_EBF_1 33 0 + 0 0 . chr18 5989673 5989674 chr18:5989674:C:T rs188315983 C T C EBF1_EBF_1 -11 0 - 0 0 . chr18 6282913 6282914 chr18:6282914:C:G rs16949794 C G . EBF1_EBF_1 8 1 - 6.19093329783166 0.872274436916975 CTTCCCTTGGGCAA chr18 6282941 6282942 chr18:6282942:C:T rs66520184 C T . EBF1_EBF_1 -20 0 - 0 0 . chr18 6367007 6367008 chr18:6367008:A:C rs675666 A C . EBF1_EBF_1 14 0 - 0 0 . chr18 6509593 6509594 chr18:6509594:C:A rs964398242 C A C EBF1_EBF_1 2 1 + 6.485664621117472 4.690020444960746 AACCCCTGGAGACA chr18 6646518 6646519 chr18:6646519:C:A rs9953305 C A A EBF1_EBF_1 22 0 - 0 0 . chr18 6714404 6714405 chr18:6714405:C:T rs28402892 C T T EBF1_EBF_1 27 0 - 0 0 . chr18 6729229 6729230 chr18:6729230:C:T rs78371620 C T C EBF1_EBF_1 -11 0 + 0 0 . chr18 6729247 6729248 chr18:6729248:A:G rs73380707 A G A EBF1_EBF_1 7 1 + 5.895266152563589 4.582807747274763 AGCCCCAAAGGATC chr18 6729258 6729259 chr18:6729259:C:T rs72882524 C T C EBF1_EBF_1 18 0 + 0 0 . chr18 6729261 6729262 chr18:6729262:G:A rs113309154 G A G EBF1_EBF_1 21 0 + 0 0 . chr18 6781257 6781258 chr18:6781258:T:C rs540452128 T C T EBF1_EBF_1 0 1 - 8.01909736947785 6.126813499913018 AATCCCCTGGGGTC chr18 6781917 6781918 chr18:6781918:A:G rs1991675 A G A EBF1_EBF_1 -2 0 + 0 0 . chr18 6781936 6781937 chr18:6781937:G:A rs189650975 G A G EBF1_EBF_1 17 0 + 0 0 . chr18 6861133 6861134 chr18:6861134:C:G rs9965198 C G C EBF1_EBF_1 -8 0 - 0 0 . chr18 6928180 6928181 chr18:6928181:G:T rs4797265 G T G EBF1_EBF_1 -8 0 - 0 0 . chr18 6940522 6940523 chr18:6940523:A:G rs584440 A G G EBF1_EBF_1 -15 0 + 0 0 . chr18 6940557 6940558 chr18:6940558:A:C rs6506459 A C A EBF1_EBF_1 20 0 + 0 0 . chr18 6959394 6959395 chr18:6959395:G:A rs76482057 G A G EBF1_EBF_1 7 1 - 4.593078855261218 6.41058360154384 GCTCCCACGGGTAC chr18 7011959 7011960 chr18:7011960:T:A rs496865 T A T EBF1_EBF_1 -5 0 - 0 0 . chr18 7018978 7018979 chr18:7018979:T:C rs12956495 T C C EBF1_EBF_1 27 0 + 0 0 . chr18 7169078 7169079 chr18:7169079:A:G rs403585 A G A EBF1_EBF_1 26 0 - 0 0 . chr18 7170090 7170091 chr18:7170091:C:T rs74896663 C T C EBF1_EBF_1 11 1 + 4.788390689976981 5.106438131730645 GCTCCCCAGGGCGC chr18 7259284 7259285 chr18:7259285:G:A rs11872826 G A G EBF1_EBF_1 -10 0 - 0 0 . chr18 7370476 7370477 chr18:7370477:A:G rs10401113 A G A EBF1_EBF_1 -18 0 + 0 0 . chr18 7382552 7382553 chr18:7382553:G:A rs12454455 G A G EBF1_EBF_1 21 0 - 0 0 . chr18 7393786 7393787 chr18:7393787:C:T rs118046563 C T C EBF1_EBF_1 30 0 - 0 0 . chr18 7415168 7415169 chr18:7415169:G:T chr18:7415169:G:T G T G EBF1_EBF_1 24 0 - 0 0 . chr18 7481505 7481506 chr18:7481506:G:A rs72892126 G A G EBF1_EBF_1 5 1 - 5.808215493593344 0.48642827805267247 CTCCCCTTGGGTCT chr18 7661460 7661461 chr18:7661461:G:A rs181329901 G A G EBF1_EBF_1 20 0 + 0 0 . chr18 7809128 7809129 chr18:7809129:G:A rs331426 G A A EBF1_EBF_1 -3 0 + 0 0 . chr18 7822901 7822902 chr18:7822902:A:T rs1012911932 A T A EBF1_EBF_1 19 0 - 0 0 . chr18 7824843 7824844 chr18:7824844:C:T rs78783143 C T C EBF1_EBF_1 10 1 - 4.429134293810322 -0.8888941654566442 CCTCCCTGGGGCCA chr18 7870865 7870866 chr18:7870866:G:A rs10502364 G A G EBF1_EBF_1 29 0 - 0 0 . chr18 7907240 7907241 chr18:7907241:C:A rs183286960 C A C EBF1_EBF_1 5 1 + 5.81145807646136 -1.0934230761738093 GCCCCCCAGGGGCC chr18 7922371 7922372 chr18:7922372:A:G rs55830656 A G A EBF1_EBF_1 2 1 - 6.142539191443768 4.2537157800016185 TATCCCTAAGGACA chr18 7950774 7950775 chr18:7950775:T:A rs8087770 T A A EBF1_EBF_1 -8 0 - 0 0 . chr18 7977736 7977737 chr18:7977737:A:C rs7240054 A C C EBF1_EBF_1 30 0 + 0 0 . chr18 8028948 8028949 chr18:8028949:A:C rs11081356 A C A EBF1_EBF_1 -18 0 + 0 0 . chr18 8028971 8028972 chr18:8028972:C:G rs11081357 C G C EBF1_EBF_1 5 1 + 5.851998026460935 -1.0528831261742317 AATCCCTCAGGAAA chr18 8051585 8051586 chr18:8051586:A:G rs1296003 A G A EBF1_EBF_1 31 0 - 0 0 . chr18 8052262 8052263 chr18:8052263:A:C rs623258 A C A EBF1_EBF_1 23 0 - 0 0 . chr18 8106859 8106860 chr18:8106860:A:G rs112627801 A G a EBF1_EBF_1 -16 0 + 0 0 . chr18 8142438 8142439 chr18:8142439:G:A rs626707 G A G EBF1_EBF_1 -2 0 + 0 0 . chr18 8217333 8217334 chr18:8217334:C:T rs74961404 C T c EBF1_EBF_1 15 0 - 0 0 . chr18 8231451 8231452 chr18:8231452:T:C rs143061872 T C T EBF1_EBF_1 -11 0 + 0 0 . chr18 8378927 8378928 chr18:8378928:A:G rs77059692 A G A EBF1_EBF_1 31 0 + 0 0 . chr18 8397379 8397380 chr18:8397380:G:A rs151205370 G A G EBF1_EBF_1 -20 0 + 0 0 . chr18 8429651 8429652 chr18:8429652:G:A rs17571146 G A G EBF1_EBF_1 26 0 - 0 0 . chr18 8462679 8462680 chr18:8462680:T:C rs658069 T C C EBF1_EBF_1 -1 0 - 0 0 . chr18 8470038 8470039 chr18:8470039:C:T rs77537638 C T C EBF1_EBF_1 -11 0 - 0 0 . chr18 8546912 8546913 chr18:8546913:T:C rs10468778 T C T EBF1_EBF_1 6 1 - 5.619098100921591 3.452303669647115 ACTGCCAAGGGATA chr18 8546922 8546923 chr18:8546923:G:A rs72931116 G A G EBF1_EBF_1 -4 0 - 0 0 . chr18 8563354 8563355 chr18:8563355:C:T rs7237492 C T C EBF1_EBF_1 30 0 + 0 0 . chr18 8602144 8602145 chr18:8602145:T:A rs7242565 T A T EBF1_EBF_1 15 0 - 0 0 . chr18 8610222 8610223 chr18:8610223:C:A rs554225643 C A C EBF1_EBF_1 28 0 + 0 0 . chr18 8647283 8647284 chr18:8647284:C:T rs141356672 C T C EBF1_EBF_1 33 0 + 0 0 . chr18 8678093 8678094 chr18:8678094:G:A rs62086844 G A G EBF1_EBF_1 -9 0 - 0 0 . chr18 8690203 8690204 chr18:8690204:A:G rs62086849 A G A EBF1_EBF_1 -13 0 + 0 0 . chr18 8705045 8705046 chr18:8705046:C:A rs567597172 C A C EBF1_EBF_1 -5 0 - 0 0 . chr18 8705115 8705116 chr18:8705116:G:A rs1030193972 G A G EBF1_EBF_1 7 1 + 6.0030705710983945 7.31552897638722 GCCCCCCGGGGAGC chr18 8705121 8705122 chr18:8705122:C:G rs1324983392 C G C EBF1_EBF_1 13 1 + 6.0030705710983945 4.51499954974298 GCCCCCCGGGGAGC chr18 8705505 8705506 chr18:8705506:G:T rs554863751 G T g EBF1_EBF_1 32 0 + 0 0 . chr18 8707081 8707082 chr18:8707082:G:A rs72934244 G A G EBF1_EBF_1 -6 0 + 0 0 . chr18 8730727 8730728 chr18:8730728:G:A rs4798680 G A G EBF1_EBF_1 13 1 - 5.03413870804807 5.878632822633588 CATCCCAAGGGTGC chr18 8730752 8730753 chr18:8730753:C:T rs4239334 C T C EBF1_EBF_1 -12 0 - 0 0 . chr18 8734819 8734820 chr18:8734820:G:A rs73939516 G A G EBF1_EBF_1 12 1 - 5.016842987068312 3.990576416517463 CACCCCCAGGGTCT chr18 8752525 8752526 chr18:8752526:T:C rs28379812 T C T EBF1_EBF_1 -11 0 - 0 0 . chr18 8755622 8755623 chr18:8755623:C:T rs16954094 C T C EBF1_EBF_1 13 1 + 5.318322670120763 6.162816784706281 GGCCCCAGGAGAAC chr18 8791458 8791459 chr18:8791459:C:T rs8097104 C T C EBF1_EBF_1 7 1 - 5.175579441187721 6.488037846476546 TCTCCCAGGGGCAC chr18 8811005 8811006 chr18:8811006:A:G rs605144 A G G EBF1_EBF_1 2 1 - 5.97452519044085 4.085701778998699 GCTCACAAGGGACT chr18 8828953 8828954 chr18:8828954:C:T rs2242273 C T T EBF1_EBF_1 25 0 + 0 0 . chr18 8847727 8847728 chr18:8847728:C:A rs662429 C A A EBF1_EBF_1 -7 0 - 0 0 . chr18 8891229 8891230 chr18:8891230:G:C rs1259612727 G C G EBF1_EBF_1 -9 0 - 0 0 . chr18 8899597 8899598 chr18:8899598:C:A rs584328 C A C EBF1_EBF_1 19 0 + 0 0 . chr18 8909211 8909212 chr18:8909212:A:G rs11873544 A G A EBF1_EBF_1 -14 0 + 0 0 . chr18 8912143 8912144 chr18:8912144:C:T rs149009276 C T C EBF1_EBF_1 10 1 - 13.51953797022709 8.201509510960125 AGTCCCCAGGGACT chr18 8912418 8912419 chr18:8912419:T:C rs8091969 T C T EBF1_EBF_1 16 0 - 0 0 . chr18 8922951 8922952 chr18:8922952:G:C rs9952946 G C C EBF1_EBF_1 24 0 - 0 0 . chr18 8922990 8922991 chr18:8922991:T:C rs35247412 T C C EBF1_EBF_1 -15 0 - 0 0 . chr18 8959614 8959615 chr18:8959615:C:T rs1573320 C T C EBF1_EBF_1 28 0 - 0 0 . chr18 8972187 8972188 chr18:8972188:T:C rs62087081 T C T EBF1_EBF_1 0 1 - 14.586802123857893 12.69451825429306 ATTCCCAAGGGACT chr18 8972190 8972191 chr18:8972191:G:C rs4798727 G C G EBF1_EBF_1 -3 0 - 0 0 . chr18 8979254 8979255 chr18:8979255:A:G rs11081446 A G G EBF1_EBF_1 29 0 + 0 0 . chr18 8987085 8987086 chr18:8987086:C:T rs7245211 C T C EBF1_EBF_1 -11 0 - 0 0 . chr18 8987329 8987330 chr18:8987330:C:T rs79387217 C T C EBF1_EBF_1 28 0 + 0 0 . chr18 9000247 9000248 chr18:9000248:T:C rs11081453 T C t EBF1_EBF_1 2 1 + 5.715875309952136 3.8270518985099873 TTTCCCCAGGAACA chr18 9014754 9014755 chr18:9014755:C:A rs7240172 C A C EBF1_EBF_1 1 1 - 5.2259891649392545 5.931650950768782 AGCCCCCCGGGGCA chr18 9017468 9017469 chr18:9017469:C:A chr18:9017469:C:A C A C EBF1_EBF_1 -13 0 + 0 0 . chr18 9020702 9020703 chr18:9020703:T:G rs16954690 T G G EBF1_EBF_1 16 0 + 0 0 . chr18 9025231 9025232 chr18:9025232:G:A rs35713027 G A G EBF1_EBF_1 4 1 - 8.647012217178046 4.277625272233122 AGACCCCAGGGAAA chr18 9047882 9047883 chr18:9047883:A:G rs7237923 A G A EBF1_EBF_1 27 0 - 0 0 . chr18 9058660 9058661 chr18:9058661:T:C chr18:9058661:T:C T C T EBF1_EBF_1 24 0 + 0 0 . chr18 9102563 9102564 chr18:9102564:G:A rs62088766 G A G EBF1_EBF_1 27 0 + 0 0 . chr18 9139247 9139248 chr18:9139248:C:T rs111861877 C T C EBF1_EBF_1 21 0 + 0 0 . chr18 9173934 9173935 chr18:9173935:G:C rs7505002 G C C EBF1_EBF_1 -7 0 + 0 0 . chr18 9174390 9174391 chr18:9174391:G:A rs552534664 G A G EBF1_EBF_1 3 1 - 7.245233531557921 0.8398347900046043 CCACCCTTGGGAAT chr18 9388827 9388828 chr18:9388828:T:C rs9959183 T C T EBF1_EBF_1 19 0 + 0 0 . chr18 9450412 9450413 chr18:9450413:G:A rs7235365 G A A EBF1_EBF_1 6 1 + 3.9658409550104796 6.132635386284955 GGCCCCGGGAGACT chr18 9474788 9474789 chr18:9474789:G:A rs117980357 G A G EBF1_EBF_1 25 0 - 0 0 . chr18 9496455 9496456 chr18:9496456:A:G rs62086151 A G A EBF1_EBF_1 23 0 + 0 0 . chr18 9558526 9558527 chr18:9558527:C:T rs329020 C T t EBF1_EBF_1 4 1 + 6.233211219834554 1.863824274889629 CTTCCCCTGGGCCC chr18 9611215 9611216 chr18:9611216:G:C rs62088909 G C G EBF1_EBF_1 9 1 + 5.730981760686582 0.6552926143411175 CATCCCAAGGGCCA chr18 9627821 9627822 chr18:9627822:C:T rs376638366 C T C EBF1_EBF_1 -15 0 - 0 0 . chr18 9705460 9705461 chr18:9705461:G:A rs75422806 G A G EBF1_EBF_1 -18 0 - 0 0 . chr18 9709282 9709283 chr18:9709283:G:A rs8090845 G A G EBF1_EBF_1 -11 0 - 0 0 . chr18 9716624 9716625 chr18:9716625:C:T rs76456516 C T C EBF1_EBF_1 -3 0 - 0 0 . chr18 9732126 9732127 chr18:9732127:G:A rs8084104 G A A EBF1_EBF_1 10 1 + 7.2457634852163455 1.9277350259493797 TCTCCCCAGGGGCA chr18 9738391 9738392 chr18:9738392:T:C rs1023869 T C C EBF1_EBF_1 11 1 - 7.277563159934497 4.384856316330486 CTTCCCTGGAGATT chr18 9742831 9742832 chr18:9742832:G:A rs142011680 G A G EBF1_EBF_1 32 0 + 0 0 . chr18 9759076 9759077 chr18:9759077:G:A rs9965664 G A G EBF1_EBF_1 14 0 - 0 0 . chr18 9759105 9759106 chr18:9759106:G:C rs9965737 G C C EBF1_EBF_1 -15 0 - 0 0 . chr18 9781583 9781584 chr18:9781584:C:T rs2155530 C T C EBF1_EBF_1 17 0 + 0 0 . chr18 9786558 9786559 chr18:9786559:C:T rs28452014 C T C EBF1_EBF_1 16 0 - 0 0 . chr18 9832999 9833000 chr18:9833000:G:A rs145384838 G A G EBF1_EBF_1 -5 0 - 0 0 . chr18 9835421 9835422 chr18:9835422:C:A rs11872226 C A C EBF1_EBF_1 2 1 + 7.276126622299596 5.4804824461428705 GTCCCCTAGAGAAC chr18 9835629 9835630 chr18:9835630:G:A rs118098936 G A G EBF1_EBF_1 -16 0 - 0 0 . chr18 9862624 9862625 chr18:9862625:T:C rs78971803 T C T EBF1_EBF_1 -5 0 + 0 0 . chr18 9862879 9862880 chr18:9862880:T:G rs520986 T G G EBF1_EBF_1 24 0 + 0 0 . chr18 9885444 9885445 chr18:9885445:A:G rs584815 A G A EBF1_EBF_1 -5 0 - 0 0 . chr18 9914628 9914629 chr18:9914629:G:A chr18:9914629:G:A G A G EBF1_EBF_1 7 1 - 4.605193870221992 6.422698616504616 GCCCCCGCGGGACC chr18 9914838 9914839 chr18:9914839:G:C rs62078819 G C G EBF1_EBF_1 30 0 - 0 0 . chr18 9915381 9915382 chr18:9915382:G:C rs1231581 G C C EBF1_EBF_1 20 0 + 0 0 . chr18 9945007 9945008 chr18:9945008:A:G rs200416962 A G A EBF1_EBF_1 -9 0 + 0 0 . chr18 9995632 9995633 chr18:9995633:A:T rs483972 A T T EBF1_EBF_1 27 0 - 0 0 . chr18 10006891 10006892 chr18:10006892:A:C rs80042222 A C A EBF1_EBF_1 -19 0 + 0 0 . chr18 10032519 10032520 chr18:10032520:C:T rs7233560 C T C EBF1_EBF_1 5 1 + 5.322468991367717 0.00068177582704676 GGCCCCCAGGGCCT chr18 10032830 10032831 chr18:10032831:G:A rs147568968 G A G EBF1_EBF_1 5 1 - 6.291570379567723 0.9697831640270529 CTTCCCGAGAGACA chr18 10062806 10062807 chr18:10062807:G:A rs16956211 G A G EBF1_EBF_1 -11 0 - 0 0 . chr18 10063675 10063676 chr18:10063676:A:G rs595356 A G G EBF1_EBF_1 2 1 - 5.268737489392302 3.379914077950151 GATCCCAAAGGAGC chr18 10088964 10088965 chr18:10088965:A:G rs11080384 A G A EBF1_EBF_1 -16 0 - 0 0 . chr18 10092683 10092684 chr18:10092684:A:G rs520738 A G G EBF1_EBF_1 16 0 - 0 0 . chr18 10108241 10108242 chr18:10108242:A:G rs764203 A G G EBF1_EBF_1 -17 0 + 0 0 . chr18 10108271 10108272 chr18:10108272:T:C rs1941072 T C C EBF1_EBF_1 13 1 + 5.867326910672359 5.022832796086841 CGTCCCTGGGGCAT chr18 10109686 10109687 chr18:10109687:C:T rs11080386 C T C EBF1_EBF_1 4 1 + 4.947437234066095 0.5780502891211716 GCTCCCCTGAGATG chr18 10122500 10122501 chr18:10122501:T:A rs73385198 T A T EBF1_EBF_1 -14 0 - 0 0 . chr18 10148008 10148009 chr18:10148009:C:T rs73941666 C T C EBF1_EBF_1 7 1 - 6.817731458095952 8.130189863384778 TTCCCCCGGGGAGC chr18 10166443 10166444 chr18:10166444:C:T rs60486814 C T C EBF1_EBF_1 -19 0 + 0 0 . chr18 10203243 10203244 chr18:10203244:C:T rs6505531 C T T EBF1_EBF_1 4 1 + 7.3810022725711715 3.011615327626247 TCTCCCCTGAGACC chr18 10240610 10240611 chr18:10240611:G:C rs67975130 G C C EBF1_EBF_1 15 0 + 0 0 . chr18 10245653 10245654 chr18:10245654:T:G rs8090353 T G T EBF1_EBF_1 21 0 - 0 0 . chr18 10247381 10247382 chr18:10247382:C:G rs4797419 C G G EBF1_EBF_1 30 0 - 0 0 . chr18 10247777 10247778 chr18:10247778:G:A rs72967436 G A G EBF1_EBF_1 -6 0 - 0 0 . chr18 10251210 10251211 chr18:10251211:C:T rs116189597 C T C EBF1_EBF_1 -20 0 - 0 0 . chr18 10304838 10304839 chr18:10304839:C:T rs78108279 C T c EBF1_EBF_1 22 0 + 0 0 . chr18 10313538 10313539 chr18:10313539:G:A rs4797428 G A A EBF1_EBF_1 9 1 + 5.910154936212507 3.255215670764904 TCCCCCAGGGGAGA chr18 10313561 10313562 chr18:10313562:A:C rs77990564 A C A EBF1_EBF_1 32 0 + 0 0 . chr18 10344423 10344424 chr18:10344424:C:A rs146724402 C A C EBF1_EBF_1 -15 0 + 0 0 . chr18 10344436 10344437 chr18:10344437:T:C rs62093253 T C T EBF1_EBF_1 -2 0 + 0 0 . chr18 10351000 10351001 chr18:10351001:G:A rs11080408 G A G EBF1_EBF_1 26 0 - 0 0 . chr18 10351647 10351648 chr18:10351648:A:C rs527725878 A C A EBF1_EBF_1 -5 0 - 0 0 . chr18 10369796 10369797 chr18:10369797:A:G rs206582 A G G EBF1_EBF_1 -9 0 + 0 0 . chr18 10376943 10376944 chr18:10376944:C:A rs78887995 C A C EBF1_EBF_1 13 1 + 6.9515098516488285 6.5777644023628525 AATCCCTTGGAAAC chr18 10402851 10402852 chr18:10402852:C:T rs7234936 C T C EBF1_EBF_1 20 0 + 0 0 . chr18 10446388 10446389 chr18:10446389:C:T rs8090659 C T T EBF1_EBF_1 -19 0 + 0 0 . chr18 10454164 10454165 chr18:10454165:C:A rs76624895 C A C EBF1_EBF_1 26 0 - 0 0 . chr18 10454164 10454165 chr18:10454165:C:G chr18:10454165:C:G C G C EBF1_EBF_1 26 0 - 0 0 . chr18 10454198 10454199 chr18:10454199:G:A rs73942612 G A G EBF1_EBF_1 -8 0 - 0 0 . chr18 10461159 10461160 chr18:10461160:A:G rs957772 A G A EBF1_EBF_1 -9 0 - 0 0 . chr18 10477098 10477099 chr18:10477099:G:T rs678715 G T G EBF1_EBF_1 5 1 - 5.553227767069205 -1.3516533855659632 ATACCCCAGAGACG chr18 10498200 10498201 chr18:10498201:G:A rs658288 G A A EBF1_EBF_1 10 1 + 4.183960109664316 -1.1340683496026511 ATTGCCCTGGGGCC chr18 10509710 10509711 chr18:10509711:G:A rs66614823 G A G EBF1_EBF_1 -20 0 + 0 0 . chr18 10523614 10523615 chr18:10523615:T:C rs573168 T C C EBF1_EBF_1 31 0 - 0 0 . chr18 10529848 10529849 chr18:10529849:C:G rs536534892 C G C EBF1_EBF_1 -10 0 + 0 0 . chr18 10546704 10546705 chr18:10546705:C:T rs10459991 C T C EBF1_EBF_1 -9 0 - 0 0 . chr18 10560377 10560378 chr18:10560378:G:A rs145722739 G A G EBF1_EBF_1 17 0 - 0 0 . chr18 10560385 10560386 chr18:10560386:C:T rs16974941 C T C EBF1_EBF_1 9 1 - 7.791086658532792 5.136147393085188 CCTCCCAGGGGACG chr18 10579955 10579956 chr18:10579956:T:G rs8088257 T G T EBF1_EBF_1 -11 0 + 0 0 . chr18 10604378 10604379 chr18:10604379:C:T rs138638368 C T C EBF1_EBF_1 31 0 - 0 0 . chr18 10660043 10660044 chr18:10660044:G:A rs77146337 G A G EBF1_EBF_1 8 1 + 4.145741982345139 -0.0805481065411227 ACTCCCCTGTGATC chr18 10660239 10660240 chr18:10660240:T:C rs78279340 T C T EBF1_EBF_1 -9 0 - 0 0 . chr18 10710337 10710338 chr18:10710338:C:T rs999955 C T c EBF1_EBF_1 20 0 - 0 0 . chr18 10776676 10776677 chr18:10776677:T:G rs1025347790 T G T EBF1_EBF_1 11 1 - 8.03816049853853 3.6221988006861414 ACTCCTCAGGGAAT chr18 10847115 10847116 chr18:10847116:G:A rs12457007 G A G EBF1_EBF_1 21 0 - 0 0 . chr18 10868133 10868134 chr18:10868134:C:G rs59908821 C G G EBF1_EBF_1 8 1 + 5.625015840894483 10.943674701809169 CTTCCCCACGGATT chr18 10912733 10912734 chr18:10912734:T:C rs1410124974 T C - EBF1_EBF_1 -13 0 + 0 0 . chr18 10913018 10913019 chr18:10913019:C:G rs577008757 C G c EBF1_EBF_1 14 0 - 0 0 . chr18 10913042 10913043 chr18:10913043:G:A rs1448253783 G A g EBF1_EBF_1 5 1 - 7.441474145731353 2.1196869301906824 ATTCACATGGGACC chr18 10943372 10943373 chr18:10943373:C:T rs264160 C T c EBF1_EBF_1 -14 0 - 0 0 . chr18 11009276 11009277 chr18:11009277:T:G rs12326591 T G T EBF1_EBF_1 26 0 - 0 0 . chr18 11035987 11035988 chr18:11035988:A:C rs7231758 A C A EBF1_EBF_1 -9 0 + 0 0 . chr18 11046349 11046350 chr18:11046350:A:C rs2584742 A C A EBF1_EBF_1 26 0 + 0 0 . chr18 11047420 11047421 chr18:11047421:C:T rs61333934 C T C EBF1_EBF_1 8 1 - 5.182893935893113 0.956603847006853 ATTCCCCCGGGCCG chr18 11088173 11088174 chr18:11088174:G:A rs11660976 G A A EBF1_EBF_1 -15 0 + 0 0 . chr18 11147824 11147825 chr18:11147825:C:T rs117654101 C T C EBF1_EBF_1 27 0 - 0 0 . chr18 11147828 11147829 chr18:11147829:G:A rs112167068 G A A EBF1_EBF_1 23 0 - 0 0 . chr18 11158759 11158760 chr18:11158760:C:A rs61124608 C A C EBF1_EBF_1 4 1 + 5.285539628174586 -0.5390199952788814 CTTGCCAAGGGACA chr18 11178799 11178800 chr18:11178800:T:C rs16975867 T C T EBF1_EBF_1 -15 0 - 0 0 . chr18 11179565 11179566 chr18:11179566:T:C rs113785349 T C T EBF1_EBF_1 -15 0 - 0 0 . chr18 11219270 11219271 chr18:11219271:T:G chr18:11219271:T:G T G T EBF1_EBF_1 -9 0 - 0 0 . chr18 11291204 11291205 chr18:11291205:T:C rs7244675 T C T EBF1_EBF_1 14 0 - 0 0 . chr18 11313894 11313895 chr18:11313895:T:G rs397147 T G G EBF1_EBF_1 6 1 + 4.059656659219225 1.95317846688427 CTTCCCTTGGCACC chr18 11378359 11378360 chr18:11378360:G:A rs7229353 G A - EBF1_EBF_1 -19 0 - 0 0 . chr18 11409749 11409750 chr18:11409750:C:T rs7234923 C T T EBF1_EBF_1 23 0 - 0 0 . chr18 11412088 11412089 chr18:11412089:T:G rs12957427 T G t EBF1_EBF_1 -16 0 + 0 0 . chr18 11454808 11454809 chr18:11454809:T:C rs4797536 T C T EBF1_EBF_1 25 0 + 0 0 . chr18 11464533 11464534 chr18:11464534:C:T rs35229964 C T C EBF1_EBF_1 29 0 + 0 0 . chr18 11497795 11497796 chr18:11497796:C:T rs113161806 C T C EBF1_EBF_1 -4 0 - 0 0 . chr18 11519241 11519242 chr18:11519242:G:C rs1014038632 G C . EBF1_EBF_1 -2 0 - 0 0 . chr18 11533604 11533605 chr18:11533605:C:T rs484805 C T . EBF1_EBF_1 23 0 - 0 0 . chr18 11533609 11533610 chr18:11533610:A:G rs79858345 A G . EBF1_EBF_1 18 0 - 0 0 . chr18 11533846 11533847 chr18:11533847:G:A rs509050 G A . EBF1_EBF_1 9 1 + 4.978062298943109 2.3231230334955053 GCTCCCCAAGGAGC chr18 11568760 11568761 chr18:11568761:C:G rs73943921 C G . EBF1_EBF_1 23 0 - 0 0 . chr18 11572431 11572432 chr18:11572432:C:T rs555785 C T . EBF1_EBF_1 19 0 + 0 0 . chr18 11655223 11655224 chr18:11655224:G:T rs80096117 G T G EBF1_EBF_1 6 1 + 6.975478039825665 9.081956232160621 ATTCCCGAAGGACA chr18 11666651 11666652 chr18:11666652:C:T rs35765772 C T T EBF1_EBF_1 8 1 - 5.485397225751934 1.259107136865674 CTCCCCAAGAGAAG chr18 11671934 11671935 chr18:11671935:C:G rs12967203 C G C EBF1_EBF_1 -1 0 + 0 0 . chr18 11673408 11673409 chr18:11673409:G:C rs11663404 G C G EBF1_EBF_1 31 0 - 0 0 . chr18 11689699 11689700 chr18:11689700:G:A rs7236433 G A G EBF1_EBF_1 32 0 - 0 0 . chr18 11689985 11689986 chr18:11689986:C:T rs548003456 C T C EBF1_EBF_1 20 0 + 0 0 . chr18 11690291 11690292 chr18:11690292:A:G rs1211142337 A G A EBF1_EBF_1 -9 0 - 0 0 . chr18 11752002 11752003 chr18:11752003:C:T rs8089494 C T C EBF1_EBF_1 -16 0 + 0 0 . chr18 11850960 11850961 chr18:11850961:C:T rs562937042 C T C EBF1_EBF_1 -2 0 + 0 0 . chr18 11945016 11945017 chr18:11945017:A:T rs650958 A T A EBF1_EBF_1 -11 0 - 0 0 . chr18 11947766 11947767 chr18:11947767:C:G rs34478333 C G C EBF1_EBF_1 8 1 - 5.076864702158075 -0.24179415875661014 ATTCCCTGGGGCGG chr18 11972712 11972713 chr18:11972713:C:T rs612854 C T C EBF1_EBF_1 -17 0 - 0 0 . chr18 12001707 12001708 chr18:12001708:G:T rs672917 G T T EBF1_EBF_1 5 1 - 7.295717241624907 0.3908360889897399 TTTCCCAAGGAACT chr18 12005933 12005934 chr18:12005934:A:G rs3786296 A G A EBF1_EBF_1 -6 0 + 0 0 . chr18 12028247 12028248 chr18:12028248:A:G rs612599 A G G EBF1_EBF_1 29 0 + 0 0 . chr18 12028249 12028250 chr18:12028250:G:A rs2075826 G A G EBF1_EBF_1 31 0 + 0 0 . chr18 12061765 12061766 chr18:12061766:T:C rs12954230 T C C EBF1_EBF_1 17 0 - 0 0 . chr18 12062985 12062986 chr18:12062986:A:G rs11875970 A G A EBF1_EBF_1 -1 0 - 0 0 . chr18 12063550 12063551 chr18:12063551:G:A rs12963290 G A G EBF1_EBF_1 18 0 + 0 0 . chr18 12076504 12076505 chr18:12076505:A:C rs12966109 A C . EBF1_EBF_1 12 1 + 5.931202141508313 5.901020743086987 AGCCCCTCGGGGAC chr18 12079987 12079988 chr18:12079988:A:T rs186035500 A T . EBF1_EBF_1 6 1 + 7.441474145731353 7.381157906791833 ATTCACATGGGACC chr18 12155529 12155530 chr18:12155530:T:G rs4797631 T G G EBF1_EBF_1 -1 0 + 0 0 . chr18 12233677 12233678 chr18:12233678:C:T rs114302326 C T c EBF1_EBF_1 7 1 + 4.589191623376323 6.406696369658946 CTCCCCTCGAGACC chr18 12252210 12252211 chr18:12252211:G:T rs7506872 G T N EBF1_EBF_1 -1 0 + 0 0 . chr18 12267848 12267849 chr18:12267849:C:T rs4796956 C T c EBF1_EBF_1 16 0 - 0 0 . chr18 12267865 12267866 chr18:12267866:C:T rs4796957 C T c EBF1_EBF_1 -1 0 - 0 0 . chr18 12279553 12279554 chr18:12279554:G:A rs4797665 G A g EBF1_EBF_1 28 0 + 0 0 . chr18 12285181 12285182 chr18:12285182:G:A rs35959277 G A g EBF1_EBF_1 8 1 + 6.722891395430552 2.4966013065442896 GTCCCCCAGGGAGG chr18 12290206 12290207 chr18:12290207:T:C rs9989596 T C t EBF1_EBF_1 12 1 - 6.071012881586466 4.652195539486988 TTTCCCAGGGGCAC chr18 12313160 12313161 chr18:12313161:T:C rs7506586 T C N EBF1_EBF_1 -11 0 - 0 0 . chr18 12315721 12315722 chr18:12315722:T:C rs6505750 T C N EBF1_EBF_1 11 1 - 10.305608866822293 7.412902023218282 CTTCCCCTGGGAAA chr18 12327831 12327832 chr18:12327832:G:A rs559805516 G A G EBF1_EBF_1 12 1 - 5.624292227258317 4.598025656707467 CCTCCCGGGGGACG chr18 12335582 12335583 chr18:12335583:C:T rs12968566 C T C EBF1_EBF_1 32 0 + 0 0 . chr18 12341464 12341465 chr18:12341465:A:G rs11659246 A G A EBF1_EBF_1 23 0 - 0 0 . chr18 12395757 12395758 chr18:12395758:G:T rs11665201 G T G EBF1_EBF_1 -13 0 + 0 0 . chr18 12559117 12559118 chr18:12559118:A:G rs9960836 A G G EBF1_EBF_1 33 0 - 0 0 . chr18 12612440 12612441 chr18:12612441:C:T rs28663159 C T C EBF1_EBF_1 -14 0 + 0 0 . chr18 12612483 12612484 chr18:12612484:T:G rs12606506 T G T EBF1_EBF_1 29 0 + 0 0 . chr18 12651887 12651888 chr18:12651888:C:T rs76195993 C T C EBF1_EBF_1 -1 0 - 0 0 . chr18 12657384 12657385 chr18:12657385:G:C rs146027871 G C G EBF1_EBF_1 -15 0 + 0 0 . chr18 12657427 12657428 chr18:12657428:G:A rs915623749 G A G EBF1_EBF_1 28 0 + 0 0 . chr18 12657431 12657432 chr18:12657432:G:A rs34472716 G A G EBF1_EBF_1 32 0 + 0 0 . chr18 12702684 12702685 chr18:12702685:G:A rs959077762 G A G EBF1_EBF_1 3 1 - 6.73737044189664 0.33197170034332185 CGTCCCCCGGGAGC chr18 12702705 12702706 chr18:12702706:G:C rs35699948 G C G EBF1_EBF_1 -18 0 - 0 0 . chr18 12702985 12702986 chr18:12702986:C:T rs113515856 C T C EBF1_EBF_1 5 1 + 3.91066624110489 -1.4111209744357798 GGCGCCCAGGGACT chr18 12727126 12727127 chr18:12727127:C:T rs556291160 C T C EBF1_EBF_1 -7 0 - 0 0 . chr18 12727131 12727132 chr18:12727132:C:A rs568531980 C A C EBF1_EBF_1 -12 0 - 0 0 . chr18 12750218 12750219 chr18:12750219:C:A rs888262 C A C EBF1_EBF_1 -20 0 - 0 0 . chr18 12765644 12765645 chr18:12765645:A:G rs9961568 A G G EBF1_EBF_1 -1 0 + 0 0 . chr18 12765651 12765652 chr18:12765652:C:T rs12327183 C T C EBF1_EBF_1 6 1 + 4.349581212261023 4.650867341122779 AGCCCCCGGGGTTC chr18 12777603 12777604 chr18:12777604:T:C rs2847274 T C C EBF1_EBF_1 -19 0 + 0 0 . chr18 12777607 12777608 chr18:12777608:G:A rs11876290 G A G EBF1_EBF_1 -15 0 + 0 0 . chr18 12777841 12777842 chr18:12777842:G:A rs2847275 G A A EBF1_EBF_1 20 0 + 0 0 . chr18 12884557 12884558 chr18:12884558:G:A rs557570695 G A G EBF1_EBF_1 8 1 + 8.085940727172321 3.8596506382860616 AGTCCCCTGAGAAG chr18 12885809 12885810 chr18:12885810:G:C rs8087077 G C G EBF1_EBF_1 5 1 - 6.497450983415647 -0.40743016921952097 ATCCCCAGGAGAGC chr18 12947091 12947092 chr18:12947092:A:T rs531951057 A T A EBF1_EBF_1 -10 0 + 0 0 . chr18 12947120 12947121 chr18:12947121:C:T rs149321051 C T C EBF1_EBF_1 19 0 + 0 0 . chr18 12947196 12947197 chr18:12947197:C:A rs73407750 C A C EBF1_EBF_1 6 1 + 6.317330579498056 6.678932947299333 CTTCCCCCGGGATG chr18 12991106 12991107 chr18:12991107:T:C rs563324772 T C T EBF1_EBF_1 11 1 + 4.028092129476065 3.7100446877224007 CGTCCCCCGGGTCC chr18 12991393 12991394 chr18:12991394:T:C rs11663049 T C C EBF1_EBF_1 32 0 + 0 0 . chr18 13134828 13134829 chr18:13134829:C:T rs71353241 C T C EBF1_EBF_1 -15 0 + 0 0 . chr18 13137661 13137662 chr18:13137662:A:C rs60698667 A C A EBF1_EBF_1 16 0 + 0 0 . chr18 13137678 13137679 chr18:13137679:C:T rs66767699 C T C EBF1_EBF_1 33 0 + 0 0 . chr18 13165949 13165950 chr18:13165950:A:G rs71353248 A G A EBF1_EBF_1 -1 0 + 0 0 . chr18 13175481 13175482 chr18:13175482:C:T rs4797728 C T C EBF1_EBF_1 31 0 - 0 0 . chr18 13186807 13186808 chr18:13186808:G:C rs74460081 G C G EBF1_EBF_1 0 1 - 4.196262100159235 4.559236714291002 CATCCCCTGGGTGC chr18 13191137 13191138 chr18:13191138:A:G rs2027757 A G G EBF1_EBF_1 27 0 - 0 0 . chr18 13191139 13191140 chr18:13191140:G:C rs2027758 G C G EBF1_EBF_1 25 0 - 0 0 . chr18 13191184 13191185 chr18:13191185:C:T rs12969041 C T C EBF1_EBF_1 -20 0 - 0 0 . chr18 13198920 13198921 chr18:13198921:A:C rs76134551 A C A EBF1_EBF_1 17 0 + 0 0 . chr18 13207252 13207253 chr18:13207253:A:G rs4797739 A G A EBF1_EBF_1 -10 0 - 0 0 . chr18 13222833 13222834 chr18:13222834:G:A chr18:13222834:G:A G A G EBF1_EBF_1 -4 0 + 0 0 . chr18 13254220 13254221 chr18:13254221:G:A rs62097313 G A G EBF1_EBF_1 14 0 - 0 0 . chr18 13255629 13255630 chr18:13255630:T:C rs10445430 T C T EBF1_EBF_1 28 0 - 0 0 . chr18 13255641 13255642 chr18:13255642:C:A rs10445419 C A C EBF1_EBF_1 16 0 - 0 0 . chr18 13262039 13262040 chr18:13262040:A:G rs9748236 A G - EBF1_EBF_1 23 0 - 0 0 . chr18 13262152 13262153 chr18:13262153:T:C rs9748646 T C - EBF1_EBF_1 0 1 - 4.072772839066492 2.180488969501658 AGCCGCACGGGACT chr18 13262788 13262789 chr18:13262789:T:C rs71353259 T C c EBF1_EBF_1 0 1 - 4.072772839066492 2.180488969501658 AGCCGCACGGGACT chr18 13263331 13263332 chr18:13263332:C:T rs116683918 C T C EBF1_EBF_1 9 1 - 4.855298897843925 2.2003596323963217 AGCCACAGGGGACT chr18 13272295 13272296 chr18:13272296:G:A rs12607182 G A G EBF1_EBF_1 22 0 + 0 0 . chr18 13282161 13282162 chr18:13282162:C:T rs561664842 C T C EBF1_EBF_1 25 0 + 0 0 . chr18 13285160 13285161 chr18:13285161:C:T rs7240656 C T C EBF1_EBF_1 -17 0 + 0 0 . chr18 13285252 13285253 chr18:13285253:T:C rs183542410 T C T EBF1_EBF_1 -16 0 - 0 0 . chr18 13374168 13374169 chr18:13374169:G:A rs12608222 G A G EBF1_EBF_1 12 1 - 6.659880681752505 5.6336141112016564 TATCCCAAGAGACG chr18 13404236 13404237 chr18:13404237:T:C rs4797769 T C C EBF1_EBF_1 12 1 - 5.932444645511968 4.51362730341249 CCCCCCAGGGGAAG chr18 13428038 13428039 chr18:13428039:T:C rs9959699 T C T EBF1_EBF_1 19 0 - 0 0 . chr18 13432081 13432082 chr18:13432082:G:A rs138071402 G A G EBF1_EBF_1 -16 0 - 0 0 . chr18 13432083 13432084 chr18:13432084:C:T rs115771939 C T C EBF1_EBF_1 -18 0 - 0 0 . chr18 13463136 13463137 chr18:13463137:G:A rs569405053 G A g EBF1_EBF_1 25 0 - 0 0 . chr18 13465052 13465053 chr18:13465053:C:T rs138040888 C T C EBF1_EBF_1 31 0 - 0 0 . chr18 13465053 13465054 chr18:13465054:G:T chr18:13465054:G:T G T G EBF1_EBF_1 30 0 - 0 0 . chr18 13491106 13491107 chr18:13491107:C:T rs3809900 C T C EBF1_EBF_1 16 0 + 0 0 . chr18 13500430 13500431 chr18:13500431:C:T rs57364294 C T C EBF1_EBF_1 6 1 + 4.864568228366307 5.1658543572280635 GCTCCCCGGGGCCC chr18 13501671 13501672 chr18:13501672:A:G rs2847111 A G G EBF1_EBF_1 6 1 + 5.17250638976781 3.0057119584933347 AAACCCAAGGGGTC chr18 13501672 13501673 chr18:13501673:A:T rs7229066 A T A EBF1_EBF_1 7 1 + 5.17250638976781 4.696232149680252 AAACCCAAGGGGTC chr18 13514018 13514019 chr18:13514019:A:C rs1648587 A C A EBF1_EBF_1 7 1 + 5.907542211666079 3.613763225295897 AGTCCCAACAGACT chr18 13519443 13519444 chr18:13519444:A:G rs80238004 A G A EBF1_EBF_1 -16 0 - 0 0 . chr18 13580683 13580684 chr18:13580684:C:G rs574518177 C G C EBF1_EBF_1 -17 0 - 0 0 . chr18 13584014 13584015 chr18:13584015:G:A chr18:13584015:G:A G A G EBF1_EBF_1 28 0 + 0 0 . chr18 13613077 13613078 chr18:13613078:A:G rs1296366 A G G EBF1_EBF_1 -10 0 + 0 0 . chr18 13624368 13624369 chr18:13624369:C:G rs185612141 C G C EBF1_EBF_1 19 0 + 0 0 . chr18 13726873 13726874 chr18:13726874:A:G rs117079700 A G A EBF1_EBF_1 -16 0 + 0 0 . chr18 13726903 13726904 chr18:13726904:T:C rs114393383 T C T EBF1_EBF_1 14 0 + 0 0 . chr18 13765747 13765748 chr18:13765748:G:C rs918234031 G C G EBF1_EBF_1 -15 0 - 0 0 . chr18 13793948 13793949 chr18:13793949:A:G rs11665522 A G A EBF1_EBF_1 -3 0 + 0 0 . chr18 13801458 13801459 chr18:13801459:C:G rs1284075 C G C EBF1_EBF_1 2 1 + 6.194905052129749 1.335402773371431 CGCCCCTCGGGAAA chr18 13835001 13835002 chr18:13835002:G:A rs1787864 G A A EBF1_EBF_1 12 1 - 5.813288899959198 4.787022329408348 GTTCCCAAAAGACT chr18 13835013 13835014 chr18:13835014:C:T rs1787865 C T C EBF1_EBF_1 0 1 - 5.813288899959198 7.70557276952403 GTTCCCAAAAGACT chr18 13852028 13852029 chr18:13852029:C:A rs948323 C A C EBF1_EBF_1 3 1 + 5.440677417447448 -1.465496612018359 AGTCCCCAGGCAAA chr18 13877224 13877225 chr18:13877225:C:A rs62084882 C A C EBF1_EBF_1 12 1 + 7.029155706939922 7.059337105361248 ATACCCAAGAGACA chr18 13880834 13880835 chr18:13880835:G:A rs112665729 G A G EBF1_EBF_1 -11 0 + 0 0 . chr18 13909848 13909849 chr18:13909849:T:A rs11080700 T A A EBF1_EBF_1 23 0 + 0 0 . chr18 13916736 13916737 chr18:13916737:T:C rs11875204 T C T EBF1_EBF_1 33 0 - 0 0 . chr18 14131228 14131229 chr18:14131229:A:T rs8084243 A T - EBF1_EBF_1 7 1 - 5.891762510888756 6.368036750976313 AATCCCCTGGCACT chr18 14174896 14174897 chr18:14174897:C:T rs201313318 C T C EBF1_EBF_1 19 0 + 0 0 . chr18 14174901 14174902 chr18:14174902:G:A rs1240417416 G A G EBF1_EBF_1 24 0 + 0 0 . chr18 14336335 14336336 chr18:14336336:C:T rs61173354 C T . EBF1_EBF_1 -13 0 - 0 0 . chr18 14337724 14337725 chr18:14337725:G:T rs12962258 G T . EBF1_EBF_1 4 1 - 4.509463218765316 -1.3150964046881513 TCTGCCTTGGGACC chr18 14393115 14393116 chr18:14393116:C:G rs60498670 C G . EBF1_EBF_1 6 1 + 5.606794209645768 3.80160214617257 AGCCCCCTGAGGCT chr18 14450900 14450901 chr18:14450901:C:G rs764382148 C G . EBF1_EBF_1 19 0 + 0 0 . chr18 14643333 14643334 chr18:14643334:T:C rs112171780 T C t EBF1_EBF_1 21 0 - 0 0 . chr18 14746365 14746366 chr18:14746366:G:A rs13381505 G A . EBF1_EBF_1 -20 0 + 0 0 . chr18 14905316 14905317 chr18:14905317:C:T rs785982 C T . EBF1_EBF_1 0 1 + 6.136052191995093 6.418254252725671 CCTCCCTTGGGGTC chr18 14933605 14933606 chr18:14933606:T:C rs1533585 T C . EBF1_EBF_1 30 0 + 0 0 . chr18 14950541 14950542 chr18:14950542:T:C rs786041 T C C EBF1_EBF_1 -20 0 - 0 0 . chr18 14971525 14971526 chr18:14971526:G:C rs752610518 G C . EBF1_EBF_1 4 1 - 7.895931040798669 2.2701659396491256 CATCCCAAGAGAAC chr18 14978677 14978678 chr18:14978678:A:G rs9955645 A G . EBF1_EBF_1 7 1 - 5.189745093432244 3.3722403471496207 AAGCCCCTGGGACC chr18 14997513 14997514 chr18:14997514:C:T rs2005092 C T . EBF1_EBF_1 10 1 - 7.146044234789779 1.8280157755228124 CGCCCCTGGGGACA chr18 21600482 21600483 chr18:21600483:G:A chr18:21600483:G:A G A G EBF1_EBF_1 28 0 + 0 0 . chr18 21601410 21601411 chr18:21601411:A:G rs11083219 A G A EBF1_EBF_1 -15 0 + 0 0 . chr18 21703937 21703938 chr18:21703938:G:A rs1025395153 G A G EBF1_EBF_1 -2 0 - 0 0 . chr18 21703945 21703946 chr18:21703946:C:A rs970872774 C A C EBF1_EBF_1 -10 0 - 0 0 . chr18 21704295 21704296 chr18:21704296:C:T chr18:21704296:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr18 21704323 21704324 chr18:21704324:G:A chr18:21704324:G:A G A G EBF1_EBF_1 9 1 + 5.264814887183231 2.609875621735627 ACCCCCAGGGGGCG chr18 21704335 21704336 chr18:21704336:G:A rs114396583 G A G EBF1_EBF_1 21 0 + 0 0 . chr18 21706779 21706780 chr18:21706780:C:T rs75616384 C T C EBF1_EBF_1 33 0 + 0 0 . chr18 21978713 21978714 chr18:21978714:C:A chr18:21978714:C:A C A C EBF1_EBF_1 -11 0 + 0 0 . chr18 21978741 21978742 chr18:21978742:C:T rs1009167760 C T C EBF1_EBF_1 17 0 + 0 0 . chr18 21991390 21991391 chr18:21991391:A:G rs79238599 A G A EBF1_EBF_1 1 1 - 7.301684811037494 6.40625137063875 ATTCCCTTGGGCCG chr18 22021867 22021868 chr18:22021868:C:T rs138106742 C T C EBF1_EBF_1 -9 0 - 0 0 . chr18 22030859 22030860 chr18:22030860:C:T rs73397797 C T C EBF1_EBF_1 13 1 - 5.009122985664376 6.123448557733815 CTCCCCATGAGAAG chr18 22033121 22033122 chr18:22033122:G:A rs56388616 G A A EBF1_EBF_1 15 0 - 0 0 . chr18 22070474 22070475 chr18:22070475:A:T rs28521235 A T T EBF1_EBF_1 18 0 - 0 0 . chr18 22088088 22088089 chr18:22088089:T:G rs76741105 T G G EBF1_EBF_1 31 0 - 0 0 . chr18 22088129 22088130 chr18:22088130:T:C rs142923415 T C T EBF1_EBF_1 -10 0 - 0 0 . chr18 22094602 22094603 chr18:22094603:G:A rs181731750 G A G EBF1_EBF_1 30 0 + 0 0 . chr18 22095127 22095128 chr18:22095128:C:T rs936606 C T T EBF1_EBF_1 16 0 - 0 0 . chr18 22095128 22095129 chr18:22095129:T:C rs936605 T C C EBF1_EBF_1 15 0 - 0 0 . chr18 22109761 22109762 chr18:22109762:A:G rs12971194 A G G EBF1_EBF_1 0 1 + 10.43705947205386 8.544775602489029 ACTCCCCAGGGGCT chr18 22167253 22167254 chr18:22167254:G:T rs11874782 G T G EBF1_EBF_1 16 0 - 0 0 . chr18 22167254 22167255 chr18:22167255:C:A rs11874952 C A C EBF1_EBF_1 15 0 - 0 0 . chr18 22176894 22176895 chr18:22176895:C:T rs3764504 C T C EBF1_EBF_1 -1 0 - 0 0 . chr18 22185538 22185539 chr18:22185539:T:G rs561016238 T G T EBF1_EBF_1 -18 0 + 0 0 . chr18 22185587 22185588 chr18:22185588:C:T rs577260815 C T C EBF1_EBF_1 31 0 + 0 0 . chr18 22282397 22282398 chr18:22282398:G:A rs184137527 G A G EBF1_EBF_1 6 1 - 8.782543180645437 9.083829309507193 GCTCCCCAGAGACT chr18 22314255 22314256 chr18:22314256:T:C rs7243568 T C T EBF1_EBF_1 -2 0 - 0 0 . chr18 22337510 22337511 chr18:22337511:C:A rs16966092 C A C EBF1_EBF_1 3 1 + 5.7823892180472205 -1.1237848114185844 AACCTCCAGGGACA chr18 22337516 22337517 chr18:22337517:G:C rs150209038 G C G EBF1_EBF_1 9 1 + 5.7823892180472205 0.7067000717017569 AACCTCCAGGGACA chr18 22400306 22400307 chr18:22400307:A:C rs79633781 A C A EBF1_EBF_1 -13 0 + 0 0 . chr18 22433035 22433036 chr18:22433036:C:T rs75595395 C T C EBF1_EBF_1 4 1 + 4.3183846206343075 -0.05100232431061606 GCCCCCCAGGGCAC chr18 22492466 22492467 chr18:22492467:G:A rs10513910 G A A EBF1_EBF_1 15 0 + 0 0 . chr18 22504388 22504389 chr18:22504389:A:C rs581098 A C C EBF1_EBF_1 -2 0 - 0 0 . chr18 22515417 22515418 chr18:22515418:G:A rs75609514 G A G EBF1_EBF_1 23 0 + 0 0 . chr18 22579091 22579092 chr18:22579092:G:A rs117913952 G A G EBF1_EBF_1 -6 0 + 0 0 . chr18 22711230 22711231 chr18:22711231:A:C rs1307795632 A C A EBF1_EBF_1 25 0 - 0 0 . chr18 22727791 22727792 chr18:22727792:T:C rs55689640 T C T EBF1_EBF_1 30 0 - 0 0 . chr18 22752191 22752192 chr18:22752192:G:C rs79385076 G C G EBF1_EBF_1 23 0 - 0 0 . chr18 22784464 22784465 chr18:22784465:G:C rs1121333 G C G EBF1_EBF_1 18 0 + 0 0 . chr18 22807095 22807096 chr18:22807096:C:A rs55762801 C A C EBF1_EBF_1 17 0 - 0 0 . chr18 22933528 22933529 chr18:22933529:G:A rs144559748 G A G EBF1_EBF_1 25 0 - 0 0 . chr18 22933554 22933555 chr18:22933555:C:T rs148449357 C T C EBF1_EBF_1 -1 0 - 0 0 . chr18 23054448 23054449 chr18:23054449:C:T rs9966472 C T c EBF1_EBF_1 24 0 + 0 0 . chr18 23069871 23069872 chr18:23069872:A:T rs9304269 A T T EBF1_EBF_1 0 1 + 5.396417253720428 3.423360830754405 AGTCCACAGGGACA chr18 23071481 23071482 chr18:23071482:C:T rs77925736 C T C EBF1_EBF_1 -20 0 + 0 0 . chr18 23112961 23112962 chr18:23112962:T:C rs11661747 T C T EBF1_EBF_1 24 0 - 0 0 . chr18 23136293 23136294 chr18:23136294:G:A rs200098768 G A G EBF1_EBF_1 30 0 + 0 0 . chr18 23136783 23136784 chr18:23136784:C:T rs368272682 C T C EBF1_EBF_1 7 1 - 9.98602890328987 11.298487308578697 ACTCCCTGGGGACG chr18 23136795 23136796 chr18:23136796:G:A rs73964439 G A G EBF1_EBF_1 -5 0 - 0 0 . chr18 23143788 23143789 chr18:23143789:C:T rs185442092 C T C EBF1_EBF_1 8 1 - 4.004020439552633 -0.2222696493336287 AGCCCCTCGGGCCA chr18 23231904 23231905 chr18:23231905:A:G rs184590010 A G A EBF1_EBF_1 22 0 - 0 0 . chr18 23275294 23275295 chr18:23275295:G:A chr18:23275295:G:A G A c EBF1_EBF_1 7 1 + 4.561284562846297 5.873742968135122 GGCCCCAGAGGACT chr18 23275294 23275295 chr18:23275295:G:C rs11873921 G C c EBF1_EBF_1 7 1 + 4.561284562846297 3.5799639817649407 GGCCCCAGAGGACT chr18 23282120 23282121 chr18:23282121:C:T rs1389740173 C T C EBF1_EBF_1 17 0 + 0 0 . chr18 23282609 23282610 chr18:23282610:C:A rs56001630 C A C EBF1_EBF_1 0 1 - 4.604822465505293 4.524049912104105 GGCCCCAGGGTACT chr18 23284287 23284288 chr18:23284288:G:T rs1389018852 G T G EBF1_EBF_1 -20 0 - 0 0 . chr18 23324707 23324708 chr18:23324708:C:T rs73404554 C T C EBF1_EBF_1 31 0 - 0 0 . chr18 23369290 23369291 chr18:23369291:A:C rs189292012 A C a EBF1_EBF_1 -4 0 + 0 0 . chr18 23423065 23423066 chr18:23423066:A:G rs141679744 A G A EBF1_EBF_1 2 1 - 7.4880558178676315 5.5992324064254815 AGTCCCGAAGGACT chr18 23423071 23423072 chr18:23423072:A:C rs946896469 A C A EBF1_EBF_1 -4 0 - 0 0 . chr18 23503279 23503280 chr18:23503280:C:G rs1371367761 C G C EBF1_EBF_1 29 0 - 0 0 . chr18 23503285 23503286 chr18:23503286:C:T rs531456169 C T C EBF1_EBF_1 23 0 - 0 0 . chr18 23503286 23503287 chr18:23503287:G:A rs776555204 G A G EBF1_EBF_1 22 0 - 0 0 . chr18 23503310 23503311 chr18:23503311:A:G rs4800487 A G A EBF1_EBF_1 -2 0 - 0 0 . chr18 23503589 23503590 chr18:23503590:G:A rs118114626 G A G EBF1_EBF_1 8 1 + 2.8025418573616063 -1.4237482315246548 CGCCCCCGGGGCCC chr18 23540149 23540150 chr18:23540150:C:T rs113027965 C T C EBF1_EBF_1 1 1 + 4.207430668811794 5.10286410921054 TCCCCCCAGGGCCC chr18 23640259 23640260 chr18:23640260:T:G rs1030523 T G G EBF1_EBF_1 20 0 + 0 0 . chr18 23662789 23662790 chr18:23662790:C:G rs7229310 C G C EBF1_EBF_1 22 0 - 0 0 . chr18 23662821 23662822 chr18:23662822:C:A chr18:23662822:C:A C A C EBF1_EBF_1 -10 0 - 0 0 . chr18 23718285 23718286 chr18:23718286:T:C rs11082712 T C C EBF1_EBF_1 -9 0 + 0 0 . chr18 23923150 23923151 chr18:23923151:C:T rs12956613 C T C EBF1_EBF_1 10 1 - 7.405096279023155 2.087067819756188 AGCCCCATGGGGCA chr18 23933337 23933338 chr18:23933338:A:C rs4800522 A C A EBF1_EBF_1 -12 0 + 0 0 . chr18 23948403 23948404 chr18:23948404:A:G rs370633872 A G A EBF1_EBF_1 15 0 + 0 0 . chr18 23964013 23964014 chr18:23964014:G:A rs377326176 G A G EBF1_EBF_1 7 1 + 5.224206394603777 6.536664799892603 CCTCCCAGGAGATA chr18 23964748 23964749 chr18:23964749:A:G rs796767032 A G A EBF1_EBF_1 31 0 - 0 0 . chr18 23968164 23968165 chr18:23968165:C:T rs12457619 C T C EBF1_EBF_1 3 1 + 7.244934259353877 0.83953551780056 AGCCCCCAGGGCAT chr18 23982299 23982300 chr18:23982300:C:T rs61278645 C T C EBF1_EBF_1 4 1 + 5.970171453442362 1.6007845084974384 CTCCCCCAGGGGCA chr18 24113927 24113928 chr18:24113928:C:T rs4595868 C T T EBF1_EBF_1 -12 0 - 0 0 . chr18 24234510 24234511 chr18:24234511:T:C rs61125050 T C T EBF1_EBF_1 21 0 - 0 0 . chr18 24269055 24269056 chr18:24269056:T:G rs275876 T G T EBF1_EBF_1 27 0 + 0 0 . chr18 24269059 24269060 chr18:24269060:G:A rs77599684 G A G EBF1_EBF_1 31 0 + 0 0 . chr18 24271374 24271375 chr18:24271375:G:C rs181524908 G C G EBF1_EBF_1 3 1 - 9.431484116907704 3.603719668792489 AGCCCCTGGGGAAA chr18 24272278 24272279 chr18:24272279:C:T rs541653736 C T C EBF1_EBF_1 0 1 + 8.012409798412373 8.29461185914295 CGCCCCTTGGGAAA chr18 24397779 24397780 chr18:24397780:C:A rs769571819 C A C EBF1_EBF_1 16 0 + 0 0 . chr18 24400685 24400686 chr18:24400686:T:C rs117350144 T C T EBF1_EBF_1 7 1 + 4.933538931153522 3.116034184870898 ACTCCCCTGGTGCC chr18 24463388 24463389 chr18:24463389:T:C rs623590 T C C EBF1_EBF_1 33 0 - 0 0 . chr18 24534678 24534679 chr18:24534679:C:T rs59396724 C T C EBF1_EBF_1 -4 0 + 0 0 . chr18 24543770 24543771 chr18:24543771:A:C rs949316 A C A EBF1_EBF_1 -9 0 - 0 0 . chr18 24543778 24543779 chr18:24543779:A:G rs949315 A G A EBF1_EBF_1 -17 0 - 0 0 . chr18 24611797 24611798 chr18:24611798:G:A rs1349351 G A G EBF1_EBF_1 24 0 - 0 0 . chr18 24660116 24660117 chr18:24660117:C:T rs11083083 C T C EBF1_EBF_1 -17 0 + 0 0 . chr18 24672310 24672311 chr18:24672311:G:A rs8089707 G A A EBF1_EBF_1 8 1 + 9.64053437793322 5.414244289046958 CATCCCTTGGGAAA chr18 24684053 24684054 chr18:24684054:A:G rs339893 A G G EBF1_EBF_1 21 0 + 0 0 . chr18 24742734 24742735 chr18:24742735:G:C rs2443016 G C C EBF1_EBF_1 32 0 - 0 0 . chr18 24764052 24764053 chr18:24764053:A:G rs149973161 A G A EBF1_EBF_1 17 0 + 0 0 . chr18 24913877 24913878 chr18:24913878:G:A rs117235985 G A G EBF1_EBF_1 -10 0 + 0 0 . chr18 24913887 24913888 chr18:24913888:A:G rs1668841 A G G EBF1_EBF_1 0 1 + 5.391393990274273 3.4991101207094393 ATTCCTCCGGGACA chr18 24913919 24913920 chr18:24913920:G:A rs12608210 G A A EBF1_EBF_1 32 0 + 0 0 . chr18 24917804 24917805 chr18:24917805:G:A rs73396961 G A G EBF1_EBF_1 19 0 + 0 0 . chr18 24993769 24993770 chr18:24993770:C:A rs78674480 C A C EBF1_EBF_1 -7 0 - 0 0 . chr18 25056817 25056818 chr18:25056818:C:T rs11083106 C T C EBF1_EBF_1 16 0 - 0 0 . chr18 25112291 25112292 chr18:25112292:C:T rs4423504 C T T EBF1_EBF_1 -18 0 - 0 0 . chr18 25141467 25141468 chr18:25141468:A:G rs9952869 A G A EBF1_EBF_1 31 0 + 0 0 . chr18 25262188 25262189 chr18:25262189:C:A rs8091632 C A A EBF1_EBF_1 -18 0 - 0 0 . chr18 25286106 25286107 chr18:25286107:G:T rs28609362 G T G EBF1_EBF_1 13 1 - 5.710164268162441 5.336418818876465 CCTCCCCTGAGAGC chr18 25286131 25286132 chr18:25286132:T:G chr18:25286132:T:G T G T EBF1_EBF_1 -12 0 - 0 0 . chr18 25349527 25349528 chr18:25349528:G:A rs79558566 G A G EBF1_EBF_1 8 1 + 6.061762231905259 1.835472143018999 CTTCCCAGGAGAAG chr18 25371705 25371706 chr18:25371706:T:G rs4368236 T G G EBF1_EBF_1 -6 0 - 0 0 . chr18 25371708 25371709 chr18:25371709:G:A rs4520911 G A A EBF1_EBF_1 -9 0 - 0 0 . chr18 25372231 25372232 chr18:25372232:G:A rs4522484 G A A EBF1_EBF_1 -18 0 - 0 0 . chr18 25373472 25373473 chr18:25373473:C:T rs72876469 C T C EBF1_EBF_1 5 1 + 11.93807078363271 6.616283568092043 AATCCCCTGGGACC chr18 25456902 25456903 chr18:25456903:G:A rs12604386 G A G EBF1_EBF_1 -1 0 + 0 0 . chr18 25461744 25461745 chr18:25461745:C:G rs1558867 C G C EBF1_EBF_1 4 1 + 8.933389657048988 3.3076245558994453 ACCCCCTTGGGACG chr18 25641704 25641705 chr18:25641705:G:A rs190482893 G A G EBF1_EBF_1 24 0 + 0 0 . chr18 25737532 25737533 chr18:25737533:C:T rs75077350 C T C EBF1_EBF_1 3 1 + 8.555299611035094 2.1499008694817756 ATACCCATGGGATC chr18 25756368 25756369 chr18:25756369:C:T rs12969265 C T T EBF1_EBF_1 6 1 + 6.061762806135534 6.363048934997291 GCTCCCCTGAGATA chr18 25799930 25799931 chr18:25799931:T:G rs1786731 T G G EBF1_EBF_1 2 1 + 5.572992887660143 -1.1753328025403234 AGTCCTCAGAGAAT chr18 25805382 25805383 chr18:25805383:C:T rs1786733 C T T EBF1_EBF_1 -11 0 + 0 0 . chr18 25864048 25864049 chr18:25864049:T:C rs3884508 T C T EBF1_EBF_1 12 1 - 6.119171756011719 4.700354413912241 TTTCCCCACGGAAC chr18 25953306 25953307 chr18:25953307:T:C rs35487076 T C T EBF1_EBF_1 16 0 + 0 0 . chr18 26090226 26090227 chr18:26090227:C:T rs115081560 C T C EBF1_EBF_1 -5 0 + 0 0 . chr18 26090253 26090254 chr18:26090254:C:T rs1252648152 C T C EBF1_EBF_1 22 0 + 0 0 . chr18 26205130 26205131 chr18:26205131:C:T rs12967725 C T T EBF1_EBF_1 6 1 + 9.260536974008792 9.561823102870548 CTTCCCCTGGGAGC chr18 26205150 26205151 chr18:26205151:C:G rs12967827 C G C EBF1_EBF_1 26 0 + 0 0 . chr18 26214624 26214625 chr18:26214625:T:A rs78675670 T A G EBF1_EBF_1 15 0 + 0 0 . chr18 26226953 26226954 chr18:26226954:A:C chr18:26226954:A:C A C A EBF1_EBF_1 -19 0 - 0 0 . chr18 26363085 26363086 chr18:26363086:A:G rs35929980 A G A EBF1_EBF_1 -13 0 + 0 0 . chr18 26497894 26497895 chr18:26497895:G:A rs572244659 G A G EBF1_EBF_1 13 1 + 8.055759328750995 9.170084900820434 AGTCCCCTGAGACG chr18 26508866 26508867 chr18:26508867:C:T rs9949688 C T T EBF1_EBF_1 15 0 - 0 0 . chr18 26547504 26547505 chr18:26547505:C:A rs1391190899 C A C EBF1_EBF_1 -14 0 - 0 0 . chr18 26549612 26549613 chr18:26549613:A:G rs4488532 A G G EBF1_EBF_1 26 0 + 0 0 . chr18 26549968 26549969 chr18:26549969:G:C rs182183718 G C G EBF1_EBF_1 9 1 + 3.8479969377610512 -1.2276922085844115 AGCCGCCGGGGACC chr18 26549974 26549975 chr18:26549975:C:A rs1111209 C A C EBF1_EBF_1 15 0 + 0 0 . chr18 26550278 26550279 chr18:26550279:C:G rs78621634 C G C EBF1_EBF_1 16 0 - 0 0 . chr18 26550303 26550304 chr18:26550304:G:T chr18:26550304:G:T G T G EBF1_EBF_1 -9 0 - 0 0 . chr18 26653865 26653866 chr18:26653866:C:T rs3886639 C T T EBF1_EBF_1 -5 0 + 0 0 . chr18 26706716 26706717 chr18:26706717:C:T rs470841 C T c EBF1_EBF_1 2 1 + 5.853316395399305 7.742139806841456 ACCCTCCAGGGACA chr18 26748009 26748010 chr18:26748010:C:T rs34407071 C T C EBF1_EBF_1 30 0 - 0 0 . chr18 26863298 26863299 chr18:26863299:G:A rs372860951 G A G EBF1_EBF_1 15 0 + 0 0 . chr18 26910133 26910134 chr18:26910134:C:T rs117721471 C T C EBF1_EBF_1 -1 0 + 0 0 . chr18 26910158 26910159 chr18:26910159:G:A rs538152404 G A G EBF1_EBF_1 24 0 + 0 0 . chr18 26927937 26927938 chr18:26927938:C:G rs232335 C G G EBF1_EBF_1 -19 0 + 0 0 . chr18 26927973 26927974 chr18:26927974:G:C rs232336 G C G EBF1_EBF_1 17 0 + 0 0 . chr18 26928378 26928379 chr18:26928379:A:G rs232338 A G G EBF1_EBF_1 32 0 + 0 0 . chr18 26965444 26965445 chr18:26965445:G:C rs17623649 G C G EBF1_EBF_1 13 1 - 5.050410293637376 3.562339272281961 CTTCCCAGGGGTTC chr18 27023888 27023889 chr18:27023889:T:A rs71353424 T A T EBF1_EBF_1 -6 0 + 0 0 . chr18 27214003 27214004 chr18:27214004:T:C rs72639454 T C T EBF1_EBF_1 -4 0 - 0 0 . chr18 27324536 27324537 chr18:27324537:A:C rs77099131 A C A EBF1_EBF_1 0 1 + 4.713130114032371 2.45787163033577 ACCCCCCTGGGGTG chr18 27351353 27351354 chr18:27351354:C:G rs12326718 C G C EBF1_EBF_1 12 1 + 5.3589486406835585 3.9703126970054066 ATTCCCAGGAGCCC chr18 27414087 27414088 chr18:27414088:G:T rs1105237 G T G EBF1_EBF_1 18 0 + 0 0 . chr18 27432732 27432733 chr18:27432733:G:A rs9948983 G A A EBF1_EBF_1 11 1 - 3.8199281807293097 4.1379756224829745 GGCCCCCTGGGCTT chr18 27568960 27568961 chr18:27568961:A:G rs16943751 A G G EBF1_EBF_1 31 0 + 0 0 . chr18 27578303 27578304 chr18:27578304:G:A rs1030096 G A A EBF1_EBF_1 -10 0 - 0 0 . chr18 27665422 27665423 chr18:27665423:A:T rs948306 A T A EBF1_EBF_1 2 1 - 6.726959670731641 3.042492083132763 AATCCCTAAGGAGA chr18 27667004 27667005 chr18:27667005:T:C rs1362726 T C C EBF1_EBF_1 15 0 - 0 0 . chr18 27667027 27667028 chr18:27667028:T:G rs12456108 T G T EBF1_EBF_1 -8 0 - 0 0 . chr18 27769282 27769283 chr18:27769283:C:T rs183971215 C T C EBF1_EBF_1 13 1 + 5.356529126952306 6.201023241537824 ATCCCCTGGGGTTC chr18 28065817 28065818 chr18:28065818:A:T rs17446218 A T A EBF1_EBF_1 1 1 - 5.58054732071835 4.61418670296752 ATACCTAAGGGACT chr18 28121175 28121176 chr18:28121176:A:C rs11083249 A C A EBF1_EBF_1 -2 0 - 0 0 . chr18 28412706 28412707 chr18:28412707:C:T rs572078438 C T C EBF1_EBF_1 22 0 - 0 0 . chr18 28629153 28629154 chr18:28629154:A:G rs720907 A G G EBF1_EBF_1 27 0 + 0 0 . chr18 28629159 28629160 chr18:28629160:C:G rs62086877 C G C EBF1_EBF_1 33 0 + 0 0 . chr18 28712980 28712981 chr18:28712981:T:A rs356867 T A A EBF1_EBF_1 -20 0 + 0 0 . chr18 28903164 28903165 chr18:28903165:A:C rs546193 A C C EBF1_EBF_1 -2 0 + 0 0 . chr18 28903185 28903186 chr18:28903186:A:C rs553389 A C A EBF1_EBF_1 19 0 + 0 0 . chr18 28960310 28960311 chr18:28960311:C:T rs77040663 C T T EBF1_EBF_1 -10 0 - 0 0 . chr18 29060593 29060594 chr18:29060594:C:T rs113919764 C T C EBF1_EBF_1 32 0 + 0 0 . chr18 29071919 29071920 chr18:29071920:C:A rs16946087 C A C EBF1_EBF_1 2 1 + 7.3271683607383125 5.531524184581587 ATCCCCAAGGTAAA chr18 29159779 29159780 chr18:29159780:T:C rs1893356 T C C EBF1_EBF_1 17 0 + 0 0 . chr18 29389273 29389274 chr18:29389274:G:T rs1117872 G T G EBF1_EBF_1 31 0 + 0 0 . chr18 29389760 29389761 chr18:29389761:G:A rs34548369 G A G EBF1_EBF_1 -17 0 - 0 0 . chr18 29673117 29673118 chr18:29673118:G:C rs1121898 G C G EBF1_EBF_1 15 0 + 0 0 . chr18 29753261 29753262 chr18:29753262:A:G rs4589637 A G A EBF1_EBF_1 33 0 - 0 0 . chr18 30005309 30005310 chr18:30005310:T:A rs8088798 T A A EBF1_EBF_1 -20 0 - 0 0 . chr18 30038720 30038721 chr18:30038721:C:G rs72911160 C G C EBF1_EBF_1 -19 0 + 0 0 . chr18 30038721 30038722 chr18:30038722:G:A rs112574233 G A G EBF1_EBF_1 -18 0 + 0 0 . chr18 30102461 30102462 chr18:30102462:G:C rs75182281 G C G EBF1_EBF_1 -9 0 - 0 0 . chr18 30213068 30213069 chr18:30213069:G:A rs12605450 G A G EBF1_EBF_1 -9 0 - 0 0 . chr18 30335253 30335254 chr18:30335254:T:G rs11664615 T G T EBF1_EBF_1 7 1 - 4.842677804468342 2.548898818098161 AGTCCCCAGGAATG chr18 30354775 30354776 chr18:30354776:C:T rs12968767 C T T EBF1_EBF_1 -1 0 + 0 0 . chr18 30481926 30481927 chr18:30481927:C:T rs2034053 C T c EBF1_EBF_1 9 1 + 5.722301444045701 3.961001182302356 AGTCCCATGCGAGA chr18 30613535 30613536 chr18:30613536:T:C rs9963082 T C C EBF1_EBF_1 23 0 - 0 0 . chr18 30857787 30857788 chr18:30857788:C:T rs17711702 C T T EBF1_EBF_1 32 0 - 0 0 . chr18 31039723 31039724 chr18:31039724:A:G rs276931 A G A EBF1_EBF_1 15 0 + 0 0 . chr18 31050937 31050938 chr18:31050938:C:A rs1595350 C A A EBF1_EBF_1 20 0 - 0 0 . chr18 31185670 31185671 chr18:31185671:G:A rs1437605 G A G EBF1_EBF_1 30 0 + 0 0 . chr18 31325253 31325254 chr18:31325254:G:A rs977434 G A A EBF1_EBF_1 -5 0 - 0 0 . chr18 31354657 31354658 chr18:31354658:T:A rs16961692 T A A EBF1_EBF_1 22 0 + 0 0 . chr18 31597007 31597008 chr18:31597008:T:G rs3794884 T G T EBF1_EBF_1 -11 0 - 0 0 . chr18 31612185 31612186 chr18:31612186:T:C rs12458967 T C T EBF1_EBF_1 15 0 - 0 0 . chr18 31634151 31634152 chr18:31634152:G:A rs1667276 G A G EBF1_EBF_1 24 0 - 0 0 . chr18 31877060 31877061 chr18:31877061:G:C rs6506945 G C C EBF1_EBF_1 25 0 - 0 0 . chr18 31877269 31877270 chr18:31877270:C:T rs6506946 C T T EBF1_EBF_1 10 1 - 6.8076136803328415 1.4895852210658753 TTTCCCCAAGGACA chr18 31954495 31954496 chr18:31954496:A:G rs12954934 A G a EBF1_EBF_1 -1 0 - 0 0 . chr18 31964098 31964099 chr18:31964099:G:A rs9949272 G A G EBF1_EBF_1 3 1 - 7.115254665105258 0.7098559235519417 AGTCCCAAGAGGCA chr18 31967063 31967064 chr18:31967064:C:G rs4799611 C G C EBF1_EBF_1 -8 0 - 0 0 . chr18 32033271 32033272 chr18:32033272:G:C rs17718949 G C g EBF1_EBF_1 21 0 - 0 0 . chr18 32209931 32209932 chr18:32209932:G:A rs7227067 G A G EBF1_EBF_1 5 1 - 6.432339838944234 1.1105526234035654 TCTCCCAAGGGTAA chr18 32358154 32358155 chr18:32358155:C:A rs140488558 C A C EBF1_EBF_1 -18 0 + 0 0 . chr18 32358175 32358176 chr18:32358176:C:G rs113779035 C G C EBF1_EBF_1 3 1 + 4.632233557564064 -1.1955308905511537 TTTCACAGGGGACC chr18 32420597 32420598 chr18:32420598:C:T rs8089997 C T T EBF1_EBF_1 25 0 + 0 0 . chr18 32438453 32438454 chr18:32438454:C:T rs2055060 C T T EBF1_EBF_1 28 0 - 0 0 . chr18 32438489 32438490 chr18:32438490:C:T rs62093966 C T C EBF1_EBF_1 -8 0 - 0 0 . chr18 32455576 32455577 chr18:32455577:A:C rs193097368 A C A EBF1_EBF_1 13 1 + 7.115771565035179 7.489517014321155 CTTCCCTGGAGAAA chr18 32579164 32579165 chr18:32579165:G:C rs162421 G C G EBF1_EBF_1 -1 0 - 0 0 . chr18 33077218 33077219 chr18:33077219:G:A rs271521 G A A EBF1_EBF_1 23 0 - 0 0 . chr18 33146706 33146707 chr18:33146707:A:G rs271502 A G G EBF1_EBF_1 -10 0 - 0 0 . chr18 33440565 33440566 chr18:33440566:G:T rs12455797 G T G EBF1_EBF_1 -11 0 - 0 0 . chr18 33530971 33530972 chr18:33530972:T:C chr18:33530972:T:C T C T EBF1_EBF_1 22 0 + 0 0 . chr18 33572241 33572242 chr18:33572242:G:T rs77056525 G T G EBF1_EBF_1 5 1 - 6.19189208769861 -0.7129890649365558 ATCCCCAAGGAAAA chr18 34121322 34121323 chr18:34121323:G:A rs759067424 G A G EBF1_EBF_1 2 1 - 5.121948177341718 7.010771588783867 CTCCCCTAGGGCCC chr18 34121330 34121331 chr18:34121331:C:T rs73955459 C T C EBF1_EBF_1 27 0 + 0 0 . chr18 34159604 34159605 chr18:34159605:C:T rs12960441 C T C EBF1_EBF_1 25 0 + 0 0 . chr18 34587899 34587900 chr18:34587900:G:A rs9961873 G A A EBF1_EBF_1 8 1 + 6.850384582944974 2.6240944940587134 AATCCCTCGGGCCT chr18 34587904 34587905 chr18:34587905:T:C rs9950993 T C C EBF1_EBF_1 13 1 + 6.850384582944974 6.005890468359456 AATCCCTCGGGCCT chr18 34694760 34694761 chr18:34694761:T:C rs61229914 T C T EBF1_EBF_1 -15 0 + 0 0 . chr18 34695017 34695018 chr18:34695018:A:T rs61391478 A T A EBF1_EBF_1 0 1 + 5.402220750158238 3.4291643271922174 ATTCCCACGGCACT chr18 34704237 34704238 chr18:34704238:A:G rs12955998 A G a EBF1_EBF_1 -14 0 + 0 0 . chr18 34707636 34707637 chr18:34707637:C:G rs113326247 C G C EBF1_EBF_1 14 0 - 0 0 . chr18 34707659 34707660 chr18:34707660:A:G rs534330393 A G A EBF1_EBF_1 -9 0 - 0 0 . chr18 34709483 34709484 chr18:34709484:A:G rs12963825 A G G EBF1_EBF_1 19 0 + 0 0 . chr18 34800742 34800743 chr18:34800743:G:A rs66540366 G A A EBF1_EBF_1 14 0 - 0 0 . chr18 34957338 34957339 chr18:34957339:G:A rs552366432 G A A EBF1_EBF_1 -1 0 - 0 0 . chr18 34996019 34996020 chr18:34996020:G:A rs601444 G A G EBF1_EBF_1 -18 0 - 0 0 . chr18 35073028 35073029 chr18:35073029:T:G rs614965 T G G EBF1_EBF_1 22 0 - 0 0 . chr18 35084803 35084804 chr18:35084804:A:G rs9945760 A G A EBF1_EBF_1 31 0 - 0 0 . chr18 35110561 35110562 chr18:35110562:A:T rs190635802 A T A EBF1_EBF_1 14 0 - 0 0 . chr18 35130114 35130115 chr18:35130115:G:A rs116634143 G A G EBF1_EBF_1 -18 0 - 0 0 . chr18 35138181 35138182 chr18:35138182:C:T rs556594 C T C EBF1_EBF_1 9 1 - 4.973015843109593 2.31807657766199 AGACCCCTGGGCAT chr18 35192596 35192597 chr18:35192597:C:G rs77748205 C G c EBF1_EBF_1 32 0 + 0 0 . chr18 35247274 35247275 chr18:35247275:A:G rs17670225 A G A EBF1_EBF_1 -3 0 + 0 0 . chr18 35262678 35262679 chr18:35262679:C:T rs188975594 C T C EBF1_EBF_1 4 1 + 4.771355407507969 0.40196846256304575 CTCCCCATGGGGAG chr18 35378223 35378224 chr18:35378224:G:A rs9963108 G A G EBF1_EBF_1 -4 0 - 0 0 . chr18 35511788 35511789 chr18:35511789:C:G rs16966818 C G G EBF1_EBF_1 18 0 + 0 0 . chr18 35513900 35513901 chr18:35513901:C:G rs28631833 C G C EBF1_EBF_1 1 1 + 7.884326629113163 8.074098283682382 GCCCCCCTGGGAAA chr18 35515923 35515924 chr18:35515924:C:G rs146714923 C G C EBF1_EBF_1 2 1 + 4.831858254024464 -0.027644024733853412 AGCCCCGGGAGATT chr18 35526886 35526887 chr18:35526887:G:C rs28725295 G C G EBF1_EBF_1 33 0 - 0 0 . chr18 35580864 35580865 chr18:35580865:G:A rs1191056973 G A G EBF1_EBF_1 5 1 - 8.185612757974923 2.863825542434251 GCCCCCTTGGGAAA chr18 35580877 35580878 chr18:35580878:G:C rs182861304 G C G EBF1_EBF_1 -8 0 - 0 0 . chr18 35611810 35611811 chr18:35611811:C:T rs184989916 C T C EBF1_EBF_1 14 0 + 0 0 . chr18 35689030 35689031 chr18:35689031:T:G chr18:35689031:T:G T G T EBF1_EBF_1 6 1 + 4.814944640827331 2.708466448492376 AGCCCCTGGGGCGT chr18 35748419 35748420 chr18:35748420:G:T rs78652876 G T G EBF1_EBF_1 5 1 - 4.4280673931494015 -2.4768137594857658 CCCCCCCTGGGGGT chr18 35777306 35777307 chr18:35777307:G:A rs73948186 G A G EBF1_EBF_1 1 1 - 7.088319625214224 7.9837530656129685 ACTCCCTGGGGCAC chr18 35836879 35836880 chr18:35836880:A:T rs4386199 A T A EBF1_EBF_1 27 0 - 0 0 . chr18 35897476 35897477 chr18:35897477:C:T rs28502825 C T T EBF1_EBF_1 10 1 - 9.783920875709521 4.465892416442557 CCTCCCCTGGGAAC chr18 35913323 35913324 chr18:35913324:A:G rs55647249 A G G EBF1_EBF_1 -1 0 - 0 0 . chr18 35920942 35920943 chr18:35920943:C:T rs73946720 C T C EBF1_EBF_1 21 0 - 0 0 . chr18 36129652 36129653 chr18:36129653:C:G rs904490489 C G C EBF1_EBF_1 -5 0 - 0 0 . chr18 36129798 36129799 chr18:36129799:G:C rs1632169 G C G EBF1_EBF_1 -1 0 - 0 0 . chr18 36142157 36142158 chr18:36142158:T:C rs79184296 T C T EBF1_EBF_1 27 0 + 0 0 . chr18 36142158 36142159 chr18:36142159:A:G rs80146684 A G A EBF1_EBF_1 28 0 + 0 0 . chr18 36187465 36187466 chr18:36187466:C:T rs568826613 C T C EBF1_EBF_1 22 0 - 0 0 . chr18 36187496 36187497 chr18:36187497:G:T rs140350056 G T G EBF1_EBF_1 -9 0 - 0 0 . chr18 36298550 36298551 chr18:36298551:C:T rs115536615 C T . EBF1_EBF_1 19 0 + 0 0 . chr18 36314355 36314356 chr18:36314356:A:G rs1241625560 A G A EBF1_EBF_1 27 0 + 0 0 . chr18 36322539 36322540 chr18:36322540:T:G rs487239 T G t EBF1_EBF_1 28 0 - 0 0 . chr18 36328519 36328520 chr18:36328520:T:C rs73949433 T C T EBF1_EBF_1 32 0 - 0 0 . chr18 36445981 36445982 chr18:36445982:G:A rs12608384 G A A EBF1_EBF_1 -19 0 - 0 0 . chr18 36470166 36470167 chr18:36470167:A:G rs114617254 A G A EBF1_EBF_1 31 0 - 0 0 . chr18 36478051 36478052 chr18:36478052:A:C rs9950563 A C C EBF1_EBF_1 24 0 + 0 0 . chr18 36484750 36484751 chr18:36484751:A:G rs6507172 A G G EBF1_EBF_1 6 1 - 5.303933725920974 5.002647597059218 ACCCCCTGGGGGGC chr18 36512098 36512099 chr18:36512099:G:A rs528216688 G A G EBF1_EBF_1 -6 0 + 0 0 . chr18 36512117 36512118 chr18:36512118:C:T rs147970638 C T C EBF1_EBF_1 13 1 + 12.50005574441577 13.344549859001289 AGTCCCTTGGGACC chr18 36512133 36512134 chr18:36512134:C:T rs116102548 C T C EBF1_EBF_1 29 0 + 0 0 . chr18 36516877 36516878 chr18:36516878:A:C rs16967918 A C A EBF1_EBF_1 -8 0 + 0 0 . chr18 36581359 36581360 chr18:36581360:A:C rs114663200 A C A EBF1_EBF_1 26 0 - 0 0 . chr18 36626718 36626719 chr18:36626719:T:G chr18:36626719:T:G T G T EBF1_EBF_1 0 1 - 6.861445567540036 4.6061870838434364 ACTCCCAGGAGGAT chr18 36636540 36636541 chr18:36636541:A:G rs11660710 A G A EBF1_EBF_1 14 0 + 0 0 . chr18 36643233 36643234 chr18:36643234:T:G rs28587438 T G T EBF1_EBF_1 13 1 - 10.02672126679943 10.400466716085406 CTTCCCTAGGGATA chr18 36705883 36705884 chr18:36705884:T:C rs116872219 T C T EBF1_EBF_1 -14 0 - 0 0 . chr18 36709400 36709401 chr18:36709401:G:A rs11661275 G A G EBF1_EBF_1 32 0 + 0 0 . chr18 36812826 36812827 chr18:36812827:T:C rs72883595 T C T EBF1_EBF_1 -17 0 - 0 0 . chr18 36880008 36880009 chr18:36880009:G:A rs28810215 G A G EBF1_EBF_1 25 0 - 0 0 . chr18 36889573 36889574 chr18:36889574:G:C rs1246627 G C C EBF1_EBF_1 23 0 - 0 0 . chr18 36889588 36889589 chr18:36889589:C:A rs11665058 C A C EBF1_EBF_1 8 1 - 10.742985015755504 3.851552226778311 TTTCCCAAGGGATC chr18 37055050 37055051 chr18:37055051:C:T rs17652687 C T C EBF1_EBF_1 2 1 + 6.085604540623326 7.974427952065477 ATCCCTATGGGAAC chr18 37244050 37244051 chr18:37244051:C:G rs79425878 C G C EBF1_EBF_1 -20 0 - 0 0 . chr18 37289437 37289438 chr18:37289438:A:G rs1786080 A G G EBF1_EBF_1 1 1 - 8.670264802739796 7.77483136234105 ATCCCCTGGAGACT chr18 37289458 37289459 chr18:37289459:G:A rs1786081 G A G EBF1_EBF_1 -20 0 - 0 0 . chr18 37320647 37320648 chr18:37320648:C:T rs17682147 C T C EBF1_EBF_1 24 0 - 0 0 . chr18 37368429 37368430 chr18:37368430:T:C rs118023533 T C T EBF1_EBF_1 11 1 - 7.830205497270537 4.937498653666525 CCTCCCTGGGGAGC chr18 37378834 37378835 chr18:37378835:A:G rs481585 A G A EBF1_EBF_1 0 1 - 7.130519849408678 6.8483177886781 TGTCCCCAGGGCCT chr18 37390663 37390664 chr18:37390664:C:T rs488797 C T C EBF1_EBF_1 -13 0 - 0 0 . chr18 37391121 37391122 chr18:37391122:C:T rs484156 C T C EBF1_EBF_1 10 1 - 6.79316504467911 1.475136585412144 CCTCCCCAGGGGGT chr18 37401836 37401837 chr18:37401837:G:A rs1539847 G A A EBF1_EBF_1 -13 0 - 0 0 . chr18 37483711 37483712 chr18:37483712:T:C rs41370646 T C T EBF1_EBF_1 15 0 + 0 0 . chr18 37499497 37499498 chr18:37499498:C:A rs1539851 C A C EBF1_EBF_1 -5 0 + 0 0 . chr18 37538154 37538155 chr18:37538155:C:T rs564758615 C T C EBF1_EBF_1 13 1 - 7.168474708029847 8.282800280099286 CATCCCCTGGGATG chr18 37538166 37538167 chr18:37538167:T:C rs77375594 T C T EBF1_EBF_1 1 1 - 7.168474708029847 7.42917353995115 CATCCCCTGGGATG chr18 37568306 37568307 chr18:37568307:T:C rs4799948 T C C EBF1_EBF_1 -1 0 + 0 0 . chr18 37568330 37568331 chr18:37568331:T:A rs8086242 T A A EBF1_EBF_1 23 0 + 0 0 . chr18 37665518 37665519 chr18:37665519:A:G rs72895069 A G A EBF1_EBF_1 26 0 + 0 0 . chr18 37671066 37671067 chr18:37671067:C:G rs9960528 C G C EBF1_EBF_1 4 1 - 4.604248616226211 10.230013717375753 CCTCGCCAGGGACC chr18 37698887 37698888 chr18:37698888:G:A rs12326131 G A G EBF1_EBF_1 12 1 - 6.176541709102409 5.150275138551559 AGTCCCAGGAGGCC chr18 37967155 37967156 chr18:37967156:A:C rs34987237 A C A EBF1_EBF_1 27 0 - 0 0 . chr18 37990112 37990113 chr18:37990113:C:G rs62084368 C G C EBF1_EBF_1 -19 0 - 0 0 . chr18 38039658 38039659 chr18:38039659:G:A rs114166837 G A G EBF1_EBF_1 2 1 - 6.04965396162571 7.938477373067861 ATCCCCCAGGGCTC chr18 38306269 38306270 chr18:38306270:G:A rs760656733 G A G EBF1_EBF_1 30 0 + 0 0 . chr18 38427448 38427449 chr18:38427449:A:G rs1944800 A G G EBF1_EBF_1 33 0 + 0 0 . chr18 38430906 38430907 chr18:38430907:T:C rs11082073 T C C EBF1_EBF_1 31 0 - 0 0 . chr18 38458245 38458246 chr18:38458246:G:T rs11665487 G T T EBF1_EBF_1 16 0 - 0 0 . chr18 38551464 38551465 chr18:38551465:T:G rs10853455 T G T EBF1_EBF_1 6 1 + 11.91961037736873 9.813132185033774 ATTCCCTAGGGAGA chr18 38718554 38718555 chr18:38718555:A:C rs375132527 A C A EBF1_EBF_1 26 0 - 0 0 . chr18 38718570 38718571 chr18:38718571:C:T rs71358107 C T C EBF1_EBF_1 10 1 - 5.577528561323758 0.2595001020567916 CTTCCCGTGGGGCA chr18 38752267 38752268 chr18:38752268:A:G chr18:38752268:A:G A G A EBF1_EBF_1 32 0 - 0 0 . chr18 38884328 38884329 chr18:38884329:G:A rs11082113 G A G EBF1_EBF_1 -17 0 + 0 0 . chr18 38940912 38940913 chr18:38940913:G:A rs147830698 G A G EBF1_EBF_1 -2 0 + 0 0 . chr18 39168884 39168885 chr18:39168885:A:G rs11873983 A G A EBF1_EBF_1 -3 0 - 0 0 . chr18 39233237 39233238 chr18:39233238:G:T rs1116443 G T G EBF1_EBF_1 -16 0 + 0 0 . chr18 39485900 39485901 chr18:39485901:C:G rs1681039 C G G EBF1_EBF_1 21 0 - 0 0 . chr18 39505713 39505714 chr18:39505714:C:T rs1681029 C T C EBF1_EBF_1 -14 0 + 0 0 . chr18 39513271 39513272 chr18:39513272:T:C rs1788932 T C C EBF1_EBF_1 -15 0 + 0 0 . chr18 39525045 39525046 chr18:39525046:G:A rs80198146 G A G EBF1_EBF_1 6 1 - 8.232740314170488 8.534026443032243 CTTCCCCAGGGGCC chr18 39647989 39647990 chr18:39647990:T:C rs77279770 T C T EBF1_EBF_1 12 1 - 6.931487286311085 5.512669944211607 GTTCCCACGAGAAC chr18 39648007 39648008 chr18:39648008:T:C rs76798360 T C T EBF1_EBF_1 -6 0 - 0 0 . chr18 39666426 39666427 chr18:39666427:C:T rs760616934 C T C EBF1_EBF_1 32 0 - 0 0 . chr18 39724790 39724791 chr18:39724791:G:A rs1513687 G A G EBF1_EBF_1 6 1 - 11.594506732768062 11.895792861629818 AATCCCCTGGGAAA chr18 39773855 39773856 chr18:39773856:C:T rs74896177 C T C EBF1_EBF_1 15 0 + 0 0 . chr18 39789192 39789193 chr18:39789193:C:T rs1513682 C T T EBF1_EBF_1 1 1 + 4.705260162480259 5.600693602879004 CCCCCCTGGAGAAC chr18 39840738 39840739 chr18:39840739:T:A rs976090 T A T EBF1_EBF_1 18 0 - 0 0 . chr18 39841586 39841587 chr18:39841587:T:C rs536775015 T C T EBF1_EBF_1 6 1 - 5.202073136031511 3.0352787047570358 ATTGCCAGGGGATA chr18 40418928 40418929 chr18:40418929:C:T rs9675411 C T C EBF1_EBF_1 26 0 + 0 0 . chr18 40431360 40431361 chr18:40431361:T:G rs16973328 T G T EBF1_EBF_1 13 1 + 5.96857624777176 3.636011111830827 AAACCCAGGAGACT chr18 40503847 40503848 chr18:40503848:T:C rs4399603 T C C EBF1_EBF_1 -6 0 - 0 0 . chr18 40613972 40613973 chr18:40613973:T:C rs1561205 T C C EBF1_EBF_1 11 1 - 10.427725304241378 7.535018460637367 TCTCCCATGGGAAC chr18 40640721 40640722 chr18:40640722:A:G rs7240486 A G G EBF1_EBF_1 12 1 + 6.3920589530518415 4.973241610952364 ACACCCAGGGGAAG chr18 40898022 40898023 chr18:40898023:G:T chr18:40898023:G:T G T G EBF1_EBF_1 -6 0 - 0 0 . chr18 40901697 40901698 chr18:40901698:C:A rs186805190 C A C EBF1_EBF_1 -12 0 - 0 0 . chr18 40950070 40950071 chr18:40950071:G:C rs59357454 G C G EBF1_EBF_1 23 0 + 0 0 . chr18 41045541 41045542 chr18:41045542:T:C rs4313884 T C T EBF1_EBF_1 17 0 - 0 0 . chr18 41184237 41184238 chr18:41184238:T:G rs1941572 T G t EBF1_EBF_1 14 0 - 0 0 . chr18 41228759 41228760 chr18:41228760:T:A rs62080555 T A T EBF1_EBF_1 12 1 - 7.1799221246466685 6.123474155674493 ATTCCCCAGGTGAT chr18 41324130 41324131 chr18:41324131:C:T rs71351402 C T C EBF1_EBF_1 0 1 + 5.535523126719993 5.817725187450571 CTTCCCTAGAGGAA chr18 41537623 41537624 chr18:41537624:T:C rs11661512 T C T EBF1_EBF_1 20 0 + 0 0 . chr18 41647323 41647324 chr18:41647324:C:A rs72909936 C A C EBF1_EBF_1 16 0 - 0 0 . chr18 41700740 41700741 chr18:41700741:G:A rs7234764 G A A EBF1_EBF_1 25 0 - 0 0 . chr18 41899093 41899094 chr18:41899094:T:C rs55884421 T C T EBF1_EBF_1 -2 0 - 0 0 . chr18 42122178 42122179 chr18:42122179:C:T rs682565 C T C EBF1_EBF_1 -6 0 + 0 0 . chr18 42183435 42183436 chr18:42183436:A:G rs140520508 A G A EBF1_EBF_1 12 1 + 6.225452033542558 4.80663469144308 AGCCCCTTGGGCAC chr18 42336865 42336866 chr18:42336866:C:A rs2085909 C A C EBF1_EBF_1 -9 0 - 0 0 . chr18 42341181 42341182 chr18:42341182:G:A rs431856 G A G EBF1_EBF_1 -18 0 - 0 0 . chr18 42413128 42413129 chr18:42413129:C:A rs346268 C A A EBF1_EBF_1 -14 0 - 0 0 . chr18 42483158 42483159 chr18:42483159:C:A rs180829 C A c EBF1_EBF_1 1 1 + 5.758354011991053 5.687426834638967 CCTCCCCAGGGTCA chr18 42574951 42574952 chr18:42574952:G:A rs151104369 G A G EBF1_EBF_1 4 1 - 8.435700651483659 4.066313706538734 GGCCCCATGGGAAA chr18 42765598 42765599 chr18:42765599:A:G rs594272 A G G EBF1_EBF_1 12 1 + 5.322455282655152 3.903637940555675 AAGCCCCAGGGAAA chr18 42792983 42792984 chr18:42792984:T:C rs11873248 T C C EBF1_EBF_1 16 0 - 0 0 . chr18 42873914 42873915 chr18:42873915:T:C rs117934832 T C T EBF1_EBF_1 24 0 - 0 0 . chr18 42873944 42873945 chr18:42873945:C:A rs41444547 C A C EBF1_EBF_1 -6 0 - 0 0 . chr18 43350345 43350346 chr18:43350346:T:G rs76751664 T G T EBF1_EBF_1 17 0 - 0 0 . chr18 43938762 43938763 chr18:43938763:C:A rs8092883 C A A EBF1_EBF_1 0 1 + 6.1494109472843475 8.404669430980949 CATCCCTGGAGAAA chr18 44041664 44041665 chr18:44041665:G:C rs7242783 G C C EBF1_EBF_1 3 1 - 5.330176415215473 -0.497588032899742 AATCCTCAGGGAGA chr18 44061310 44061311 chr18:44061311:C:A rs9676043 C A C EBF1_EBF_1 26 0 + 0 0 . chr18 44095573 44095574 chr18:44095574:T:C rs9963990 T C T EBF1_EBF_1 -20 0 - 0 0 . chr18 44100580 44100581 chr18:44100581:G:T rs9945146 G T G EBF1_EBF_1 -17 0 + 0 0 . chr18 44100595 44100596 chr18:44100596:T:C rs9957154 T C C EBF1_EBF_1 -2 0 + 0 0 . chr18 44274509 44274510 chr18:44274510:C:A rs4497770 C A A EBF1_EBF_1 -17 0 + 0 0 . chr18 44537763 44537764 chr18:44537764:G:A rs1314486880 G A G EBF1_EBF_1 -18 0 + 0 0 . chr18 44537808 44537809 chr18:44537809:T:G rs2063502 T G T EBF1_EBF_1 27 0 + 0 0 . chr18 44651644 44651645 chr18:44651645:G:A chr18:44651645:G:A G A G EBF1_EBF_1 17 0 + 0 0 . chr18 44651660 44651661 chr18:44651661:A:C chr18:44651661:A:C A C A EBF1_EBF_1 33 0 + 0 0 . chr18 44790691 44790692 chr18:44790692:G:T rs74646340 G T G EBF1_EBF_1 -5 0 + 0 0 . chr18 44805161 44805162 chr18:44805162:T:C rs76563441 T C T EBF1_EBF_1 11 1 - 8.298310494170297 5.405603650566285 AATCCCTAGAGAGA chr18 44818430 44818431 chr18:44818431:G:A rs79361274 G A G EBF1_EBF_1 9 1 + 5.6716154735774875 3.0166762081298844 ACTCCCAGCGGAGT chr18 44839324 44839325 chr18:44839325:C:T rs562478937 C T C EBF1_EBF_1 15 0 - 0 0 . chr18 44839325 44839326 chr18:44839326:G:A rs62090606 G A G EBF1_EBF_1 14 0 - 0 0 . chr18 44859416 44859417 chr18:44859417:C:G rs557788840 C G C EBF1_EBF_1 30 0 - 0 0 . chr18 44900643 44900644 chr18:44900644:A:T rs4890273 A T A EBF1_EBF_1 28 0 - 0 0 . chr18 44913325 44913326 chr18:44913326:C:T rs117160744 C T C EBF1_EBF_1 12 1 + 5.17902103115572 4.1527544606048705 CATCACCAGGGACT chr18 45016823 45016824 chr18:45016824:C:T rs11874246 C T C EBF1_EBF_1 6 1 + 5.131965183452509 5.4332513123142645 ATTCCCCGGAGGGC chr18 45081949 45081950 chr18:45081950:T:G rs150582384 T G T EBF1_EBF_1 -9 0 + 0 0 . chr18 45127795 45127796 chr18:45127796:T:C rs12958371 T C T EBF1_EBF_1 8 1 - 5.660159577624843 9.886449666511105 CCTCCCCAAGGAAA chr18 45189929 45189930 chr18:45189930:T:G rs12605087 T G T EBF1_EBF_1 12 1 - 7.00548829833911 6.975306899917784 ATTTCCCAGGGAAC chr18 45249076 45249077 chr18:45249077:A:G rs11082436 A G G EBF1_EBF_1 -16 0 - 0 0 . chr18 45324570 45324571 chr18:45324571:G:C rs56122603 G C G EBF1_EBF_1 14 0 + 0 0 . chr18 45456745 45456746 chr18:45456746:G:A rs2612547 G A A EBF1_EBF_1 7 1 + 6.757524618713294 8.06998302400212 TTCCCCAGGAGACT chr18 45519177 45519178 chr18:45519178:G:T rs868533 G T G EBF1_EBF_1 30 0 - 0 0 . chr18 45562807 45562808 chr18:45562808:T:C rs28666005 T C C EBF1_EBF_1 -7 0 - 0 0 . chr18 45598919 45598920 chr18:45598920:C:G rs66528651 C G C EBF1_EBF_1 17 0 + 0 0 . chr18 45656765 45656766 chr18:45656766:C:T rs2035532 C T C EBF1_EBF_1 -3 0 + 0 0 . chr18 45656795 45656796 chr18:45656796:C:T rs77020503 C T C EBF1_EBF_1 27 0 + 0 0 . chr18 45686783 45686784 chr18:45686784:A:C rs7227846 A C C EBF1_EBF_1 32 0 - 0 0 . chr18 45687792 45687793 chr18:45687793:G:A rs1107175 G A T EBF1_EBF_1 -15 0 + 0 0 . chr18 45687792 45687793 chr18:45687793:G:T chr18:45687793:G:T G T T EBF1_EBF_1 -15 0 + 0 0 . chr18 45688984 45688985 chr18:45688985:T:C rs111589621 T C T EBF1_EBF_1 -17 0 + 0 0 . chr18 45739393 45739394 chr18:45739394:C:T rs531281 C T T EBF1_EBF_1 -13 0 + 0 0 . chr18 45824953 45824954 chr18:45824954:A:G rs1660560 A G a EBF1_EBF_1 6 1 + 5.750992152393545 3.58419772111907 AGTGCCAAGGGAAG chr18 45838020 45838021 chr18:45838021:C:G rs558555969 C G C EBF1_EBF_1 -2 0 + 0 0 . chr18 45838024 45838025 chr18:45838025:A:G rs116689106 A G A EBF1_EBF_1 2 1 + 6.414712999624773 3.3508548970231815 CTACCCCAGGGATC chr18 45838030 45838031 chr18:45838031:G:A rs78150817 G A G EBF1_EBF_1 8 1 + 6.414712999624773 2.188422910738512 CTACCCCAGGGATC chr18 45850382 45850383 chr18:45850383:G:A rs113328134 G A G EBF1_EBF_1 25 0 + 0 0 . chr18 45938774 45938775 chr18:45938775:A:G rs191897874 A G A EBF1_EBF_1 -13 0 + 0 0 . chr18 45938783 45938784 chr18:45938784:C:T rs60288752 C T C EBF1_EBF_1 -4 0 + 0 0 . chr18 46071403 46071404 chr18:46071404:G:T rs9783888 G T G EBF1_EBF_1 -20 0 - 0 0 . chr18 46071673 46071674 chr18:46071674:G:A rs117948027 G A G EBF1_EBF_1 -18 0 - 0 0 . chr18 46071907 46071908 chr18:46071908:G:A rs148646651 G A G EBF1_EBF_1 5 1 - 4.11516511847631 -1.2066220970643602 AGTCCCGGGGGCTC chr18 46131374 46131375 chr18:46131375:G:A rs2886001 G A g EBF1_EBF_1 -5 0 + 0 0 . chr18 46135200 46135201 chr18:46135201:G:A rs112036358 G A G EBF1_EBF_1 -6 0 - 0 0 . chr18 46149136 46149137 chr18:46149137:T:C rs35211836 T C T EBF1_EBF_1 7 1 - 4.333405728789958 3.0209473235011313 CCCCTCAAGGGACC chr18 46153020 46153021 chr18:46153021:C:G rs558582351 C G C EBF1_EBF_1 3 1 + 3.446346285893461 -2.381418162221755 TGCCCCAGGGGCCC chr18 46153031 46153032 chr18:46153032:G:A rs570577941 G A G EBF1_EBF_1 14 0 + 0 0 . chr18 46167783 46167784 chr18:46167784:A:C rs13381523 A C C EBF1_EBF_1 18 0 - 0 0 . chr18 46167794 46167795 chr18:46167795:A:C rs142075601 A C A EBF1_EBF_1 7 1 - 9.423733191726448 8.587549026525181 AATCCCCTGGGATG chr18 46167808 46167809 chr18:46167809:C:G rs111323355 C G C EBF1_EBF_1 -7 0 - 0 0 . chr18 46173796 46173797 chr18:46173797:C:T rs528888135 C T C EBF1_EBF_1 2 1 + 5.632920091346689 7.521743502788839 CACCCCCCGGGAAA chr18 46246326 46246327 chr18:46246327:G:A rs4890632 G A G EBF1_EBF_1 18 0 + 0 0 . chr18 46284196 46284197 chr18:46284197:T:C rs113599641 T C T EBF1_EBF_1 -19 0 + 0 0 . chr18 46362443 46362444 chr18:46362444:G:A rs8092525 G A A EBF1_EBF_1 14 0 - 0 0 . chr18 46385297 46385298 chr18:46385298:G:A rs74502394 G A g EBF1_EBF_1 -6 0 - 0 0 . chr18 46406278 46406279 chr18:46406279:C:T rs76249069 C T c EBF1_EBF_1 30 0 + 0 0 . chr18 46411178 46411179 chr18:46411179:C:T rs9966539 C T a EBF1_EBF_1 17 0 - 0 0 . chr18 46415211 46415212 chr18:46415212:G:C rs77910750 G C g EBF1_EBF_1 -1 0 - 0 0 . chr18 46419491 46419492 chr18:46419492:C:T rs112960761 C T C EBF1_EBF_1 4 1 + 7.965422751859044 3.596035806914118 ACTCCCCTGAGAGC chr18 46421997 46421998 chr18:46421998:G:A rs4286190 G A G EBF1_EBF_1 30 0 - 0 0 . chr18 46422036 46422037 chr18:46422037:C:A rs111435109 C A C EBF1_EBF_1 18 0 - 0 0 . chr18 46422457 46422458 chr18:46422458:G:C rs12607488 G C G EBF1_EBF_1 6 1 - 7.505300630956437 5.700108567483239 GGCCCCCAGGGAGC chr18 46425378 46425379 chr18:46425379:C:A rs3850527 C A A EBF1_EBF_1 9 1 - 4.926641978269358 -1.9103474298194514 CTACCCCAGGGATG chr18 46426439 46426440 chr18:46426440:G:A rs3889942 G A G EBF1_EBF_1 5 1 - 6.419902413763505 1.0981151982228354 AATGCCTGGGGACT chr18 46437469 46437470 chr18:46437470:A:C rs140208753 A C A EBF1_EBF_1 -20 0 - 0 0 . chr18 46462604 46462605 chr18:46462605:A:T rs77755411 A T A EBF1_EBF_1 11 1 + 7.367792227344431 3.2698779712457053 TTTCCCTGGAGACA chr18 46462625 46462626 chr18:46462626:C:T rs142092584 C T C EBF1_EBF_1 32 0 + 0 0 . chr18 46483634 46483635 chr18:46483635:G:A rs1377016 G A A EBF1_EBF_1 19 0 - 0 0 . chr18 46486147 46486148 chr18:46486148:C:T rs57604897 C T C EBF1_EBF_1 12 1 + 12.485272201072354 11.459005630521505 ACTCCCCAGGGACC chr18 46536794 46536795 chr18:46536795:A:G rs7236631 A G G EBF1_EBF_1 1 1 + 6.465295533816663 6.725994365737965 CATCCCCCGGGATA chr18 46536801 46536802 chr18:46536802:G:A rs146558800 G A G EBF1_EBF_1 8 1 + 6.465295533816663 2.2390054449304015 CATCCCCCGGGATA chr18 46536813 46536814 chr18:46536814:G:A rs762654993 G A G EBF1_EBF_1 20 0 + 0 0 . chr18 46552584 46552585 chr18:46552585:A:G rs75756604 A G A EBF1_EBF_1 16 0 - 0 0 . chr18 46552606 46552607 chr18:46552607:G:A rs76345374 G A G EBF1_EBF_1 -6 0 - 0 0 . chr18 46555852 46555853 chr18:46555853:T:G rs885358 T G T EBF1_EBF_1 2 1 - 5.924097648439913 7.71974182459664 ACACCCCAGGGAGG chr18 46574541 46574542 chr18:46574542:T:C rs11082541 T C T EBF1_EBF_1 -4 0 + 0 0 . chr18 46574549 46574550 chr18:46574550:C:T rs184490 C T C EBF1_EBF_1 4 1 + 8.100855359455286 3.7314684145103647 AGTCCCCTGGGTCC chr18 46592975 46592976 chr18:46592976:C:T rs328146 C T T EBF1_EBF_1 28 0 - 0 0 . chr18 46654582 46654583 chr18:46654583:G:A rs1878059 G A G EBF1_EBF_1 7 1 + 5.892100856327307 7.204559261616133 AATCCCAGGGTAGC chr18 46667056 46667057 chr18:46667057:G:T rs17772115 G T G EBF1_EBF_1 4 1 - 13.560125267167544 7.735565643714079 AATCCCTAGGGACT chr18 46669651 46669652 chr18:46669652:C:T rs447137 C T C EBF1_EBF_1 24 0 - 0 0 . chr18 46710937 46710938 chr18:46710938:A:T rs72917432 A T A EBF1_EBF_1 7 1 - 5.522440395724925 5.998714635812484 ACCCCCCTGGGCTT chr18 46711513 46711514 chr18:46711514:G:A rs114889591 G A G EBF1_EBF_1 13 1 - 7.971690922603927 8.816185037189445 ACCCCCCAGAGAAC chr18 46711515 46711516 chr18:46711516:T:C rs12605659 T C T EBF1_EBF_1 11 1 - 7.971690922603927 5.078984078999916 ACCCCCCAGAGAAC chr18 46711526 46711527 chr18:46711527:T:A rs138732058 T A T EBF1_EBF_1 0 1 - 7.971690922603927 5.998634499637905 ACCCCCCAGAGAAC chr18 46711870 46711871 chr18:46711871:A:T rs78863685 A T A EBF1_EBF_1 -7 0 + 0 0 . chr18 46712545 46712546 chr18:46712546:C:T rs56190504 C T C EBF1_EBF_1 30 0 - 0 0 . chr18 46722167 46722168 chr18:46722168:G:T rs77120706 G T G EBF1_EBF_1 10 1 + 5.9930528389383735 1.8103006527111094 GGTCCCCAGGGCCA chr18 46830785 46830786 chr18:46830786:T:C rs504668 T C T EBF1_EBF_1 9 1 - 9.569162881951165 12.224102147398767 ATTCCCTAGAGAAG chr18 47175968 47175969 chr18:47175969:C:T rs560609762 C T C EBF1_EBF_1 -3 0 + 0 0 . chr18 47176113 47176114 chr18:47176114:C:G chr18:47176114:C:G C G C EBF1_EBF_1 -20 0 + 0 0 . chr18 47176113 47176114 chr18:47176114:C:T rs959365333 C T C EBF1_EBF_1 -20 0 + 0 0 . chr18 47176130 47176131 chr18:47176131:A:C rs12968552 A C C EBF1_EBF_1 -3 0 + 0 0 . chr18 47247010 47247011 chr18:47247011:A:T rs9956387 A T T EBF1_EBF_1 -8 0 + 0 0 . chr18 47250810 47250811 chr18:47250811:T:C rs113628297 T C T EBF1_EBF_1 24 0 + 0 0 . chr18 47254218 47254219 chr18:47254219:G:A rs56146866 G A G EBF1_EBF_1 23 0 - 0 0 . chr18 47288535 47288536 chr18:47288536:G:A rs16950742 G A G EBF1_EBF_1 -11 0 - 0 0 . chr18 47293477 47293478 chr18:47293478:T:C rs10164176 T C T EBF1_EBF_1 6 1 - 10.959835959674992 8.793041528400517 CCTCCCATGGGACT chr18 47335594 47335595 chr18:47335595:C:T rs12958597 C T C EBF1_EBF_1 0 1 - 4.779123176407551 6.671407045972383 GTTCCCAAGAGCCC chr18 47335695 47335696 chr18:47335696:T:G rs7233361 T G T EBF1_EBF_1 2 1 + 7.074997206716787 0.3266715165163189 TTTCCCAGAGGACT chr18 47405162 47405163 chr18:47405163:A:C rs12970918 A C C EBF1_EBF_1 30 0 + 0 0 . chr18 47409403 47409404 chr18:47409404:A:G rs12955529 A G A EBF1_EBF_1 -10 0 + 0 0 . chr18 47409429 47409430 chr18:47409430:G:A rs12956050 G A G EBF1_EBF_1 16 0 + 0 0 . chr18 47464599 47464600 chr18:47464600:C:T rs11082588 C T T EBF1_EBF_1 23 0 + 0 0 . chr18 47484505 47484506 chr18:47484506:A:G rs8097518 A G A EBF1_EBF_1 15 0 - 0 0 . chr18 47495643 47495644 chr18:47495644:G:C rs7241932 G C G EBF1_EBF_1 -1 0 - 0 0 . chr18 47559366 47559367 chr18:47559367:A:G rs60405448 A G A EBF1_EBF_1 6 1 + 5.9315216312167465 3.764727199942272 GTTCCCATGGGCTC chr18 47614871 47614872 chr18:47614872:C:T rs1510017 C T A EBF1_EBF_1 -3 0 + 0 0 . chr18 47756105 47756106 chr18:47756106:C:A rs114257421 C A C EBF1_EBF_1 11 1 + 5.650161238587712 10.0661229364401 TCTCCCCTGGGCAC chr18 47780429 47780430 chr18:47780430:C:G rs79656622 C G C EBF1_EBF_1 21 0 + 0 0 . chr18 47795509 47795510 chr18:47795510:C:T rs369951585 C T C EBF1_EBF_1 -17 0 + 0 0 . chr18 47800603 47800604 chr18:47800604:G:T rs61196230 G T T EBF1_EBF_1 -15 0 + 0 0 . chr18 47931512 47931513 chr18:47931513:G:A rs550154493 G A G EBF1_EBF_1 2 1 - 4.44081985086533 6.3296432623074805 CTCCCCGTGGGATG chr18 47979683 47979684 chr18:47979684:G:A rs35443464 G A G EBF1_EBF_1 -18 0 + 0 0 . chr18 47991117 47991118 chr18:47991118:C:T rs982609383 C T C EBF1_EBF_1 -8 0 + 0 0 . chr18 47996427 47996428 chr18:47996428:G:A rs115406308 G A G EBF1_EBF_1 20 0 - 0 0 . chr18 47996442 47996443 chr18:47996443:G:A rs12959824 G A G EBF1_EBF_1 5 1 - 4.330656552141172 -0.9911306633994982 ATCCCCGGGAGAGC chr18 48003825 48003826 chr18:48003826:C:T rs142388205 C T C EBF1_EBF_1 -16 0 - 0 0 . chr18 48021784 48021785 chr18:48021785:C:T rs146073929 C T C EBF1_EBF_1 21 0 - 0 0 . chr18 48048020 48048021 chr18:48048021:G:C rs4340387 G C C EBF1_EBF_1 4 1 - 6.908138827396964 1.2823737262474206 CTTCCCAGGGGGCA chr18 48049915 48049916 chr18:48049916:C:T rs116336675 C T C EBF1_EBF_1 30 0 + 0 0 . chr18 48052194 48052195 chr18:48052195:T:C rs7240386 T C T EBF1_EBF_1 20 0 - 0 0 . chr18 48052563 48052564 chr18:48052564:A:C rs7243521 A C A EBF1_EBF_1 -8 0 - 0 0 . chr18 48059881 48059882 chr18:48059882:C:T rs142034954 C T C EBF1_EBF_1 26 0 + 0 0 . chr18 48064816 48064817 chr18:48064817:T:C rs6507798 T C T EBF1_EBF_1 -3 0 - 0 0 . chr18 48064823 48064824 chr18:48064824:C:T rs3935041 C T T EBF1_EBF_1 -10 0 - 0 0 . chr18 48067620 48067621 chr18:48067621:C:T rs7504587 C T c EBF1_EBF_1 18 0 - 0 0 . chr18 48072579 48072580 chr18:48072580:G:A rs62086128 G A G EBF1_EBF_1 7 1 + 3.395159731286966 4.707618136575792 TCTCCCCGGGGCGC chr18 48076784 48076785 chr18:48076785:G:A rs72920004 G A G EBF1_EBF_1 32 0 + 0 0 . chr18 48076849 48076850 chr18:48076850:A:G rs4939719 A G A EBF1_EBF_1 -17 0 - 0 0 . chr18 48080848 48080849 chr18:48080849:T:A rs11660521 T A T EBF1_EBF_1 25 0 + 0 0 . chr18 48100780 48100781 chr18:48100781:G:C rs73956403 G C G EBF1_EBF_1 20 0 + 0 0 . chr18 48108073 48108074 chr18:48108074:G:C rs4368221 G C G EBF1_EBF_1 -6 0 + 0 0 . chr18 48109211 48109212 chr18:48109212:C:T rs11663777 C T C EBF1_EBF_1 -7 0 - 0 0 . chr18 48123517 48123518 chr18:48123518:T:A chr18:48123518:T:A T A T EBF1_EBF_1 7 1 - 3.6915676369950354 3.2152933969074775 ACCCCACAGGGACC chr18 48139225 48139226 chr18:48139226:G:T rs28658571 G T G EBF1_EBF_1 -17 0 - 0 0 . chr18 48156326 48156327 chr18:48156327:T:A rs74362596 T A T EBF1_EBF_1 6 1 - 5.110643944945343 5.050327706005823 AGCTCCATGGGACT chr18 48156334 48156335 chr18:48156335:C:T rs11663169 C T C EBF1_EBF_1 -2 0 - 0 0 . chr18 48171092 48171093 chr18:48171093:T:C rs6507822 T C C EBF1_EBF_1 19 0 - 0 0 . chr18 48191292 48191293 chr18:48191293:G:A rs1893835 G A A EBF1_EBF_1 16 0 + 0 0 . chr18 48200192 48200193 chr18:48200193:G:A rs8087724 G A g EBF1_EBF_1 32 0 + 0 0 . chr18 48216531 48216532 chr18:48216532:G:A rs780829231 G A G EBF1_EBF_1 -1 0 - 0 0 . chr18 48217674 48217675 chr18:48217675:G:A rs55795746 G A G EBF1_EBF_1 24 0 + 0 0 . chr18 48220397 48220398 chr18:48220398:C:G rs79628013 C G C EBF1_EBF_1 30 0 - 0 0 . chr18 48223121 48223122 chr18:48223122:G:A rs11877525 G A G EBF1_EBF_1 12 1 - 5.712372176394582 4.686105605843732 CTTCCCTAAGGACG chr18 48290678 48290679 chr18:48290679:G:A rs534759141 G A G EBF1_EBF_1 1 1 + 6.372043548590543 6.11134471666924 CGTCCCCTGGGCCT chr18 48290703 48290704 chr18:48290704:T:C rs28634965 T C C EBF1_EBF_1 26 0 + 0 0 . chr18 48292493 48292494 chr18:48292494:C:G rs116817551 C G G EBF1_EBF_1 24 0 - 0 0 . chr18 48294102 48294103 chr18:48294103:C:T rs79035950 C T C EBF1_EBF_1 -17 0 + 0 0 . chr18 48297365 48297366 chr18:48297366:T:C rs55728100 T C T EBF1_EBF_1 26 0 - 0 0 . chr18 48297392 48297393 chr18:48297393:C:T rs1046213963 C T C EBF1_EBF_1 -1 0 - 0 0 . chr18 48300182 48300183 chr18:48300183:A:G rs78812590 A G A EBF1_EBF_1 23 0 - 0 0 . chr18 48318292 48318293 chr18:48318293:A:G rs72911517 A G G EBF1_EBF_1 8 1 + 6.733545870788731 10.959835959674992 CCTCCCATAGGACT chr18 48339225 48339226 chr18:48339226:A:G rs11082671 A G G EBF1_EBF_1 -5 0 - 0 0 . chr18 48348888 48348889 chr18:48348889:T:C rs59074259 T C T EBF1_EBF_1 6 1 - 9.160443221851645 6.99364879057717 CTCCCCAGGGGAAT chr18 48348899 48348900 chr18:48348900:G:A rs57080481 G A G EBF1_EBF_1 -5 0 - 0 0 . chr18 48350810 48350811 chr18:48350811:A:T rs10401105 A T T EBF1_EBF_1 -16 0 + 0 0 . chr18 48356250 48356251 chr18:48356251:A:G rs12326831 A G A EBF1_EBF_1 29 0 + 0 0 . chr18 48376613 48376614 chr18:48376614:C:T rs115346917 C T C EBF1_EBF_1 -4 0 + 0 0 . chr18 48419029 48419030 chr18:48419030:G:A rs4939774 G A G EBF1_EBF_1 8 1 + 6.025737538375 1.799447449488739 CCTCCCAGGGGTCT chr18 48442769 48442770 chr18:48442770:G:A rs79944421 G A G EBF1_EBF_1 -13 0 + 0 0 . chr18 48445556 48445557 chr18:48445557:T:G rs4940378 T G G EBF1_EBF_1 11 1 - 6.551429695960384 2.1354679981079947 GCCCCCAAGGGATG chr18 48475852 48475853 chr18:48475853:C:T rs73439362 C T C EBF1_EBF_1 4 1 + 4.9725688676586035 0.6031819227136799 TGTCCCCAGAGGTT chr18 48546150 48546151 chr18:48546151:A:G rs34009562 A G A EBF1_EBF_1 -2 0 + 0 0 . chr18 48546183 48546184 chr18:48546184:A:G rs115539308 A G A EBF1_EBF_1 31 0 + 0 0 . chr18 48552591 48552592 chr18:48552592:G:A rs535075197 G A G EBF1_EBF_1 -12 0 - 0 0 . chr18 48563226 48563227 chr18:48563227:T:G rs9966542 T G T EBF1_EBF_1 14 0 - 0 0 . chr18 48563227 48563228 chr18:48563228:C:A rs9954261 C A C EBF1_EBF_1 13 1 - 4.878863376697934 7.211428512638867 ATCCCCAAGGGTGG chr18 48563250 48563251 chr18:48563251:A:G rs28589376 A G A EBF1_EBF_1 -10 0 - 0 0 . chr18 48590863 48590864 chr18:48590864:C:A rs111757627 C A C EBF1_EBF_1 -20 0 + 0 0 . chr18 48590904 48590905 chr18:48590905:A:C chr18:48590905:A:C A C A EBF1_EBF_1 21 0 + 0 0 . chr18 48594885 48594886 chr18:48594886:C:T rs72925534 C T C EBF1_EBF_1 11 1 + 6.874164432076139 7.192211873829803 AGTCCCTGGGGCCA chr18 48606573 48606574 chr18:48606574:A:G rs11660262 A G A EBF1_EBF_1 16 0 + 0 0 . chr18 48630291 48630292 chr18:48630292:G:A rs172500 G A A EBF1_EBF_1 32 0 + 0 0 . chr18 48636038 48636039 chr18:48636039:C:T rs299716 C T C EBF1_EBF_1 -3 0 - 0 0 . chr18 48655757 48655758 chr18:48655758:C:T rs78755066 C T C EBF1_EBF_1 23 0 - 0 0 . chr18 48658895 48658896 chr18:48658896:G:A rs1473097 G A G EBF1_EBF_1 -14 0 - 0 0 . chr18 48658945 48658946 chr18:48658946:G:A rs145288606 G A g EBF1_EBF_1 -9 0 + 0 0 . chr18 48661497 48661498 chr18:48661498:G:A rs11082693 G A G EBF1_EBF_1 27 0 - 0 0 . chr18 48661965 48661966 chr18:48661966:C:T rs299748 C T C EBF1_EBF_1 8 1 - 4.059049246527003 -0.16724084235925807 GACCCCCTGGGTCC chr18 48666584 48666585 chr18:48666585:G:A rs60209168 G A G EBF1_EBF_1 -3 0 + 0 0 . chr18 48667825 48667826 chr18:48667826:A:G rs74509958 A G A EBF1_EBF_1 6 1 - 6.1560714653783215 5.854785336516564 ACTCCCTGGGAACC chr18 48675706 48675707 chr18:48675707:C:T rs11663682 C T C EBF1_EBF_1 -12 0 + 0 0 . chr18 48677100 48677101 chr18:48677101:T:C rs544673323 T C T EBF1_EBF_1 6 1 + 4.8594086110093695 4.558122482147612 GTTCCCTAGGGCTG chr18 48697406 48697407 chr18:48697407:A:C rs74863930 A C A EBF1_EBF_1 7 1 - 7.2609210112382945 6.424736846037026 GTTCCCATGAGAAG chr18 48720276 48720277 chr18:48720277:G:A rs60240847 G A g EBF1_EBF_1 -12 0 - 0 0 . chr18 48729521 48729522 chr18:48729522:A:G rs77940906 A G A EBF1_EBF_1 -15 0 + 0 0 . chr18 48729529 48729530 chr18:48729530:A:T rs149762104 A T T EBF1_EBF_1 -7 0 + 0 0 . chr18 48745898 48745899 chr18:48745899:C:G rs8088255 C G G EBF1_EBF_1 21 0 - 0 0 . chr18 48748905 48748906 chr18:48748906:G:A rs34300802 G A G EBF1_EBF_1 -9 0 + 0 0 . chr18 48753056 48753057 chr18:48753057:A:G rs561704346 A G A EBF1_EBF_1 29 0 - 0 0 . chr18 48766601 48766602 chr18:48766602:T:C rs8087872 T C C EBF1_EBF_1 -10 0 + 0 0 . chr18 48772000 48772001 chr18:48772001:C:T chr18:48772001:C:T C T C EBF1_EBF_1 17 0 - 0 0 . chr18 48777613 48777614 chr18:48777614:A:C rs7242544 A C A EBF1_EBF_1 10 1 + 6.677759456491089 5.104985528428581 ATTCCCTGGGAACA chr18 48777630 48777631 chr18:48777631:G:C rs147935402 G C G EBF1_EBF_1 27 0 + 0 0 . chr18 48779764 48779765 chr18:48779765:G:C rs72913718 G C G EBF1_EBF_1 12 1 + 5.936527848608893 7.325163792287045 CCTCCCAAGGGGGA chr18 48781381 48781382 chr18:48781382:C:G rs543160651 C G C EBF1_EBF_1 27 0 - 0 0 . chr18 48781389 48781390 chr18:48781390:T:C rs80135929 T C T EBF1_EBF_1 19 0 - 0 0 . chr18 48788121 48788122 chr18:48788122:G:A rs78136841 G A G EBF1_EBF_1 18 0 + 0 0 . chr18 48789048 48789049 chr18:48789049:C:G rs8084719 C G G EBF1_EBF_1 -13 0 + 0 0 . chr18 48798737 48798738 chr18:48798738:T:G rs4939813 T G G EBF1_EBF_1 -6 0 - 0 0 . chr18 48820107 48820108 chr18:48820108:G:T rs12960565 G T G EBF1_EBF_1 18 0 + 0 0 . chr18 48820833 48820834 chr18:48820834:C:T rs4547405 C T T EBF1_EBF_1 5 1 + 7.691467784085846 2.369680568545176 AAACCCAAGGGATA chr18 48820838 48820839 chr18:48820839:G:A rs149117060 G A G EBF1_EBF_1 10 1 + 7.691467784085846 2.3734393248188796 AAACCCAAGGGATA chr18 48820843 48820844 chr18:48820844:A:T rs147941109 A T A EBF1_EBF_1 15 0 + 0 0 . chr18 48820861 48820862 chr18:48820862:C:T rs571095696 C T C EBF1_EBF_1 33 0 + 0 0 . chr18 48833649 48833650 chr18:48833650:C:G rs8096729 C G C EBF1_EBF_1 2 1 + 4.978657698569742 0.11915541981142404 TGCCCCAAAGGAAC chr18 48838771 48838772 chr18:48838772:A:T rs56214252 A T A EBF1_EBF_1 11 1 + 5.567741688905164 1.4698274328064385 TTTGCCAAGGGACA chr18 48843586 48843587 chr18:48843587:A:G rs8084999 A G A EBF1_EBF_1 -20 0 + 0 0 . chr18 48845621 48845622 chr18:48845622:C:T rs4939821 C T T EBF1_EBF_1 -10 0 + 0 0 . chr18 48870803 48870804 chr18:48870804:G:A rs116868866 G A G EBF1_EBF_1 -7 0 - 0 0 . chr18 48870807 48870808 chr18:48870808:C:T rs146755665 C T C EBF1_EBF_1 -11 0 - 0 0 . chr18 48887945 48887946 chr18:48887946:G:A rs28394278 G A G EBF1_EBF_1 -9 0 - 0 0 . chr18 48889636 48889637 chr18:48889637:C:A rs1013624161 C A C EBF1_EBF_1 -18 0 - 0 0 . chr18 48903511 48903512 chr18:48903512:A:C rs1003170976 A C A EBF1_EBF_1 2 1 - 4.5897438785474485 -2.158581811653021 CTTCCCTAGTGACC chr18 48903515 48903516 chr18:48903516:T:C rs2337097 T C T EBF1_EBF_1 -2 0 - 0 0 . chr18 48932661 48932662 chr18:48932662:T:C rs4464148 T C C EBF1_EBF_1 -18 0 - 0 0 . chr18 48933644 48933645 chr18:48933645:C:G rs111307679 C G C EBF1_EBF_1 -9 0 + 0 0 . chr18 48936581 48936582 chr18:48936582:T:C rs2337104 T C C EBF1_EBF_1 -15 0 + 0 0 . chr18 48943764 48943765 chr18:48943765:C:T rs7227684 C T C EBF1_EBF_1 21 0 - 0 0 . chr18 48943772 48943773 chr18:48943773:T:C rs7228847 T C T EBF1_EBF_1 13 1 - 7.450060051495605 6.335734479426166 ATTCCCATGGGCTA chr18 48950735 48950736 chr18:48950736:C:G rs7236774 C G c EBF1_EBF_1 22 0 - 0 0 . chr18 48960730 48960731 chr18:48960731:G:A rs9966387 G A A EBF1_EBF_1 -4 0 + 0 0 . chr18 48963994 48963995 chr18:48963995:C:T rs72919622 C T C EBF1_EBF_1 7 1 - 4.6873990794112625 5.9998574847000885 CGCCCCAGGGGGCC chr18 48964000 48964001 chr18:48964001:C:T rs35083213 C T C EBF1_EBF_1 1 1 - 4.6873990794112625 4.426700247489961 CGCCCCAGGGGGCC chr18 48972176 48972177 chr18:48972177:G:A rs7236651 G A G EBF1_EBF_1 -17 0 + 0 0 . chr18 48996369 48996370 chr18:48996370:A:G rs7233311 A G A EBF1_EBF_1 8 1 + 5.363596920995111 9.589887009881371 ATCCCCACAGGAAC chr18 49014929 49014930 chr18:49014930:G:A rs62102264 G A G EBF1_EBF_1 16 0 - 0 0 . chr18 49017048 49017049 chr18:49017049:G:C rs11663397 G C G EBF1_EBF_1 -6 0 + 0 0 . chr18 49026894 49026895 chr18:49026895:G:A rs357906 G A A EBF1_EBF_1 -11 0 - 0 0 . chr18 49094375 49094376 chr18:49094376:G:A rs114057396 G A G EBF1_EBF_1 -1 0 + 0 0 . chr18 49206811 49206812 chr18:49206812:G:A rs140948080 G A G EBF1_EBF_1 7 1 + 4.882124518737039 6.194582924025865 ACCCCCTGGAGGCT chr18 49460774 49460775 chr18:49460775:C:A rs141426296 C A C EBF1_EBF_1 -19 0 - 0 0 . chr18 49510937 49510938 chr18:49510938:C:T rs12964672 C T C EBF1_EBF_1 -17 0 - 0 0 . chr18 49569304 49569305 chr18:49569305:G:T rs41386050 G T G EBF1_EBF_1 12 1 - 8.069310503219965 8.099491901641292 ACTCCCCAGGGCCC chr18 49594945 49594946 chr18:49594946:G:T rs17798991 G T T EBF1_EBF_1 33 0 + 0 0 . chr18 49615872 49615873 chr18:49615873:G:A rs17726130 G A G EBF1_EBF_1 21 0 - 0 0 . chr18 49616441 49616442 chr18:49616442:G:A rs79290065 G A G EBF1_EBF_1 -10 0 + 0 0 . chr18 49621921 49621922 chr18:49621922:A:G rs7229118 A G G EBF1_EBF_1 -20 0 - 0 0 . chr18 49623000 49623001 chr18:49623001:G:A rs7235005 G A A EBF1_EBF_1 8 1 + 5.207864085461849 0.9815739965755883 TTCCCCCGGAGAAA chr18 49625774 49625775 chr18:49625775:G:A chr18:49625775:G:A G A A EBF1_EBF_1 -1 0 + 0 0 . chr18 49625774 49625775 chr18:49625775:G:C rs28582715 G C A EBF1_EBF_1 -1 0 + 0 0 . chr18 49628572 49628573 chr18:49628573:A:G rs948929 A G A EBF1_EBF_1 20 0 - 0 0 . chr18 49638722 49638723 chr18:49638723:A:T rs34291970 A T a EBF1_EBF_1 11 1 + 5.812030601563634 1.7141163454649087 GTCCCCAGGAGATT chr18 49646111 49646112 chr18:49646112:T:C rs59690220 T C t EBF1_EBF_1 -5 0 - 0 0 . chr18 49646247 49646248 chr18:49646248:T:C rs1306225948 T C t EBF1_EBF_1 -2 0 - 0 0 . chr18 49651146 49651147 chr18:49651147:G:A rs62100627 G A G EBF1_EBF_1 -20 0 + 0 0 . chr18 49651170 49651171 chr18:49651171:C:G rs948940 C G C EBF1_EBF_1 4 1 + 4.230524161539461 -1.395240939610084 TGCCCCTGGGGCCT chr18 49660952 49660953 chr18:49660953:T:C rs62101765 T C T EBF1_EBF_1 31 0 + 0 0 . chr18 49664712 49664713 chr18:49664713:C:T rs115124326 C T C EBF1_EBF_1 8 1 - 5.234236997858236 1.007946908971975 CTTCCCCAGAGGAA chr18 49691803 49691804 chr18:49691804:T:C rs57458322 T C T EBF1_EBF_1 7 1 + 3.438183532839447 1.6206787865568244 GCCCCCCTGGGCCA chr18 49693608 49693609 chr18:49693609:A:G rs948942 A G A EBF1_EBF_1 11 1 + 5.832653103156712 2.939946259552701 AGCCCTCTGGGACT chr18 49701305 49701306 chr18:49701306:T:C rs111555838 T C T EBF1_EBF_1 18 0 - 0 0 . chr18 49723687 49723688 chr18:49723688:G:A rs7238176 G A A EBF1_EBF_1 26 0 - 0 0 . chr18 49723706 49723707 chr18:49723707:C:T rs77691166 C T c EBF1_EBF_1 7 1 - 7.164850477970803 8.477308883259628 AACCCCCGGGGGCT chr18 49732324 49732325 chr18:49732325:A:G rs4939890 A G G EBF1_EBF_1 18 0 - 0 0 . chr18 49744603 49744604 chr18:49744604:T:A rs769651422 T A T EBF1_EBF_1 -11 0 + 0 0 . chr18 49744604 49744605 chr18:49744605:T:C rs3883366 T C C EBF1_EBF_1 -10 0 + 0 0 . chr18 49744638 49744639 chr18:49744639:G:A rs3892214 G A A EBF1_EBF_1 24 0 + 0 0 . chr18 49751386 49751387 chr18:49751387:A:G rs7241641 A G G EBF1_EBF_1 7 1 + 4.364084672742451 3.051626267453626 CCTCCTCAGGGAGT chr18 49754726 49754727 chr18:49754727:A:G rs7233549 A G G EBF1_EBF_1 -13 0 + 0 0 . chr18 49760207 49760208 chr18:49760208:G:C rs60401036 G C G EBF1_EBF_1 -15 0 - 0 0 . chr18 49779166 49779167 chr18:49779167:C:T rs2850549 C T C EBF1_EBF_1 28 0 + 0 0 . chr18 49779169 49779170 chr18:49779170:G:C rs2850548 G C C EBF1_EBF_1 31 0 + 0 0 . chr18 49846080 49846081 chr18:49846081:A:G rs755150654 A G A EBF1_EBF_1 -3 0 - 0 0 . chr18 49846351 49846352 chr18:49846352:T:C rs619905 T C T EBF1_EBF_1 -7 0 - 0 0 . chr18 49853524 49853525 chr18:49853525:G:A rs145598498 G A G EBF1_EBF_1 -9 0 - 0 0 . chr18 49858017 49858018 chr18:49858018:G:C rs543468 G C C EBF1_EBF_1 -12 0 + 0 0 . chr18 49877638 49877639 chr18:49877639:A:T rs4939907 A T A EBF1_EBF_1 16 0 - 0 0 . chr18 49890007 49890008 chr18:49890008:A:G rs1787307 A G G EBF1_EBF_1 -3 0 - 0 0 . chr18 49893440 49893441 chr18:49893441:T:A rs1787561 T A A EBF1_EBF_1 -18 0 - 0 0 . chr18 49894335 49894336 chr18:49894336:G:C rs1787562 G C C EBF1_EBF_1 12 1 - 5.227825032759917 3.839189089081765 GGCCCCTGGAGACC chr18 49912289 49912290 chr18:49912290:C:T rs2276167 C T C EBF1_EBF_1 29 0 - 0 0 . chr18 49931318 49931319 chr18:49931319:C:T rs77295790 C T C EBF1_EBF_1 27 0 + 0 0 . chr18 49931857 49931858 chr18:49931858:C:T rs6507957 C T T EBF1_EBF_1 -11 0 + 0 0 . chr18 49932785 49932786 chr18:49932786:G:T rs1626800 G T T EBF1_EBF_1 -4 0 - 0 0 . chr18 49945091 49945092 chr18:49945092:G:A rs1787539 G A G EBF1_EBF_1 -13 0 + 0 0 . chr18 49945114 49945115 chr18:49945115:G:A rs1787591 G A G EBF1_EBF_1 10 1 + 6.249624369788358 0.9315959105213916 CCTCCCACGGGGCT chr18 49945133 49945134 chr18:49945134:A:G rs1787294 A G G EBF1_EBF_1 29 0 + 0 0 . chr18 49967599 49967600 chr18:49967600:A:G rs8089039 A G G EBF1_EBF_1 -10 0 + 0 0 . chr18 49988962 49988963 chr18:49988963:A:G rs57559574 A G G EBF1_EBF_1 -14 0 + 0 0 . chr18 49988967 49988968 chr18:49988968:C:T rs145958878 C T C EBF1_EBF_1 -9 0 + 0 0 . chr18 50062301 50062302 chr18:50062302:A:C rs2852103 A C A EBF1_EBF_1 13 1 - 7.956315259302104 5.623750123361171 ATTCCCAGGGAACT chr18 50062316 50062317 chr18:50062317:G:C rs2852102 G C G EBF1_EBF_1 -2 0 - 0 0 . chr18 50070460 50070461 chr18:50070461:T:C rs73446629 T C C EBF1_EBF_1 29 0 + 0 0 . chr18 50080202 50080203 chr18:50080203:T:C rs16951464 T C T EBF1_EBF_1 20 0 - 0 0 . chr18 50093996 50093997 chr18:50093997:C:T rs8086287 C T C EBF1_EBF_1 1 1 - 4.217523522242045 3.956824690320744 AGCCCCAAGGGCTG chr18 50194143 50194144 chr18:50194144:T:C rs72921772 T C T EBF1_EBF_1 -8 0 - 0 0 . chr18 50226681 50226682 chr18:50226682:C:T rs4939622 C T C EBF1_EBF_1 12 1 + 4.73872383537531 3.7124572648244594 CTGCCCAAGGGACC chr18 50334727 50334728 chr18:50334728:G:C rs11872493 G C G EBF1_EBF_1 -12 0 + 0 0 . chr18 50392268 50392269 chr18:50392269:A:C rs10460085 A C A EBF1_EBF_1 -9 0 - 0 0 . chr18 50446505 50446506 chr18:50446506:C:T rs111889878 C T C EBF1_EBF_1 16 0 - 0 0 . chr18 50523850 50523851 chr18:50523851:C:A rs2957997 C A C EBF1_EBF_1 3 1 + 6.04852680947399 -0.8576472199918177 GATCCCCAGGAACT chr18 50549494 50549495 chr18:50549495:C:T rs2926294 C T c EBF1_EBF_1 -18 0 - 0 0 . chr18 50560572 50560573 chr18:50560573:C:T rs11660068 C T C EBF1_EBF_1 -14 0 + 0 0 . chr18 50627834 50627835 chr18:50627835:A:G rs9960277 A G G EBF1_EBF_1 17 0 - 0 0 . chr18 50662917 50662918 chr18:50662918:G:T rs3813087 G T G EBF1_EBF_1 -6 0 - 0 0 . chr18 50676491 50676492 chr18:50676492:T:C rs79493430 T C T EBF1_EBF_1 -14 0 - 0 0 . chr18 50677943 50677944 chr18:50677944:G:A rs59433903 G A G EBF1_EBF_1 30 0 - 0 0 . chr18 50696403 50696404 chr18:50696404:C:A rs58678161 C A C EBF1_EBF_1 13 1 + 4.67840759643579 4.304662147149814 CTGCCCTAGGGACC chr18 50706461 50706462 chr18:50706462:T:G rs2879288 T G - EBF1_EBF_1 -13 0 + 0 0 . chr18 50709363 50709364 chr18:50709364:G:A rs55845409 G A G EBF1_EBF_1 24 0 + 0 0 . chr18 50716067 50716068 chr18:50716068:C:T rs373945150 C T C EBF1_EBF_1 3 1 + 9.839419456625555 3.434020715072239 ATTCCCCAGGGCAT chr18 50717390 50717391 chr18:50717391:T:G rs3794897 T G T EBF1_EBF_1 -13 0 - 0 0 . chr18 50737240 50737241 chr18:50737241:C:G rs57981202 C G C EBF1_EBF_1 -4 0 + 0 0 . chr18 50752472 50752473 chr18:50752473:A:T rs2019250 A T A EBF1_EBF_1 18 0 - 0 0 . chr18 50767429 50767430 chr18:50767430:T:G chr18:50767430:T:G T G t EBF1_EBF_1 0 1 + 4.771652593480419 4.852425146881608 TCTCCCAGGGGCCA chr18 50787089 50787090 chr18:50787090:C:G rs2586734 C G C EBF1_EBF_1 -20 0 + 0 0 . chr18 50839701 50839702 chr18:50839702:A:G rs7227727 A G A EBF1_EBF_1 16 0 + 0 0 . chr18 50839711 50839712 chr18:50839712:C:G rs7227870 C G C EBF1_EBF_1 26 0 + 0 0 . chr18 50944336 50944337 chr18:50944337:G:T rs16952711 G T G EBF1_EBF_1 -1 0 + 0 0 . chr18 51029971 51029972 chr18:51029972:C:T rs1055021921 C T c EBF1_EBF_1 -11 0 + 0 0 . chr18 51152290 51152291 chr18:51152291:G:A rs374734105 G A G EBF1_EBF_1 2 1 - 4.6437017127335425 6.532525124175693 ATCGCCCTGGGAGT chr18 51235350 51235351 chr18:51235351:A:C rs969194090 A C A EBF1_EBF_1 -17 0 - 0 0 . chr18 51289773 51289774 chr18:51289774:G:T rs4245236 G T G EBF1_EBF_1 -5 0 + 0 0 . chr18 51418422 51418423 chr18:51418423:G:A rs73960413 G A G EBF1_EBF_1 31 0 + 0 0 . chr18 51447906 51447907 chr18:51447907:G:A rs573830657 G A G EBF1_EBF_1 -9 0 - 0 0 . chr18 51475537 51475538 chr18:51475538:T:C rs111466861 T C C EBF1_EBF_1 17 0 + 0 0 . chr18 51563360 51563361 chr18:51563361:T:G rs997422088 T G T EBF1_EBF_1 13 1 - 4.709403585740384 5.08314903502636 CGTCCCAGGGGCAA chr18 51563466 51563467 chr18:51563467:C:T rs114542544 C T C EBF1_EBF_1 28 0 + 0 0 . chr18 51655585 51655586 chr18:51655586:A:G rs191366608 A G A EBF1_EBF_1 15 0 + 0 0 . chr18 51712676 51712677 chr18:51712677:T:C rs323084 T C C EBF1_EBF_1 16 0 - 0 0 . chr18 51918484 51918485 chr18:51918485:G:A rs2928941 G A G EBF1_EBF_1 18 0 + 0 0 . chr18 51921940 51921941 chr18:51921941:C:T rs4404168 C T C EBF1_EBF_1 -3 0 + 0 0 . chr18 51991914 51991915 chr18:51991915:A:G rs12961097 A G A EBF1_EBF_1 -7 0 - 0 0 . chr18 52219099 52219100 chr18:52219100:T:A rs1445100 T A T EBF1_EBF_1 2 1 + 7.325898640046233 3.6414310524473583 TTTCCCAAGGAAAT chr18 52344969 52344970 chr18:52344970:G:C rs1025910 G C G EBF1_EBF_1 -12 0 + 0 0 . chr18 52349427 52349428 chr18:52349428:C:T rs1367867 C T T EBF1_EBF_1 -12 0 - 0 0 . chr18 52537506 52537507 chr18:52537507:C:T rs1144071 C T T EBF1_EBF_1 27 0 - 0 0 . chr18 52702028 52702029 chr18:52702029:A:G rs62083453 A G A EBF1_EBF_1 17 0 - 0 0 . chr18 52830224 52830225 chr18:52830225:C:T rs574800403 C T C EBF1_EBF_1 29 0 + 0 0 . chr18 52870264 52870265 chr18:52870265:C:T rs79959500 C T C EBF1_EBF_1 8 1 - 8.664272171061299 4.437982082175039 ACCCCCACGGGACC chr18 52992196 52992197 chr18:52992197:G:A rs58735160 G A g EBF1_EBF_1 25 0 + 0 0 . chr18 53209047 53209048 chr18:53209048:C:T rs62100765 C T C EBF1_EBF_1 -8 0 - 0 0 . chr18 53285801 53285802 chr18:53285802:A:G rs6508216 A G G EBF1_EBF_1 23 0 - 0 0 . chr18 53304602 53304603 chr18:53304603:C:A rs56291455 C A C EBF1_EBF_1 27 0 + 0 0 . chr18 53327353 53327354 chr18:53327354:T:C rs112916325 T C T EBF1_EBF_1 -4 0 - 0 0 . chr18 53626294 53626295 chr18:53626295:T:C rs188684452 T C T EBF1_EBF_1 -18 0 + 0 0 . chr18 53665663 53665664 chr18:53665664:C:T rs62097663 C T c EBF1_EBF_1 15 0 + 0 0 . chr18 53690454 53690455 chr18:53690455:T:C rs34316644 T C T EBF1_EBF_1 23 0 - 0 0 . chr18 53699123 53699124 chr18:53699124:G:A rs572201794 G A G EBF1_EBF_1 6 1 - 10.144434747002437 10.445720875864195 ATTCCCCAGGGGAA chr18 53717364 53717365 chr18:53717365:G:A rs12961844 G A G EBF1_EBF_1 -13 0 - 0 0 . chr18 53756553 53756554 chr18:53756554:A:C rs8094812 A C A EBF1_EBF_1 -8 0 + 0 0 . chr18 53789890 53789891 chr18:53789891:G:A rs77753475 G A G EBF1_EBF_1 -12 0 - 0 0 . chr18 53789898 53789899 chr18:53789899:C:A rs9958147 C A C EBF1_EBF_1 -20 0 - 0 0 . chr18 53840275 53840276 chr18:53840276:C:G rs4940293 C G C EBF1_EBF_1 7 1 - 4.849794387885605 3.868473806804249 CCCCCCGGGGGACA chr18 53943869 53943870 chr18:53943870:C:T rs72920385 C T T EBF1_EBF_1 29 0 - 0 0 . chr18 54128447 54128448 chr18:54128448:T:C rs1145301 T C C EBF1_EBF_1 13 1 + 5.378048505002609 4.533554390417091 AGTCCCCAGAGTGT chr18 54241745 54241746 chr18:54241746:A:G rs34244858 A G A EBF1_EBF_1 17 0 - 0 0 . chr18 54269476 54269477 chr18:54269477:G:T rs3730668 G T G EBF1_EBF_1 -12 0 + 0 0 . chr18 54269484 54269485 chr18:54269485:C:G rs372491675 C G C EBF1_EBF_1 -4 0 + 0 0 . chr18 54269496 54269497 chr18:54269497:G:A rs1451388830 G A G EBF1_EBF_1 8 1 + 7.741537676630407 3.5152475877441454 TTTCCCTGGAGACC chr18 54532064 54532065 chr18:54532065:G:A rs12960472 G A G EBF1_EBF_1 17 0 + 0 0 . chr18 54763779 54763780 chr18:54763780:A:T rs1833305 A T A EBF1_EBF_1 24 0 + 0 0 . chr18 54882689 54882690 chr18:54882690:G:C rs1978356 G C G EBF1_EBF_1 5 1 - 5.518979937602242 -1.385901215032926 GCTCCCCTGGGCTT chr18 54906585 54906586 chr18:54906586:A:G rs72926897 A G a EBF1_EBF_1 6 1 + 5.347004718114346 3.1802102868398707 ATCCCAATGGGAAT chr18 54959482 54959483 chr18:54959483:G:A rs1045816965 G A G EBF1_EBF_1 32 0 - 0 0 . chr18 54959518 54959519 chr18:54959519:C:G rs138410233 C G C EBF1_EBF_1 -4 0 - 0 0 . chr18 55078629 55078630 chr18:55078630:C:G rs12604779 C G C EBF1_EBF_1 32 0 - 0 0 . chr18 55215418 55215419 chr18:55215419:G:A rs7243387 G A G EBF1_EBF_1 6 1 - 5.32786410808061 5.629150236942368 CTTGCCCAGGGAAC chr18 55234375 55234376 chr18:55234376:A:G rs41483647 A G G EBF1_EBF_1 17 0 + 0 0 . chr18 55338302 55338303 chr18:55338303:C:G rs77115329 C G G EBF1_EBF_1 16 0 + 0 0 . chr18 55402918 55402919 chr18:55402919:C:T chr18:55402919:C:T C T C EBF1_EBF_1 27 0 + 0 0 . chr18 55417895 55417896 chr18:55417896:C:A rs72926972 C A C EBF1_EBF_1 -7 0 - 0 0 . chr18 55444690 55444691 chr18:55444691:C:T rs4801156 C T T EBF1_EBF_1 -8 0 - 0 0 . chr18 55836678 55836679 chr18:55836679:G:A rs532000440 G A G EBF1_EBF_1 -17 0 - 0 0 . chr18 55911644 55911645 chr18:55911645:C:T rs72936313 C T C EBF1_EBF_1 3 1 - 7.417511393677703 6.339101812327113 ACTGCCATGGGAAT chr18 55935056 55935057 chr18:55935057:T:G rs77772230 T G T EBF1_EBF_1 -15 0 + 0 0 . chr18 55953367 55953368 chr18:55953368:C:T rs35680122 C T c EBF1_EBF_1 10 1 - 8.007796689012476 2.6897682297455106 CTTCCCGGGGGACC chr18 56026812 56026813 chr18:56026813:C:T rs1364418 C T C EBF1_EBF_1 -6 0 - 0 0 . chr18 56100298 56100299 chr18:56100299:C:T rs17090067 C T C EBF1_EBF_1 3 1 + 7.779483569407942 1.3740848278546267 ATTCCCATGGGTGC chr18 56109866 56109867 chr18:56109867:T:A rs1045249507 T A T EBF1_EBF_1 -19 0 + 0 0 . chr18 56148224 56148225 chr18:56148225:C:G rs17792111 C G c EBF1_EBF_1 -12 0 - 0 0 . chr18 56170853 56170854 chr18:56170854:T:G rs1792714 T G G EBF1_EBF_1 15 0 + 0 0 . chr18 56248946 56248947 chr18:56248947:C:T chr18:56248947:C:T C T C EBF1_EBF_1 16 0 - 0 0 . chr18 56252815 56252816 chr18:56252816:C:T rs564379494 C T C EBF1_EBF_1 3 1 + 8.005515473542655 1.6001167319893366 AATCCCAAAGGAGT chr18 56402885 56402886 chr18:56402886:G:C rs62102778 G C G EBF1_EBF_1 -10 0 + 0 0 . chr18 56458960 56458961 chr18:56458961:T:A rs118033520 T A A EBF1_EBF_1 15 0 + 0 0 . chr18 56473848 56473849 chr18:56473849:G:A rs427414 G A G EBF1_EBF_1 8 1 + 4.054954807039825 -0.17133528184643665 CCTCCAATGGGACT chr18 56638582 56638583 chr18:56638583:G:A rs367726287 G A G EBF1_EBF_1 3 1 - 5.444564498497856 -0.9608342430554601 AGTCCCGGGAGAAG chr18 56638605 56638606 chr18:56638606:A:C chr18:56638606:A:C A C A EBF1_EBF_1 -20 0 - 0 0 . chr18 57008125 57008126 chr18:57008126:G:T rs17830589 G T G EBF1_EBF_1 -7 0 + 0 0 . chr18 57008152 57008153 chr18:57008153:G:C rs17684074 G C C EBF1_EBF_1 20 0 + 0 0 . chr18 57027318 57027319 chr18:57027319:C:T rs3745032 C T C EBF1_EBF_1 28 0 + 0 0 . chr18 57029882 57029883 chr18:57029883:T:C rs1787476 T C C EBF1_EBF_1 -13 0 + 0 0 . chr18 57036287 57036288 chr18:57036288:C:T rs1657381 C T T EBF1_EBF_1 21 0 + 0 0 . chr18 57036297 57036298 chr18:57036298:T:C rs4800956 T C C EBF1_EBF_1 31 0 + 0 0 . chr18 57037296 57037297 chr18:57037297:C:G rs567022851 C G C EBF1_EBF_1 20 0 + 0 0 . chr18 57080750 57080751 chr18:57080751:A:G rs55892810 A G A EBF1_EBF_1 -7 0 - 0 0 . chr18 57088122 57088123 chr18:57088123:G:A rs7230241 G A G EBF1_EBF_1 -11 0 - 0 0 . chr18 57114300 57114301 chr18:57114301:G:A rs74995067 G A G EBF1_EBF_1 16 0 - 0 0 . chr18 57128585 57128586 chr18:57128586:T:C rs8097516 T C T EBF1_EBF_1 12 1 + 5.613483128722871 6.639749699273721 GGTCCCCAGAGATG chr18 57140246 57140247 chr18:57140247:C:A rs75299807 C A C EBF1_EBF_1 -6 0 + 0 0 . chr18 57140763 57140764 chr18:57140764:C:T rs73958870 C T C EBF1_EBF_1 -15 0 + 0 0 . chr18 57175780 57175781 chr18:57175781:G:T rs4077611 G T G EBF1_EBF_1 1 1 + 4.248586887845242 4.954248673674771 TGTCCCTGGGGCTC chr18 57210259 57210260 chr18:57210260:A:C rs17063235 A C A EBF1_EBF_1 0 1 + 5.454778113005823 3.199519629309222 AGTCCCTTGGTGAC chr18 57210292 57210293 chr18:57210293:A:C rs17063236 A C C EBF1_EBF_1 33 0 + 0 0 . chr18 57292574 57292575 chr18:57292575:G:A rs72940452 G A G EBF1_EBF_1 13 1 - 8.118068441884208 8.962562556469727 CTTCCCATGGGGCC chr18 57349284 57349285 chr18:57349285:C:T rs3760609 C T C EBF1_EBF_1 26 0 + 0 0 . chr18 57353561 57353562 chr18:57353562:A:G rs28674857 A G G EBF1_EBF_1 22 0 + 0 0 . chr18 57373154 57373155 chr18:57373155:G:A rs1153621 G A A EBF1_EBF_1 28 0 - 0 0 . chr18 57375872 57375873 chr18:57375873:C:T rs139145189 C T C EBF1_EBF_1 29 0 - 0 0 . chr18 57427880 57427881 chr18:57427881:C:G rs1232252651 C G C EBF1_EBF_1 20 0 - 0 0 . chr18 57436783 57436784 chr18:57436784:T:C rs61735644 T C C EBF1_EBF_1 33 0 - 0 0 . chr18 57439693 57439694 chr18:57439694:G:A rs574370676 G A G EBF1_EBF_1 25 0 - 0 0 . chr18 57500965 57500966 chr18:57500966:G:A rs113507727 G A G EBF1_EBF_1 -20 0 + 0 0 . chr18 57511992 57511993 chr18:57511993:T:C rs8089975 T C T EBF1_EBF_1 11 1 - 7.180148457837105 4.287441614233094 TTTCCCATGAGAAG chr18 57512015 57512016 chr18:57512016:T:G chr18:57512016:T:G T G T EBF1_EBF_1 -12 0 - 0 0 . chr18 57519937 57519938 chr18:57519938:G:C rs2849424 G C - EBF1_EBF_1 -9 0 + 0 0 . chr18 57567777 57567778 chr18:57567778:G:T rs7241377 G T T EBF1_EBF_1 -8 0 + 0 0 . chr18 57586477 57586478 chr18:57586478:G:A rs317815 G A G EBF1_EBF_1 22 0 + 0 0 . chr18 57665121 57665122 chr18:57665122:G:A rs72944108 G A G EBF1_EBF_1 9 1 + 5.4042319471935425 2.7492926817459393 ACTCCCCACGGAGA chr18 57712558 57712559 chr18:57712559:A:C rs57551682 A C C EBF1_EBF_1 23 0 + 0 0 . chr18 57768839 57768840 chr18:57768840:C:T rs17691970 C T C EBF1_EBF_1 29 0 + 0 0 . chr18 57769215 57769216 chr18:57769216:C:T rs56150136 C T C EBF1_EBF_1 -4 0 + 0 0 . chr18 57801598 57801599 chr18:57801599:C:T rs2571216 C T C EBF1_EBF_1 22 0 - 0 0 . chr18 57803578 57803579 chr18:57803579:C:A rs140981042 C A C EBF1_EBF_1 -12 0 + 0 0 . chr18 57808936 57808937 chr18:57808937:T:A rs1039830 T A T EBF1_EBF_1 18 0 - 0 0 . chr18 57816699 57816700 chr18:57816700:C:T rs2850237 C T C EBF1_EBF_1 15 0 + 0 0 . chr18 57834815 57834816 chr18:57834816:A:T rs98631 A T A EBF1_EBF_1 -8 0 - 0 0 . chr18 57843468 57843469 chr18:57843469:G:C rs11661415 G C C EBF1_EBF_1 -15 0 - 0 0 . chr18 57900998 57900999 chr18:57900999:T:C rs55682247 T C C EBF1_EBF_1 -2 0 - 0 0 . chr18 57902569 57902570 chr18:57902570:G:A rs17754379 G A g EBF1_EBF_1 25 0 + 0 0 . chr18 57906820 57906821 chr18:57906821:C:A rs56305630 C A A EBF1_EBF_1 5 1 + 6.420237873665766 -0.4846432789694033 ATCCCCGAGGGGCA chr18 58044731 58044732 chr18:58044732:C:T rs117325095 C T C EBF1_EBF_1 -4 0 + 0 0 . chr18 58044788 58044789 chr18:58044789:C:G rs116395340 C G C EBF1_EBF_1 10 1 - 4.107991317264404 -2.782811070065071 GCACCCCGGGGACG chr18 58046196 58046197 chr18:58046197:G:T chr18:58046197:G:T G T G EBF1_EBF_1 23 0 - 0 0 . chr18 58069490 58069491 chr18:58069491:T:A rs11659751 T A T EBF1_EBF_1 7 1 + 5.824021549152449 6.300295789240006 ATTCCCCTGGAAGA chr18 58123435 58123436 chr18:58123436:C:T rs12957255 C T C EBF1_EBF_1 17 0 - 0 0 . chr18 58145240 58145241 chr18:58145241:A:G rs56147943 A G A EBF1_EBF_1 -1 0 + 0 0 . chr18 58147304 58147305 chr18:58147305:G:C rs11876767 G C G EBF1_EBF_1 4 1 - 6.777962374847402 1.1521972736978578 CATCCCATGGGGCA chr18 58198524 58198525 chr18:58198525:A:G rs73450436 A G A EBF1_EBF_1 -17 0 - 0 0 . chr18 58202491 58202492 chr18:58202492:A:G rs77158811 A G A EBF1_EBF_1 20 0 + 0 0 . chr18 58221620 58221621 chr18:58221621:C:T rs3809969 C T C EBF1_EBF_1 28 0 + 0 0 . chr18 58223894 58223895 chr18:58223895:C:T rs140512821 C T C EBF1_EBF_1 27 0 + 0 0 . chr18 58234822 58234823 chr18:58234823:C:A rs158868 C A C EBF1_EBF_1 8 1 - 8.362116746306151 1.4706839573289592 ATTCCCAGGGGTAC chr18 58237814 58237815 chr18:58237815:T:G rs158860 T G G EBF1_EBF_1 13 1 - 6.751920634991012 7.125666084276988 AGACCCCTGGGAGA chr18 58268871 58268872 chr18:58268872:G:T rs1453082 G T G EBF1_EBF_1 -5 0 - 0 0 . chr18 58301094 58301095 chr18:58301095:T:G rs9950709 T G G EBF1_EBF_1 -14 0 - 0 0 . chr18 58340070 58340071 chr18:58340071:G:A rs72942838 G A G EBF1_EBF_1 32 0 + 0 0 . chr18 58362236 58362237 chr18:58362237:G:A rs10513916 G A G EBF1_EBF_1 -19 0 - 0 0 . chr18 58366954 58366955 chr18:58366955:C:A rs3744865 C A A EBF1_EBF_1 -14 0 - 0 0 . chr18 58374691 58374692 chr18:58374692:C:G rs8093026 C G C EBF1_EBF_1 5 1 + 5.594707651930603 -1.3101735007045647 TCCCCCCAGAGACA chr18 58380387 58380388 chr18:58380388:G:A rs146268466 G A G EBF1_EBF_1 -16 0 + 0 0 . chr18 58380403 58380404 chr18:58380404:A:T rs9959537 A T C EBF1_EBF_1 0 1 + 5.18576537746229 3.212708954496266 AGCCCCCTGGGTTC chr18 58424502 58424503 chr18:58424503:G:A rs12956499 G A G EBF1_EBF_1 -14 0 - 0 0 . chr18 58491077 58491078 chr18:58491078:G:A rs4940718 G A G EBF1_EBF_1 10 1 + 5.578981779925473 0.26095332065850685 ATCCCCAAAGGGCT chr18 58494018 58494019 chr18:58494019:A:G rs34654751 A G A EBF1_EBF_1 11 1 + 4.444020967405482 1.5513141238014703 CCTCCCCGGGAACT chr18 58494024 58494025 chr18:58494025:C:T rs11662764 C T C EBF1_EBF_1 17 0 + 0 0 . chr18 58494029 58494030 chr18:58494030:G:A rs528971074 G A G EBF1_EBF_1 22 0 + 0 0 . chr18 58535535 58535536 chr18:58535536:C:A rs3809983 C A C EBF1_EBF_1 14 0 + 0 0 . chr18 58546267 58546268 chr18:58546268:G:A rs148843801 G A G EBF1_EBF_1 32 0 + 0 0 . chr18 58549724 58549725 chr18:58549725:T:C rs12456543 T C T EBF1_EBF_1 -18 0 + 0 0 . chr18 58549732 58549733 chr18:58549733:A:G rs12150756 A G G EBF1_EBF_1 -10 0 + 0 0 . chr18 58556663 58556664 chr18:58556664:G:A rs73447219 G A G EBF1_EBF_1 9 1 + 6.371336122764212 3.716396857316608 AATCCCTAGGAATC chr18 58556788 58556789 chr18:58556789:G:A rs73447220 G A A EBF1_EBF_1 23 0 - 0 0 . chr18 58566956 58566957 chr18:58566957:T:A rs8083624 T A T EBF1_EBF_1 -3 0 + 0 0 . chr18 58567528 58567529 chr18:58567529:G:C rs77548673 G C G EBF1_EBF_1 -8 0 - 0 0 . chr18 58573498 58573499 chr18:58573499:T:C rs9959456 T C C EBF1_EBF_1 1 1 + 6.17775984874437 5.282326408345626 ATTCCCAAAGTACT chr18 58603861 58603862 chr18:58603862:T:C rs534368789 T C T EBF1_EBF_1 22 0 - 0 0 . chr18 58603901 58603902 chr18:58603902:T:A rs74759513 T A T EBF1_EBF_1 -18 0 - 0 0 . chr18 58612439 58612440 chr18:58612440:C:T rs114237335 C T C EBF1_EBF_1 -14 0 - 0 0 . chr18 58619806 58619807 chr18:58619807:G:A rs78836759 G A G EBF1_EBF_1 8 1 + 8.488546209263525 4.2622561203772635 CTTCCCAAGAGAAA chr18 58661578 58661579 chr18:58661579:C:T rs79663256 C T C EBF1_EBF_1 10 1 - 5.7627059603688355 0.4446775011018697 ACTCCTAAGGGAGA chr18 58671064 58671065 chr18:58671065:T:C rs7504436 T C C EBF1_EBF_1 11 1 - 4.8982603603291315 2.00555351672512 AGTCCCCGGCGAGC chr18 58671696 58671697 chr18:58671697:G:C rs933129506 G C G EBF1_EBF_1 8 1 + 3.8792814578924553 -1.4393774030222304 GCCCCCACGGGGCC chr18 58760278 58760279 chr18:58760279:C:A rs62093500 C A C EBF1_EBF_1 1 1 + 8.634126451631928 8.563199274279844 ACACCCTGGGGACT chr18 58762947 58762948 chr18:58762948:C:T rs62093503 C T C EBF1_EBF_1 8 1 - 4.600473139644373 0.3741830507581129 CTTCCCCCGCGACT chr18 58768944 58768945 chr18:58768945:C:G rs11659792 C G C EBF1_EBF_1 10 1 - 6.442445958475271 -0.4483564288542037 CCTCCCAGGAGATT chr18 58791497 58791498 chr18:58791498:T:C rs9952751 T C T EBF1_EBF_1 -11 0 + 0 0 . chr18 58807924 58807925 chr18:58807925:C:G rs8086689 C G G EBF1_EBF_1 33 0 - 0 0 . chr18 58851280 58851281 chr18:58851281:G:A rs7507051 G A G EBF1_EBF_1 -8 0 - 0 0 . chr18 58883961 58883962 chr18:58883962:G:T rs73439909 G T G EBF1_EBF_1 -9 0 + 0 0 . chr18 58887886 58887887 chr18:58887887:C:T rs567752543 C T C EBF1_EBF_1 7 1 + 4.859554092684804 6.677058838967428 CGTCCCCCGGGGCA chr18 58887887 58887888 chr18:58887888:G:A rs138317033 G A G EBF1_EBF_1 8 1 + 4.859554092684804 0.633264003798542 CGTCCCCCGGGGCA chr18 58991520 58991521 chr18:58991521:G:C rs114418601 G C G EBF1_EBF_1 6 1 - 4.331197565639321 2.526005502166124 ACTCCCCCGGGTGA chr18 58996106 58996107 chr18:58996107:A:G rs73959561 A G A EBF1_EBF_1 6 1 + 5.511813999690684 3.345019568416209 ATTCCCATGGTCCT chr18 59026767 59026768 chr18:59026768:A:T rs12957664 A T A EBF1_EBF_1 7 1 - 5.892625545700134 6.368899785787692 AGCCCCCTGAGAGA chr18 59058227 59058228 chr18:59058228:C:A rs2125516 C A C EBF1_EBF_1 -18 0 + 0 0 . chr18 59070607 59070608 chr18:59070608:C:T rs777680709 C T C EBF1_EBF_1 6 1 - 4.314261923352876 6.481056354627351 GCTCCCGAGGAACT chr18 59082061 59082062 chr18:59082062:G:T rs6567051 G T T EBF1_EBF_1 13 1 - 4.781757368961149 4.408011919675173 GTTCCCCAGGAAGC chr18 59086017 59086018 chr18:59086018:C:T rs116546739 C T C EBF1_EBF_1 4 1 + 6.9341148738236305 2.564727928878707 TTTCCCCAGGAACT chr18 59123807 59123808 chr18:59123808:G:A rs12604560 G A G EBF1_EBF_1 -7 0 - 0 0 . chr18 59128126 59128127 chr18:59128127:A:T rs8094244 A T A EBF1_EBF_1 27 0 - 0 0 . chr18 59128226 59128227 chr18:59128227:A:G rs8094376 A G A EBF1_EBF_1 0 1 - 6.644157280395544 6.361955219664966 TTCCCCAAGGGGAA chr18 59147003 59147004 chr18:59147004:A:G rs12964794 A G A EBF1_EBF_1 1 1 - 5.219114746608767 4.3236813062100214 ATTCCCAGGTGACA chr18 59212978 59212979 chr18:59212979:C:T rs57791062 C T G EBF1_EBF_1 19 0 + 0 0 . chr18 59268627 59268628 chr18:59268628:G:A rs3744893 G A G EBF1_EBF_1 31 0 - 0 0 . chr18 59271240 59271241 chr18:59271241:G:A rs111317110 G A G EBF1_EBF_1 17 0 + 0 0 . chr18 59298895 59298896 chr18:59298896:G:A rs12961809 G A G EBF1_EBF_1 -10 0 - 0 0 . chr18 59318556 59318557 chr18:59318557:T:C rs59444152 T C T EBF1_EBF_1 32 0 + 0 0 . chr18 59448955 59448956 chr18:59448956:G:A rs749996 G A G EBF1_EBF_1 11 1 - 5.440306570237389 5.758354011991053 CCTCCCCAGGGCCA chr18 59451280 59451281 chr18:59451281:G:C rs6567087 G C C EBF1_EBF_1 18 0 + 0 0 . chr18 59451339 59451340 chr18:59451340:C:G rs55752609 C G G EBF1_EBF_1 -20 0 + 0 0 . chr18 59471254 59471255 chr18:59471255:T:C rs645971 T C T EBF1_EBF_1 24 0 + 0 0 . chr18 59500649 59500650 chr18:59500650:G:T rs685791 G T T EBF1_EBF_1 12 1 - 5.853316395399305 5.883497793820631 ACCCTCCAGGGACA chr18 59502436 59502437 chr18:59502437:T:C rs67965749 T C T EBF1_EBF_1 -9 0 + 0 0 . chr18 59536578 59536579 chr18:59536579:C:G rs2851870 C G T EBF1_EBF_1 13 1 + 5.926604768460135 4.43853374710472 AGTGCCAGGGGAAC chr18 59540985 59540986 chr18:59540986:G:C rs12960196 G C G EBF1_EBF_1 14 0 + 0 0 . chr18 59543214 59543215 chr18:59543215:C:A rs6567099 C A C EBF1_EBF_1 32 0 + 0 0 . chr18 59547455 59547456 chr18:59547456:A:G rs79829797 A G A EBF1_EBF_1 24 0 - 0 0 . chr18 59570724 59570725 chr18:59570725:G:A rs149474268 G A G EBF1_EBF_1 30 0 + 0 0 . chr18 59630122 59630123 chr18:59630123:C:T rs143229754 C T C EBF1_EBF_1 27 0 + 0 0 . chr18 59644081 59644082 chr18:59644082:G:T rs4940475 G T G EBF1_EBF_1 11 1 - 5.551856537109688 9.967818234962078 GTCCCCCTGGGCCT chr18 59705116 59705117 chr18:59705117:T:A rs17698652 T A T EBF1_EBF_1 17 0 + 0 0 . chr18 59770494 59770495 chr18:59770495:C:T rs35495154 C T C EBF1_EBF_1 -16 0 + 0 0 . chr18 59788522 59788523 chr18:59788523:T:C rs146183212 T C C EBF1_EBF_1 2 1 + 5.155080289704139 3.266256878261989 ATTCCCCAGTGAGC chr18 59820764 59820765 chr18:59820765:G:A rs11659702 G A G EBF1_EBF_1 3 1 + 5.145565619823284 4.067156038472693 ATCGCCTTGGGAAA chr18 59900528 59900529 chr18:59900529:T:C rs987884750 T C t EBF1_EBF_1 -18 0 + 0 0 . chr18 59900572 59900573 chr18:59900573:G:C chr18:59900573:G:C G C G EBF1_EBF_1 26 0 + 0 0 . chr18 59900619 59900620 chr18:59900620:A:T rs771517109 A T A EBF1_EBF_1 25 0 - 0 0 . chr18 59996535 59996536 chr18:59996536:G:A rs188306154 G A G EBF1_EBF_1 6 1 + 6.095571681778645 8.262366113053119 AACCCCGAGAGAAC chr18 60021763 60021764 chr18:60021764:T:G rs62093019 T G G EBF1_EBF_1 11 1 - 9.855812811111695 5.439851113259306 ATTCTCCAGGGACT chr18 60256246 60256247 chr18:60256247:C:A rs1379444 C A C EBF1_EBF_1 -6 0 + 0 0 . chr18 60301148 60301149 chr18:60301149:A:G rs9948863 A G G EBF1_EBF_1 -8 0 - 0 0 . chr18 60445537 60445538 chr18:60445538:T:C rs7228245 T C T EBF1_EBF_1 7 1 - 7.822858456413176 6.510400051124351 AATGCCAAGGGAAT chr18 60548149 60548150 chr18:60548150:T:A rs569151 T A T EBF1_EBF_1 -20 0 + 0 0 . chr18 60560848 60560849 chr18:60560849:A:C rs12962133 A C A EBF1_EBF_1 26 0 - 0 0 . chr18 60982561 60982562 chr18:60982562:G:A rs181300960 G A G EBF1_EBF_1 6 1 - 4.418782754829383 4.720068883691139 CTTCCCCAGGAAGC chr18 61031523 61031524 chr18:61031524:G:A rs56089122 G A G EBF1_EBF_1 -18 0 + 0 0 . chr18 61058657 61058658 chr18:61058658:A:G rs242664 A G T EBF1_EBF_1 12 1 - 6.1175279044765345 7.143794475027383 CACCCCAAGGGATG chr18 61127682 61127683 chr18:61127683:C:T rs2032712 C T C EBF1_EBF_1 20 0 + 0 0 . chr18 61287580 61287581 chr18:61287581:C:T rs111698201 C T C EBF1_EBF_1 3 1 + 6.442953960140912 0.03755521858759514 TTTCCCTTGGGCCA chr18 61329552 61329553 chr18:61329553:G:A rs7241130 G A A EBF1_EBF_1 -3 0 - 0 0 . chr18 61334468 61334469 chr18:61334469:A:T rs8096012 A T A EBF1_EBF_1 0 1 + 6.070956486672578 4.097900063706556 ACTCCCAAGGAAAG chr18 61388077 61388078 chr18:61388078:T:C chr18:61388078:T:C T C T EBF1_EBF_1 23 0 - 0 0 . chr18 61464695 61464696 chr18:61464696:T:C rs9961965 T C T EBF1_EBF_1 -8 0 + 0 0 . chr18 61464707 61464708 chr18:61464708:A:G rs2535354 A G a EBF1_EBF_1 4 1 + 4.855298897843925 5.054093420147848 AGCCACAGGGGACT chr18 61589741 61589742 chr18:61589742:G:A rs8084945 G A A EBF1_EBF_1 -18 0 + 0 0 . chr18 61667720 61667721 chr18:61667721:C:T rs4940524 C T C EBF1_EBF_1 32 0 - 0 0 . chr18 61753131 61753132 chr18:61753132:C:G rs150064436 C G C EBF1_EBF_1 14 0 + 0 0 . chr18 61842459 61842460 chr18:61842460:G:A chr18:61842460:G:A G A G EBF1_EBF_1 -20 0 + 0 0 . chr18 61842473 61842474 chr18:61842474:G:A rs7236480 G A G EBF1_EBF_1 -6 0 + 0 0 . chr18 61852255 61852256 chr18:61852256:T:G rs4940531 T G G EBF1_EBF_1 -2 0 - 0 0 . chr18 61921593 61921594 chr18:61921594:C:G rs1942992 C G G EBF1_EBF_1 18 0 - 0 0 . chr18 61935649 61935650 chr18:61935650:A:G rs28556637 A G A EBF1_EBF_1 -9 0 + 0 0 . chr18 61935674 61935675 chr18:61935675:A:G rs28647330 A G A EBF1_EBF_1 16 0 + 0 0 . chr18 61951929 61951930 chr18:61951930:T:C rs6567253 T C C EBF1_EBF_1 6 1 - 6.851601743942038 4.684807312667563 CACCCCAAGAGAAT chr18 61966379 61966380 chr18:61966380:C:G rs188886707 C G C EBF1_EBF_1 7 1 - 5.5303252279187065 4.549004646837351 ACCCCCTGGAGATA chr18 62187759 62187760 chr18:62187760:C:T rs140959449 C T C EBF1_EBF_1 -3 0 - 0 0 . chr18 62251259 62251260 chr18:62251260:T:C rs76809409 T C C EBF1_EBF_1 7 1 - 5.829163951086014 4.516705545797188 AGCCCCAACGGACC chr18 62325120 62325121 chr18:62325121:C:T rs116880149 C T C EBF1_EBF_1 1 1 + 3.614318783499285 4.509752223898031 ACACCCCCGGGGCC chr18 62370566 62370567 chr18:62370567:G:T rs6567274 G T G EBF1_EBF_1 3 1 - 5.527137464564605 -1.3790365649012009 ATTCCCACGAGGCA chr18 62409269 62409270 chr18:62409270:G:A rs61096133 G A A EBF1_EBF_1 1 1 + 8.80705180794851 8.546352976027208 TGTCCCATGAGAAT chr18 62425819 62425820 chr18:62425820:A:G rs1051125023 A G a EBF1_EBF_1 19 0 - 0 0 . chr18 62437615 62437616 chr18:62437616:G:A rs181778538 G A G EBF1_EBF_1 -16 0 - 0 0 . chr18 62438616 62438617 chr18:62438617:A:C rs596221 A C C EBF1_EBF_1 10 1 - 5.305587711497276 9.48833989772454 GGTCCCAGGGTAAA chr18 62444547 62444548 chr18:62444548:G:A rs11152344 G A G EBF1_EBF_1 27 0 + 0 0 . chr18 62446844 62446845 chr18:62446845:T:G rs111897607 T G T EBF1_EBF_1 9 1 - 7.704314471128467 5.283564590230607 ATCCCCAGGAGATT chr18 62451109 62451110 chr18:62451110:G:A rs184480168 G A G EBF1_EBF_1 24 0 - 0 0 . chr18 62486552 62486553 chr18:62486553:A:G rs150739575 A G A EBF1_EBF_1 -2 0 - 0 0 . chr18 62518826 62518827 chr18:62518827:C:T rs35498422 C T C EBF1_EBF_1 6 1 + 4.789380837538067 5.090666966399825 GAACCCCAGGGGCT chr18 62522925 62522926 chr18:62522926:G:A rs8097732 G A G EBF1_EBF_1 8 1 + 4.4228221327832085 0.19653204389694695 GCCCCCCAGGGGGC chr18 62523592 62523593 chr18:62523593:C:T rs113669303 C T - EBF1_EBF_1 31 0 - 0 0 . chr18 62523597 62523598 chr18:62523598:G:A rs1306779136 G A - EBF1_EBF_1 26 0 - 0 0 . chr18 62523624 62523625 chr18:62523625:C:A rs902192638 C A - EBF1_EBF_1 -1 0 - 0 0 . chr18 62587428 62587429 chr18:62587429:G:C rs113362950 G C G EBF1_EBF_1 12 1 - 5.609587762876851 4.220951819198699 TTTCCTCTGGGACC chr18 62588389 62588390 chr18:62588390:T:C rs8085244 T C C EBF1_EBF_1 28 0 + 0 0 . chr18 62601904 62601905 chr18:62601905:C:T rs61280200 C T C EBF1_EBF_1 25 0 - 0 0 . chr18 62601907 62601908 chr18:62601908:G:A rs75436454 G A G EBF1_EBF_1 22 0 - 0 0 . chr18 62602722 62602723 chr18:62602723:A:T rs600313 A T A EBF1_EBF_1 19 0 - 0 0 . chr18 62606454 62606455 chr18:62606455:A:C rs10515987 A C A EBF1_EBF_1 -15 0 - 0 0 . chr18 62612496 62612497 chr18:62612497:G:T rs563629939 G T G EBF1_EBF_1 0 1 - 6.178305704628831 8.43356418832543 CCCCCCATGGGGCT chr18 62616306 62616307 chr18:62616307:T:C rs4496250 T C T EBF1_EBF_1 20 0 - 0 0 . chr18 62624720 62624721 chr18:62624721:A:G rs306213 A G A EBF1_EBF_1 9 1 + 8.378964503771499 11.033903769219101 TTTCCCCAGAGACA chr18 62715086 62715087 chr18:62715087:C:T rs539728433 C T C EBF1_EBF_1 -9 0 - 0 0 . chr18 62716960 62716961 chr18:62716961:G:A rs1055192840 G A G EBF1_EBF_1 24 0 + 0 0 . chr18 62863883 62863884 chr18:62863884:T:A chr18:62863884:T:A T A T EBF1_EBF_1 0 1 - 6.700431038966605 4.727374616000583 ATTCCCAGGAGGTT chr18 62992155 62992156 chr18:62992156:G:A rs144074139 G A G EBF1_EBF_1 -14 0 - 0 0 . chr18 62998305 62998306 chr18:62998306:G:A rs860916 G A A EBF1_EBF_1 0 1 - 8.11880640183077 8.40100846256135 CTCCCCTCGGGAAT chr18 63024489 63024490 chr18:63024490:C:T rs9953136 C T C EBF1_EBF_1 -10 0 + 0 0 . chr18 63041492 63041493 chr18:63041493:A:G rs6567315 A G G EBF1_EBF_1 29 0 + 0 0 . chr18 63057738 63057739 chr18:63057739:A:G rs35957347 A G A EBF1_EBF_1 7 1 + 10.78622044419942 9.473762038910596 AGCCCCCAGGGACC chr18 63075354 63075355 chr18:63075355:G:C rs770860477 G C G EBF1_EBF_1 33 0 - 0 0 . chr18 63075375 63075376 chr18:63075376:C:T rs116300188 C T C EBF1_EBF_1 12 1 - 8.118046781900079 9.536864123999555 TTCCCCAAGGGAGA chr18 63085484 63085485 chr18:63085485:G:T rs57335359 G T G EBF1_EBF_1 4 1 - 4.602237595676854 -1.222322027776613 AATCCCAGTGGAAC chr18 63099854 63099855 chr18:63099855:T:C rs8088739 T C C EBF1_EBF_1 19 0 + 0 0 . chr18 63115831 63115832 chr18:63115832:A:G rs2472578 A G G EBF1_EBF_1 -4 0 - 0 0 . chr18 63129827 63129828 chr18:63129828:A:G rs1982674 A G G EBF1_EBF_1 28 0 - 0 0 . chr18 63144896 63144897 chr18:63144897:T:C rs2046137 T C T EBF1_EBF_1 -12 0 + 0 0 . chr18 63147018 63147019 chr18:63147019:T:A rs2046135 T A T EBF1_EBF_1 -11 0 + 0 0 . chr18 63165831 63165832 chr18:63165832:G:A rs116855817 G A G EBF1_EBF_1 -5 0 - 0 0 . chr18 63213896 63213897 chr18:63213897:A:G rs117770010 A G A EBF1_EBF_1 17 0 + 0 0 . chr18 63238940 63238941 chr18:63238941:G:A rs11152374 G A G EBF1_EBF_1 -10 0 + 0 0 . chr18 63238956 63238957 chr18:63238957:T:C rs115474572 T C T EBF1_EBF_1 6 1 + 5.7314729586466 5.430186829784842 AGCCCCTGGAGAGC chr18 63276472 63276473 chr18:63276473:C:G rs8089331 C G C EBF1_EBF_1 -18 0 + 0 0 . chr18 63299936 63299937 chr18:63299937:G:A rs114890094 G A G EBF1_EBF_1 -8 0 + 0 0 . chr18 63299949 63299950 chr18:63299950:C:T rs72945066 C T C EBF1_EBF_1 5 1 + 6.757524618713294 1.4357374031726247 TTCCCCAGGAGACT chr18 63318707 63318708 chr18:63318708:G:A rs114373512 G A G EBF1_EBF_1 -19 0 + 0 0 . chr18 63366746 63366747 chr18:63366747:G:A rs995316504 G A G EBF1_EBF_1 15 0 + 0 0 . chr18 63366754 63366755 chr18:63366755:T:C rs12454551 T C T EBF1_EBF_1 23 0 + 0 0 . chr18 63433648 63433649 chr18:63433649:T:C rs79042549 T C T EBF1_EBF_1 -18 0 + 0 0 . chr18 63450569 63450570 chr18:63450570:G:A rs34145863 G A - EBF1_EBF_1 -18 0 + 0 0 . chr18 63468816 63468817 chr18:63468817:G:A rs948641 G A G EBF1_EBF_1 0 1 - 6.697701633361151 6.979903694091729 CACCCCATGGGAAG chr18 63650701 63650702 chr18:63650702:A:T rs75651576 A T A EBF1_EBF_1 2 1 - 6.748993757314951 3.0645261697160744 AATCCTCAGGGAAA chr18 63676777 63676778 chr18:63676778:G:A rs1011947 G A G EBF1_EBF_1 7 1 + 4.694955733805994 6.00741413909482 ACTTCCTGGGGACA chr18 63700807 63700808 chr18:63700808:C:A rs8095940 C A C EBF1_EBF_1 12 1 + 8.686132741187198 8.716314139608524 ACACCCATGGGACC chr18 63726151 63726152 chr18:63726152:G:C rs9950640 G C G EBF1_EBF_1 13 1 - 8.718810634172382 7.230739612816968 GTTCCCATGAGACC chr18 63879883 63879884 chr18:63879884:A:G rs78597700 A G A EBF1_EBF_1 16 0 - 0 0 . chr18 63883063 63883064 chr18:63883064:C:T rs75308532 C T C EBF1_EBF_1 0 1 - 5.948883207869962 7.841167077434795 GGTCCCGAGAGACA chr18 63936873 63936874 chr18:63936874:G:C rs11152408 G C G EBF1_EBF_1 20 0 - 0 0 . chr18 63994583 63994584 chr18:63994584:G:T rs143302780 G T G EBF1_EBF_1 14 0 - 0 0 . chr18 64094541 64094542 chr18:64094542:C:A rs72947341 C A C EBF1_EBF_1 -17 0 + 0 0 . chr18 64234507 64234508 chr18:64234508:C:T rs149759362 C T C EBF1_EBF_1 26 0 - 0 0 . chr18 64338375 64338376 chr18:64338376:A:G rs1421533 A G A EBF1_EBF_1 -14 0 - 0 0 . chr18 64399742 64399743 chr18:64399743:C:T rs60797333 C T T EBF1_EBF_1 -5 0 - 0 0 . chr18 64506104 64506105 chr18:64506105:C:T rs8085974 C T T EBF1_EBF_1 21 0 - 0 0 . chr18 64564773 64564774 chr18:64564774:G:A rs4461176 G A G EBF1_EBF_1 28 0 + 0 0 . chr18 64699815 64699816 chr18:64699816:T:C rs78197032 T C T EBF1_EBF_1 -10 0 + 0 0 . chr18 64755053 64755054 chr18:64755054:G:A rs4941306 G A G EBF1_EBF_1 5 1 - 5.78929295172207 0.46750573618140073 GCTCCCGAGAGAAA chr18 64841053 64841054 chr18:64841054:T:C rs11874447 T C T EBF1_EBF_1 -19 0 + 0 0 . chr18 64994551 64994552 chr18:64994552:C:T rs56061367 C T C EBF1_EBF_1 12 1 + 6.769594008675343 5.743327438124493 TCTCCCACGAGACT chr18 65188535 65188536 chr18:65188536:A:G rs472801 A G A EBF1_EBF_1 24 0 + 0 0 . chr18 65236428 65236429 chr18:65236429:C:T rs537034038 C T C EBF1_EBF_1 7 1 + 4.074257764606132 5.891762510888756 AATCCCCCGGCACT chr18 65236429 65236430 chr18:65236430:G:A rs114279135 G A G EBF1_EBF_1 8 1 + 4.074257764606132 -0.15203232428012892 AATCCCCCGGCACT chr18 65364663 65364664 chr18:65364664:T:C rs616329 T C T EBF1_EBF_1 29 0 - 0 0 . chr18 65487584 65487585 chr18:65487585:C:A rs976883 C A C EBF1_EBF_1 12 1 + 6.806679691978294 6.83686109039962 AGCCCCAGGAGACA chr18 65605347 65605348 chr18:65605348:G:A rs12958160 G A G EBF1_EBF_1 31 0 - 0 0 . chr18 65934916 65934917 chr18:65934917:A:T rs2541850 A T A EBF1_EBF_1 14 0 - 0 0 . chr18 66662841 66662842 chr18:66662842:C:A rs7227792 C A C EBF1_EBF_1 12 1 - 5.765662849078472 6.128032222205774 ATTCCCCAGAGCGT chr18 66662867 66662868 chr18:66662868:C:G rs17076547 C G c EBF1_EBF_1 -14 0 - 0 0 . chr18 66729689 66729690 chr18:66729690:C:T rs76249747 C T C EBF1_EBF_1 -17 0 - 0 0 . chr18 66894758 66894759 chr18:66894759:G:A rs113361453 G A G EBF1_EBF_1 12 1 - 6.352557084606736 5.326290514055886 TGTCCCTGGGTACT chr18 67072967 67072968 chr18:67072968:G:C rs8087200 G C G EBF1_EBF_1 13 1 - 9.105352493935658 7.6172814725802445 ACTCCCTAGAGATC chr18 67076873 67076874 chr18:67076874:A:G rs4508509 A G A EBF1_EBF_1 29 0 - 0 0 . chr18 67077265 67077266 chr18:67077266:C:T rs9636053 C T C EBF1_EBF_1 29 0 + 0 0 . chr18 67077367 67077368 chr18:67077368:C:T rs11660501 C T C EBF1_EBF_1 0 1 + 5.463129176436605 5.745331237167183 CTTCCCATGAGGCC chr18 67140121 67140122 chr18:67140122:C:G rs76843090 C G C EBF1_EBF_1 -14 0 + 0 0 . chr18 67165179 67165180 chr18:67165180:T:C rs7235347 T C T EBF1_EBF_1 6 1 + 5.561864970338687 5.260578841476929 AGTCCCTAGAGCAA chr18 67360638 67360639 chr18:67360639:C:T chr18:67360639:C:T C T C EBF1_EBF_1 8 1 - 6.475139466903204 2.248849378016942 CCTCCCTCGGGAGA chr18 67425915 67425916 chr18:67425916:G:A rs4891617 G A A EBF1_EBF_1 12 1 - 5.773291387408572 4.7470248168577225 ATTCCCATAGGGCA chr18 67468290 67468291 chr18:67468291:A:G rs9955889 A G G EBF1_EBF_1 1 1 - 6.387612301527766 5.492178861129021 TTCCCCCTGAGACC chr18 67508474 67508475 chr18:67508475:T:C rs17077389 T C T EBF1_EBF_1 13 1 - 8.28830144551815 7.17397587344871 CACCCCAAGGGAAA chr18 67516445 67516446 chr18:67516446:G:T rs190146444 G T G EBF1_EBF_1 23 0 - 0 0 . chr18 67580121 67580122 chr18:67580122:A:G rs77993507 A G A EBF1_EBF_1 -19 0 - 0 0 . chr18 67643678 67643679 chr18:67643679:A:T rs6566306 A T T EBF1_EBF_1 14 0 - 0 0 . chr18 67651769 67651770 chr18:67651770:C:A rs9955725 C A C EBF1_EBF_1 14 0 - 0 0 . chr18 67846385 67846386 chr18:67846386:T:C rs9949255 T C T EBF1_EBF_1 17 0 + 0 0 . chr18 67893931 67893932 chr18:67893932:G:A chr18:67893932:G:A G A G EBF1_EBF_1 -6 0 - 0 0 . chr18 67977630 67977631 chr18:67977631:C:T rs66564585 C T C EBF1_EBF_1 4 1 + 5.003064300453014 0.6336773555080906 GCTCCCTAGAGGAA chr18 68083151 68083152 chr18:68083152:A:G rs8093264 A G a EBF1_EBF_1 0 1 + 6.027441116574148 4.135157247009314 AGTGCCCTGGGAAA chr18 68182197 68182198 chr18:68182198:T:C rs1482539 T C T EBF1_EBF_1 6 1 + 4.379136366660537 4.0778502377987795 ACTCCATTGGGATC chr18 68248042 68248043 chr18:68248043:A:G rs35082836 A G a EBF1_EBF_1 22 0 + 0 0 . chr18 68478593 68478594 chr18:68478594:T:C rs112190306 T C T EBF1_EBF_1 17 0 - 0 0 . chr18 68565164 68565165 chr18:68565165:C:T rs9956064 C T C EBF1_EBF_1 26 0 - 0 0 . chr18 68565198 68565199 chr18:68565199:C:A rs9956082 C A A EBF1_EBF_1 -8 0 - 0 0 . chr18 68797535 68797536 chr18:68797536:C:T rs17809911 C T C EBF1_EBF_1 6 1 + 6.440556453205337 6.741842582067095 GCACCCCGGGGACT chr18 68797555 68797556 chr18:68797556:T:C chr18:68797556:T:C T C T EBF1_EBF_1 26 0 + 0 0 . chr18 68800425 68800426 chr18:68800426:C:T rs17079720 C T C EBF1_EBF_1 10 1 - 9.172453869521467 3.8544254102545006 ATTCCCAAAGGAAA chr18 68819367 68819368 chr18:68819368:G:C rs977408 G C C EBF1_EBF_1 -13 0 + 0 0 . chr18 68880098 68880099 chr18:68880099:A:G rs8090715 A G a EBF1_EBF_1 29 0 + 0 0 . chr18 68916776 68916777 chr18:68916777:G:A rs77137359 G A G EBF1_EBF_1 20 0 - 0 0 . chr18 68942377 68942378 chr18:68942378:C:G rs62095029 C G C EBF1_EBF_1 9 1 - 6.7350181627223105 1.6593290163768462 GGTCCCCTGGGCCT chr18 68942398 68942399 chr18:68942399:T:A rs11151474 T A T EBF1_EBF_1 -12 0 - 0 0 . chr18 68944248 68944249 chr18:68944249:G:A rs12327360 G A G EBF1_EBF_1 -6 0 - 0 0 . chr18 69097977 69097978 chr18:69097978:G:A rs1835655 G A G EBF1_EBF_1 -13 0 - 0 0 . chr18 69102221 69102222 chr18:69102222:T:A rs58094137 T A A EBF1_EBF_1 -17 0 - 0 0 . chr18 69282608 69282609 chr18:69282609:G:A rs78631928 G A G EBF1_EBF_1 -19 0 - 0 0 . chr18 69296896 69296897 chr18:69296897:C:T rs1354966 C T C EBF1_EBF_1 16 0 - 0 0 . chr18 69376516 69376517 chr18:69376517:G:C rs1218458121 G C G EBF1_EBF_1 -5 0 - 0 0 . chr18 69567758 69567759 chr18:69567759:G:C rs28375277 G C G EBF1_EBF_1 3 1 - 6.690339100070112 0.8625746519548945 ACTCCCCTCGGACC chr18 69659496 69659497 chr18:69659497:C:T rs4445986 C T T EBF1_EBF_1 14 0 + 0 0 . chr18 69659502 69659503 chr18:69659503:A:G rs547381584 A G A EBF1_EBF_1 20 0 + 0 0 . chr18 69659515 69659516 chr18:69659516:G:A rs80123295 G A G EBF1_EBF_1 33 0 + 0 0 . chr18 69676261 69676262 chr18:69676262:A:G rs79370124 A G A EBF1_EBF_1 -5 0 - 0 0 . chr18 69934744 69934745 chr18:69934745:T:C rs11665349 T C T EBF1_EBF_1 20 0 - 0 0 . chr18 70032146 70032147 chr18:70032147:T:C rs55784385 T C T EBF1_EBF_1 23 0 - 0 0 . chr18 70041881 70041882 chr18:70041882:A:G rs930292 A G A EBF1_EBF_1 13 1 - 10.589269612603271 9.744775498017752 ATTCCCTGGAGAAT chr18 70183537 70183538 chr18:70183538:G:A rs10513989 G A G EBF1_EBF_1 25 0 + 0 0 . chr18 70205463 70205464 chr18:70205464:T:A rs144636005 T A T EBF1_EBF_1 33 0 - 0 0 . chr18 70205476 70205477 chr18:70205477:C:T rs75761892 C T C EBF1_EBF_1 20 0 - 0 0 . chr18 70205492 70205493 chr18:70205493:G:C rs971633404 G C G EBF1_EBF_1 4 1 - 9.473762038910596 3.8479969377610512 AGCCCCCGGGGACC chr18 70206546 70206547 chr18:70206547:G:T rs3851132 G T T EBF1_EBF_1 13 1 - 4.750229814642509 4.376484365356533 CTTTCCCAGGGAAC chr18 70206595 70206596 chr18:70206596:C:T rs140611146 C T C EBF1_EBF_1 4 1 + 7.434498056395585 3.065111111450662 TATCCCTAGGTAAT chr18 70221495 70221496 chr18:70221496:A:G rs760601105 A G A EBF1_EBF_1 -5 0 + 0 0 . chr18 70288785 70288786 chr18:70288786:G:C rs111367670 G C G EBF1_EBF_1 -11 0 + 0 0 . chr18 70384436 70384437 chr18:70384437:A:G rs111656850 A G G EBF1_EBF_1 -17 0 + 0 0 . chr18 70384459 70384460 chr18:70384460:A:T rs111957193 A T A EBF1_EBF_1 6 1 + 4.858935768559662 4.798619529620142 CCACCCAAGGGGCT chr18 70397895 70397896 chr18:70397896:C:T rs2711415 C T T EBF1_EBF_1 10 1 - 3.9616724317663303 -1.3563560275006366 ACTCCCAAGTGGCT chr18 70423510 70423511 chr18:70423511:G:T rs4471796 G T T EBF1_EBF_1 29 0 - 0 0 . chr18 70523189 70523190 chr18:70523190:T:C rs78747242 T C T EBF1_EBF_1 21 0 - 0 0 . chr18 70782379 70782380 chr18:70782380:C:A rs1282628 C A A EBF1_EBF_1 -6 0 - 0 0 . chr18 70827845 70827846 chr18:70827846:G:A rs192707216 G A G EBF1_EBF_1 14 0 - 0 0 . chr18 70840835 70840836 chr18:70840836:C:T rs1730520 C T C EBF1_EBF_1 -4 0 - 0 0 . chr18 70936451 70936452 chr18:70936452:G:A rs567902742 G A G EBF1_EBF_1 -2 0 + 0 0 . chr18 70943714 70943715 chr18:70943715:C:T rs1581673 C T C EBF1_EBF_1 29 0 + 0 0 . chr18 71028276 71028277 chr18:71028277:A:G rs1078515 A G a EBF1_EBF_1 -10 0 - 0 0 . chr18 71200179 71200180 chr18:71200180:T:C rs12971122 T C T EBF1_EBF_1 1 1 + 10.66721123462357 9.771777794224825 CTTCCCATGGGAAA chr18 71437030 71437031 chr18:71437031:G:A rs10163594 G A A EBF1_EBF_1 -7 0 - 0 0 . chr18 72115176 72115177 chr18:72115177:T:C rs2586148 T C T EBF1_EBF_1 -19 0 + 0 0 . chr18 72336879 72336880 chr18:72336880:C:T rs7504490 C T T EBF1_EBF_1 26 0 - 0 0 . chr18 72456461 72456462 chr18:72456462:G:T rs7504964 G T T EBF1_EBF_1 9 1 + 10.581305328681868 3.744315920593058 CTTCCCCAGGGAGT chr18 72502688 72502689 chr18:72502689:C:T rs76227500 C T . EBF1_EBF_1 32 0 - 0 0 . chr18 72602694 72602695 chr18:72602695:G:A rs76356694 G A A EBF1_EBF_1 10 1 + 8.788396871833568 3.470368412566602 ATTCCCTTGAGAGA chr18 72827075 72827076 chr18:72827076:A:G rs12961901 A G A EBF1_EBF_1 23 0 + 0 0 . chr18 72860085 72860086 chr18:72860086:C:T rs12456301 C T T EBF1_EBF_1 12 1 + 4.978349710104263 3.9520831395534133 CTTCCCCTGGTACG chr18 72867878 72867879 chr18:72867879:C:A chr18:72867879:C:A C A C EBF1_EBF_1 28 0 - 0 0 . chr18 72868550 72868551 chr18:72868551:C:A rs147171045 C A C EBF1_EBF_1 11 1 + 3.4142437994181463 7.830205497270537 CCTCCCTGGGGCGC chr18 72868592 72868593 chr18:72868593:G:C rs113005242 G C G EBF1_EBF_1 22 0 - 0 0 . chr18 72870057 72870058 chr18:72870058:G:T rs994402284 G T G EBF1_EBF_1 -4 0 - 0 0 . chr18 72870071 72870072 chr18:72870072:G:A rs1047383768 G A G EBF1_EBF_1 -18 0 - 0 0 . chr18 73287143 73287144 chr18:73287144:G:T rs2020234 G T G EBF1_EBF_1 -14 0 - 0 0 . chr18 73287505 73287506 chr18:73287506:T:C rs885093 T C T EBF1_EBF_1 -4 0 + 0 0 . chr18 73663612 73663613 chr18:73663613:C:G rs17830956 C G C EBF1_EBF_1 22 0 - 0 0 . chr18 73665146 73665147 chr18:73665147:A:G rs4892124 A G G EBF1_EBF_1 30 0 - 0 0 . chr18 73665161 73665162 chr18:73665162:G:T rs11151886 G T G EBF1_EBF_1 15 0 - 0 0 . chr18 73733761 73733762 chr18:73733762:G:A rs4892133 G A G EBF1_EBF_1 12 1 - 4.654892821940141 3.628626251389291 ATCCCCCAGTGACC chr18 73785049 73785050 chr18:73785050:A:G rs974639 A G G EBF1_EBF_1 6 1 - 7.258482375396037 6.95719624653428 GTTCCCTTGAGATA chr18 73840756 73840757 chr18:73840757:G:A rs79017469 G A G EBF1_EBF_1 13 1 - 4.657126409656663 5.501620524242181 CTTCCCAGGAGGAC chr18 73840762 73840763 chr18:73840763:C:T rs1346843 C T C EBF1_EBF_1 7 1 - 4.657126409656663 5.969584814945489 CTTCCCAGGAGGAC chr18 73858412 73858413 chr18:73858413:G:T rs115422551 G T G EBF1_EBF_1 10 1 + 4.536212195533203 0.3534600093059383 ACACCCTGGGGTCT chr18 73924932 73924933 chr18:73924933:A:C rs73482323 A C A EBF1_EBF_1 24 0 + 0 0 . chr18 73952501 73952502 chr18:73952502:G:A rs17790555 G A G EBF1_EBF_1 -17 0 + 0 0 . chr18 73952548 73952549 chr18:73952549:G:A rs2702602 G A G EBF1_EBF_1 30 0 + 0 0 . chr18 73980750 73980751 chr18:73980751:G:C rs59408013 G C G EBF1_EBF_1 9 1 + 5.7936435253090615 0.7179543789635981 ATCCCCCGGGGCAC chr18 74001155 74001156 chr18:74001156:T:C rs1943913 T C T EBF1_EBF_1 -16 0 + 0 0 . chr18 74065024 74065025 chr18:74065025:G:A rs117087336 G A G EBF1_EBF_1 -13 0 + 0 0 . chr18 74066725 74066726 chr18:74066726:A:C rs59719811 A C A EBF1_EBF_1 21 0 + 0 0 . chr18 74172991 74172992 chr18:74172992:G:A rs12959980 G A g EBF1_EBF_1 1 1 - 7.120084550699751 8.015517991098497 TCCCCCATGGGAGC chr18 74191659 74191660 chr18:74191660:G:A rs773399495 G A G EBF1_EBF_1 -11 0 + 0 0 . chr18 74200930 74200931 chr18:74200931:C:T rs62098109 C T T EBF1_EBF_1 14 0 + 0 0 . chr18 74200943 74200944 chr18:74200944:G:T rs62098110 G T G EBF1_EBF_1 27 0 + 0 0 . chr18 74205980 74205981 chr18:74205981:G:A rs1790855 G A A EBF1_EBF_1 4 1 - 4.287718297660259 -0.08166864728466493 AGCCCCAGGAGGCC chr18 74256300 74256301 chr18:74256301:C:T rs112993919 C T C EBF1_EBF_1 8 1 - 8.148877406964592 3.92258731807833 AGCCCCCCGGGAAA chr18 74257265 74257266 chr18:74257266:G:A rs8096066 G A G EBF1_EBF_1 -3 0 - 0 0 . chr18 74265740 74265741 chr18:74265741:C:T rs74866423 C T C EBF1_EBF_1 8 1 - 4.727741761870469 0.5014516729842073 GCTCCCCCGGGCCT chr18 74269909 74269910 chr18:74269910:T:G rs1790901 T G G EBF1_EBF_1 -11 0 - 0 0 . chr18 74273754 74273755 chr18:74273755:A:G rs1788635 A G G EBF1_EBF_1 32 0 - 0 0 . chr18 74281436 74281437 chr18:74281437:G:A rs114970487 G A A EBF1_EBF_1 -18 0 - 0 0 . chr18 74289415 74289416 chr18:74289416:G:A rs77218854 G A G EBF1_EBF_1 27 0 - 0 0 . chr18 74289437 74289438 chr18:74289438:G:A rs77731706 G A G EBF1_EBF_1 5 1 - 5.51510418989481 0.19331697435414036 GTCCCCTAGGGCAC chr18 74333253 74333254 chr18:74333254:G:A rs189600672 G A G EBF1_EBF_1 24 0 + 0 0 . chr18 74369331 74369332 chr18:74369332:G:A rs17089131 G A G EBF1_EBF_1 12 1 - 5.9641346234907155 4.937868052939866 ATTTCCTGGGGACC chr18 74369622 74369623 chr18:74369623:G:T rs10514129 G T G EBF1_EBF_1 33 0 - 0 0 . chr18 74376935 74376936 chr18:74376936:C:G rs17233394 C G C EBF1_EBF_1 13 1 + 7.057346341691335 5.56927532033592 CTTCTCTAGGGACC chr18 74378515 74378516 chr18:74378516:C:T rs115505270 C T C EBF1_EBF_1 16 0 - 0 0 . chr18 74378546 74378547 chr18:74378547:T:C rs72967851 T C T EBF1_EBF_1 -15 0 - 0 0 . chr18 74388431 74388432 chr18:74388432:T:C rs11872182 T C T EBF1_EBF_1 33 0 + 0 0 . chr18 74394416 74394417 chr18:74394417:A:G rs56324673 A G A EBF1_EBF_1 -11 0 - 0 0 . chr18 74396342 74396343 chr18:74396343:C:T rs60517913 C T C EBF1_EBF_1 17 0 + 0 0 . chr18 74425928 74425929 chr18:74425929:C:T rs9963944 C T C EBF1_EBF_1 3 1 + 5.062781182474893 -1.3426175590784242 TCTCCCCAGCGACA chr18 74429017 74429018 chr18:74429018:C:G rs111529209 C G C EBF1_EBF_1 15 0 + 0 0 . chr18 74431621 74431622 chr18:74431622:G:A rs8085572 G A A EBF1_EBF_1 31 0 - 0 0 . chr18 74454300 74454301 chr18:74454301:G:A rs141391274 G A G EBF1_EBF_1 3 1 - 7.353306752342189 0.9479080107888711 CCTCCCCAGGGAGG chr18 74454502 74454503 chr18:74454503:C:T rs34952903 C T C EBF1_EBF_1 3 1 - 4.414314166991573 3.335904585640982 AGCGCCCAGGGAGT chr18 74458014 74458015 chr18:74458015:C:A rs80263119 C A C EBF1_EBF_1 -16 0 - 0 0 . chr18 74475817 74475818 chr18:74475818:C:T rs184019634 C T C EBF1_EBF_1 21 0 + 0 0 . chr18 74480114 74480115 chr18:74480115:T:C rs11662825 T C T EBF1_EBF_1 -15 0 + 0 0 . chr18 74495796 74495797 chr18:74495797:G:C rs775667231 G C G EBF1_EBF_1 14 0 + 0 0 . chr18 74529924 74529925 chr18:74529925:C:A rs62099877 C A C EBF1_EBF_1 5 1 + 5.416435079752327 -1.4884460728828413 AACCCCTGGGGTCC chr18 74542036 74542037 chr18:74542037:C:G rs140827221 C G C EBF1_EBF_1 -8 0 + 0 0 . chr18 74559219 74559220 chr18:74559220:A:T rs12605490 A T A EBF1_EBF_1 18 0 - 0 0 . chr18 74567101 74567102 chr18:74567102:A:C rs4891562 A C G EBF1_EBF_1 -5 0 + 0 0 . chr18 74568196 74568197 chr18:74568197:G:C rs7506258 G C C EBF1_EBF_1 19 0 - 0 0 . chr18 74593162 74593163 chr18:74593163:G:A rs10782060 G A A EBF1_EBF_1 -8 0 + 0 0 . chr18 74597305 74597306 chr18:74597306:A:G rs1231084729 A G A EBF1_EBF_1 25 0 - 0 0 . chr18 74728844 74728845 chr18:74728845:T:C rs17819978 T C T EBF1_EBF_1 31 0 - 0 0 . chr18 74785872 74785873 chr18:74785873:T:G rs3911926 T G T EBF1_EBF_1 -20 0 + 0 0 . chr18 74828444 74828445 chr18:74828445:C:T rs2042703 C T C EBF1_EBF_1 -12 0 - 0 0 . chr18 74899839 74899840 chr18:74899840:C:A rs8085149 C A A EBF1_EBF_1 -14 0 - 0 0 . chr18 75072760 75072761 chr18:75072761:A:G rs9964228 A G A EBF1_EBF_1 21 0 + 0 0 . chr18 75076669 75076670 chr18:75076670:A:G rs7359804 A G A EBF1_EBF_1 15 0 + 0 0 . chr18 75123358 75123359 chr18:75123359:G:A rs8096959 G A A EBF1_EBF_1 17 0 - 0 0 . chr18 75186704 75186705 chr18:75186705:T:C rs2439257 T C C EBF1_EBF_1 11 1 - 4.9919177448448995 2.099210901240887 AGCCCCCTGGAACC chr18 75190594 75190595 chr18:75190595:T:C rs117198146 T C T EBF1_EBF_1 -11 0 + 0 0 . chr18 75190620 75190621 chr18:75190621:A:C rs75943499 A C A EBF1_EBF_1 15 0 + 0 0 . chr18 75192911 75192912 chr18:75192912:G:A rs538374124 G A G EBF1_EBF_1 -2 0 + 0 0 . chr18 75201240 75201241 chr18:75201241:T:C rs182935563 T C T EBF1_EBF_1 0 1 + 7.527105111531372 7.2449030508007946 TCCCCCAAGGGAAG chr18 75203983 75203984 chr18:75203984:T:C rs2639989 T C C EBF1_EBF_1 -12 0 - 0 0 . chr18 75245988 75245989 chr18:75245989:G:C rs9958564 G C G EBF1_EBF_1 1 1 + 4.507787426360657 4.31801577179144 AGTGCCAGGGGAGC chr18 75246020 75246021 chr18:75246021:G:A rs149097124 G A A EBF1_EBF_1 33 0 + 0 0 . chr18 75258245 75258246 chr18:75258246:G:A rs62089819 G A G EBF1_EBF_1 -20 0 + 0 0 . chr18 75281972 75281973 chr18:75281973:G:A rs73481991 G A G EBF1_EBF_1 1 1 + 4.847220180020251 4.586521348098948 CGTCCCTAGGGCAG chr18 75308759 75308760 chr18:75308760:T:C rs11663934 T C T EBF1_EBF_1 -10 0 - 0 0 . chr18 75336496 75336497 chr18:75336497:G:T rs6566081 G T T EBF1_EBF_1 -13 0 - 0 0 . chr18 75479132 75479133 chr18:75479133:C:T rs999058640 C T C EBF1_EBF_1 2 1 + 5.449661228436332 7.338484639878482 GTCCCCAGGAGAGT chr18 75514744 75514745 chr18:75514745:G:C rs72979983 G C C EBF1_EBF_1 32 0 - 0 0 . chr18 75600023 75600024 chr18:75600024:C:G rs17057360 C G C EBF1_EBF_1 -17 0 + 0 0 . chr18 75635317 75635318 chr18:75635318:C:A rs76141161 C A C EBF1_EBF_1 -6 0 + 0 0 . chr18 75679347 75679348 chr18:75679348:C:T rs2584469 C T T EBF1_EBF_1 21 0 - 0 0 . chr18 75758082 75758083 chr18:75758083:G:C rs4087981 G C C EBF1_EBF_1 21 0 - 0 0 . chr18 75758106 75758107 chr18:75758107:G:A rs4087980 G A G EBF1_EBF_1 -3 0 - 0 0 . chr18 75777757 75777758 chr18:75777758:C:T rs12966335 C T C EBF1_EBF_1 -1 0 - 0 0 . chr18 75777759 75777760 chr18:75777760:G:T rs72634490 G T G EBF1_EBF_1 -3 0 - 0 0 . chr18 75778472 75778473 chr18:75778473:G:A rs17058081 G A G EBF1_EBF_1 -6 0 - 0 0 . chr18 75812746 75812747 chr18:75812747:C:T rs28405607 C T C EBF1_EBF_1 -16 0 + 0 0 . chr18 75812779 75812780 chr18:75812780:C:G rs8093579 C G C EBF1_EBF_1 17 0 + 0 0 . chr18 75812788 75812789 chr18:75812789:G:A rs12455384 G A G EBF1_EBF_1 26 0 + 0 0 . chr18 75818935 75818936 chr18:75818936:A:G rs2956623 A G a EBF1_EBF_1 18 0 + 0 0 . chr18 75833565 75833566 chr18:75833566:C:T rs73483089 C T C EBF1_EBF_1 4 1 + 9.592727596431116 5.223340651486193 AATCCCTGGAGACT chr18 75923328 75923329 chr18:75923329:G:T rs1471257 G T G EBF1_EBF_1 3 1 - 6.945661641807996 0.03948761234218856 CACCCCAGGGGACA chr18 75987396 75987397 chr18:75987397:G:A rs8096248 G A G EBF1_EBF_1 1 1 + 6.573634315814417 6.3129354838931135 AGCCCCATGGGTCC chr18 75987402 75987403 chr18:75987403:T:C rs2897281 T C C EBF1_EBF_1 7 1 + 6.573634315814417 4.756129569531794 AGCCCCATGGGTCC chr18 76066421 76066422 chr18:76066422:G:T rs72960528 G T G EBF1_EBF_1 21 0 - 0 0 . chr18 76100282 76100283 chr18:76100283:G:C rs767350 G C g EBF1_EBF_1 8 1 - 5.069665603777244 10.388324464691928 AGTCCCCTCAGACT chr18 76213943 76213944 chr18:76213944:A:C rs9963079 A C C EBF1_EBF_1 -8 0 - 0 0 . chr18 76231093 76231094 chr18:76231094:C:T rs12962351 C T C EBF1_EBF_1 -1 0 + 0 0 . chr18 76263678 76263679 chr18:76263679:G:C rs6565875 G C C EBF1_EBF_1 32 0 + 0 0 . chr18 76328529 76328530 chr18:76328530:T:C rs595460 T C C EBF1_EBF_1 17 0 - 0 0 . chr18 76348974 76348975 chr18:76348975:G:C rs906166350 G C G EBF1_EBF_1 10 1 + 5.9935290815801086 -0.897273305749366 AACCCCATGAGAGA chr18 76349559 76349560 chr18:76349560:G:C rs12965574 G C C EBF1_EBF_1 6 1 + 3.9849910037131804 5.790183067186378 GTTCCCGGGGGCAC chr18 76371443 76371444 chr18:76371444:A:G chr18:76371444:A:G A G A EBF1_EBF_1 1 1 - 7.502888131292125 6.607454690893383 ATCCCCAAGGGGAG chr18 76406774 76406775 chr18:76406775:C:T rs753186632 C T C EBF1_EBF_1 -13 0 + 0 0 . chr18 76410852 76410853 chr18:76410853:G:C rs12970832 G C G EBF1_EBF_1 -16 0 - 0 0 . chr18 76429233 76429234 chr18:76429234:G:A rs2554835 G A G EBF1_EBF_1 16 0 - 0 0 . chr18 76440021 76440022 chr18:76440022:C:T rs3737386 C T C EBF1_EBF_1 4 1 + 5.435311653624166 1.065924708679242 CCTCCCACGGGGAC chr18 76466150 76466151 chr18:76466151:G:C rs188212493 G C G EBF1_EBF_1 4 1 - 7.555268751754289 1.9295036506047445 ACTCCTAAGGGAAC chr18 76467987 76467988 chr18:76467988:G:A rs80019674 G A G EBF1_EBF_1 9 1 + 4.83889553603685 2.183956270589247 CCTCCCTACGGACA chr18 76486632 76486633 chr18:76486633:A:G rs6650696 A G G EBF1_EBF_1 -10 0 + 0 0 . chr18 76529113 76529114 chr18:76529114:A:C rs1055730308 A C A EBF1_EBF_1 7 1 - 5.519652418476625 4.683468253275357 AGTCCCATGAGCAC chr18 76580714 76580715 chr18:76580715:A:G rs11665265 A G A EBF1_EBF_1 -5 0 - 0 0 . chr18 76587601 76587602 chr18:76587602:C:T rs12606937 C T C EBF1_EBF_1 22 0 - 0 0 . chr18 76612721 76612722 chr18:76612722:T:C rs28459221 T C C EBF1_EBF_1 7 1 + 6.8685980748023505 5.051093328519727 AGTCCCATGGAACA chr18 76615013 76615014 chr18:76615014:T:C rs28637216 T C C EBF1_EBF_1 18 0 + 0 0 . chr18 76617573 76617574 chr18:76617574:C:G rs9959612 C G C EBF1_EBF_1 29 0 - 0 0 . chr18 76622028 76622029 chr18:76622029:G:T rs76111465 G T G EBF1_EBF_1 22 0 - 0 0 . chr18 76660636 76660637 chr18:76660637:G:C rs7244682 G C C EBF1_EBF_1 -12 0 + 0 0 . chr18 76660649 76660650 chr18:76660650:A:T rs10871541 A T T EBF1_EBF_1 1 1 + 10.651963630756143 11.618324248506973 AATCCCCAGGGAGA chr18 76678607 76678608 chr18:76678608:C:T rs62112423 C T C EBF1_EBF_1 -19 0 + 0 0 . chr18 76687367 76687368 chr18:76687368:A:G rs146065548 A G A EBF1_EBF_1 -7 0 + 0 0 . chr18 76688635 76688636 chr18:76688636:C:T rs67198482 C T C EBF1_EBF_1 5 1 + 5.88830622299664 0.5665190074559702 AGCCCCGGGAGAAT chr18 76722591 76722592 chr18:76722592:G:C rs78545846 G C G EBF1_EBF_1 14 0 - 0 0 . chr18 76802166 76802167 chr18:76802167:G:A rs577602917 G A G EBF1_EBF_1 33 0 + 0 0 . chr18 76810012 76810013 chr18:76810013:C:A rs7232771 C A A EBF1_EBF_1 25 0 - 0 0 . chr18 76890878 76890879 chr18:76890879:A:G rs522657 A G G EBF1_EBF_1 6 1 + 4.588290287257043 2.4214958559825663 CACCCCAAGAGAGC chr18 76981755 76981756 chr18:76981756:C:T rs114337119 C T C EBF1_EBF_1 7 1 + 7.131086976919757 8.94859172320238 TCTCCCTCGGGAGC chr18 76983955 76983956 chr18:76983956:A:G rs470213 A G G EBF1_EBF_1 23 0 + 0 0 . chr18 76984351 76984352 chr18:76984352:C:T rs2279080 C T C EBF1_EBF_1 -2 0 + 0 0 . chr18 76984377 76984378 chr18:76984378:T:C rs470550 T C C EBF1_EBF_1 24 0 + 0 0 . chr18 76997394 76997395 chr18:76997395:C:G rs3794851 C G C EBF1_EBF_1 29 0 + 0 0 . chr18 77013391 77013392 chr18:77013392:C:T rs470871 C T C EBF1_EBF_1 0 1 + 8.23451763225121 8.516719692981788 CGCCCCATGGGATT chr18 77034234 77034235 chr18:77034235:G:A chr18:77034235:G:A G A G EBF1_EBF_1 11 1 - 5.0421968014508245 5.360244243204488 GGTCCCAGGGGCCA chr18 77043758 77043759 chr18:77043759:C:T rs4890883 C T - EBF1_EBF_1 9 1 - 9.773150040555313 7.11821077510771 GCTCCCCTGGGAAA chr18 77053171 77053172 chr18:77053172:G:C rs559418 G C C EBF1_EBF_1 -19 0 - 0 0 . chr18 77054421 77054422 chr18:77054422:C:T rs563690215 C T C EBF1_EBF_1 33 0 - 0 0 . chr18 77054434 77054435 chr18:77054435:G:A rs117322811 G A G EBF1_EBF_1 21 0 - 0 0 . chr18 77056712 77056713 chr18:77056713:G:A rs56697205 G A G EBF1_EBF_1 33 0 - 0 0 . chr18 77070696 77070697 chr18:77070697:C:T rs12456475 C T C EBF1_EBF_1 -15 0 - 0 0 . chr18 77075917 77075918 chr18:77075918:T:C rs62104278 T C T EBF1_EBF_1 -2 0 - 0 0 . chr18 77075924 77075925 chr18:77075925:C:T rs62104279 C T C EBF1_EBF_1 -9 0 - 0 0 . chr18 77088236 77088237 chr18:77088237:G:T rs7241533 G T G EBF1_EBF_1 -15 0 + 0 0 . chr18 77088263 77088264 chr18:77088264:C:T rs7242698 C T C EBF1_EBF_1 12 1 + 5.796349565202305 4.770082994651455 ATCCCTCAGGGACA chr18 77093241 77093242 chr18:77093242:C:T rs113504940 C T c EBF1_EBF_1 -4 0 + 0 0 . chr18 77093757 77093758 chr18:77093758:C:A rs11662430 C A T EBF1_EBF_1 7 1 + 4.155860360016239 6.4496393463864194 ATTCCCACGGGCGG chr18 77094792 77094793 chr18:77094793:G:T rs71360995 G T G EBF1_EBF_1 1 1 - 7.773372677290649 7.7024454999385625 TCCCCCCTGGGACA chr18 77095438 77095439 chr18:77095439:A:G rs73493031 A G A EBF1_EBF_1 -1 0 + 0 0 . chr18 77097474 77097475 chr18:77097475:C:G chr18:77097475:C:G C G C EBF1_EBF_1 9 1 - 5.435905999846538 0.3602168535010744 TCTCCCCTAGGACA chr18 77100893 77100894 chr18:77100894:G:T rs72973207 G T G EBF1_EBF_1 11 1 + 5.837874198075306 4.632666785580591 ATCCCCAGGAGGCT chr18 77119677 77119678 chr18:77119678:A:C rs1789082 A C C EBF1_EBF_1 -5 0 - 0 0 . chr18 77124625 77124626 chr18:77124626:C:T rs1893710 C T C EBF1_EBF_1 4 1 + 5.627016076993898 1.2576291320489734 TCTCCCTCGGGGCC chr18 77136859 77136860 chr18:77136860:G:A rs78072200 G A G EBF1_EBF_1 21 0 - 0 0 . chr18 77137475 77137476 chr18:77137476:C:T rs1629170 C T C EBF1_EBF_1 -17 0 + 0 0 . chr18 77137476 77137477 chr18:77137477:G:A rs7245120 G A G EBF1_EBF_1 -16 0 + 0 0 . chr18 77137521 77137522 chr18:77137522:A:G rs2013313 A G G EBF1_EBF_1 29 0 + 0 0 . chr18 77147593 77147594 chr18:77147594:T:A rs72977174 T A T EBF1_EBF_1 11 1 - 9.187614291332808 5.089700035234084 TCTCCCAGGGGACA chr18 77156698 77156699 chr18:77156699:T:C rs8094582 T C C EBF1_EBF_1 -3 0 + 0 0 . chr18 77169222 77169223 chr18:77169223:T:C rs9963411 T C T EBF1_EBF_1 -6 0 - 0 0 . chr18 77191086 77191087 chr18:77191087:A:C rs6565939 A C A EBF1_EBF_1 28 0 - 0 0 . chr18 77206990 77206991 chr18:77206991:A:G rs72979199 A G a EBF1_EBF_1 -12 0 - 0 0 . chr18 77207929 77207930 chr18:77207930:C:T rs2717113 C T G EBF1_EBF_1 7 1 + 5.771307497794392 7.588812244077015 TGTCCCACGAGAAA chr18 77209998 77209999 chr18:77209999:G:A rs542834843 G A G EBF1_EBF_1 30 0 - 0 0 . chr18 77239632 77239633 chr18:77239633:C:T rs62105183 C T C EBF1_EBF_1 18 0 + 0 0 . chr18 77243373 77243374 chr18:77243374:G:T rs2717117 G T A EBF1_EBF_1 13 1 - 7.0800899543233 6.706344505037324 AGCCCCAAGGGTAC chr18 77251270 77251271 chr18:77251271:C:T rs75393275 C T C EBF1_EBF_1 10 1 - 4.574614570190306 -0.7434138890766606 AGACCCCAGGGCCC chr18 77254934 77254935 chr18:77254935:T:A rs7227459 T A T EBF1_EBF_1 -2 0 - 0 0 . chr18 77353764 77353765 chr18:77353765:T:C rs12605557 T C T EBF1_EBF_1 4 1 - 4.854794692654801 5.053589214958724 CTTCACCTGGGAAC chr18 77376284 77376285 chr18:77376285:G:A rs62105282 G A g EBF1_EBF_1 -5 0 + 0 0 . chr18 77376301 77376302 chr18:77376302:T:G rs12970289 T G t EBF1_EBF_1 12 1 + 5.533884910668788 5.171515537541486 ACTCCCTAGAGCTT chr18 77392509 77392510 chr18:77392510:C:T rs4890927 C T C EBF1_EBF_1 8 1 - 7.390315986017344 3.1640258971310824 ATACCCACGGGACA chr18 77404352 77404353 chr18:77404353:G:A rs62105311 G A G EBF1_EBF_1 27 0 - 0 0 . chr18 77448203 77448204 chr18:77448204:T:C rs1893494 T C C EBF1_EBF_1 -7 0 + 0 0 . chr18 77451759 77451760 chr18:77451760:G:C rs12455724 G C G EBF1_EBF_1 5 1 - 8.647305594340624 1.7424244417054549 TCTCCCCTGGGAGC chr18 77492816 77492817 chr18:77492817:A:T rs7234147 A T A EBF1_EBF_1 24 0 - 0 0 . chr18 77496663 77496664 chr18:77496664:T:C rs6565981 T C C EBF1_EBF_1 21 0 + 0 0 . chr18 77518374 77518375 chr18:77518375:A:G rs12457819 A G G EBF1_EBF_1 -16 0 - 0 0 . chr18 77550710 77550711 chr18:77550711:C:T rs55751866 C T C EBF1_EBF_1 -10 0 + 0 0 . chr18 77554877 77554878 chr18:77554878:G:A rs117297003 G A G EBF1_EBF_1 4 1 - 6.702472694721882 2.3330857497769584 AGTGCCTTGGGAAC chr18 77622616 77622617 chr18:77622617:T:G rs35249514 T G T EBF1_EBF_1 13 1 - 10.275427468400967 10.649172917686942 CTTCCCCTGGGACA chr18 77634716 77634717 chr18:77634717:G:T rs117475814 G T G EBF1_EBF_1 -1 0 - 0 0 . chr18 77652513 77652514 chr18:77652514:T:G rs4890981 T G T EBF1_EBF_1 27 0 - 0 0 . chr18 77851541 77851542 chr18:77851542:C:T rs1156208 C T C EBF1_EBF_1 -14 0 - 0 0 . chr18 77858745 77858746 chr18:77858746:C:T rs868033 C T C EBF1_EBF_1 -3 0 + 0 0 . chr18 77867088 77867089 chr18:77867089:A:G rs12955790 A G G EBF1_EBF_1 -18 0 + 0 0 . chr18 77867167 77867168 chr18:77867168:G:A rs72984324 G A G EBF1_EBF_1 33 0 + 0 0 . chr18 77938844 77938845 chr18:77938845:C:T rs6566045 C T C EBF1_EBF_1 -13 0 + 0 0 . chr18 77977197 77977198 chr18:77977198:C:T rs118174868 C T . EBF1_EBF_1 -18 0 + 0 0 . chr18 78044404 78044405 chr18:78044405:C:T rs4399625 C T C EBF1_EBF_1 28 0 + 0 0 . chr18 78058004 78058005 chr18:78058005:A:G rs7242241 A G G EBF1_EBF_1 31 0 - 0 0 . chr18 78070039 78070040 chr18:78070040:C:A rs115967425 C A C EBF1_EBF_1 -3 0 - 0 0 . chr18 78086830 78086831 chr18:78086831:C:T rs12373498 C T c EBF1_EBF_1 27 0 - 0 0 . chr18 78229203 78229204 chr18:78229204:C:G rs7232947 C G C EBF1_EBF_1 28 0 + 0 0 . chr18 78296403 78296404 chr18:78296404:C:T rs7242110 C T C EBF1_EBF_1 3 1 + 6.83686109039962 0.431462348846304 AGCCCCAGGAGAAA chr18 78363681 78363682 chr18:78363682:G:T rs9957627 G T G EBF1_EBF_1 -2 0 + 0 0 . chr18 78368301 78368302 chr18:78368302:T:C rs6506832 T C C EBF1_EBF_1 7 1 + 5.337777779435807 3.5202730331531837 CCTCCCCTGGGCCC chr18 78368308 78368309 chr18:78368309:A:G rs1037733 A G G EBF1_EBF_1 14 0 + 0 0 . chr18 78392219 78392220 chr18:78392220:A:C rs8099704 A C A EBF1_EBF_1 28 0 - 0 0 . chr18 78392794 78392795 chr18:78392795:C:G rs62091506 C G C EBF1_EBF_1 -9 0 - 0 0 . chr18 78419812 78419813 chr18:78419813:C:T rs9954783 C T C EBF1_EBF_1 25 0 + 0 0 . chr18 78492973 78492974 chr18:78492974:C:T rs166768 C T C EBF1_EBF_1 -19 0 + 0 0 . chr18 78492985 78492986 chr18:78492986:C:T rs536014649 C T C EBF1_EBF_1 -7 0 + 0 0 . chr18 78492986 78492987 chr18:78492987:G:A rs564695251 G A G EBF1_EBF_1 -6 0 + 0 0 . chr18 78506572 78506573 chr18:78506573:T:A rs278814 T A T EBF1_EBF_1 -15 0 + 0 0 . chr18 78519498 78519499 chr18:78519499:C:T rs115116520 C T C EBF1_EBF_1 14 0 - 0 0 . chr18 78527480 78527481 chr18:78527481:A:G rs75014753 A G A EBF1_EBF_1 0 1 + 8.695503103420444 6.803219233855611 AGTCCCAGGAGACA chr18 78527498 78527499 chr18:78527499:G:A rs547805101 G A G EBF1_EBF_1 18 0 + 0 0 . chr18 78535253 78535254 chr18:78535254:C:T rs180990624 C T C EBF1_EBF_1 29 0 - 0 0 . chr18 78535254 78535255 chr18:78535255:G:A rs542174320 G A G EBF1_EBF_1 28 0 - 0 0 . chr18 78535270 78535271 chr18:78535271:G:A rs12962231 G A A EBF1_EBF_1 12 1 - 4.882187724991618 3.855921154440768 AGCCCCCAGGGCCG chr18 78559399 78559400 chr18:78559400:G:A rs61021318 G A G EBF1_EBF_1 21 0 + 0 0 . chr18 78559801 78559802 chr18:78559802:G:A rs28734918 G A G EBF1_EBF_1 1 1 - 10.551108338050707 11.446541778449454 ACTCCCCTGGGAAG chr18 78563450 78563451 chr18:78563451:C:T rs553185631 C T C EBF1_EBF_1 -7 0 + 0 0 . chr18 78580263 78580264 chr18:78580264:G:A rs143244329 G A G EBF1_EBF_1 6 1 - 4.785411019149859 5.086697148011617 AGACCCCGGGGGCC chr18 78583461 78583462 chr18:78583462:G:A rs1318154 G A g EBF1_EBF_1 -17 0 - 0 0 . chr18 78602096 78602097 chr18:78602097:C:T rs544829984 C T C EBF1_EBF_1 22 0 - 0 0 . chr18 78618759 78618760 chr18:78618760:G:A rs80102153 G A G EBF1_EBF_1 10 1 + 4.252702703648417 -1.0653257556185494 CACCCCCTGGGCAT chr18 78628304 78628305 chr18:78628305:G:T rs72987715 G T G EBF1_EBF_1 6 1 - 6.461351851933183 6.82295421973446 ACTCCCCTGAGGCC chr18 78628504 78628505 chr18:78628505:C:T rs56111610 C T C EBF1_EBF_1 6 1 + 6.611236593727912 6.912522722589669 AGCCCCCGGGGGAC chr18 78635324 78635325 chr18:78635325:G:A rs72987764 G A G EBF1_EBF_1 -13 0 + 0 0 . chr18 78635543 78635544 chr18:78635544:G:C rs72987766 G C G EBF1_EBF_1 31 0 + 0 0 . chr18 78635585 78635586 chr18:78635586:G:A rs56126175 G A G EBF1_EBF_1 4 1 - 7.835434325541458 3.466047380596534 AATCCCCGGGGGCA chr18 78644164 78644165 chr18:78644165:C:T rs62098750 C T C EBF1_EBF_1 18 0 + 0 0 . chr18 78653328 78653329 chr18:78653329:C:G rs188822739 C G - EBF1_EBF_1 -6 0 + 0 0 . chr18 78655962 78655963 chr18:78655963:C:T rs12955300 C T C EBF1_EBF_1 33 0 + 0 0 . chr18 78659801 78659802 chr18:78659802:A:C rs2941844 A C C EBF1_EBF_1 3 1 - 5.895899664802279 6.47353395824038 AGTTCCCAGGGACA chr18 78659813 78659814 chr18:78659814:C:A rs9944934 C A C EBF1_EBF_1 -9 0 - 0 0 . chr18 78663128 78663129 chr18:78663129:A:G rs2941846 A G G EBF1_EBF_1 23 0 - 0 0 . chr18 78663171 78663172 chr18:78663172:A:G rs2941848 A G G EBF1_EBF_1 -20 0 - 0 0 . chr18 78664003 78664004 chr18:78664004:C:T rs2941852 C T C EBF1_EBF_1 10 1 - 6.262910536863426 0.9448820775964604 GGTCCCCTGAGAGC chr18 78675402 78675403 chr18:78675403:G:T rs8095305 G T G EBF1_EBF_1 -11 0 - 0 0 . chr18 78677021 78677022 chr18:78677022:C:T rs191333749 C T C EBF1_EBF_1 -12 0 + 0 0 . chr18 78685305 78685306 chr18:78685306:T:A rs2005484 T A T EBF1_EBF_1 -13 0 - 0 0 . chr18 78693578 78693579 chr18:78693579:T:A rs76893430 T A T EBF1_EBF_1 25 0 + 0 0 . chr18 78693641 78693642 chr18:78693642:A:G rs76694749 A G A EBF1_EBF_1 8 1 + 5.17129441163501 9.39758450052127 AGCCCCCAAGGAGC chr18 78695618 78695619 chr18:78695619:A:G rs2941792 A G A EBF1_EBF_1 13 1 - 6.701198153781172 5.8567040391956535 CTCCCCTAGAGATT chr18 78695650 78695651 chr18:78695651:C:T rs138676549 C T C EBF1_EBF_1 -19 0 - 0 0 . chr18 78731471 78731472 chr18:78731472:G:A rs150832188 G A G EBF1_EBF_1 2 1 - 4.665527243274708 6.554350654716858 ACCCACAGGGGACT chr18 78731483 78731484 chr18:78731484:A:G rs4482364 A G A EBF1_EBF_1 -10 0 - 0 0 . chr18 78736435 78736436 chr18:78736436:G:A rs183597428 G A G EBF1_EBF_1 -2 0 + 0 0 . chr18 78754894 78754895 chr18:78754895:A:G rs2941823 A G G EBF1_EBF_1 -18 0 - 0 0 . chr18 78757898 78757899 chr18:78757899:C:G rs77931494 C G C EBF1_EBF_1 -5 0 - 0 0 . chr18 78769452 78769453 chr18:78769453:A:C rs10153435 A C A EBF1_EBF_1 -5 0 - 0 0 . chr18 78773749 78773750 chr18:78773750:G:A rs60009883 G A G EBF1_EBF_1 29 0 + 0 0 . chr18 78794245 78794246 chr18:78794246:A:G rs4799201 A G G EBF1_EBF_1 15 0 + 0 0 . chr18 78813308 78813309 chr18:78813309:G:T rs7245334 G T G EBF1_EBF_1 3 1 - 7.634599570917619 0.7284255414518117 CATCCCCTGGGGCT chr18 78814906 78814907 chr18:78814907:A:G rs11877306 A G A EBF1_EBF_1 18 0 + 0 0 . chr18 78818222 78818223 chr18:78818223:T:G rs111775045 T G t EBF1_EBF_1 31 0 - 0 0 . chr18 78818276 78818277 chr18:78818277:G:T rs113371128 G T G EBF1_EBF_1 2 1 - 5.225315817231623 3.429671641074896 AGCTCCCAGGGACT chr18 78819151 78819152 chr18:78819152:G:A rs75056982 G A G EBF1_EBF_1 6 1 - 8.548236060611712 8.849522189473468 ACCCCCCAGGGGCT chr18 78819325 78819326 chr18:78819326:G:A rs1386842119 G A g EBF1_EBF_1 1 1 - 8.548236060611712 9.443669501010454 ACCCCCCAGGGGCT chr18 78867312 78867313 chr18:78867313:G:A rs191050533 G A G EBF1_EBF_1 23 0 + 0 0 . chr18 78894607 78894608 chr18:78894608:A:G rs9946138 A G A EBF1_EBF_1 -3 0 - 0 0 . chr18 78905448 78905449 chr18:78905449:A:G rs2927245 A G G EBF1_EBF_1 -8 0 - 0 0 . chr18 78941119 78941120 chr18:78941120:C:T rs28379842 C T t EBF1_EBF_1 21 0 + 0 0 . chr18 78955050 78955051 chr18:78955051:G:A rs8096038 G A G EBF1_EBF_1 -16 0 - 0 0 . chr18 78955266 78955267 chr18:78955267:A:G rs75880080 A G G EBF1_EBF_1 -2 0 + 0 0 . chr18 78974317 78974318 chr18:78974318:T:A rs112105006 T A T EBF1_EBF_1 6 1 - 4.027306307058649 3.9669900681191295 GGTCCCAGGGGCGC chr18 78975187 78975188 chr18:78975188:G:A rs79257479 G A G EBF1_EBF_1 -13 0 - 0 0 . chr18 78978664 78978665 chr18:78978665:C:G rs114411099 C G C EBF1_EBF_1 -3 0 + 0 0 . chr18 78992262 78992263 chr18:78992263:C:A rs35091814 C A C EBF1_EBF_1 5 1 + 4.009910540475802 -2.8949706121593657 GCCCCCCGAGGACT chr18 78996127 78996128 chr18:78996128:G:A rs145252877 G A G EBF1_EBF_1 -4 0 - 0 0 . chr18 79030807 79030808 chr18:79030808:G:A rs117536813 G A g EBF1_EBF_1 -12 0 - 0 0 . chr18 79042012 79042013 chr18:79042013:G:A rs6650633 G A g EBF1_EBF_1 0 1 - 5.102553707763814 5.384755768494393 CGCCCCTTGGGTCT chr18 79054969 79054970 chr18:79054970:A:G rs576396 A G G EBF1_EBF_1 17 0 - 0 0 . chr18 79061705 79061706 chr18:79061706:G:A rs138218667 G A G EBF1_EBF_1 28 0 - 0 0 . chr18 79061766 79061767 chr18:79061767:T:C rs566959 T C T EBF1_EBF_1 33 0 + 0 0 . chr18 79069598 79069599 chr18:79069599:C:T rs4312374 C T C EBF1_EBF_1 33 0 + 0 0 . chr18 79074266 79074267 chr18:79074267:G:A rs28412274 G A G EBF1_EBF_1 -1 0 - 0 0 . chr18 79334718 79334719 chr18:79334719:C:G rs9960538 C G G EBF1_EBF_1 -13 0 + 0 0 . chr18 79352330 79352331 chr18:79352331:A:G rs57764694 A G A EBF1_EBF_1 20 0 - 0 0 . chr18 79366192 79366193 chr18:79366193:G:A rs78321723 G A G EBF1_EBF_1 20 0 - 0 0 . chr18 79378394 79378395 chr18:79378395:T:C rs3744835 T C C EBF1_EBF_1 26 0 + 0 0 . chr18 79381301 79381302 chr18:79381302:G:A rs61641526 G A G EBF1_EBF_1 33 0 - 0 0 . chr18 79384855 79384856 chr18:79384856:C:A chr18:79384856:C:A C A C EBF1_EBF_1 21 0 + 0 0 . chr18 79384855 79384856 chr18:79384856:C:T rs8085140 C T C EBF1_EBF_1 21 0 + 0 0 . chr18 79388972 79388973 chr18:79388973:A:G rs3859314 A G G EBF1_EBF_1 33 0 + 0 0 . chr18 79395814 79395815 chr18:79395815:G:C rs953960253 G C G EBF1_EBF_1 25 0 + 0 0 . chr18 79399678 79399679 chr18:79399679:T:C rs576791401 T C T EBF1_EBF_1 13 1 - 4.088426859794793 2.974101287725354 CGCCCCGCGGGAAA chr18 79400108 79400109 chr18:79400109:C:T rs552425073 C T c EBF1_EBF_1 21 0 + 0 0 . chr18 79405990 79405991 chr18:79405991:G:C rs68734 G C G EBF1_EBF_1 -11 0 - 0 0 . chr18 79411910 79411911 chr18:79411911:C:T rs73492185 C T C EBF1_EBF_1 7 1 - 6.384067524361925 7.696525929650751 ATTCCCCGAGGAAG chr18 79412077 79412078 chr18:79412078:A:G rs304932 A G G EBF1_EBF_1 7 1 + 6.449978044704503 5.137519639415678 GCTCCCCAGAGACG chr18 79419832 79419833 chr18:79419833:G:A rs34712138 G A G EBF1_EBF_1 8 1 + 8.055748403902 3.8294583150157377 CCTCCCTCGGGATT chr18 79440654 79440655 chr18:79440655:C:T rs76617579 C T C EBF1_EBF_1 6 1 - 5.3830388219862 7.549833253260674 CTTCCCGGGAGAAC chr18 79465550 79465551 chr18:79465551:C:T rs375917027 C T C EBF1_EBF_1 29 0 - 0 0 . chr18 79465568 79465569 chr18:79465569:T:G rs145155449 T G T EBF1_EBF_1 11 1 - 6.327315674858407 1.911353977006017 GACCCCACGGGACA chr18 79465911 79465912 chr18:79465912:C:T rs7239147 C T C EBF1_EBF_1 -19 0 + 0 0 . chr18 79465952 79465953 chr18:79465953:G:T rs144718114 G T G EBF1_EBF_1 22 0 + 0 0 . chr18 79493455 79493456 chr18:79493456:T:G rs4297774 T G t EBF1_EBF_1 7 1 + 4.321680473380188 3.48549630817892 TGTCCCCTGGGCCG chr18 79493458 79493459 chr18:79493459:G:A rs4550524 G A A EBF1_EBF_1 10 1 + 4.321680473380188 -0.9963479858867783 TGTCCCCTGGGCCG chr18 79493476 79493477 chr18:79493477:C:T rs1183301827 C T C EBF1_EBF_1 28 0 + 0 0 . chr18 79505946 79505947 chr18:79505947:T:C chr18:79505947:T:C T C T EBF1_EBF_1 -1 0 - 0 0 . chr18 79506430 79506431 chr18:79506431:G:C rs1027259842 G C G EBF1_EBF_1 -7 0 + 0 0 . chr18 79507884 79507885 chr18:79507885:G:A rs73973406 G A G EBF1_EBF_1 12 1 - 5.538405086575707 4.512138516024857 CTTCCCGCGGGACG chr18 79513657 79513658 chr18:79513658:G:C rs838055 G C T EBF1_EBF_1 -7 0 - 0 0 . chr18 79543905 79543906 chr18:79543906:C:G rs9950035 C G G EBF1_EBF_1 -4 0 + 0 0 . chr18 79548917 79548918 chr18:79548918:C:T rs138760319 C T C EBF1_EBF_1 31 0 - 0 0 . chr18 79549874 79549875 chr18:79549875:C:T rs115577135 C T C EBF1_EBF_1 -9 0 + 0 0 . chr18 79552778 79552779 chr18:79552779:C:T rs449183 C T C EBF1_EBF_1 -9 0 - 0 0 . chr18 79556656 79556657 chr18:79556657:A:C rs1660122 A C - EBF1_EBF_1 28 0 + 0 0 . chr18 79575454 79575455 chr18:79575455:G:A rs138717303 G A G EBF1_EBF_1 33 0 + 0 0 . chr18 79577235 79577236 chr18:79577236:C:A rs545375387 C A C EBF1_EBF_1 9 1 - 3.845166281048314 -2.9918231270404956 GGCCCCAGGGGTCC chr18 79581159 79581160 chr18:79581160:C:T rs628384 C T T EBF1_EBF_1 11 1 - 3.5055360086064797 6.398242852210491 GCCCCCACGGGGCA chr18 79581187 79581188 chr18:79581188:A:G rs491243 A G G EBF1_EBF_1 -17 0 - 0 0 . chr18 79583197 79583198 chr18:79583198:G:A rs61389441 G A g EBF1_EBF_1 12 1 + 4.309677239816891 5.728494581916369 CTTCCCTGGGGCGC chr18 79583854 79583855 chr18:79583855:G:A rs2439343 G A G EBF1_EBF_1 -15 0 + 0 0 . chr18 79583874 79583875 chr18:79583875:C:A rs2460396 C A a EBF1_EBF_1 5 1 + 5.207493153011155 -1.6973879996240142 AACCCCCAGGAACC chr18 79597969 79597970 chr18:79597970:G:A rs1371616756 G A G EBF1_EBF_1 -7 0 + 0 0 . chr18 79598014 79598015 chr18:79598015:C:T rs72999776 C T C EBF1_EBF_1 -8 0 + 0 0 . chr18 79603295 79603296 chr18:79603296:T:G rs584489 T G G EBF1_EBF_1 23 0 - 0 0 . chr18 79604177 79604178 chr18:79604178:G:A rs144751334 G A G EBF1_EBF_1 -17 0 + 0 0 . chr18 79604448 79604449 chr18:79604449:C:T rs3893172 C T C EBF1_EBF_1 -15 0 + 0 0 . chr18 79605602 79605603 chr18:79605603:C:T rs141296029 C T C EBF1_EBF_1 5 1 + 3.79439547792911 -1.5273917376115598 CTCCCCCAGGGCTC chr18 79608248 79608249 chr18:79608249:G:A rs538460728 G A G EBF1_EBF_1 6 1 + 5.727608239213018 7.894402670487492 AGCCCCGAGGGTCT chr18 79609081 79609082 chr18:79609082:G:T chr18:79609082:G:T G T G EBF1_EBF_1 -6 0 - 0 0 . chr18 79610938 79610939 chr18:79610939:G:A rs73001715 G A G EBF1_EBF_1 31 0 + 0 0 . chr18 79614320 79614321 chr18:79614321:C:T rs9949526 C T C EBF1_EBF_1 11 1 - 4.8558458813729235 7.748552724976935 CTCCCCCAGGGGCG chr18 79617289 79617290 chr18:79617290:G:C rs190965079 G C N EBF1_EBF_1 -12 0 - 0 0 . chr18 79617307 79617308 chr18:79617308:C:T rs143157934 C T c EBF1_EBF_1 -3 0 + 0 0 . chr18 79617351 79617352 chr18:79617352:G:A rs1378671143 G A - EBF1_EBF_1 25 0 + 0 0 . chr18 79617448 79617449 chr18:79617449:G:A rs112817354 G A - EBF1_EBF_1 8 1 + 4.749111952777225 0.5228218638909641 CGCCCCCCGGGACG chr18 79617585 79617586 chr18:79617586:T:G rs112534720 T G - EBF1_EBF_1 -4 0 - 0 0 . chr18 79627464 79627465 chr18:79627465:C:T rs1197301719 C T C EBF1_EBF_1 -14 0 + 0 0 . chr18 79634317 79634318 chr18:79634318:C:T rs73003640 C T c EBF1_EBF_1 16 0 + 0 0 . chr18 79638160 79638161 chr18:79638161:G:C rs12955875 G C G EBF1_EBF_1 -7 0 - 0 0 . chr18 79642304 79642305 chr18:79642305:C:G rs56014953 C G - EBF1_EBF_1 32 0 + 0 0 . chr18 79644189 79644190 chr18:79644190:G:A rs143146749 G A G EBF1_EBF_1 -19 0 + 0 0 . chr18 79657933 79657934 chr18:79657934:T:C rs2460386 T C C EBF1_EBF_1 18 0 - 0 0 . chr18 79680132 79680133 chr18:79680133:G:C rs79644263 G C G EBF1_EBF_1 31 0 - 0 0 . chr18 79680156 79680157 chr18:79680157:C:A chr18:79680157:C:A C A C EBF1_EBF_1 7 1 - 4.7706100444445 5.606794209645768 AGCCCCCGGAGGCT chr18 79680159 79680160 chr18:79680160:G:C rs201234471 G C G EBF1_EBF_1 4 1 - 4.7706100444445 -0.8551550567050445 AGCCCCCGGAGGCT chr18 79691288 79691289 chr18:79691289:G:A rs117231913 G A G EBF1_EBF_1 5 1 - 5.2653184590115885 -0.05646875652908112 CCTCCCTTGGGCCA chr18 79693665 79693666 chr18:79693666:G:A rs79558088 G A G EBF1_EBF_1 -13 0 + 0 0 . chr18 79698463 79698464 chr18:79698464:A:C rs73009307 A C A EBF1_EBF_1 13 1 - 6.727389778195101 4.394824642254168 TTCCCCTGGAGAAT chr18 79713126 79713127 chr18:79713127:C:T rs2279103 C T C EBF1_EBF_1 27 0 + 0 0 . chr18 79734581 79734582 chr18:79734582:G:A rs3786243 G A G EBF1_EBF_1 27 0 - 0 0 . chr18 79734591 79734592 chr18:79734592:C:T rs3786244 C T C EBF1_EBF_1 17 0 - 0 0 . chr18 79734603 79734604 chr18:79734604:G:A rs3786246 G A G EBF1_EBF_1 5 1 - 5.293100335004664 -0.028686880536006862 GGACCCGAGGGACC chr18 79738089 79738090 chr18:79738090:T:C rs9646503 T C T EBF1_EBF_1 -9 0 - 0 0 . chr18 79755305 79755306 chr18:79755306:G:A rs527883010 G A G EBF1_EBF_1 6 1 - 5.053359995727592 5.35464612458935 AGACCCCGGAGAAC chr18 79774361 79774362 chr18:79774362:G:A rs599156 G A G EBF1_EBF_1 -13 0 + 0 0 . chr18 79782652 79782653 chr18:79782653:A:C rs515616 A C A EBF1_EBF_1 26 0 - 0 0 . chr18 79782666 79782667 chr18:79782667:T:C rs8086890 T C T EBF1_EBF_1 12 1 - 4.916320369166458 3.4975030270669807 AGTCACCTGGGAAG chr18 79786182 79786183 chr18:79786183:G:A rs9946216 G A g EBF1_EBF_1 30 0 + 0 0 . chr18 79786376 79786377 chr18:79786377:G:A rs117472063 G A G EBF1_EBF_1 -10 0 - 0 0 . chr18 79804499 79804500 chr18:79804500:C:T rs115423875 C T c EBF1_EBF_1 13 1 + 4.628791645312598 5.473285759898116 CCTCCCATGGGTGC chr18 79831758 79831759 chr18:79831759:T:C rs12605916 T C T EBF1_EBF_1 21 0 + 0 0 . chr18 79854064 79854065 chr18:79854065:C:T rs527875644 C T C EBF1_EBF_1 14 0 - 0 0 . chr18 79863414 79863415 chr18:79863415:A:G rs4455031 A G G EBF1_EBF_1 -16 0 - 0 0 . chr18 79868683 79868684 chr18:79868684:A:G rs4799095 A G G EBF1_EBF_1 -20 0 + 0 0 . chr18 79868718 79868719 chr18:79868719:T:C rs35201860 T C C EBF1_EBF_1 15 0 + 0 0 . chr18 79870072 79870073 chr18:79870073:A:G rs4799096 A G a EBF1_EBF_1 -3 0 + 0 0 . chr18 79877755 79877756 chr18:79877756:G:A rs577122998 G A G EBF1_EBF_1 28 0 - 0 0 . chr18 79884494 79884495 chr18:79884495:C:T rs7235123 C T T EBF1_EBF_1 7 1 - 7.924165341043524 9.236623746332349 CTCCCCCGGGGACC chr18 79887634 79887635 chr18:79887635:C:G rs62103239 C G C EBF1_EBF_1 30 0 + 0 0 . chr18 79898467 79898468 chr18:79898468:G:A rs55836638 G A G EBF1_EBF_1 -2 0 + 0 0 . chr18 79911526 79911527 chr18:79911527:C:T rs1395802984 C T C EBF1_EBF_1 0 1 + 4.691365268803757 4.973567329534335 CGTCCCCGGGGCCC chr18 79925589 79925590 chr18:79925590:G:A rs528037229 G A G EBF1_EBF_1 -18 0 + 0 0 . chr18 79927662 79927663 chr18:79927663:G:A rs1002431942 G A G EBF1_EBF_1 -14 0 - 0 0 . chr18 79927663 79927664 chr18:79927664:C:T rs143558163 C T C EBF1_EBF_1 -15 0 - 0 0 . chr18 79934293 79934294 chr18:79934294:C:A rs2277724 C A C EBF1_EBF_1 27 0 - 0 0 . chr18 79969448 79969449 chr18:79969449:C:T rs55695451 C T C EBF1_EBF_1 -8 0 + 0 0 . chr18 79988524 79988525 chr18:79988525:A:C rs1077511 A C C EBF1_EBF_1 -6 0 + 0 0 . chr18 79988528 79988529 chr18:79988529:A:G rs773425655 A G A EBF1_EBF_1 -2 0 + 0 0 . chr18 79988563 79988564 chr18:79988564:G:A rs905396835 G A G EBF1_EBF_1 33 0 + 0 0 . chr18 80059314 80059315 chr18:80059315:G:A rs78134709 G A G EBF1_EBF_1 -4 0 + 0 0 . chr18 80069378 80069379 chr18:80069379:T:C rs72974364 T C T EBF1_EBF_1 28 0 + 0 0 . chr18 80071139 80071140 chr18:80071140:A:G rs57159353 A G G EBF1_EBF_1 24 0 + 0 0 . chr18 80100884 80100885 chr18:80100885:G:A rs75044135 G A g EBF1_EBF_1 -3 0 - 0 0 . chr18 80103218 80103219 chr18:80103219:T:C rs1240412 T C t EBF1_EBF_1 2 1 + 5.212546707671024 3.3237232962288727 CTTCCCAAAGGGCT chr18 80103246 80103247 chr18:80103247:A:G rs1240411 A G g EBF1_EBF_1 30 0 + 0 0 . chr18 80109066 80109067 chr18:80109067:G:C rs568530675 G C g EBF1_EBF_1 -3 0 + 0 0 . chr18 80109142 80109143 chr18:80109143:T:G rs34693849 T G g EBF1_EBF_1 25 0 - 0 0 . chr18 80118817 80118818 chr18:80118818:C:T rs4799134 C T t EBF1_EBF_1 -5 0 + 0 0 . chr18 80148483 80148484 chr18:80148484:G:T rs116660487 G T G EBF1_EBF_1 17 0 + 0 0 . chr18 80159754 80159755 chr18:80159755:G:A rs369956407 G A G EBF1_EBF_1 15 0 - 0 0 . chr18 80167709 80167710 chr18:80167710:G:A rs12967805 G A G EBF1_EBF_1 11 1 - 6.977967256687689 7.296014698441353 AATCCCCTGGGCGT chr18 80192431 80192432 chr18:80192432:C:T rs112211247 C T C EBF1_EBF_1 1 1 - 4.5012828634541755 4.2405840315328724 CGTCCCCTGGGCTC chr18 80198171 80198172 chr18:80198172:A:C rs9945977 A C C EBF1_EBF_1 19 0 + 0 0 . chr18 80201479 80201480 chr18:80201480:C:G rs12458930 C G C EBF1_EBF_1 32 0 + 0 0 . chr18 80228729 80228730 chr18:80228730:A:G rs8093843 A G A EBF1_EBF_1 0 1 + 6.074125168875786 4.1818412993109515 ACCCCCAGGGGCCT chr18 80239307 80239308 chr18:80239308:T:C rs56350247 T C C EBF1_EBF_1 -15 0 - 0 0 . chr18 80252736 80252737 chr18:80252737:C:T rs75887571 C T . EBF1_EBF_1 15 0 + 0 0 . chr18 80255994 80255995 chr18:80255995:G:A rs12954011 G A . EBF1_EBF_1 -18 0 + 0 0 . chr19 280661 280662 chr19:280662:C:T rs80308071 C T C EBF1_EBF_1 24 0 - 0 0 . chr19 292273 292274 chr19:292274:G:A rs113681915 G A G EBF1_EBF_1 31 0 - 0 0 . chr19 294305 294306 chr19:294306:T:C rs77790410 T C T EBF1_EBF_1 24 0 + 0 0 . chr19 336278 336279 chr19:336279:T:G rs11879189 T G T EBF1_EBF_1 9 1 + 5.838054447552763 12.67504385564157 AGTCCCCAGTGACC chr19 372736 372737 chr19:372737:T:C rs2303811 T C T EBF1_EBF_1 -15 0 + 0 0 . chr19 372774 372775 chr19:372775:G:A rs2303812 G A G EBF1_EBF_1 23 0 + 0 0 . chr19 375550 375551 chr19:375551:G:A rs111629221 G A g EBF1_EBF_1 -14 0 - 0 0 . chr19 378032 378033 chr19:378033:G:A rs73489987 G A G EBF1_EBF_1 -5 0 - 0 0 . chr19 405567 405568 chr19:405568:C:T rs1061151 C T T EBF1_EBF_1 22 0 - 0 0 . chr19 405592 405593 chr19:405593:A:G rs1061150 A G G EBF1_EBF_1 -3 0 - 0 0 . chr19 408496 408497 chr19:408497:G:A rs74451437 G A A EBF1_EBF_1 32 0 - 0 0 . chr19 408644 408645 chr19:408645:C:T rs79050649 C T C EBF1_EBF_1 16 0 - 0 0 . chr19 408653 408654 chr19:408654:C:G rs550732801 C G C EBF1_EBF_1 7 1 - 6.081448831678459 5.100128250597103 GCTCCCCGGAGAGT chr19 412811 412812 chr19:412812:G:A rs73501977 G A G EBF1_EBF_1 -1 0 + 0 0 . chr19 416962 416963 chr19:416963:C:T rs1046822 C T C EBF1_EBF_1 21 0 + 0 0 . chr19 418947 418948 chr19:418948:C:T rs61749990 C T C EBF1_EBF_1 15 0 + 0 0 . chr19 422533 422534 chr19:422534:G:A rs12984753 G A G EBF1_EBF_1 15 0 - 0 0 . chr19 422542 422543 chr19:422543:G:A rs2287958 G A G EBF1_EBF_1 6 1 - 11.202995194204854 11.504281323066612 ACTCCCCGGGGAAC chr19 422554 422555 chr19:422555:G:A rs2287957 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 456357 456358 chr19:456358:G:C rs112452922 G C - EBF1_EBF_1 -10 0 - 0 0 . chr19 482503 482504 chr19:482504:G:C rs4919901 G C G EBF1_EBF_1 8 1 + 6.4787871967953405 1.1601283358806547 CCTCCCAAGAGAAG chr19 483547 483548 chr19:483548:T:C rs12978805 T C C EBF1_EBF_1 -2 0 + 0 0 . chr19 483565 483566 chr19:483566:G:A rs115045306 G A G EBF1_EBF_1 16 0 + 0 0 . chr19 486101 486102 chr19:486102:C:G rs117318383 C G C EBF1_EBF_1 27 0 + 0 0 . chr19 492080 492081 chr19:492081:A:C rs184631389 A C - EBF1_EBF_1 11 1 + 6.8770325122546305 2.46107081440224 CCTCCCCTGGGAGG chr19 509896 509897 chr19:509897:G:A rs11085081 G A G EBF1_EBF_1 -6 0 + 0 0 . chr19 519591 519592 chr19:519592:G:C rs141580015 G C G EBF1_EBF_1 -12 0 + 0 0 . chr19 521815 521816 chr19:521816:C:G rs62130843 C G c EBF1_EBF_1 -5 0 + 0 0 . chr19 524514 524515 chr19:524515:C:G rs11882673 C G C EBF1_EBF_1 -2 0 - 0 0 . chr19 529043 529044 chr19:529044:G:A rs72970301 G A G EBF1_EBF_1 -2 0 - 0 0 . chr19 541094 541095 chr19:541095:C:T rs16990625 C T c EBF1_EBF_1 -20 0 - 0 0 . chr19 558931 558932 chr19:558932:T:C rs555353551 T C T EBF1_EBF_1 29 0 + 0 0 . chr19 569653 569654 chr19:569654:T:C rs117456440 T C - EBF1_EBF_1 20 0 + 0 0 . chr19 573333 573334 chr19:573334:C:G rs919103912 C G C EBF1_EBF_1 12 1 + 7.943294326426111 6.554658382747959 CCTCCCCTGAGACT chr19 573348 573349 chr19:573349:G:T chr19:573349:G:T G T G EBF1_EBF_1 27 0 + 0 0 . chr19 585617 585618 chr19:585618:A:G rs66897144 A G G EBF1_EBF_1 -19 0 + 0 0 . chr19 585650 585651 chr19:585651:C:T rs76485498 C T C EBF1_EBF_1 14 0 + 0 0 . chr19 593733 593734 chr19:593734:G:A rs113083835 G A G EBF1_EBF_1 22 0 + 0 0 . chr19 596419 596420 chr19:596420:T:C rs7257969 T C C EBF1_EBF_1 1 1 + 11.407649218505076 10.51221577810633 TTTCCCCAGGGACC chr19 603512 603513 chr19:603513:C:T rs4919815 C T - EBF1_EBF_1 -4 0 - 0 0 . chr19 605967 605968 chr19:605968:C:T rs34321099 C T C EBF1_EBF_1 -20 0 - 0 0 . chr19 640672 640673 chr19:640673:C:G rs750781120 C G C EBF1_EBF_1 14 0 - 0 0 . chr19 643102 643103 chr19:643103:G:A rs4919818 G A g EBF1_EBF_1 27 0 - 0 0 . chr19 643103 643104 chr19:643104:G:T rs4919819 G T g EBF1_EBF_1 26 0 - 0 0 . chr19 644461 644462 chr19:644462:G:C rs11572899 G C g EBF1_EBF_1 7 1 + 8.721534201806037 7.74021362072468 ATCCCCCGGGGAAG chr19 644742 644743 chr19:644743:G:C rs11572908 G C G EBF1_EBF_1 5 1 + 5.948610922935147 12.853492075570314 ACTCCGCTGGGACT chr19 644919 644920 chr19:644920:A:G rs11085153 A G A EBF1_EBF_1 -12 0 - 0 0 . chr19 658419 658420 chr19:658420:C:T rs34323762 C T C EBF1_EBF_1 9 1 - 4.904293396448914 2.2493541310013088 CTTCCCGAGGGCCC chr19 660912 660913 chr19:660913:C:T rs1009629 C T C EBF1_EBF_1 10 1 - 7.342377337111169 2.0243488778442034 GATCCCAAGGGCAT chr19 674565 674566 chr19:674566:C:T rs3761016 C T C EBF1_EBF_1 -10 0 - 0 0 . chr19 675747 675748 chr19:675748:T:A rs139223124 T A T EBF1_EBF_1 30 0 + 0 0 . chr19 677148 677149 chr19:677149:G:A rs115883910 G A A EBF1_EBF_1 -5 0 + 0 0 . chr19 679362 679363 chr19:679363:C:G rs736615 C G c EBF1_EBF_1 16 0 + 0 0 . chr19 680844 680845 chr19:680845:A:G rs12986335 A G G EBF1_EBF_1 19 0 - 0 0 . chr19 680873 680874 chr19:680874:C:T rs34067740 C T C EBF1_EBF_1 -10 0 - 0 0 . chr19 682009 682010 chr19:682010:G:T rs13730 G T G EBF1_EBF_1 13 1 - 6.238558565265815 5.864813115979839 ATCCCCAAAGGAGC chr19 685660 685661 chr19:685661:C:T rs12986403 C T C EBF1_EBF_1 20 0 + 0 0 . chr19 695271 695272 chr19:695272:C:T rs146599231 C T C EBF1_EBF_1 6 1 - 6.932635519853271 9.099429951127746 AGTCCCGGGAGAAC chr19 709674 709675 chr19:709675:C:T rs541494307 C T C EBF1_EBF_1 31 0 + 0 0 . chr19 710196 710197 chr19:710197:A:C rs528380248 A C a EBF1_EBF_1 24 0 - 0 0 . chr19 728094 728095 chr19:728095:C:T rs112970990 C T c EBF1_EBF_1 -7 0 - 0 0 . chr19 743361 743362 chr19:743362:G:A rs116962250 G A g EBF1_EBF_1 -18 0 - 0 0 . chr19 743538 743539 chr19:743539:C:T rs190170791 C T c EBF1_EBF_1 15 0 - 0 0 . chr19 748544 748545 chr19:748545:G:C rs78469059 G C g EBF1_EBF_1 29 0 - 0 0 . chr19 782440 782441 chr19:782441:C:T rs72982114 C T C EBF1_EBF_1 7 1 - 10.657482867071192 11.969941272360018 CTTCCCCGGGGACT chr19 791797 791798 chr19:791798:G:A rs351969 G A G EBF1_EBF_1 24 0 - 0 0 . chr19 791827 791828 chr19:791828:G:A rs57758528 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 798322 798323 chr19:798323:C:T rs961112495 C T C EBF1_EBF_1 -16 0 - 0 0 . chr19 822631 822632 chr19:822632:C:T rs539163576 C T A EBF1_EBF_1 29 0 - 0 0 . chr19 822660 822661 chr19:822661:C:G rs7258800 C G G EBF1_EBF_1 0 1 - 4.561606224751152 4.198631610619385 GGTCCCGAGGGCCC chr19 827654 827655 chr19:827655:G:A rs606119 G A G EBF1_EBF_1 -19 0 - 0 0 . chr19 830620 830621 chr19:830621:A:C rs653847 A C C EBF1_EBF_1 -18 0 + 0 0 . chr19 830620 830621 chr19:830621:A:T chr19:830621:A:T A T C EBF1_EBF_1 -18 0 + 0 0 . chr19 839762 839763 chr19:839763:A:G rs73492527 A G A EBF1_EBF_1 6 1 + 7.1843949596524785 5.017600528378003 GACCCCAAGAGACT chr19 839896 839897 chr19:839897:C:T rs4019962 C T c EBF1_EBF_1 -9 0 - 0 0 . chr19 840447 840448 chr19:840448:G:A rs62132295 G A G EBF1_EBF_1 19 0 - 0 0 . chr19 840476 840477 chr19:840477:T:C rs4807930 T C C EBF1_EBF_1 -10 0 - 0 0 . chr19 848083 848084 chr19:848084:C:T rs114324388 C T C EBF1_EBF_1 -17 0 + 0 0 . chr19 848284 848285 chr19:848285:G:A rs117174809 G A - EBF1_EBF_1 31 0 - 0 0 . chr19 860832 860833 chr19:860833:C:G rs373872274 C G C EBF1_EBF_1 -19 0 + 0 0 . chr19 860851 860852 chr19:860852:G:C rs1629038 G C C EBF1_EBF_1 0 1 + 4.8787272668689345 4.515752652737167 GGTCCCCAGGGCCG chr19 883882 883883 chr19:883883:G:C rs10451478 G C G EBF1_EBF_1 -8 0 - 0 0 . chr19 892614 892615 chr19:892615:A:G rs2365703 A G G EBF1_EBF_1 -19 0 + 0 0 . chr19 892997 892998 chr19:892998:C:T rs141399595 C T C EBF1_EBF_1 -17 0 + 0 0 . chr19 893004 893005 chr19:893005:G:A rs558102960 G A G EBF1_EBF_1 -10 0 + 0 0 . chr19 893014 893015 chr19:893015:G:A rs146932760 G A G EBF1_EBF_1 0 1 + 5.398014658299118 7.29029852786395 GTTCCCTCGGGTCC chr19 903240 903241 chr19:903241:A:C rs2046476 A C a EBF1_EBF_1 -20 0 + 0 0 . chr19 907820 907821 chr19:907821:C:T rs8107775 C T C EBF1_EBF_1 -1 0 + 0 0 . chr19 913047 913048 chr19:913048:G:C rs1683587 G C g EBF1_EBF_1 -12 0 - 0 0 . chr19 913050 913051 chr19:913051:C:G rs1623302 C G c EBF1_EBF_1 -15 0 - 0 0 . chr19 924911 924912 chr19:924912:G:A rs35705888 G A G EBF1_EBF_1 -8 0 - 0 0 . chr19 928072 928073 chr19:928073:T:C rs350143 T C C EBF1_EBF_1 11 1 + 7.626273562055298 7.308226120301634 AGTCCCAGGGGTCC chr19 929185 929186 chr19:929186:A:G rs350145 A G G EBF1_EBF_1 7 1 + 6.950593570888566 5.638135165599741 GATCCCCAGGGCCT chr19 940816 940817 chr19:940817:G:A rs563499121 G A G EBF1_EBF_1 -2 0 + 0 0 . chr19 940846 940847 chr19:940847:C:T rs531010639 C T C EBF1_EBF_1 28 0 + 0 0 . chr19 940847 940848 chr19:940848:G:A rs10404117 G A G EBF1_EBF_1 29 0 + 0 0 . chr19 949280 949281 chr19:949281:A:G rs2238579 A G G EBF1_EBF_1 -2 0 + 0 0 . chr19 949283 949284 chr19:949284:G:A rs2238580 G A G EBF1_EBF_1 1 1 + 8.893936574634587 8.633237742713286 GGCCCCCAGGGACC chr19 979332 979333 chr19:979333:G:C rs59567024 G C G EBF1_EBF_1 -14 0 - 0 0 . chr19 980707 980708 chr19:980708:G:A rs537142511 G A g EBF1_EBF_1 12 1 - 7.730770419593273 6.704503849042424 CCTCCCTGGGGACG chr19 982757 982758 chr19:982758:C:T chr19:982758:C:T C T C EBF1_EBF_1 24 0 - 0 0 . chr19 982767 982768 chr19:982768:G:A rs191161713 G A G EBF1_EBF_1 14 0 - 0 0 . chr19 982792 982793 chr19:982793:C:T rs118167157 C T a EBF1_EBF_1 -11 0 - 0 0 . chr19 985112 985113 chr19:985113:C:G rs72986036 C G C EBF1_EBF_1 -3 0 - 0 0 . chr19 989729 989730 chr19:989730:C:A rs2240147 C A C EBF1_EBF_1 19 0 + 0 0 . chr19 990818 990819 chr19:990819:G:A rs2240149 G A G EBF1_EBF_1 -18 0 - 0 0 . chr19 998686 998687 chr19:998687:C:T rs11667292 C T C EBF1_EBF_1 2 1 + 7.126960166658598 9.015783578100748 TGCCCCCGGGGACA chr19 1001923 1001924 chr19:1001924:C:T rs2285903 C T C EBF1_EBF_1 12 1 + 8.537486758223823 7.511220187672972 GCCCCCTGGGGACT chr19 1007429 1007430 chr19:1007430:C:G rs10412602 C G C EBF1_EBF_1 13 1 + 7.580105923015274 6.092034901659859 CGCCCCAGGGGACC chr19 1010281 1010282 chr19:1010282:C:G rs142362585 C G C EBF1_EBF_1 -17 0 - 0 0 . chr19 1010406 1010407 chr19:1010407:G:A rs62131162 G A G EBF1_EBF_1 23 0 - 0 0 . chr19 1038995 1038996 chr19:1038996:A:C rs1057914 A C C EBF1_EBF_1 11 1 + 5.59469009228933 1.17872839443694 CCACCCAGGGGACC chr19 1040255 1040256 chr19:1040256:G:A rs74639723 G A G EBF1_EBF_1 24 0 - 0 0 . chr19 1040352 1040353 chr19:1040353:G:A rs961583850 G A G EBF1_EBF_1 2 1 - 6.385938442267045 8.274761853709196 CCCCCCTTGAGAAT chr19 1041051 1041052 chr19:1041052:A:G rs1370049447 A G A EBF1_EBF_1 4 1 - 7.122495285084026 11.491882230028947 ATCCTCCAGGGACC chr19 1043103 1043104 chr19:1043104:G:A rs72973581 G A G EBF1_EBF_1 17 0 + 0 0 . chr19 1053677 1053678 chr19:1053678:G:A rs3752242 G A G EBF1_EBF_1 12 1 - 11.1133040762898 10.087037505738952 CTTCCCAAGGGACA chr19 1061062 1061063 chr19:1061063:G:A rs77713912 G A G EBF1_EBF_1 8 1 + 5.406502501533329 1.180212412647067 GCTCCCCCGGGGCC chr19 1068808 1068809 chr19:1068809:G:A rs75181293 G A G EBF1_EBF_1 -7 0 - 0 0 . chr19 1090049 1090050 chr19:1090050:C:G rs199944727 C G C EBF1_EBF_1 1 1 - 6.89904942430504 6.709277769735823 TGTCCCTCGAGACT chr19 1095581 1095582 chr19:1095582:A:G rs2074447 A G A EBF1_EBF_1 -4 0 - 0 0 . chr19 1100145 1100146 chr19:1100146:T:G rs4807537 T G G EBF1_EBF_1 20 0 - 0 0 . chr19 1101969 1101970 chr19:1101970:C:T rs149602518 C T C EBF1_EBF_1 -4 0 - 0 0 . chr19 1102763 1102764 chr19:1102764:C:T rs796165931 C T C EBF1_EBF_1 23 0 - 0 0 . chr19 1108986 1108987 chr19:1108987:C:T rs372652484 C T C EBF1_EBF_1 -16 0 + 0 0 . chr19 1131226 1131227 chr19:1131227:G:A rs72975591 G A G EBF1_EBF_1 -10 0 + 0 0 . chr19 1132654 1132655 chr19:1132655:T:A rs111537696 T A T EBF1_EBF_1 -6 0 + 0 0 . chr19 1136884 1136885 chr19:1136885:C:T rs72975595 C T C EBF1_EBF_1 -19 0 + 0 0 . chr19 1152310 1152311 chr19:1152311:C:A chr19:1152311:C:A C A - EBF1_EBF_1 18 0 - 0 0 . chr19 1152310 1152311 chr19:1152311:C:T rs12976934 C T - EBF1_EBF_1 18 0 - 0 0 . chr19 1152313 1152314 chr19:1152314:A:G rs75101177 A G - EBF1_EBF_1 15 0 - 0 0 . chr19 1152404 1152405 chr19:1152405:C:A rs116000204 C A - EBF1_EBF_1 -5 0 - 0 0 . chr19 1169279 1169280 chr19:1169280:A:C rs528398239 A C A EBF1_EBF_1 21 0 - 0 0 . chr19 1171441 1171442 chr19:1171442:C:T rs117212575 C T C EBF1_EBF_1 14 0 + 0 0 . chr19 1178831 1178832 chr19:1178832:G:A rs143868022 G A G EBF1_EBF_1 29 0 + 0 0 . chr19 1184016 1184017 chr19:1184017:C:T rs35139198 C T c EBF1_EBF_1 5 1 + 6.745180460296741 1.4233932447560704 GGCCCCGAGGGAAA chr19 1184155 1184156 chr19:1184156:G:C rs59320291 G C g EBF1_EBF_1 23 0 - 0 0 . chr19 1206602 1206603 chr19:1206603:C:T rs149756065 C T C EBF1_EBF_1 31 0 + 0 0 . chr19 1222012 1222013 chr19:1222013:G:C rs2075607 G C G EBF1_EBF_1 13 1 - 5.920457177629389 4.432386156273974 TGCCCCCAGGGGCC chr19 1226083 1226084 chr19:1226084:G:C rs56254501 G C G EBF1_EBF_1 33 0 - 0 0 . chr19 1233706 1233707 chr19:1233707:G:C rs2890122 G C C EBF1_EBF_1 -15 0 + 0 0 . chr19 1238322 1238323 chr19:1238323:G:C rs34188292 G C G EBF1_EBF_1 -19 0 - 0 0 . chr19 1249472 1249473 chr19:1249473:T:C rs189868505 T C T EBF1_EBF_1 27 0 - 0 0 . chr19 1249482 1249483 chr19:1249483:G:A rs900106973 G A G EBF1_EBF_1 17 0 - 0 0 . chr19 1249485 1249486 chr19:1249486:C:T rs997093532 C T C EBF1_EBF_1 14 0 - 0 0 . chr19 1249519 1249520 chr19:1249520:T:C rs866742173 T C t EBF1_EBF_1 -20 0 - 0 0 . chr19 1251133 1251134 chr19:1251134:G:A rs138983992 G A G EBF1_EBF_1 -14 0 + 0 0 . chr19 1251153 1251154 chr19:1251154:C:T rs369353435 C T C EBF1_EBF_1 6 1 + 6.95405402901125 7.255340157873006 AACCCCCAGGGCCT chr19 1262248 1262249 chr19:1262249:C:G rs10414920 C G g EBF1_EBF_1 -10 0 - 0 0 . chr19 1281159 1281160 chr19:1281160:G:A rs576718003 G A G EBF1_EBF_1 6 1 + 8.13100869135269 10.297803122627165 AGCCCCGTGGGACA chr19 1286729 1286730 chr19:1286730:G:A rs116014859 G A G EBF1_EBF_1 22 0 + 0 0 . chr19 1302265 1302266 chr19:1302266:T:C rs1558130 T C C EBF1_EBF_1 7 1 - 5.912280285537184 4.599821880248359 TGTCCCCAGGGCCA chr19 1302546 1302547 chr19:1302547:G:A rs907272413 G A G EBF1_EBF_1 12 1 - 6.294736896346209 5.268470325795359 AGTCCCCTGGGCCG chr19 1344941 1344942 chr19:1344942:T:G rs1009922583 T G t EBF1_EBF_1 27 0 - 0 0 . chr19 1372146 1372147 chr19:1372147:A:G rs146046203 A G A EBF1_EBF_1 30 0 - 0 0 . chr19 1394577 1394578 chr19:1394578:G:A rs266816 G A g EBF1_EBF_1 32 0 + 0 0 . chr19 1394607 1394608 chr19:1394608:G:A rs118133938 G A g EBF1_EBF_1 16 0 + 0 0 . chr19 1394627 1394628 chr19:1394628:C:T rs147288325 C T - EBF1_EBF_1 -10 0 + 0 0 . chr19 1433981 1433982 chr19:1433982:G:A rs113241033 G A G EBF1_EBF_1 -9 0 + 0 0 . chr19 1436874 1436875 chr19:1436875:A:C rs3760995 A C A EBF1_EBF_1 -13 0 + 0 0 . chr19 1449959 1449960 chr19:1449960:G:T rs183342417 G T G EBF1_EBF_1 -13 0 - 0 0 . chr19 1451400 1451401 chr19:1451401:C:T rs8100242 C T C EBF1_EBF_1 5 1 + 5.737156126529489 0.41536891098881806 AGACCCCAGGGTCT chr19 1451414 1451415 chr19:1451415:C:T rs148981174 C T C EBF1_EBF_1 19 0 + 0 0 . chr19 1453898 1453899 chr19:1453899:A:T rs145324066 A T C EBF1_EBF_1 16 0 + 0 0 . chr19 1453911 1453912 chr19:1453912:T:A rs141463103 T A T EBF1_EBF_1 2 1 + 4.794020270795428 1.109552683196551 CGTCGCCAGGGACC chr19 1487886 1487887 chr19:1487887:G:A rs111625217 G A G EBF1_EBF_1 23 0 - 0 0 . chr19 1487890 1487891 chr19:1487891:G:A rs147345885 G A G EBF1_EBF_1 19 0 - 0 0 . chr19 1490468 1490469 chr19:1490469:G:A rs762771565 G A G EBF1_EBF_1 11 1 + 8.641709319980793 11.534416163584805 ACTCCCAGGGGGCC chr19 1490481 1490482 chr19:1490482:T:G rs527414495 T G T EBF1_EBF_1 24 0 + 0 0 . chr19 1495723 1495724 chr19:1495724:C:G rs76905339 C G C EBF1_EBF_1 21 0 - 0 0 . chr19 1495756 1495757 chr19:1495757:C:T rs78367532 C T C EBF1_EBF_1 -12 0 - 0 0 . chr19 1520278 1520279 chr19:1520279:G:A rs35570380 G A g EBF1_EBF_1 -4 0 - 0 0 . chr19 1525451 1525452 chr19:1525452:T:C rs2456165 T C C EBF1_EBF_1 18 0 + 0 0 . chr19 1531512 1531513 chr19:1531513:G:A rs11667051 G A G EBF1_EBF_1 -1 0 - 0 0 . chr19 1546305 1546306 chr19:1546306:G:A rs73919272 G A g EBF1_EBF_1 -6 0 - 0 0 . chr19 1566459 1566460 chr19:1566460:C:T rs1319206397 C T G EBF1_EBF_1 7 1 - 4.50159361423454 5.814052019523365 CCTCCCCGGGGCCC chr19 1568872 1568873 chr19:1568873:A:G rs535493748 A G A EBF1_EBF_1 33 0 + 0 0 . chr19 1597613 1597614 chr19:1597614:C:T rs1332458681 C T C EBF1_EBF_1 2 1 + 7.131603424845003 9.02042683628715 GCCCCCATGGGAAG chr19 1606468 1606469 chr19:1606469:C:T rs141173236 C T C EBF1_EBF_1 28 0 - 0 0 . chr19 1650258 1650259 chr19:1650259:C:G rs114650053 C G C EBF1_EBF_1 1 1 - 6.162816784706281 5.973045130137064 GGCCCCAGGAGAAT chr19 1663990 1663991 chr19:1663991:C:T rs79139020 C T C EBF1_EBF_1 28 0 - 0 0 . chr19 1697366 1697367 chr19:1697367:C:T rs34740499 C T c EBF1_EBF_1 28 0 - 0 0 . chr19 1697443 1697444 chr19:1697444:C:G rs78067772 C G C EBF1_EBF_1 30 0 - 0 0 . chr19 1747904 1747905 chr19:1747905:T:C rs2240562 T C C EBF1_EBF_1 16 0 - 0 0 . chr19 1755794 1755795 chr19:1755795:C:T rs570294336 C T C EBF1_EBF_1 16 0 + 0 0 . chr19 1762734 1762735 chr19:1762735:A:G rs10404955 A G A EBF1_EBF_1 -17 0 + 0 0 . chr19 1837000 1837001 chr19:1837001:C:A rs115187453 C A C EBF1_EBF_1 23 0 - 0 0 . chr19 1848496 1848497 chr19:1848497:A:G rs573949429 A G A EBF1_EBF_1 2 1 - 5.043125725204903 3.154302313762753 CGTCCCGAGGGCCT chr19 1855501 1855502 chr19:1855502:G:A rs540073070 G A G EBF1_EBF_1 25 0 + 0 0 . chr19 1859803 1859804 chr19:1859804:A:T rs190868293 A T A EBF1_EBF_1 33 0 - 0 0 . chr19 1880523 1880524 chr19:1880524:G:A rs12461295 G A g EBF1_EBF_1 -17 0 + 0 0 . chr19 1880557 1880558 chr19:1880558:T:C rs10410369 T C c EBF1_EBF_1 17 0 + 0 0 . chr19 1883547 1883548 chr19:1883548:C:T rs8111644 C T C EBF1_EBF_1 21 0 + 0 0 . chr19 1887601 1887602 chr19:1887602:T:A rs8102887 T A T EBF1_EBF_1 25 0 + 0 0 . chr19 1943853 1943854 chr19:1943854:T:G rs878440 T G t EBF1_EBF_1 -6 0 - 0 0 . chr19 1952841 1952842 chr19:1952842:T:C rs2396366 T C C EBF1_EBF_1 -13 0 - 0 0 . chr19 1953138 1953139 chr19:1953139:G:A rs1319845534 G A G EBF1_EBF_1 -7 0 - 0 0 . chr19 1978368 1978369 chr19:1978369:C:T rs145719266 C T C EBF1_EBF_1 -12 0 + 0 0 . chr19 1980081 1980082 chr19:1980082:G:A rs71337071 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 1986251 1986252 chr19:1986252:T:G rs147829086 T G T EBF1_EBF_1 -4 0 - 0 0 . chr19 1987837 1987838 chr19:1987838:C:T rs8112570 C T C EBF1_EBF_1 17 0 + 0 0 . chr19 2033527 2033528 chr19:2033528:G:A rs7250526 G A G EBF1_EBF_1 -8 0 - 0 0 . chr19 2034144 2034145 chr19:2034145:A:G rs8109414 A G G EBF1_EBF_1 29 0 + 0 0 . chr19 2038459 2038460 chr19:2038460:G:A rs12151339 G A G EBF1_EBF_1 6 1 - 7.164850477970803 7.466136606832559 AACCCCCGGGGGCT chr19 2041669 2041670 chr19:2041670:G:T rs1021212503 G T - EBF1_EBF_1 5 1 - 7.320779337424462 0.4158981847892921 GACCCCCGGGGACC chr19 2041761 2041762 chr19:2041762:C:T rs150586106 C T C EBF1_EBF_1 9 1 - 9.574665574790572 6.919726309342969 AACCCCAGGGGACC chr19 2043108 2043109 chr19:2043109:G:T rs1349624031 G T G EBF1_EBF_1 -2 0 + 0 0 . chr19 2050090 2050091 chr19:2050091:G:A rs142539929 G A G EBF1_EBF_1 22 0 + 0 0 . chr19 2050271 2050272 chr19:2050272:C:T rs28654987 C T C EBF1_EBF_1 20 0 - 0 0 . chr19 2055768 2055769 chr19:2055769:T:C chr19:2055769:T:C T C T EBF1_EBF_1 -10 0 - 0 0 . chr19 2056110 2056111 chr19:2056111:T:G rs115591258 T G - EBF1_EBF_1 25 0 - 0 0 . chr19 2089529 2089530 chr19:2089530:T:C rs77313974 T C T EBF1_EBF_1 13 1 - 7.379563433291847 6.265237861222408 GCCCCCAGGGGACA chr19 2109812 2109813 chr19:2109813:G:C rs79162849 G C G EBF1_EBF_1 22 0 + 0 0 . chr19 2114465 2114466 chr19:2114466:C:A rs11673600 C A C EBF1_EBF_1 12 1 - 6.071766635963719 6.434136009091021 CCTCCCATGAGAGC chr19 2129473 2129474 chr19:2129474:T:C rs758287 T C C EBF1_EBF_1 21 0 + 0 0 . chr19 2129474 2129475 chr19:2129475:C:G rs758288 C G C EBF1_EBF_1 22 0 + 0 0 . chr19 2164331 2164332 chr19:2164332:C:G rs528793077 C G C EBF1_EBF_1 17 0 + 0 0 . chr19 2223327 2223328 chr19:2223328:G:A rs2286329 G A G EBF1_EBF_1 7 1 + 6.452387701208543 7.764846106497369 CGTCCCCGGAGACC chr19 2236928 2236929 chr19:2236929:C:T rs3761022 C T C EBF1_EBF_1 32 0 - 0 0 . chr19 2244910 2244911 chr19:2244911:G:A rs55709403 G A G EBF1_EBF_1 13 1 - 5.274959872240556 6.119453986826074 GCTCCCCAGGAACC chr19 2252990 2252991 chr19:2252991:C:G rs80043033 C G . EBF1_EBF_1 5 1 + 8.741942696020338 1.8370615433851714 CCTCCCCAGGGACG chr19 2266608 2266609 chr19:2266609:T:C rs10426208 T C C EBF1_EBF_1 -2 0 - 0 0 . chr19 2266620 2266621 chr19:2266621:G:A rs12976002 G A g EBF1_EBF_1 -14 0 - 0 0 . chr19 2266625 2266626 chr19:2266626:G:C chr19:2266626:G:C G C g EBF1_EBF_1 -19 0 - 0 0 . chr19 2266879 2266880 chr19:2266880:T:A rs4806835 T A a EBF1_EBF_1 -15 0 + 0 0 . chr19 2269142 2269143 chr19:2269143:G:A rs2854110 G A G EBF1_EBF_1 -1 0 - 0 0 . chr19 2273052 2273053 chr19:2273053:C:T chr19:2273053:C:T C T C EBF1_EBF_1 19 0 + 0 0 . chr19 2273765 2273766 chr19:2273766:G:A chr19:2273766:G:A G A G EBF1_EBF_1 -14 0 + 0 0 . chr19 2273767 2273768 chr19:2273768:C:G rs141526160 C G C EBF1_EBF_1 -12 0 + 0 0 . chr19 2273786 2273787 chr19:2273787:A:G rs868207199 A G A EBF1_EBF_1 7 1 + 8.83224808936458 7.5197896840757545 CGCCCCTAGGGACC chr19 2274578 2274579 chr19:2274579:T:C rs2523177 T C C EBF1_EBF_1 25 0 + 0 0 . chr19 2287530 2287531 chr19:2287531:T:A rs74788173 T A A EBF1_EBF_1 31 0 + 0 0 . chr19 2289531 2289532 chr19:2289532:T:G rs28463184 T G T EBF1_EBF_1 15 0 + 0 0 . chr19 2289536 2289537 chr19:2289537:C:T rs985568616 C T C EBF1_EBF_1 20 0 + 0 0 . chr19 2295091 2295092 chr19:2295092:G:A rs141046385 G A G EBF1_EBF_1 -4 0 + 0 0 . chr19 2303220 2303221 chr19:2303221:T:C rs8103800 T C C EBF1_EBF_1 -7 0 + 0 0 . chr19 2303567 2303568 chr19:2303568:C:T rs76652283 C T c EBF1_EBF_1 18 0 + 0 0 . chr19 2303576 2303577 chr19:2303577:A:G rs75230609 A G G EBF1_EBF_1 27 0 + 0 0 . chr19 2305651 2305652 chr19:2305652:C:T rs8108923 C T C EBF1_EBF_1 -16 0 - 0 0 . chr19 2316597 2316598 chr19:2316598:T:C rs28718565 T C t EBF1_EBF_1 14 0 - 0 0 . chr19 2332593 2332594 chr19:2332594:G:A rs115860905 G A G EBF1_EBF_1 -11 0 - 0 0 . chr19 2334866 2334867 chr19:2334867:A:C rs12610690 A C A EBF1_EBF_1 -1 0 + 0 0 . chr19 2335067 2335068 chr19:2335068:G:A rs12609381 G A G EBF1_EBF_1 0 1 - 4.992651397665515 5.274853458396092 CGTCCCTGGGGCCC chr19 2381694 2381695 chr19:2381695:G:T rs144194380 G T G EBF1_EBF_1 -9 0 + 0 0 . chr19 2424676 2424677 chr19:2424677:T:G rs111768825 T G N EBF1_EBF_1 26 0 + 0 0 . chr19 2452201 2452202 chr19:2452202:T:C rs7253984 T C t EBF1_EBF_1 6 1 - 6.055298911810034 3.888504480535559 ATTCCCATGTGACA chr19 2452841 2452842 chr19:2452842:A:T rs1981637 A T A EBF1_EBF_1 31 0 - 0 0 . chr19 2452849 2452850 chr19:2452850:C:T rs79122943 C T c EBF1_EBF_1 23 0 - 0 0 . chr19 2452871 2452872 chr19:2452872:G:A rs115980376 G A G EBF1_EBF_1 1 1 - 6.809229295338331 7.7046627357370765 GCCCCCATGAGAAT chr19 2455691 2455692 chr19:2455692:C:T rs77904139 C T C EBF1_EBF_1 -10 0 + 0 0 . chr19 2455966 2455967 chr19:2455967:C:T rs73918168 C T C EBF1_EBF_1 33 0 + 0 0 . chr19 2456409 2456410 chr19:2456410:C:A rs75660485 C A C EBF1_EBF_1 -4 0 + 0 0 . chr19 2478721 2478722 chr19:2478722:G:C rs920603910 G C g EBF1_EBF_1 -11 0 + 0 0 . chr19 2478754 2478755 chr19:2478755:A:C rs3783510 A C A EBF1_EBF_1 22 0 + 0 0 . chr19 2478755 2478756 chr19:2478756:G:A rs3783511 G A C EBF1_EBF_1 23 0 + 0 0 . chr19 2481517 2481518 chr19:2481518:C:G rs75165017 C G C EBF1_EBF_1 -4 0 - 0 0 . chr19 2488145 2488146 chr19:2488146:G:C rs11668293 G C G EBF1_EBF_1 21 0 + 0 0 . chr19 2528979 2528980 chr19:2528980:C:T rs147662493 C T C EBF1_EBF_1 -20 0 + 0 0 . chr19 2529698 2529699 chr19:2529699:C:T rs192287571 C T C EBF1_EBF_1 10 1 - 7.127397746589957 1.8093692873229912 AGTCCCCAGAGGCC chr19 2543839 2543840 chr19:2543840:G:T rs60154733 G T G EBF1_EBF_1 -4 0 - 0 0 . chr19 2545158 2545159 chr19:2545159:T:C rs12974343 T C C EBF1_EBF_1 0 1 + 7.672536329176634 7.390334268446057 TCCCCCAGGGGACC chr19 2545178 2545179 chr19:2545179:G:A rs12980307 G A G EBF1_EBF_1 20 0 + 0 0 . chr19 2545187 2545188 chr19:2545188:C:A rs35429067 C A C EBF1_EBF_1 29 0 + 0 0 . chr19 2561419 2561420 chr19:2561420:G:T rs17755190 G T G EBF1_EBF_1 -16 0 - 0 0 . chr19 2562732 2562733 chr19:2562733:T:C rs34559490 T C T EBF1_EBF_1 -7 0 + 0 0 . chr19 2576246 2576247 chr19:2576247:C:T rs117527392 C T C EBF1_EBF_1 27 0 - 0 0 . chr19 2576247 2576248 chr19:2576248:G:A rs116077590 G A G EBF1_EBF_1 26 0 - 0 0 . chr19 2576273 2576274 chr19:2576274:T:C rs1029753 T C C EBF1_EBF_1 0 1 - 9.30606277195 7.413778902385171 AGTCCCCTGGGGCC chr19 2576502 2576503 chr19:2576503:C:A rs75672469 C A C EBF1_EBF_1 10 1 - 6.725843313057967 2.5430911268307037 GTTCCCAAGGGTTC chr19 2606241 2606242 chr19:2606242:G:A rs12609434 G A G EBF1_EBF_1 29 0 - 0 0 . chr19 2606255 2606256 chr19:2606256:G:A rs144557461 G A G EBF1_EBF_1 15 0 - 0 0 . chr19 2610119 2610120 chr19:2610120:G:A rs180972134 G A G EBF1_EBF_1 28 0 + 0 0 . chr19 2625014 2625015 chr19:2625015:C:T rs62123738 C T C EBF1_EBF_1 9 1 - 7.909513479165857 5.254574213718253 AGCCCCCAGGGAGG chr19 2625029 2625030 chr19:2625030:C:T rs7260410 C T T EBF1_EBF_1 -6 0 - 0 0 . chr19 2625037 2625038 chr19:2625038:G:A rs111560070 G A G EBF1_EBF_1 -14 0 - 0 0 . chr19 2634042 2634043 chr19:2634043:G:A rs72978709 G A - EBF1_EBF_1 6 1 - 5.104375093965673 5.405661222827431 AGCCTCCGGGGACC chr19 2662784 2662785 chr19:2662785:C:T rs12459517 C T C EBF1_EBF_1 -15 0 + 0 0 . chr19 2665347 2665348 chr19:2665348:G:A rs12461188 G A G EBF1_EBF_1 19 0 - 0 0 . chr19 2676560 2676561 chr19:2676561:A:G rs59661558 A G G EBF1_EBF_1 30 0 + 0 0 . chr19 2732987 2732988 chr19:2732988:T:C rs759073 T C C EBF1_EBF_1 -10 0 - 0 0 . chr19 2762863 2762864 chr19:2762864:G:A rs2302493 G A G EBF1_EBF_1 -3 0 + 0 0 . chr19 2779669 2779670 chr19:2779670:G:C rs75171041 G C G EBF1_EBF_1 3 1 - 5.692069770205558 -0.1356946779096575 ACCCCCATGGGCCA chr19 2779676 2779677 chr19:2779677:G:A rs139947905 G A G EBF1_EBF_1 -4 0 - 0 0 . chr19 2815373 2815374 chr19:2815374:A:G rs1736186 A G G EBF1_EBF_1 18 0 + 0 0 . chr19 2841277 2841278 chr19:2841278:G:A rs561403926 G A G EBF1_EBF_1 -12 0 - 0 0 . chr19 2841285 2841286 chr19:2841286:G:A rs1050619595 G A G EBF1_EBF_1 -20 0 - 0 0 . chr19 2841451 2841452 chr19:2841452:G:T chr19:2841452:G:T G T G EBF1_EBF_1 12 1 - 5.897461751190552 5.927643149611878 CGTCCCAGGGGCCT chr19 2841477 2841478 chr19:2841478:C:T chr19:2841478:C:T C T C EBF1_EBF_1 -14 0 - 0 0 . chr19 2872205 2872206 chr19:2872206:G:A rs7247973 G A - EBF1_EBF_1 -19 0 + 0 0 . chr19 2872220 2872221 chr19:2872221:C:G rs7248843 C G - EBF1_EBF_1 -4 0 + 0 0 . chr19 2926929 2926930 chr19:2926930:T:C rs4807366 T C C EBF1_EBF_1 28 0 - 0 0 . chr19 2926947 2926948 chr19:2926948:C:G rs4807367 C G G EBF1_EBF_1 10 1 - 5.473688934710269 -1.4171134526192057 GGCCCCACGGGACG chr19 2950181 2950182 chr19:2950182:T:C rs76257555 T C T EBF1_EBF_1 1 1 + 6.562177078861903 5.666743638463159 GTTCCCAAGGAAAC chr19 2997791 2997792 chr19:2997792:T:C rs14089 T C C EBF1_EBF_1 22 0 - 0 0 . chr19 3006275 3006276 chr19:3006276:C:T rs83488 C T T EBF1_EBF_1 4 1 - 6.560861432919772 6.362066910615849 AGTCGCATGGGACA chr19 3013375 3013376 chr19:3013376:A:G rs3760961 A G a EBF1_EBF_1 7 1 - 7.856320205173867 6.038815458891245 AATCCCATGGAAAT chr19 3028872 3028873 chr19:3028873:G:C rs2288950 G C - EBF1_EBF_1 28 0 - 0 0 . chr19 3028874 3028875 chr19:3028875:A:G rs201308262 A G - EBF1_EBF_1 26 0 - 0 0 . chr19 3028913 3028914 chr19:3028914:C:T rs74346037 C T - EBF1_EBF_1 -13 0 - 0 0 . chr19 3042735 3042736 chr19:3042736:T:C rs11084993 T C T EBF1_EBF_1 17 0 + 0 0 . chr19 3046068 3046069 chr19:3046069:T:C rs72973282 T C T EBF1_EBF_1 26 0 - 0 0 . chr19 3061437 3061438 chr19:3061438:G:A rs575187893 G A G EBF1_EBF_1 3 1 - 7.069286778440193 0.6638880368868749 CTTCCCCCGGGAGA chr19 3067740 3067741 chr19:3067741:C:A rs10406841 C A c EBF1_EBF_1 30 0 - 0 0 . chr19 3110608 3110609 chr19:3110609:G:C rs59496932 G C - EBF1_EBF_1 14 0 - 0 0 . chr19 3123636 3123637 chr19:3123637:C:T rs8092 C T C EBF1_EBF_1 -4 0 + 0 0 . chr19 3136092 3136093 chr19:3136093:C:T rs117552144 C T C EBF1_EBF_1 -14 0 + 0 0 . chr19 3136282 3136283 chr19:3136283:T:C rs113342716 T C C EBF1_EBF_1 30 0 - 0 0 . chr19 3172779 3172780 chr19:3172780:C:T rs146878807 C T - EBF1_EBF_1 20 0 - 0 0 . chr19 3172785 3172786 chr19:3172786:T:C chr19:3172786:T:C T C - EBF1_EBF_1 14 0 - 0 0 . chr19 3172792 3172793 chr19:3172793:A:G chr19:3172793:A:G A G - EBF1_EBF_1 7 1 - 7.419656800711111 5.602152054428488 CATCCCATGAGAAC chr19 3172906 3172907 chr19:3172907:C:T rs80039382 C T C EBF1_EBF_1 29 0 - 0 0 . chr19 3175802 3175803 chr19:3175803:G:A rs8110037 G A N EBF1_EBF_1 33 0 - 0 0 . chr19 3180867 3180868 chr19:3180868:C:T rs8109736 C T c EBF1_EBF_1 -4 0 + 0 0 . chr19 3186387 3186388 chr19:3186388:C:T rs1002945739 C T C EBF1_EBF_1 -12 0 + 0 0 . chr19 3197211 3197212 chr19:3197212:A:G rs311618 A G G EBF1_EBF_1 -15 0 + 0 0 . chr19 3208828 3208829 chr19:3208829:A:G rs312073 A G G EBF1_EBF_1 27 0 - 0 0 . chr19 3226324 3226325 chr19:3226325:G:T rs76449575 G T G EBF1_EBF_1 3 1 - 6.959832262970641 0.05365823350483456 CCTCCCTAGGGCCT chr19 3226967 3226968 chr19:3226968:G:A rs10421668 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 3276273 3276274 chr19:3276274:T:A rs12980036 T A - EBF1_EBF_1 -3 0 - 0 0 . chr19 3276322 3276323 chr19:3276323:T:G rs532350776 T G - EBF1_EBF_1 -18 0 - 0 0 . chr19 3284624 3284625 chr19:3284625:G:C rs72987091 G C G EBF1_EBF_1 -7 0 - 0 0 . chr19 3358322 3358323 chr19:3358323:C:T rs11670745 C T C EBF1_EBF_1 13 1 - 6.359916781298784 7.474242353368223 CTCCCCCAGGGAGG chr19 3360957 3360958 chr19:3360958:G:C chr19:3360958:G:C G C G EBF1_EBF_1 19 0 + 0 0 . chr19 3361297 3361298 chr19:3361298:G:A rs73520292 G A G EBF1_EBF_1 -2 0 - 0 0 . chr19 3414021 3414022 chr19:3414022:T:C rs4806933 T C C EBF1_EBF_1 21 0 - 0 0 . chr19 3438789 3438790 chr19:3438790:C:T rs114162201 C T C EBF1_EBF_1 16 0 - 0 0 . chr19 3444024 3444025 chr19:3444025:C:T rs34702633 C T T EBF1_EBF_1 -2 0 - 0 0 . chr19 3445563 3445564 chr19:3445564:G:C rs8111614 G C G EBF1_EBF_1 -17 0 - 0 0 . chr19 3463533 3463534 chr19:3463534:C:G rs189392307 C G C EBF1_EBF_1 28 0 - 0 0 . chr19 3508763 3508764 chr19:3508764:C:T rs72976603 C T C EBF1_EBF_1 -7 0 + 0 0 . chr19 3539204 3539205 chr19:3539205:C:T rs11668417 C T C EBF1_EBF_1 -9 0 + 0 0 . chr19 3547364 3547365 chr19:3547365:C:G chr19:3547365:C:G C G C EBF1_EBF_1 -16 0 - 0 0 . chr19 3595997 3595998 chr19:3595998:C:G rs1033891249 C G C EBF1_EBF_1 -3 0 + 0 0 . chr19 3596006 3596007 chr19:3596007:T:C rs55753816 T C C EBF1_EBF_1 6 1 + 6.926566038852485 6.625279909990728 GGTCCCTTGAGATC chr19 3601165 3601166 chr19:3601166:T:C rs34711413 T C T EBF1_EBF_1 -2 0 - 0 0 . chr19 3610189 3610190 chr19:3610190:T:C rs1476705 T C C EBF1_EBF_1 -14 0 - 0 0 . chr19 3610503 3610504 chr19:3610504:G:A rs740349 G A G EBF1_EBF_1 17 0 + 0 0 . chr19 3644729 3644730 chr19:3644730:G:A rs111966453 G A G EBF1_EBF_1 21 0 - 0 0 . chr19 3644764 3644765 chr19:3644765:G:A rs78682020 G A G EBF1_EBF_1 -14 0 - 0 0 . chr19 3659286 3659287 chr19:3659287:A:G rs4807498 A G G EBF1_EBF_1 -2 0 + 0 0 . chr19 3688064 3688065 chr19:3688065:C:G rs10415924 C G G EBF1_EBF_1 21 0 - 0 0 . chr19 3777624 3777625 chr19:3777625:G:A rs35152605 G A G EBF1_EBF_1 29 0 + 0 0 . chr19 3783586 3783587 chr19:3783587:G:T rs74541557 G T g EBF1_EBF_1 22 0 - 0 0 . chr19 3819276 3819277 chr19:3819277:A:T rs2301840 A T T EBF1_EBF_1 11 1 - 5.500311858034902 9.598226114133626 CTCCCCAAGGGTCC chr19 3831450 3831451 chr19:3831451:G:A rs2240232 G A G EBF1_EBF_1 31 0 - 0 0 . chr19 3844882 3844883 chr19:3844883:A:C rs1122499 A C A EBF1_EBF_1 15 0 + 0 0 . chr19 3960021 3960022 chr19:3960022:C:T rs2304193 C T C EBF1_EBF_1 32 0 + 0 0 . chr19 3983185 3983186 chr19:3983186:A:G rs2230560 A G G EBF1_EBF_1 13 1 - 6.650207029856628 5.80571291527111 ACTCCCCCGGGCAT chr19 4007081 4007082 chr19:4007082:T:C rs735842 T C T EBF1_EBF_1 6 1 - 6.087310206625947 3.920515775351472 CCACCCATGGGAAA chr19 4007087 4007088 chr19:4007088:G:A rs73919936 G A G EBF1_EBF_1 0 1 - 6.087310206625947 6.369512267356526 CCACCCATGGGAAA chr19 4015556 4015557 chr19:4015557:G:A rs115455241 G A G EBF1_EBF_1 10 1 + 8.581393081041274 3.2633646217743095 ACTCCCTGGGGGCC chr19 4033615 4033616 chr19:4033616:G:A rs12460140 G A G EBF1_EBF_1 -13 0 - 0 0 . chr19 4038876 4038877 chr19:4038877:A:C rs116076186 A C A EBF1_EBF_1 17 0 - 0 0 . chr19 4045435 4045436 chr19:4045436:A:G rs10414035 A G A EBF1_EBF_1 6 1 + 8.072983013747747 5.906188582473272 AGCCCCAGGGGAGA chr19 4058653 4058654 chr19:4058654:T:C rs73534156 T C t EBF1_EBF_1 -19 0 - 0 0 . chr19 4077767 4077768 chr19:4077768:C:T rs77828924 C T C EBF1_EBF_1 7 1 + 6.338086510012616 8.155591256295239 CACCCCACGGGACC chr19 4077791 4077792 chr19:4077792:G:T rs111551407 G T G EBF1_EBF_1 31 0 + 0 0 . chr19 4104993 4104994 chr19:4104994:C:G chr19:4104994:C:G C G C EBF1_EBF_1 -6 0 - 0 0 . chr19 4184165 4184166 chr19:4184166:C:T rs564615562 C T C EBF1_EBF_1 10 1 - 4.6873990794112625 -0.6306293798557043 CGCCCCAGGGGGCC chr19 4184192 4184193 chr19:4184193:C:T rs563794235 C T C EBF1_EBF_1 -17 0 - 0 0 . chr19 4200208 4200209 chr19:4200209:A:G rs2052192 A G G EBF1_EBF_1 -12 0 - 0 0 . chr19 4229318 4229319 chr19:4229319:G:A rs114860517 G A G EBF1_EBF_1 -17 0 + 0 0 . chr19 4229637 4229638 chr19:4229638:A:G rs400089 A G G EBF1_EBF_1 1 1 - 6.7068915168601055 5.81145807646136 GTCCCCCAGGGGCC chr19 4232989 4232990 chr19:4232990:A:C rs9807829 A C A EBF1_EBF_1 -16 0 - 0 0 . chr19 4277437 4277438 chr19:4277438:G:A rs8102508 G A G EBF1_EBF_1 1 1 + 7.042890939147408 6.782192107226105 CGCCCCCAGGGACG chr19 4363172 4363173 chr19:4363173:G:T rs243260 G T T EBF1_EBF_1 30 0 + 0 0 . chr19 4392048 4392049 chr19:4392049:G:A rs576161300 G A G EBF1_EBF_1 15 0 + 0 0 . chr19 4402938 4402939 chr19:4402939:C:A rs171474 C A C EBF1_EBF_1 2 1 + 6.013808929936265 4.218164753779539 ACCCCCTGGGGCCT chr19 4434505 4434506 chr19:4434506:C:T rs12459919 C T C EBF1_EBF_1 -12 0 + 0 0 . chr19 4436636 4436637 chr19:4436637:G:T rs243374 G T g EBF1_EBF_1 -7 0 + 0 0 . chr19 4454950 4454951 chr19:4454951:G:C rs141958598 G C g EBF1_EBF_1 33 0 - 0 0 . chr19 4464461 4464462 chr19:4464462:G:A rs7258171 G A g EBF1_EBF_1 10 1 + 6.775905081286551 1.4578766220195842 CAACCCTAGGGACC chr19 4473055 4473056 chr19:4473056:G:C rs11673585 G C - EBF1_EBF_1 -11 0 - 0 0 . chr19 4473804 4473805 chr19:4473805:C:T rs7260358 C T C EBF1_EBF_1 -7 0 - 0 0 . chr19 4505034 4505035 chr19:4505035:C:T rs114609863 C T C EBF1_EBF_1 18 0 + 0 0 . chr19 4508717 4508718 chr19:4508718:G:A rs8104217 G A G EBF1_EBF_1 23 0 - 0 0 . chr19 4517171 4517172 chr19:4517172:G:T rs545733051 G T G EBF1_EBF_1 -15 0 + 0 0 . chr19 4525204 4525205 chr19:4525205:G:A rs11670485 G A g EBF1_EBF_1 33 0 - 0 0 . chr19 4525251 4525252 chr19:4525252:G:A rs117658601 G A g EBF1_EBF_1 -14 0 - 0 0 . chr19 4566693 4566694 chr19:4566694:G:T rs11671503 G T G EBF1_EBF_1 -20 0 + 0 0 . chr19 4576220 4576221 chr19:4576221:G:A rs78576447 G A G EBF1_EBF_1 33 0 - 0 0 . chr19 4579281 4579282 chr19:4579282:C:T rs7253517 C T C EBF1_EBF_1 23 0 - 0 0 . chr19 4581926 4581927 chr19:4581927:G:A rs36033258 G A G EBF1_EBF_1 1 1 - 6.849993095137533 7.745426535536277 ACCCCCTTGGGCCT chr19 4610388 4610389 chr19:4610389:G:C rs73531967 G C G EBF1_EBF_1 -16 0 - 0 0 . chr19 4635430 4635431 chr19:4635431:C:T rs75895244 C T C EBF1_EBF_1 -5 0 + 0 0 . chr19 4636477 4636478 chr19:4636478:G:A rs144082255 G A G EBF1_EBF_1 -9 0 - 0 0 . chr19 4636483 4636484 chr19:4636484:C:T rs117882665 C T C EBF1_EBF_1 -15 0 - 0 0 . chr19 4679741 4679742 chr19:4679742:G:A rs10415374 G A A EBF1_EBF_1 19 0 + 0 0 . chr19 4722896 4722897 chr19:4722897:G:A rs147175746 G A G EBF1_EBF_1 -11 0 - 0 0 . chr19 4752636 4752637 chr19:4752637:A:G rs7248082 A G G EBF1_EBF_1 17 0 - 0 0 . chr19 4774018 4774019 chr19:4774019:T:C rs12979854 T C C EBF1_EBF_1 -8 0 + 0 0 . chr19 4774023 4774024 chr19:4774024:C:T rs555398323 C T C EBF1_EBF_1 -3 0 + 0 0 . chr19 4796693 4796694 chr19:4796694:C:T rs17262997 C T c EBF1_EBF_1 -15 0 - 0 0 . chr19 4866426 4866427 chr19:4866427:T:C rs7250638 T C T EBF1_EBF_1 27 0 - 0 0 . chr19 4866438 4866439 chr19:4866439:G:A rs7246083 G A G EBF1_EBF_1 15 0 - 0 0 . chr19 4866883 4866884 chr19:4866884:C:A rs141702914 C A C EBF1_EBF_1 28 0 + 0 0 . chr19 4875752 4875753 chr19:4875753:G:T rs2602701 G T G EBF1_EBF_1 13 1 - 5.577801048722886 5.20405559943691 CTTCCCCAGAGGCC chr19 4878186 4878187 chr19:4878187:T:C rs2656943 T C C EBF1_EBF_1 -2 0 + 0 0 . chr19 4878203 4878204 chr19:4878204:G:A rs748925088 G A G EBF1_EBF_1 15 0 + 0 0 . chr19 4908376 4908377 chr19:4908377:A:G rs4807665 A G G EBF1_EBF_1 28 0 - 0 0 . chr19 4910460 4910461 chr19:4910461:C:G chr19:4910461:C:G C G C EBF1_EBF_1 24 0 + 0 0 . chr19 4970036 4970037 chr19:4970037:G:A rs11879865 G A G EBF1_EBF_1 -15 0 + 0 0 . chr19 4974828 4974829 chr19:4974829:C:T rs115202934 C T C EBF1_EBF_1 20 0 - 0 0 . chr19 4974834 4974835 chr19:4974835:G:A rs495555 G A A EBF1_EBF_1 14 0 - 0 0 . chr19 4977642 4977643 chr19:4977643:C:T rs753841 C T C EBF1_EBF_1 29 0 - 0 0 . chr19 4983182 4983183 chr19:4983183:C:T rs4807675 C T T EBF1_EBF_1 3 1 + 6.887799769291766 0.4824010277384479 TTTCCCCTGAGAGC chr19 4983202 4983203 chr19:4983203:G:A rs197156 G A A EBF1_EBF_1 23 0 + 0 0 . chr19 4986094 4986095 chr19:4986095:G:A rs149965396 G A G EBF1_EBF_1 7 1 - 6.925040921812187 8.742545668094808 ACTCCCCCGGGGCA chr19 5029846 5029847 chr19:5029847:A:G rs79514168 A G A EBF1_EBF_1 27 0 - 0 0 . chr19 5029882 5029883 chr19:5029883:G:A rs72990149 G A G EBF1_EBF_1 -9 0 - 0 0 . chr19 5031461 5031462 chr19:5031462:G:A rs116196137 G A G EBF1_EBF_1 -2 0 - 0 0 . chr19 5035662 5035663 chr19:5035663:G:A rs552920627 G A G EBF1_EBF_1 24 0 + 0 0 . chr19 5038905 5038906 chr19:5038906:G:A rs10426930 G A G EBF1_EBF_1 15 0 - 0 0 . chr19 5046568 5046569 chr19:5046569:G:A rs79943252 G A G EBF1_EBF_1 22 0 - 0 0 . chr19 5076623 5076624 chr19:5076624:A:G rs74172686 A G - EBF1_EBF_1 -4 0 + 0 0 . chr19 5076765 5076766 chr19:5076766:C:T rs2613776 C T - EBF1_EBF_1 22 0 + 0 0 . chr19 5086650 5086651 chr19:5086651:C:T rs138098468 C T A EBF1_EBF_1 -4 0 + 0 0 . chr19 5086651 5086652 chr19:5086652:C:G rs2620800 C G C EBF1_EBF_1 -3 0 + 0 0 . chr19 5091467 5091468 chr19:5091468:C:T rs544116939 C T C EBF1_EBF_1 4 1 + 6.344988511064069 1.9756015661191468 ATTCCCCAGAGGCG chr19 5107072 5107073 chr19:5107073:A:C rs78272141 A C A EBF1_EBF_1 -9 0 + 0 0 . chr19 5127915 5127916 chr19:5127916:G:A rs28667977 G A G EBF1_EBF_1 -4 0 + 0 0 . chr19 5134619 5134620 chr19:5134620:C:T rs144056957 C T C EBF1_EBF_1 5 1 + 7.107886732841398 1.7860995173007275 CTTCCCCTGGGCAT chr19 5142461 5142462 chr19:5142462:T:C rs16992820 T C C EBF1_EBF_1 -12 0 - 0 0 . chr19 5143581 5143582 chr19:5143582:G:A rs756836330 G A G EBF1_EBF_1 10 1 + 6.316207133244486 0.9981786739775209 TGTCCCCAGGGCAC chr19 5143681 5143682 chr19:5143682:G:C rs7359937 G C G EBF1_EBF_1 -2 0 + 0 0 . chr19 5156540 5156541 chr19:5156541:G:C rs2035295 G C C EBF1_EBF_1 31 0 + 0 0 . chr19 5205706 5205707 chr19:5205707:C:T rs1129626 C T T EBF1_EBF_1 14 0 - 0 0 . chr19 5308642 5308643 chr19:5308643:C:G rs1209861489 C G c EBF1_EBF_1 -13 0 - 0 0 . chr19 5309540 5309541 chr19:5309541:T:C rs149083905 T C t EBF1_EBF_1 13 1 - 6.472358786945685 5.358033214876246 TCTCCCTGGAGACA chr19 5339866 5339867 chr19:5339867:G:A rs7257733 G A G EBF1_EBF_1 25 0 + 0 0 . chr19 5340462 5340463 chr19:5340463:T:C rs10426684 T C T EBF1_EBF_1 -1 0 - 0 0 . chr19 5341095 5341096 chr19:5341096:G:C rs112293442 G C G EBF1_EBF_1 17 0 - 0 0 . chr19 5345139 5345140 chr19:5345140:A:T rs115947146 A T A EBF1_EBF_1 27 0 - 0 0 . chr19 5397101 5397102 chr19:5397102:A:G rs4807750 A G G EBF1_EBF_1 30 0 + 0 0 . chr19 5437241 5437242 chr19:5437242:G:C rs553547271 G C - EBF1_EBF_1 -18 0 - 0 0 . chr19 5455599 5455600 chr19:5455600:C:T rs2240743 C T C EBF1_EBF_1 23 0 - 0 0 . chr19 5564216 5564217 chr19:5564217:G:A rs369911004 G A G EBF1_EBF_1 -10 0 + 0 0 . chr19 5564228 5564229 chr19:5564229:T:A rs749213 T A A EBF1_EBF_1 2 1 + 6.745769049290691 3.0613014616918144 TCTCCCTAGGGTAC chr19 5586670 5586671 chr19:5586671:C:T rs112746277 C T C EBF1_EBF_1 11 1 + 7.0215207482406505 7.339568189994314 GCTCCCCAGGGCCT chr19 5704446 5704447 chr19:5704447:C:T rs141968301 C T C EBF1_EBF_1 -10 0 - 0 0 . chr19 5799916 5799917 chr19:5799917:C:T rs142229220 C T C EBF1_EBF_1 -4 0 + 0 0 . chr19 5804873 5804874 chr19:5804874:C:A rs4476279 C A C EBF1_EBF_1 11 1 + 5.458552731144942 9.87451442899733 AGTCCCCGGGGCCG chr19 5806674 5806675 chr19:5806675:G:A rs898010 G A A EBF1_EBF_1 -5 0 + 0 0 . chr19 5867736 5867737 chr19:5867737:G:T rs778971 G T G EBF1_EBF_1 -15 0 - 0 0 . chr19 5868259 5868260 chr19:5868260:T:C rs778973 T C C EBF1_EBF_1 17 0 - 0 0 . chr19 5874847 5874848 chr19:5874848:G:A rs1678856 G A G EBF1_EBF_1 5 1 - 5.707788630395086 0.38600141485441564 GCTCCCTCGGGGCC chr19 5881182 5881183 chr19:5881183:T:C rs1674154 T C c EBF1_EBF_1 -6 0 + 0 0 . chr19 5897835 5897836 chr19:5897836:T:G rs7255840 T G G EBF1_EBF_1 2 1 + 7.710348597277406 0.9620229070769388 AGTCCCTTGGGCCA chr19 5898232 5898233 chr19:5898233:T:C rs73920084 T C t EBF1_EBF_1 13 1 - 8.742545668094808 7.628220096025369 ACTCCCCTGGGGCA chr19 5943737 5943738 chr19:5943738:A:C rs185061282 A C A EBF1_EBF_1 23 0 + 0 0 . chr19 5974864 5974865 chr19:5974865:T:G rs10406420 T G T EBF1_EBF_1 -3 0 - 0 0 . chr19 6009433 6009434 chr19:6009434:T:C rs598236 T C c EBF1_EBF_1 -6 0 + 0 0 . chr19 6022559 6022560 chr19:6022560:C:T rs76356108 C T C EBF1_EBF_1 -6 0 - 0 0 . chr19 6048959 6048960 chr19:6048960:G:T rs111523968 G T G EBF1_EBF_1 -17 0 - 0 0 . chr19 6110897 6110898 chr19:6110898:A:G rs74178472 A G A EBF1_EBF_1 6 1 - 8.402141488317044 8.100855359455286 AGTCCCTTGGGTCC chr19 6110899 6110900 chr19:6110900:G:T rs10425506 G T G EBF1_EBF_1 4 1 - 8.402141488317044 2.577581864863579 AGTCCCTTGGGTCC chr19 6131001 6131002 chr19:6131002:G:A rs111736096 G A G EBF1_EBF_1 21 0 - 0 0 . chr19 6173134 6173135 chr19:6173135:A:G rs972856 A G G EBF1_EBF_1 -15 0 - 0 0 . chr19 6212270 6212271 chr19:6212271:T:G rs11553930 T G T EBF1_EBF_1 19 0 + 0 0 . chr19 6216765 6216766 chr19:6216766:A:C rs10417252 A C C EBF1_EBF_1 2 1 - 6.6511235065024 -0.09720218369806886 AGTCCCCTGAGGCC chr19 6217577 6217578 chr19:6217578:G:A rs545114637 G A G EBF1_EBF_1 20 0 - 0 0 . chr19 6223962 6223963 chr19:6223963:T:C rs3787066 T C C EBF1_EBF_1 19 0 - 0 0 . chr19 6236700 6236701 chr19:6236701:G:A rs78120350 G A G EBF1_EBF_1 14 0 - 0 0 . chr19 6274042 6274043 chr19:6274043:G:A rs117773563 G A G EBF1_EBF_1 28 0 - 0 0 . chr19 6274082 6274083 chr19:6274083:G:A rs62106564 G A G EBF1_EBF_1 -12 0 - 0 0 . chr19 6274149 6274150 chr19:6274150:G:A rs987432423 G A G EBF1_EBF_1 -8 0 + 0 0 . chr19 6279188 6279189 chr19:6279189:G:C rs147664683 G C G EBF1_EBF_1 -18 0 - 0 0 . chr19 6279533 6279534 chr19:6279534:G:C rs185464242 G C G EBF1_EBF_1 14 0 - 0 0 . chr19 6279555 6279556 chr19:6279556:C:T rs146505276 C T C EBF1_EBF_1 -8 0 - 0 0 . chr19 6343880 6343881 chr19:6343881:T:C rs28421030 T C C EBF1_EBF_1 -14 0 + 0 0 . chr19 6362296 6362297 chr19:6362297:C:A chr19:6362297:C:A C A C EBF1_EBF_1 30 0 + 0 0 . chr19 6372540 6372541 chr19:6372541:C:A rs117319298 C A C EBF1_EBF_1 15 0 + 0 0 . chr19 6374878 6374879 chr19:6374879:G:A rs7540 G A G EBF1_EBF_1 -2 0 + 0 0 . chr19 6393604 6393605 chr19:6393605:G:A rs76439712 G A G EBF1_EBF_1 -19 0 + 0 0 . chr19 6393607 6393608 chr19:6393608:T:G rs746115270 T G T EBF1_EBF_1 -16 0 + 0 0 . chr19 6393608 6393609 chr19:6393609:C:G rs2241723 C G C EBF1_EBF_1 -15 0 + 0 0 . chr19 6456327 6456328 chr19:6456328:T:C rs10425567 T C C EBF1_EBF_1 25 0 - 0 0 . chr19 6459708 6459709 chr19:6459709:C:T rs578153465 C T C EBF1_EBF_1 12 1 + 6.047411319563423 5.021144749012573 CCCCCCCCGGGACC chr19 6476458 6476459 chr19:6476459:G:A rs12983560 G A G EBF1_EBF_1 28 0 - 0 0 . chr19 6517303 6517304 chr19:6517304:G:C rs348350 G C g EBF1_EBF_1 -14 0 - 0 0 . chr19 6528821 6528822 chr19:6528822:G:A rs2355681 G A G EBF1_EBF_1 27 0 - 0 0 . chr19 6531411 6531412 chr19:6531412:C:A rs76081997 C A C EBF1_EBF_1 29 0 + 0 0 . chr19 6537653 6537654 chr19:6537654:C:T rs11670689 C T C EBF1_EBF_1 21 0 - 0 0 . chr19 6558057 6558058 chr19:6558058:C:G rs11878528 C G G EBF1_EBF_1 1 1 + 5.725964815220525 5.915736469789743 ACACCCCGGGGAGA chr19 6577248 6577249 chr19:6577249:T:C rs140292878 T C T EBF1_EBF_1 26 0 + 0 0 . chr19 6587548 6587549 chr19:6587549:T:C rs180683271 T C - EBF1_EBF_1 -12 0 + 0 0 . chr19 6587572 6587573 chr19:6587573:C:T rs76696740 C T C EBF1_EBF_1 12 1 + 8.39235715350879 7.36609058295794 TTCCCCAAGGGACG chr19 6637063 6637064 chr19:6637064:C:A rs28752298 C A c EBF1_EBF_1 9 1 - 6.768453793466109 -0.06853561462269964 ATTCCCCAGGGTTG chr19 6688832 6688833 chr19:6688833:A:G chr19:6688833:A:G A G A EBF1_EBF_1 -11 0 + 0 0 . chr19 6688848 6688849 chr19:6688849:C:A rs8108377 C A A EBF1_EBF_1 5 1 + 5.681950515698238 -1.22293063693693 TGCCCCCTGAGACC chr19 6693873 6693874 chr19:6693874:C:T rs393770 C T T EBF1_EBF_1 9 1 - 5.584898043837386 2.9299587783897825 CATCCCCTGGGTCC chr19 6694387 6694388 chr19:6694388:G:A rs385791 G A G EBF1_EBF_1 20 0 - 0 0 . chr19 6710936 6710937 chr19:6710937:G:A rs10411506 G A G EBF1_EBF_1 -1 0 - 0 0 . chr19 6718066 6718067 chr19:6718067:T:G rs2547438 T G G EBF1_EBF_1 2 1 + 7.756466074082932 1.008140383882462 CTTCCCCTGGGGCC chr19 6720793 6720794 chr19:6720794:A:G rs140765536 A G A EBF1_EBF_1 13 1 + 6.874164432076139 5.7598388600067 AGTCCCTGGGGCCA chr19 6739698 6739699 chr19:6739699:G:T rs2303109 G T - EBF1_EBF_1 -3 0 - 0 0 . chr19 6739708 6739709 chr19:6739709:G:A rs534720319 G A - EBF1_EBF_1 -13 0 - 0 0 . chr19 6739712 6739713 chr19:6739713:G:A rs190870479 G A - EBF1_EBF_1 -17 0 - 0 0 . chr19 6745316 6745317 chr19:6745317:C:T rs770723061 C T C EBF1_EBF_1 -11 0 - 0 0 . chr19 6754035 6754036 chr19:6754036:C:T rs368991633 C T C EBF1_EBF_1 -9 0 - 0 0 . chr19 6761165 6761166 chr19:6761166:C:T rs7257763 C T T EBF1_EBF_1 32 0 - 0 0 . chr19 6773315 6773316 chr19:6773316:G:A rs61505502 G A A EBF1_EBF_1 29 0 - 0 0 . chr19 6774057 6774058 chr19:6774058:G:A rs682626 G A G EBF1_EBF_1 -8 0 - 0 0 . chr19 6789068 6789069 chr19:6789069:T:C rs660134 T C T EBF1_EBF_1 20 0 - 0 0 . chr19 6826766 6826767 chr19:6826767:G:A rs79208007 G A G EBF1_EBF_1 2 1 - 5.241696437966529 7.130519849408678 TGCCCCCAGGGCCT chr19 6847302 6847303 chr19:6847303:G:A rs568629334 G A g EBF1_EBF_1 23 0 + 0 0 . chr19 6855953 6855954 chr19:6855954:A:G rs147310822 A G A EBF1_EBF_1 25 0 - 0 0 . chr19 6866063 6866064 chr19:6866064:G:T rs72982451 G T T EBF1_EBF_1 -13 0 - 0 0 . chr19 6925469 6925470 chr19:6925470:G:A rs11669329 G A G EBF1_EBF_1 12 1 - 7.8517060206193054 6.8254394500684565 CTCCCCTGGGGACA chr19 6947829 6947830 chr19:6947830:C:T rs466822 C T C EBF1_EBF_1 7 1 - 5.599324090220243 6.911782495509068 GCTCCCCGGAGATC chr19 7115988 7115989 chr19:7115989:C:T rs141647996 C T C EBF1_EBF_1 6 1 + 6.036836060142812 6.33812218900457 CAACCCCGGGGAAT chr19 7157742 7157743 chr19:7157743:T:C rs6510952 T C C EBF1_EBF_1 32 0 + 0 0 . chr19 7197479 7197480 chr19:7197480:A:C rs149901404 A C - EBF1_EBF_1 -13 0 - 0 0 . chr19 7198579 7198580 chr19:7198580:G:A rs62111424 G A G EBF1_EBF_1 4 1 - 5.548114688868992 1.1787277439240675 CCTCCCGAGGGAGG chr19 7198584 7198585 chr19:7198585:G:A rs7250292 G A G EBF1_EBF_1 -1 0 - 0 0 . chr19 7234563 7234564 chr19:7234564:A:G rs10420008 A G A EBF1_EBF_1 32 0 - 0 0 . chr19 7254729 7254730 chr19:7254730:G:A rs11878933 G A G EBF1_EBF_1 -20 0 + 0 0 . chr19 7254761 7254762 chr19:7254762:A:G rs748683196 A G A EBF1_EBF_1 12 1 + 5.376269127241384 3.957451785141907 CCTCCCCGGGGCAT chr19 7294945 7294946 chr19:7294946:C:T rs558743943 C T C EBF1_EBF_1 21 0 - 0 0 . chr19 7294962 7294963 chr19:7294963:G:C chr19:7294963:G:C G C G EBF1_EBF_1 4 1 - 7.809280589071538 2.1835154879219933 TGTCCCCAGGGGCC chr19 7349169 7349170 chr19:7349170:G:A rs566725058 G A G EBF1_EBF_1 30 0 + 0 0 . chr19 7350085 7350086 chr19:7350086:G:A rs11879612 G A G EBF1_EBF_1 32 0 + 0 0 . chr19 7350086 7350087 chr19:7350087:T:C rs529520662 T C T EBF1_EBF_1 33 0 + 0 0 . chr19 7354805 7354806 chr19:7354806:C:T rs77780666 C T C EBF1_EBF_1 -5 0 + 0 0 . chr19 7355336 7355337 chr19:7355337:A:G rs11879985 A G A EBF1_EBF_1 15 0 + 0 0 . chr19 7383355 7383356 chr19:7383356:A:G rs6603127 A G G EBF1_EBF_1 13 1 - 5.718717099145851 4.874222984560333 TGTGCCCAGGGACT chr19 7483089 7483090 chr19:7483090:G:C rs144162906 G C G EBF1_EBF_1 10 1 + 8.166202194707802 1.2753998073783286 CCCCCCTTGGGACC chr19 7489605 7489606 chr19:7489606:T:G chr19:7489606:T:G T G T EBF1_EBF_1 -10 0 + 0 0 . chr19 7507639 7507640 chr19:7507640:G:A rs604625 G A A EBF1_EBF_1 21 0 + 0 0 . chr19 7535733 7535734 chr19:7535734:G:T rs183926824 G T G EBF1_EBF_1 30 0 - 0 0 . chr19 7535747 7535748 chr19:7535748:T:C rs896825340 T C T EBF1_EBF_1 16 0 - 0 0 . chr19 7540750 7540751 chr19:7540751:G:A rs117574616 G A G EBF1_EBF_1 -4 0 + 0 0 . chr19 7541850 7541851 chr19:7541851:G:C rs492092 G C C EBF1_EBF_1 -8 0 + 0 0 . chr19 7541889 7541890 chr19:7541890:T:G rs2303176 T G T EBF1_EBF_1 31 0 + 0 0 . chr19 7611017 7611018 chr19:7611018:G:C rs61506306 G C G EBF1_EBF_1 -12 0 + 0 0 . chr19 7611030 7611031 chr19:7611031:C:G rs747569 C G C EBF1_EBF_1 1 1 + 5.54855256841123 5.738324222980447 TCCCCCCGGGGAGA chr19 7621395 7621396 chr19:7621396:A:T rs4134861 A T T EBF1_EBF_1 0 1 + 9.41757724624254 7.4445208232765205 ACTCCCTTGGGGCC chr19 7669482 7669483 chr19:7669483:C:T rs3219177 C T C EBF1_EBF_1 14 0 + 0 0 . chr19 7731610 7731611 chr19:7731611:C:G rs11260026 C G N EBF1_EBF_1 14 0 - 0 0 . chr19 7742723 7742724 chr19:7742724:A:G rs4804802 A G N EBF1_EBF_1 -7 0 + 0 0 . chr19 7766741 7766742 chr19:7766742:G:A rs2277998 G A A EBF1_EBF_1 20 0 + 0 0 . chr19 7774908 7774909 chr19:7774909:T:C rs807972 T C T EBF1_EBF_1 27 0 + 0 0 . chr19 7830266 7830267 chr19:7830267:G:T rs762032776 G T G EBF1_EBF_1 6 1 - 6.23718297413264 6.598785341933918 CGCCCCCCGGGACC chr19 7857785 7857786 chr19:7857786:T:C rs2059820 T C C EBF1_EBF_1 7 1 - 7.0517021466619765 5.739243741373151 GCTCCCCAGGGCAT chr19 7862065 7862066 chr19:7862066:C:G chr19:7862066:C:G C G C EBF1_EBF_1 11 1 - 6.702298218207756 5.179043363959377 AACCCCGAGGGGAT chr19 7864737 7864738 chr19:7864738:G:A rs13265 G A G EBF1_EBF_1 -19 0 - 0 0 . chr19 7866742 7866743 chr19:7866743:G:A rs565975 G A G EBF1_EBF_1 30 0 + 0 0 . chr19 7880143 7880144 chr19:7880144:G:A rs111310860 G A - EBF1_EBF_1 -8 0 + 0 0 . chr19 7884004 7884005 chr19:7884005:T:C rs565989 T C T EBF1_EBF_1 29 0 + 0 0 . chr19 7908510 7908511 chr19:7908511:A:C rs73501919 A C A EBF1_EBF_1 18 0 - 0 0 . chr19 7916580 7916581 chr19:7916581:G:A rs552193768 G A G EBF1_EBF_1 33 0 + 0 0 . chr19 7917107 7917108 chr19:7917108:G:A rs181787448 G A G EBF1_EBF_1 -1 0 - 0 0 . chr19 7917428 7917429 chr19:7917429:G:A chr19:7917429:G:A G A G EBF1_EBF_1 -16 0 - 0 0 . chr19 7944435 7944436 chr19:7944436:T:C rs116314569 T C T EBF1_EBF_1 6 1 - 9.561359740618784 7.394565309344309 TCTCCCAGGGGACC chr19 7959799 7959800 chr19:7959800:A:C rs2042920 A C A EBF1_EBF_1 -11 0 + 0 0 . chr19 7981767 7981768 chr19:7981768:A:G chr19:7981768:A:G A G A EBF1_EBF_1 16 0 - 0 0 . chr19 7984267 7984268 chr19:7984268:A:G rs35857402 A G A EBF1_EBF_1 26 0 - 0 0 . chr19 7984276 7984277 chr19:7984277:A:G rs12611310 A G G EBF1_EBF_1 17 0 - 0 0 . chr19 8028562 8028563 chr19:8028563:T:A rs141294467 T A T EBF1_EBF_1 -3 0 + 0 0 . chr19 8034442 8034443 chr19:8034443:C:T rs12609627 C T C EBF1_EBF_1 3 1 + 7.174245831040571 0.7688470894872551 ATTCCCATGAGGGT chr19 8054126 8054127 chr19:8054127:T:A rs3136651 T A T EBF1_EBF_1 33 0 + 0 0 . chr19 8085330 8085331 chr19:8085331:T:G rs116296994 T G T EBF1_EBF_1 11 1 - 5.756530777679721 1.3405690798273309 GACCCCCAGGGAGG chr19 8116984 8116985 chr19:8116985:T:C rs4804268 T C C EBF1_EBF_1 2 1 + 6.021260717829483 4.132437306387334 AATCCCAGGGGCTC chr19 8147222 8147223 chr19:8147223:C:A rs11669201 C A C EBF1_EBF_1 -11 0 + 0 0 . chr19 8238765 8238766 chr19:8238766:C:T rs1466447 C T C EBF1_EBF_1 26 0 + 0 0 . chr19 8261887 8261888 chr19:8261888:T:C rs76022690 T C T EBF1_EBF_1 32 0 + 0 0 . chr19 8303027 8303028 chr19:8303028:C:A rs115039587 C A C EBF1_EBF_1 27 0 - 0 0 . chr19 8309818 8309819 chr19:8309819:A:G rs36263 A G A EBF1_EBF_1 -8 0 - 0 0 . chr19 8354161 8354162 chr19:8354162:C:T rs549606807 C T C EBF1_EBF_1 6 1 + 5.339035782303928 5.640321911165685 AACCCCCCGGGGCC chr19 8363995 8363996 chr19:8363996:C:T chr19:8363996:C:T C T C EBF1_EBF_1 5 1 + 6.617800355178123 1.2960131396374543 CATCCCCAGGGCAT chr19 8366431 8366432 chr19:8366432:T:C rs12985515 T C T EBF1_EBF_1 11 1 - 6.30892734324427 3.416220499640259 AGCCCTCAGGGACT chr19 8395733 8395734 chr19:8395734:G:T rs2967612 G T G EBF1_EBF_1 12 1 - 4.742546255417134 4.7727276538384595 GTCCCCCTGGGGCG chr19 8403452 8403453 chr19:8403453:C:T rs2230876 C T C EBF1_EBF_1 -14 0 + 0 0 . chr19 8405038 8405039 chr19:8405039:C:G rs62117518 C G C EBF1_EBF_1 -6 0 + 0 0 . chr19 8405048 8405049 chr19:8405049:C:T rs55822205 C T C EBF1_EBF_1 4 1 + 7.979403991615627 3.6100170466707038 AGACCCTGGGGACC chr19 8424184 8424185 chr19:8424185:T:A rs4804074 T A T EBF1_EBF_1 2 1 + 8.348641389161584 4.664173801562708 CATCCCCAGAGACT chr19 8438460 8438461 chr19:8438461:G:C rs34099346 G C G EBF1_EBF_1 -11 0 + 0 0 . chr19 8483290 8483291 chr19:8483291:A:C rs1599871 A C A EBF1_EBF_1 -20 0 - 0 0 . chr19 8507403 8507404 chr19:8507404:C:T rs2967572 C T c EBF1_EBF_1 -6 0 + 0 0 . chr19 8564443 8564444 chr19:8564444:A:G rs56184962 A G g EBF1_EBF_1 -4 0 - 0 0 . chr19 8595398 8595399 chr19:8595399:C:T rs10432321 C T C EBF1_EBF_1 21 0 + 0 0 . chr19 8611663 8611664 chr19:8611664:A:C rs186405089 A C A EBF1_EBF_1 -4 0 - 0 0 . chr19 8612000 8612001 chr19:8612001:G:C rs73004590 G C G EBF1_EBF_1 20 0 + 0 0 . chr19 8631511 8631512 chr19:8631512:C:T rs56269614 C T C EBF1_EBF_1 -3 0 + 0 0 . chr19 8740288 8740289 chr19:8740289:C:T rs34901536 C T - EBF1_EBF_1 -10 0 - 0 0 . chr19 8823039 8823040 chr19:8823040:C:T rs11673336 C T T EBF1_EBF_1 6 1 + 10.657482867071192 10.95876899593295 CTTCCCCGGGGACT chr19 8879576 8879577 chr19:8879577:G:A rs62120172 G A G EBF1_EBF_1 6 1 - 7.380023511950891 7.681309640812649 CTCCCCCGGGGAGT chr19 9055615 9055616 chr19:9055616:G:A rs1029110053 G A G EBF1_EBF_1 17 0 + 0 0 . chr19 9055625 9055626 chr19:9055626:A:G rs28380217 A G G EBF1_EBF_1 27 0 + 0 0 . chr19 9079929 9079930 chr19:9079930:G:A rs76469447 G A G EBF1_EBF_1 27 0 - 0 0 . chr19 9079930 9079931 chr19:9079931:C:G rs917020927 C G C EBF1_EBF_1 26 0 - 0 0 . chr19 9079949 9079950 chr19:9079950:G:A rs78516505 G A G EBF1_EBF_1 7 1 - 6.296770698702085 8.114275444984708 AGTCCCTCGGGCAC chr19 9079958 9079959 chr19:9079959:G:C rs532472531 G C G EBF1_EBF_1 -2 0 - 0 0 . chr19 9132713 9132714 chr19:9132714:G:C rs78197454 G C G EBF1_EBF_1 -1 0 + 0 0 . chr19 9140363 9140364 chr19:9140364:G:A rs1021751219 G A G EBF1_EBF_1 -8 0 + 0 0 . chr19 9407214 9407215 chr19:9407215:A:G rs114990020 A G A EBF1_EBF_1 -20 0 + 0 0 . chr19 9621072 9621073 chr19:9621073:C:T rs150058590 C T C EBF1_EBF_1 -15 0 - 0 0 . chr19 9621548 9621549 chr19:9621549:A:T chr19:9621549:A:T A T A EBF1_EBF_1 25 0 - 0 0 . chr19 9627949 9627950 chr19:9627950:A:G rs374824138 A G A EBF1_EBF_1 -10 0 - 0 0 . chr19 9835500 9835501 chr19:9835501:A:C rs1026130290 A C A EBF1_EBF_1 6 1 + 6.196368430934614 5.834766063133335 CCTCCCATGGGGTC chr19 9850310 9850311 chr19:9850311:A:T rs55975644 A T A EBF1_EBF_1 26 0 + 0 0 . chr19 9885365 9885366 chr19:9885366:T:G rs11879064 T G G EBF1_EBF_1 22 0 + 0 0 . chr19 9913396 9913397 chr19:9913397:G:A rs3745578 G A G EBF1_EBF_1 14 0 - 0 0 . chr19 9931084 9931085 chr19:9931085:A:G rs78927057 A G A EBF1_EBF_1 6 1 + 9.099610082982206 6.932815651707732 AGCCCCAAGGGGCT chr19 9931151 9931152 chr19:9931152:T:C rs115714435 T C T EBF1_EBF_1 -15 0 + 0 0 . chr19 9931199 9931200 chr19:9931200:A:G rs4804476 A G G EBF1_EBF_1 33 0 + 0 0 . chr19 9968416 9968417 chr19:9968417:C:T rs3815746 C T C EBF1_EBF_1 28 0 + 0 0 . chr19 9971274 9971275 chr19:9971275:T:G rs77994364 T G T EBF1_EBF_1 30 0 + 0 0 . chr19 9986916 9986917 chr19:9986917:C:T rs2287808 C T C EBF1_EBF_1 14 0 + 0 0 . chr19 9989384 9989385 chr19:9989385:C:A rs2287804 C A A EBF1_EBF_1 -10 0 - 0 0 . chr19 10009416 10009417 chr19:10009417:G:T rs140695047 G T G EBF1_EBF_1 22 0 - 0 0 . chr19 10012116 10012117 chr19:10012117:T:C rs4804478 T C C EBF1_EBF_1 13 1 - 8.875277390084038 7.7609518180145995 CCTCCCTGGGGAAA chr19 10113279 10113280 chr19:10113280:G:A rs75025945 G A G EBF1_EBF_1 20 0 + 0 0 . chr19 10162852 10162853 chr19:10162853:G:A chr19:10162853:G:A G A G EBF1_EBF_1 18 0 + 0 0 . chr19 10219980 10219981 chr19:10219981:A:C chr19:10219981:A:C A C A EBF1_EBF_1 -4 0 - 0 0 . chr19 10226553 10226554 chr19:10226554:C:T rs576283555 C T C EBF1_EBF_1 10 1 - 8.105253551275036 2.787225092008069 CTTCCCAAAGGACT chr19 10227449 10227450 chr19:10227450:G:A rs772563067 G A G EBF1_EBF_1 1 1 + 9.951821081241665 9.691122249320362 CGTCCCCGGGGACT chr19 10227477 10227478 chr19:10227478:T:G rs1026244751 T G T EBF1_EBF_1 29 0 + 0 0 . chr19 10231679 10231680 chr19:10231680:C:T rs966861505 C T C EBF1_EBF_1 33 0 + 0 0 . chr19 10236407 10236408 chr19:10236408:T:C rs8108722 T C t EBF1_EBF_1 30 0 + 0 0 . chr19 10236408 10236409 chr19:10236409:G:A rs115698040 G A g EBF1_EBF_1 31 0 + 0 0 . chr19 10333284 10333285 chr19:10333285:A:G rs115037728 A G A EBF1_EBF_1 28 0 - 0 0 . chr19 10333303 10333304 chr19:10333304:C:T rs75044914 C T C EBF1_EBF_1 9 1 - 5.624292227258317 2.9693529618107135 CCTCCCGGGGGACG chr19 10336057 10336058 chr19:10336058:G:A rs281413 G A G EBF1_EBF_1 30 0 + 0 0 . chr19 10353333 10353334 chr19:10353334:G:T rs12720323 G T T EBF1_EBF_1 23 0 - 0 0 . chr19 10393209 10393210 chr19:10393210:G:C rs2231485 G C G EBF1_EBF_1 2 1 - 5.80674164249184 0.947239363733521 ACCCCCCAGGGCCA chr19 10431644 10431645 chr19:10431645:C:G rs111740485 C G C EBF1_EBF_1 14 0 - 0 0 . chr19 10431651 10431652 chr19:10431652:T:C rs73923218 T C T EBF1_EBF_1 7 1 - 5.996513297061057 4.684054891772232 AGCCCCCAGGGCCA chr19 10503111 10503112 chr19:10503112:C:T rs114690859 C T C EBF1_EBF_1 21 0 - 0 0 . chr19 10503120 10503121 chr19:10503121:G:C rs571052508 G C G EBF1_EBF_1 12 1 - 6.465071065108322 5.076435121430171 CACCCCGAGGGACC chr19 10510575 10510576 chr19:10510576:A:G rs559459101 A G A EBF1_EBF_1 -10 0 + 0 0 . chr19 10518862 10518863 chr19:10518863:A:G rs34177255 A G G EBF1_EBF_1 1 1 - 9.805271868811731 8.90983842841299 ATCCCCAAGGGGCT chr19 10543966 10543967 chr19:10543967:T:G rs376120932 T G G EBF1_EBF_1 12 1 - 6.352052514267572 6.321871115846246 CGTCCCCAGGGTAC chr19 10568893 10568894 chr19:10568894:C:A rs557209580 C A C EBF1_EBF_1 32 0 - 0 0 . chr19 10603756 10603757 chr19:10603757:G:T rs12460655 G T G EBF1_EBF_1 25 0 - 0 0 . chr19 10644426 10644427 chr19:10644427:T:C rs3859515 T C C EBF1_EBF_1 17 0 - 0 0 . chr19 10690399 10690400 chr19:10690400:A:G rs191595440 A G A EBF1_EBF_1 -6 0 + 0 0 . chr19 10690424 10690425 chr19:10690425:C:G rs74460387 C G C EBF1_EBF_1 19 0 + 0 0 . chr19 10701886 10701887 chr19:10701887:T:C rs147066742 T C T EBF1_EBF_1 -10 0 + 0 0 . chr19 10717936 10717937 chr19:10717937:T:C chr19:10717937:T:C T C T EBF1_EBF_1 -6 0 - 0 0 . chr19 10766879 10766880 chr19:10766880:C:G rs73498597 C G C EBF1_EBF_1 17 0 + 0 0 . chr19 10796748 10796749 chr19:10796749:T:A rs11672991 T A T EBF1_EBF_1 19 0 + 0 0 . chr19 10830384 10830385 chr19:10830385:C:G rs201979143 C G C EBF1_EBF_1 26 0 + 0 0 . chr19 10850347 10850348 chr19:10850348:C:G rs73009507 C G C EBF1_EBF_1 8 1 - 5.747858587280353 0.42919972636566756 GTTCCCAGGGGCCA chr19 10960616 10960617 chr19:10960617:C:T rs1057226903 C T C EBF1_EBF_1 4 1 + 6.088990357590393 1.7196034126454685 TTTCCCCACGGACC chr19 11021259 11021260 chr19:11021260:G:T rs148951786 G T G EBF1_EBF_1 -9 0 + 0 0 . chr19 11023413 11023414 chr19:11023414:A:G rs12611191 A G A EBF1_EBF_1 6 1 + 7.319407091093972 5.152612659819496 CACCCCAGGGGACC chr19 11032985 11032986 chr19:11032986:G:A rs76289424 G A G EBF1_EBF_1 33 0 - 0 0 . chr19 11033278 11033279 chr19:11033279:T:C rs190104006 T C T EBF1_EBF_1 -11 0 - 0 0 . chr19 11046746 11046747 chr19:11046747:A:G rs56167249 A G G EBF1_EBF_1 15 0 + 0 0 . chr19 11050860 11050861 chr19:11050861:C:A rs3786722 C A C EBF1_EBF_1 -10 0 - 0 0 . chr19 11059270 11059271 chr19:11059271:T:C rs7258189 T C C EBF1_EBF_1 15 0 + 0 0 . chr19 11118288 11118289 chr19:11118289:C:A rs2738450 C A C EBF1_EBF_1 31 0 - 0 0 . chr19 11139300 11139301 chr19:11139301:C:T rs189644007 C T C EBF1_EBF_1 33 0 - 0 0 . chr19 11155399 11155400 chr19:11155400:A:G rs10424832 A G A EBF1_EBF_1 24 0 - 0 0 . chr19 11155484 11155485 chr19:11155485:G:A rs60459264 G A G EBF1_EBF_1 15 0 - 0 0 . chr19 11194415 11194416 chr19:11194416:C:T rs150888326 C T C EBF1_EBF_1 27 0 + 0 0 . chr19 11203383 11203384 chr19:11203384:C:A chr19:11203384:C:A C A C EBF1_EBF_1 2 1 + 6.427607356179395 4.6319631800226695 AACCCCCAGGGTCC chr19 11204149 11204150 chr19:11204150:A:C rs78476911 A C - EBF1_EBF_1 -11 0 - 0 0 . chr19 11233729 11233730 chr19:11233730:C:T rs10421795 C T T EBF1_EBF_1 8 1 - 6.077442929569815 1.8511528406835545 CCTCCCCAGGTAAC chr19 11258341 11258342 chr19:11258342:C:T rs116504565 C T C EBF1_EBF_1 4 1 + 9.141362437313187 4.771975492368262 GTCCCCATGGGAAA chr19 11265761 11265762 chr19:11265762:T:A rs1560700 T A T EBF1_EBF_1 -16 0 - 0 0 . chr19 11293838 11293839 chr19:11293839:C:T rs114842441 C T C EBF1_EBF_1 -16 0 + 0 0 . chr19 11374867 11374868 chr19:11374868:G:A rs556795158 G A G EBF1_EBF_1 -18 0 + 0 0 . chr19 11374875 11374876 chr19:11374876:C:T rs34156839 C T C EBF1_EBF_1 -10 0 + 0 0 . chr19 11384329 11384330 chr19:11384330:A:G rs316500 A G A EBF1_EBF_1 -19 0 + 0 0 . chr19 11384339 11384340 chr19:11384340:A:C rs147119630 A C A EBF1_EBF_1 -9 0 + 0 0 . chr19 11422048 11422049 chr19:11422049:G:A chr19:11422049:G:A G A G EBF1_EBF_1 12 1 - 6.302378030655929 5.27611146010508 GTTCCCAAGAGGCC chr19 11448342 11448343 chr19:11448343:A:G rs160841 A G G EBF1_EBF_1 0 1 + 10.145780219906655 8.253496350341823 ACTCCCAGGGGAGC chr19 11451643 11451644 chr19:11451644:C:T rs138354612 C T C EBF1_EBF_1 12 1 + 7.216093898709956 6.189827328159107 GCCCCCCAGGGACG chr19 11482717 11482718 chr19:11482718:G:C rs111665828 G C G EBF1_EBF_1 0 1 + 6.311100280692037 5.94812566656027 GGTCCCCAGGGTCA chr19 11536009 11536010 chr19:11536010:C:G rs74646284 C G g EBF1_EBF_1 22 0 - 0 0 . chr19 11536010 11536011 chr19:11536011:G:T rs190889261 G T G EBF1_EBF_1 21 0 - 0 0 . chr19 11577092 11577093 chr19:11577093:G:A rs62638747 G A G EBF1_EBF_1 -12 0 + 0 0 . chr19 11598525 11598526 chr19:11598526:A:T rs8106114 A T T EBF1_EBF_1 -17 0 + 0 0 . chr19 11606913 11606914 chr19:11606914:G:A rs12975880 G A G EBF1_EBF_1 12 1 - 8.054300987355921 7.02803441680507 GCACCCTAGGGACT chr19 11771840 11771841 chr19:11771841:G:A rs11880786 G A G EBF1_EBF_1 6 1 - 7.580601290178874 7.881887419040632 CTCCCCCGGGGAAA chr19 11923888 11923889 chr19:11923889:G:C rs964167647 G C G EBF1_EBF_1 18 0 - 0 0 . chr19 11964160 11964161 chr19:11964161:G:A rs1335220664 G A G EBF1_EBF_1 -14 0 - 0 0 . chr19 12091074 12091075 chr19:12091075:C:T rs118097688 C T C EBF1_EBF_1 8 1 - 7.773771037596605 3.547480948710343 ACACCCAAGGGAGC chr19 12164104 12164105 chr19:12164105:A:G rs143363091 A G A EBF1_EBF_1 -13 0 + 0 0 . chr19 12401135 12401136 chr19:12401136:G:A rs116791809 G A N EBF1_EBF_1 10 1 + 7.015705272776912 1.6976768135099456 GTTCCCGCGGGACA chr19 12401434 12401435 chr19:12401435:T:C rs767669778 T C - EBF1_EBF_1 -7 0 + 0 0 . chr19 12414206 12414207 chr19:12414207:T:C rs889176 T C - EBF1_EBF_1 -1 0 + 0 0 . chr19 12482369 12482370 chr19:12482370:G:A rs55831953 G A G EBF1_EBF_1 -4 0 - 0 0 . chr19 12495939 12495940 chr19:12495940:T:C rs868854085 T C T EBF1_EBF_1 11 1 - 7.268656039372465 4.375949195768454 TCCCCCTGGGGAAA chr19 12683043 12683044 chr19:12683044:C:T rs75410819 C T C EBF1_EBF_1 33 0 - 0 0 . chr19 12750171 12750172 chr19:12750172:A:G rs73501394 A G G EBF1_EBF_1 -2 0 - 0 0 . chr19 12783186 12783187 chr19:12783187:G:A rs565411816 G A G EBF1_EBF_1 -16 0 - 0 0 . chr19 12828802 12828803 chr19:12828803:G:A rs752980644 G A G EBF1_EBF_1 12 1 - 6.394865087465206 5.368598516914356 ACTCCCTCGGGTCC chr19 12919443 12919444 chr19:12919444:G:C rs558853928 G C G EBF1_EBF_1 -10 0 + 0 0 . chr19 12919465 12919466 chr19:12919466:G:A rs8113575 G A A EBF1_EBF_1 12 1 + 6.96733790559887 8.386155247698348 CGCCCCTTGGGAGC chr19 12919484 12919485 chr19:12919485:G:A rs541767861 G A G EBF1_EBF_1 31 0 + 0 0 . chr19 12988164 12988165 chr19:12988165:C:G rs77569649 C G C EBF1_EBF_1 7 1 - 4.50159361423454 3.5202730331531837 CCTCCCCGGGGCCC chr19 12988178 12988179 chr19:12988179:G:C rs11881865 G C C EBF1_EBF_1 -7 0 - 0 0 . chr19 13010912 13010913 chr19:13010913:C:T rs77261107 C T C EBF1_EBF_1 13 1 + 5.305034856817418 6.149528971402936 TGTCCCTGGGGCAC chr19 13037294 13037295 chr19:13037295:T:C rs117650112 T C T EBF1_EBF_1 -18 0 + 0 0 . chr19 13037297 13037298 chr19:13037298:T:C rs139865574 T C T EBF1_EBF_1 -15 0 + 0 0 . chr19 13037336 13037337 chr19:13037337:C:T rs192482926 C T C EBF1_EBF_1 24 0 + 0 0 . chr19 13057526 13057527 chr19:13057527:G:T rs143851771 G T G EBF1_EBF_1 -1 0 + 0 0 . chr19 13057823 13057824 chr19:13057824:C:G rs141821279 C G C EBF1_EBF_1 -20 0 - 0 0 . chr19 13068847 13068848 chr19:13068848:C:A rs8113263 C A A EBF1_EBF_1 21 0 + 0 0 . chr19 13089906 13089907 chr19:13089907:G:A rs145933885 G A G EBF1_EBF_1 3 1 - 6.600007799849475 0.1946090582961565 GGTCCCCAGGTACC chr19 13094020 13094021 chr19:13094021:A:G rs151187830 A G G EBF1_EBF_1 30 0 + 0 0 . chr19 13102723 13102724 chr19:13102724:C:T rs1122234 C T C EBF1_EBF_1 -9 0 + 0 0 . chr19 13118087 13118088 chr19:13118088:T:A rs187863303 T A - EBF1_EBF_1 -11 0 + 0 0 . chr19 13119623 13119624 chr19:13119624:T:G rs75383940 T G T EBF1_EBF_1 29 0 - 0 0 . chr19 13154045 13154046 chr19:13154046:A:C rs538577887 A C A EBF1_EBF_1 -11 0 - 0 0 . chr19 13155707 13155708 chr19:13155708:C:T chr19:13155708:C:T C T C EBF1_EBF_1 -8 0 + 0 0 . chr19 13163976 13163977 chr19:13163977:C:T rs549707793 C T C EBF1_EBF_1 32 0 - 0 0 . chr19 13163998 13163999 chr19:13163999:C:T rs569552406 C T C EBF1_EBF_1 10 1 - 4.951660034457943 -0.3663684248090241 ACTCCCGGGGGCCC chr19 13241920 13241921 chr19:13241921:G:A rs744945 G A G EBF1_EBF_1 -18 0 + 0 0 . chr19 13245565 13245566 chr19:13245566:T:C rs2302080 T C T EBF1_EBF_1 -13 0 + 0 0 . chr19 13254297 13254298 chr19:13254298:C:T chr19:13254298:C:T C T C EBF1_EBF_1 21 0 - 0 0 . chr19 13282050 13282051 chr19:13282051:G:A rs10424916 G A G EBF1_EBF_1 -5 0 - 0 0 . chr19 13356830 13356831 chr19:13356831:T:C rs4926156 T C C EBF1_EBF_1 9 1 + 5.999574404289657 7.760874666033002 ATTCCCCAGTGAGT chr19 13468319 13468320 chr19:13468320:T:C rs117192750 T C T EBF1_EBF_1 -10 0 - 0 0 . chr19 13492054 13492055 chr19:13492055:C:G rs10404314 C G G EBF1_EBF_1 14 0 - 0 0 . chr19 13506696 13506697 chr19:13506697:C:A rs572550834 C A C EBF1_EBF_1 5 1 + 4.559340298208008 -2.34554085442716 CCCCCCCCGGGACG chr19 13579943 13579944 chr19:13579944:C:G rs80112466 C G C EBF1_EBF_1 -8 0 + 0 0 . chr19 13685829 13685830 chr19:13685830:C:T rs113028439 C T C EBF1_EBF_1 -8 0 - 0 0 . chr19 13700886 13700887 chr19:13700887:G:T rs187355583 G T g EBF1_EBF_1 -4 0 - 0 0 . chr19 13718939 13718940 chr19:13718940:C:T rs76439628 C T C EBF1_EBF_1 24 0 - 0 0 . chr19 13732187 13732188 chr19:13732188:G:T rs346171 G T G EBF1_EBF_1 33 0 - 0 0 . chr19 13826905 13826906 chr19:13826906:G:C rs12978067 G C C EBF1_EBF_1 3 1 + 6.732607535240076 12.56037198335529 AGTGCCATGGGACC chr19 13842452 13842453 chr19:13842453:G:C rs574475921 G C G EBF1_EBF_1 6 1 - 7.73392334444752 5.928731280974322 ACCCCCCAGGGGAC chr19 13844346 13844347 chr19:13844347:G:A rs12162265 G A G EBF1_EBF_1 9 1 + 4.984913392858903 2.3299741274112984 CATCCCGTGGGGCC chr19 13906461 13906462 chr19:13906462:C:T chr19:13906462:C:T C T C EBF1_EBF_1 5 1 + 4.8045134121076805 -0.5172738034329901 GGACCCCCGGGACC chr19 13906568 13906569 chr19:13906569:C:G rs570362776 C G C EBF1_EBF_1 -4 0 + 0 0 . chr19 13909759 13909760 chr19:13909760:T:C rs12971500 T C T EBF1_EBF_1 24 0 + 0 0 . chr19 13948077 13948078 chr19:13948078:G:C rs8101054 G C C EBF1_EBF_1 4 1 - 6.5546634834190085 0.928898382269463 GATCCCCGGAGACC chr19 13977855 13977856 chr19:13977856:C:T rs570717374 C T C EBF1_EBF_1 -6 0 - 0 0 . chr19 14031856 14031857 chr19:14031857:G:A rs375992323 G A G EBF1_EBF_1 0 1 + 7.299725246678908 9.192009116243742 GCTCCCGAGGGACG chr19 14031861 14031862 chr19:14031862:C:A rs1170106468 C A C EBF1_EBF_1 5 1 + 7.299725246678908 0.3948440940437403 GCTCCCGAGGGACG chr19 14071903 14071904 chr19:14071904:G:C rs73509707 G C G EBF1_EBF_1 12 1 - 8.049838853838693 6.661202910160543 CTCCCCTAGGGACG chr19 14081576 14081577 chr19:14081577:T:C rs193040228 T C T EBF1_EBF_1 12 1 - 5.906134010446357 4.48731666834688 CTCCCCCGGGGGAT chr19 14081586 14081587 chr19:14081587:G:T rs1206555644 G T G EBF1_EBF_1 2 1 - 5.906134010446357 4.110489834289631 CTCCCCCGGGGGAT chr19 14081592 14081593 chr19:14081593:C:T rs147196431 C T C EBF1_EBF_1 -4 0 - 0 0 . chr19 14081593 14081594 chr19:14081594:G:A rs1053317904 G A G EBF1_EBF_1 -5 0 - 0 0 . chr19 14089587 14089588 chr19:14089588:A:G rs150315824 A G G EBF1_EBF_1 28 0 + 0 0 . chr19 14107798 14107799 chr19:14107799:G:A rs35931031 G A G EBF1_EBF_1 -3 0 - 0 0 . chr19 14110829 14110830 chr19:14110830:A:G rs34692587 A G G EBF1_EBF_1 1 1 + 7.207702946324454 7.468401778245756 CATCCCATGAGATT chr19 14152121 14152122 chr19:14152122:G:A rs148486592 G A G EBF1_EBF_1 15 0 + 0 0 . chr19 14174134 14174135 chr19:14174135:G:A rs79311369 G A g EBF1_EBF_1 22 0 + 0 0 . chr19 14182530 14182531 chr19:14182531:T:C rs34025180 T C T EBF1_EBF_1 21 0 - 0 0 . chr19 14229110 14229111 chr19:14229111:C:T rs75593627 C T C EBF1_EBF_1 24 0 + 0 0 . chr19 14310286 14310287 chr19:14310287:G:A rs28850626 G A G EBF1_EBF_1 -3 0 + 0 0 . chr19 14408567 14408568 chr19:14408568:G:C rs75739583 G C G EBF1_EBF_1 5 1 - 6.362832098831855 -0.5420490538033146 GGCCCCAAGGGGCC chr19 14440406 14440407 chr19:14440407:T:C rs79985728 T C C EBF1_EBF_1 13 1 + 6.474730680843929 5.630236566258411 CTTCCCCGGGTACT chr19 14476562 14476563 chr19:14476563:G:C rs10409136 G C C EBF1_EBF_1 10 1 + 5.916609991995673 -0.9741923953338019 CACCCCTAGAGACC chr19 14495868 14495869 chr19:14495869:C:T rs937854658 C T c EBF1_EBF_1 -8 0 + 0 0 . chr19 14495897 14495898 chr19:14495898:C:G rs116092141 C G C EBF1_EBF_1 21 0 + 0 0 . chr19 14518036 14518037 chr19:14518037:G:A rs559802643 G A G EBF1_EBF_1 25 0 - 0 0 . chr19 14518045 14518046 chr19:14518046:G:A rs996596260 G A G EBF1_EBF_1 16 0 - 0 0 . chr19 14560910 14560911 chr19:14560911:A:C rs549464481 A C . EBF1_EBF_1 19 0 - 0 0 . chr19 14690144 14690145 chr19:14690145:T:C rs4808305 T C C EBF1_EBF_1 28 0 - 0 0 . chr19 14754891 14754892 chr19:14754892:C:T rs2058107 C T T EBF1_EBF_1 13 1 - 8.27133473691725 9.385660308986688 AATCCCCAGAGACG chr19 14867573 14867574 chr19:14867574:T:C rs1029653 T C C EBF1_EBF_1 -18 0 + 0 0 . chr19 15087819 15087820 chr19:15087820:A:C rs8105737 A C A EBF1_EBF_1 7 1 - 6.068052575618221 5.231868410416953 GGTCCCATAGGACA chr19 15107070 15107071 chr19:15107071:A:G rs12980862 A G A EBF1_EBF_1 7 1 + 6.727420768817695 5.41496236352887 AGACCCAAGAGAAC chr19 15213903 15213904 chr19:15213904:C:T rs555040833 C T C EBF1_EBF_1 -1 0 - 0 0 . chr19 15226118 15226119 chr19:15226119:G:A rs35765800 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 15377931 15377932 chr19:15377932:T:C rs75490630 T C T EBF1_EBF_1 -10 0 + 0 0 . chr19 15400744 15400745 chr19:15400745:C:A rs77704996 C A C EBF1_EBF_1 29 0 + 0 0 . chr19 15402032 15402033 chr19:15402033:A:T rs10775619 A T A EBF1_EBF_1 -9 0 + 0 0 . chr19 15418381 15418382 chr19:15418382:C:T rs4809191 C T C EBF1_EBF_1 -14 0 + 0 0 . chr19 15418384 15418385 chr19:15418385:A:G rs114193735 A G A EBF1_EBF_1 -11 0 + 0 0 . chr19 15452859 15452860 chr19:15452860:T:C rs377128854 T C T EBF1_EBF_1 -4 0 + 0 0 . chr19 15467025 15467026 chr19:15467026:T:C rs889439367 T C T EBF1_EBF_1 -5 0 - 0 0 . chr19 15499344 15499345 chr19:15499345:G:A rs115311754 G A G EBF1_EBF_1 31 0 - 0 0 . chr19 15535433 15535434 chr19:15535434:G:T rs1273526 G T T EBF1_EBF_1 -6 0 - 0 0 . chr19 15551234 15551235 chr19:15551235:C:G rs142624481 C G G EBF1_EBF_1 3 1 + 7.320779337424462 1.4930148893092448 GACCCCCGGGGACC chr19 15584565 15584566 chr19:15584566:A:T rs117121072 A T A EBF1_EBF_1 17 0 + 0 0 . chr19 15588452 15588453 chr19:15588453:C:T rs76686669 C T C EBF1_EBF_1 -10 0 - 0 0 . chr19 15644301 15644302 chr19:15644302:G:A rs2204000 G A G EBF1_EBF_1 29 0 + 0 0 . chr19 15666090 15666091 chr19:15666091:A:G rs1543285 A G A EBF1_EBF_1 26 0 - 0 0 . chr19 15666134 15666135 chr19:15666135:T:C rs1543286 T C C EBF1_EBF_1 -18 0 - 0 0 . chr19 15728554 15728555 chr19:15728555:C:T rs1806931 C T - EBF1_EBF_1 3 1 + 6.726183445870703 0.3207847043173854 GATCCCATGAGATC chr19 15732610 15732611 chr19:15732611:A:T rs62104683 A T - EBF1_EBF_1 30 0 - 0 0 . chr19 15732643 15732644 chr19:15732644:C:T rs1859356 C T - EBF1_EBF_1 -3 0 - 0 0 . chr19 15837194 15837195 chr19:15837195:A:T rs59154419 A T T EBF1_EBF_1 -5 0 - 0 0 . chr19 15863582 15863583 chr19:15863583:C:T rs4808392 C T t EBF1_EBF_1 2 1 + 7.896517679295544 9.785341090737692 CACCCCCAGGGACA chr19 15934938 15934939 chr19:15934939:G:A rs12985091 G A G EBF1_EBF_1 15 0 + 0 0 . chr19 16020219 16020220 chr19:16020220:T:C rs16981049 T C T EBF1_EBF_1 19 0 + 0 0 . chr19 16031982 16031983 chr19:16031983:T:C rs4808426 T C C EBF1_EBF_1 30 0 - 0 0 . chr19 16066839 16066840 chr19:16066840:G:A rs143330809 G A G EBF1_EBF_1 -7 0 - 0 0 . chr19 16077512 16077513 chr19:16077513:G:A rs79466985 G A G EBF1_EBF_1 29 0 - 0 0 . chr19 16077532 16077533 chr19:16077533:C:T rs114326130 C T C EBF1_EBF_1 9 1 - 8.523049919916376 5.868110654468772 TGTCCCGAGGGACA chr19 16139784 16139785 chr19:16139785:G:A rs8102236 G A A EBF1_EBF_1 17 0 + 0 0 . chr19 16217576 16217577 chr19:16217577:C:T rs67306445 C T c EBF1_EBF_1 24 0 - 0 0 . chr19 16225829 16225830 chr19:16225830:G:C rs897773 G C G EBF1_EBF_1 6 1 - 5.998714635812484 4.193522572339286 ACCCCCCAGGGCTT chr19 16258557 16258558 chr19:16258558:C:T chr19:16258558:C:T C T C EBF1_EBF_1 10 1 - 7.4762031266999545 2.1581746674329882 ACACCCAGGGGACA chr19 16258763 16258764 chr19:16258764:C:T rs58212942 C T C EBF1_EBF_1 -20 0 - 0 0 . chr19 16319635 16319636 chr19:16319636:T:G rs34226255 T G g EBF1_EBF_1 27 0 - 0 0 . chr19 16324421 16324422 chr19:16324422:C:G rs8102266 C G c EBF1_EBF_1 -4 0 + 0 0 . chr19 16326139 16326140 chr19:16326140:C:T chr19:16326140:C:T C T C EBF1_EBF_1 -4 0 - 0 0 . chr19 16326214 16326215 chr19:16326215:A:G rs78613601 A G A EBF1_EBF_1 -10 0 + 0 0 . chr19 16362146 16362147 chr19:16362147:T:C rs113310652 T C T EBF1_EBF_1 -9 0 - 0 0 . chr19 16364746 16364747 chr19:16364747:G:A rs3786579 G A G EBF1_EBF_1 -19 0 + 0 0 . chr19 16365938 16365939 chr19:16365939:G:A rs192841564 G A G EBF1_EBF_1 4 1 - 7.116648444202068 2.747261499257143 GGTCCCTGGAGACC chr19 16384962 16384963 chr19:16384963:A:C rs2290669 A C A EBF1_EBF_1 16 0 + 0 0 . chr19 16542144 16542145 chr19:16542145:G:T rs58368673 G T g EBF1_EBF_1 4 1 - 6.5024872642495435 0.6779276407960757 ACCCCCTTGGGGTC chr19 16542146 16542147 chr19:16542147:G:A rs543307786 G A g EBF1_EBF_1 2 1 - 6.5024872642495435 8.391310675691692 ACCCCCTTGGGGTC chr19 16542165 16542166 chr19:16542166:G:A rs376565951 G A g EBF1_EBF_1 -17 0 - 0 0 . chr19 16591220 16591221 chr19:16591221:G:A rs901845766 G A G EBF1_EBF_1 15 0 - 0 0 . chr19 16604822 16604823 chr19:16604823:C:T rs75596474 C T C EBF1_EBF_1 14 0 + 0 0 . chr19 16727131 16727132 chr19:16727132:A:G rs62118202 A G . EBF1_EBF_1 13 1 - 5.016958500770178 4.17246438618466 GTCCCCTGGGGCCT chr19 16825863 16825864 chr19:16825864:G:A rs773867 G A A EBF1_EBF_1 -2 0 + 0 0 . chr19 16836836 16836837 chr19:16836837:C:A rs144570532 C A C EBF1_EBF_1 19 0 - 0 0 . chr19 16896551 16896552 chr19:16896552:C:T rs10418195 C T c EBF1_EBF_1 -16 0 + 0 0 . chr19 16896564 16896565 chr19:16896565:C:G rs373481636 C G c EBF1_EBF_1 -3 0 + 0 0 . chr19 16896566 16896567 chr19:16896567:G:T rs138669969 G T g EBF1_EBF_1 -1 0 + 0 0 . chr19 16904060 16904061 chr19:16904061:G:A rs773864 G A A EBF1_EBF_1 3 1 - 7.120084550699751 0.7146858091464333 TCCCCCATGGGAGC chr19 16920963 16920964 chr19:16920964:C:T rs150244551 C T C EBF1_EBF_1 28 0 - 0 0 . chr19 16921449 16921450 chr19:16921450:C:T rs55709293 C T C EBF1_EBF_1 20 0 + 0 0 . chr19 16944866 16944867 chr19:16944867:T:A rs61396545 T A A EBF1_EBF_1 11 1 - 5.08743577395105 0.9895215178523245 AGCCCGAAGGGACT chr19 16944869 16944870 chr19:16944870:C:T rs117391105 C T C EBF1_EBF_1 8 1 - 5.08743577395105 0.8611456850647894 AGCCCGAAGGGACT chr19 16944895 16944896 chr19:16944896:G:A rs62129669 G A G EBF1_EBF_1 -18 0 - 0 0 . chr19 16989920 16989921 chr19:16989921:A:G rs8101816 A G G EBF1_EBF_1 -2 0 - 0 0 . chr19 17001491 17001492 chr19:17001492:G:A rs61579059 G A G EBF1_EBF_1 21 0 - 0 0 . chr19 17001855 17001856 chr19:17001856:G:A rs79905124 G A G EBF1_EBF_1 19 0 - 0 0 . chr19 17001974 17001975 chr19:17001975:A:G rs12972501 A G G EBF1_EBF_1 -3 0 + 0 0 . chr19 17002110 17002111 chr19:17002111:C:T rs3745344 C T C EBF1_EBF_1 -1 0 + 0 0 . chr19 17114379 17114380 chr19:17114380:C:A rs79950055 C A C EBF1_EBF_1 -20 0 - 0 0 . chr19 17184200 17184201 chr19:17184201:G:T rs192880555 G T G EBF1_EBF_1 -6 0 - 0 0 . chr19 17184213 17184214 chr19:17184214:A:G rs3786515 A G A EBF1_EBF_1 -19 0 - 0 0 . chr19 17200498 17200499 chr19:17200499:C:T rs8107108 C T C EBF1_EBF_1 -16 0 - 0 0 . chr19 17202808 17202809 chr19:17202809:C:G rs557232784 C G C EBF1_EBF_1 0 1 - 6.770001057043724 6.407026442911957 GCCCCCCTGGGAAG chr19 17202809 17202810 chr19:17202810:C:A rs8101251 C A C EBF1_EBF_1 -1 0 - 0 0 . chr19 17205887 17205888 chr19:17205888:C:G rs12327728 C G G EBF1_EBF_1 -4 0 + 0 0 . chr19 17209243 17209244 chr19:17209244:G:A rs77426712 G A G EBF1_EBF_1 1 1 + 4.985341020633989 4.724642188712687 AGCCCCTGGGGCCA chr19 17215559 17215560 chr19:17215560:T:C rs1001887853 T C T EBF1_EBF_1 -6 0 - 0 0 . chr19 17236786 17236787 chr19:17236787:A:G rs8104806 A G G EBF1_EBF_1 19 0 - 0 0 . chr19 17236806 17236807 chr19:17236807:T:C rs8108035 T C C EBF1_EBF_1 -1 0 - 0 0 . chr19 17244646 17244647 chr19:17244647:C:A rs117752742 C A C EBF1_EBF_1 -18 0 + 0 0 . chr19 17244739 17244740 chr19:17244740:G:A rs2303818 G A G EBF1_EBF_1 25 0 + 0 0 . chr19 17250254 17250255 chr19:17250255:C:T rs10415471 C T C EBF1_EBF_1 -18 0 + 0 0 . chr19 17275682 17275683 chr19:17275683:C:G rs75262583 C G C EBF1_EBF_1 28 0 - 0 0 . chr19 17289705 17289706 chr19:17289706:G:A rs112039929 G A G EBF1_EBF_1 -15 0 + 0 0 . chr19 17294158 17294159 chr19:17294159:C:A rs141047671 C A C EBF1_EBF_1 -10 0 + 0 0 . chr19 17294168 17294169 chr19:17294169:G:A chr19:17294169:G:A G A G EBF1_EBF_1 0 1 + 5.253782439622859 7.146066309187693 GCCCCCTCGGGAAG chr19 17294185 17294186 chr19:17294186:G:A rs539922088 G A G EBF1_EBF_1 17 0 + 0 0 . chr19 17294200 17294201 chr19:17294201:G:A rs6512183 G A G EBF1_EBF_1 32 0 + 0 0 . chr19 17304971 17304972 chr19:17304972:G:T rs7253389 G T G EBF1_EBF_1 10 1 + 8.229272371885015 4.0465201856577515 GGCCCCAAGGGATC chr19 17305151 17305152 chr19:17305152:G:A chr19:17305152:G:A G A G EBF1_EBF_1 21 0 - 0 0 . chr19 17309325 17309326 chr19:17309326:G:A rs773548775 G A G EBF1_EBF_1 22 0 + 0 0 . chr19 17330061 17330062 chr19:17330062:G:T rs75728808 G T G EBF1_EBF_1 -9 0 + 0 0 . chr19 17330678 17330679 chr19:17330679:C:T rs1529482 C T C EBF1_EBF_1 5 1 + 6.223584146558964 0.9017969310182933 TCCCCCTGGGGAGC chr19 17340926 17340927 chr19:17340927:C:T rs75893526 C T C EBF1_EBF_1 28 0 + 0 0 . chr19 17349033 17349034 chr19:17349034:G:C rs114003604 G C G EBF1_EBF_1 12 1 - 6.3827956975031555 4.994159753825004 ATCCCCTGGGGTCC chr19 17386001 17386002 chr19:17386002:G:A rs1001397466 G A G EBF1_EBF_1 -13 0 - 0 0 . chr19 17390998 17390999 chr19:17390999:C:A rs142017083 C A C EBF1_EBF_1 -15 0 + 0 0 . chr19 17405180 17405181 chr19:17405181:A:G rs370923889 A G a EBF1_EBF_1 -12 0 + 0 0 . chr19 17448555 17448556 chr19:17448556:G:T rs77606747 G T G EBF1_EBF_1 1 1 - 5.358986424316876 5.288059246964791 ACCCCCTGAGGACC chr19 17460390 17460391 chr19:17460391:A:G rs12984622 A G G EBF1_EBF_1 -11 0 - 0 0 . chr19 17469416 17469417 chr19:17469417:A:T rs8113707 A T a EBF1_EBF_1 19 0 - 0 0 . chr19 17487645 17487646 chr19:17487646:G:A rs62126784 G A G EBF1_EBF_1 18 0 + 0 0 . chr19 17542431 17542432 chr19:17542432:G:A rs34661544 G A G EBF1_EBF_1 -9 0 - 0 0 . chr19 17542616 17542617 chr19:17542617:G:T rs2375635 G T G EBF1_EBF_1 -17 0 - 0 0 . chr19 17549490 17549491 chr19:17549491:G:A rs11666267 G A G EBF1_EBF_1 24 0 - 0 0 . chr19 17605984 17605985 chr19:17605985:C:T rs12974304 C T C EBF1_EBF_1 -12 0 - 0 0 . chr19 17628040 17628041 chr19:17628041:C:T rs56388321 C T T EBF1_EBF_1 27 0 - 0 0 . chr19 17629166 17629167 chr19:17629167:T:C rs11667290 T C T EBF1_EBF_1 7 1 - 6.096254080253943 4.783795674965118 TCTCCCCAGGGCCC chr19 17720133 17720134 chr19:17720134:G:A chr19:17720134:G:A G A G EBF1_EBF_1 5 1 - 6.540493702933792 1.2187064873931228 AATCCCCAGGGCAG chr19 17739474 17739475 chr19:17739475:G:A rs10416028 G A G EBF1_EBF_1 8 1 + 9.406966307829975 5.180676218943717 AATCCCATGGGGCC chr19 17739495 17739496 chr19:17739496:C:T rs10417684 C T C EBF1_EBF_1 29 0 + 0 0 . chr19 17751396 17751397 chr19:17751397:T:C rs144340662 T C T EBF1_EBF_1 -1 0 - 0 0 . chr19 17753235 17753236 chr19:17753236:T:C rs10404160 T C T EBF1_EBF_1 18 0 + 0 0 . chr19 17754495 17754496 chr19:17754496:T:C rs1363769 T C C EBF1_EBF_1 2 1 + 9.571205116667889 7.682381705225739 GATCCCAGGGGACC chr19 17762605 17762606 chr19:17762606:A:G rs117015683 A G A EBF1_EBF_1 14 0 - 0 0 . chr19 17784630 17784631 chr19:17784631:G:A rs112863484 G A g EBF1_EBF_1 18 0 + 0 0 . chr19 17785482 17785483 chr19:17785483:A:G rs2098108 A G A EBF1_EBF_1 6 1 + 7.209820110876289 5.043025679601814 CCTCCCAAAGGACT chr19 17806573 17806574 chr19:17806574:C:T rs59664265 C T c EBF1_EBF_1 -5 0 - 0 0 . chr19 17860191 17860192 chr19:17860192:G:T rs58265522 G T G EBF1_EBF_1 33 0 - 0 0 . chr19 17860221 17860222 chr19:17860222:G:T rs75461514 G T G EBF1_EBF_1 3 1 - 7.373778548470232 0.467604519004424 CTTCCCCCGGGAAG chr19 17863052 17863053 chr19:17863053:G:C rs73020697 G C G EBF1_EBF_1 -8 0 - 0 0 . chr19 17870313 17870314 chr19:17870314:C:T rs28447384 C T C EBF1_EBF_1 16 0 - 0 0 . chr19 17933452 17933453 chr19:17933453:T:C rs1433126 T C T EBF1_EBF_1 -2 0 + 0 0 . chr19 17949672 17949673 chr19:17949673:C:T rs11672874 C T C EBF1_EBF_1 3 1 + 4.78519150591051 -1.620207235642808 GCCCCCCAGGGGTC chr19 17949823 17949824 chr19:17949824:C:T chr19:17949824:C:T C T C EBF1_EBF_1 -13 0 + 0 0 . chr19 17953245 17953246 chr19:17953246:T:C rs73024609 T C T EBF1_EBF_1 -14 0 - 0 0 . chr19 18008868 18008869 chr19:18008869:C:A rs2305738 C A C EBF1_EBF_1 -7 0 + 0 0 . chr19 18008893 18008894 chr19:18008894:C:T rs146526569 C T C EBF1_EBF_1 18 0 + 0 0 . chr19 18013245 18013246 chr19:18013246:C:G rs17724496 C G C EBF1_EBF_1 -4 0 + 0 0 . chr19 18023967 18023968 chr19:18023968:C:T rs181210840 C T C EBF1_EBF_1 16 0 - 0 0 . chr19 18070467 18070468 chr19:18070468:C:A chr19:18070468:C:A C A C EBF1_EBF_1 26 0 - 0 0 . chr19 18070467 18070468 chr19:18070468:C:T rs365179 C T C EBF1_EBF_1 26 0 - 0 0 . chr19 18078583 18078584 chr19:18078584:A:C rs12609078 A C A EBF1_EBF_1 28 0 - 0 0 . chr19 18086824 18086825 chr19:18086825:G:A rs436857 G A G EBF1_EBF_1 -20 0 + 0 0 . chr19 18118404 18118405 chr19:18118405:C:G rs909337446 C G C EBF1_EBF_1 10 1 - 7.308636255939763 0.41783386861028765 GACCCCAGGGGACA chr19 18122384 18122385 chr19:18122385:G:A rs12462442 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 18153060 18153061 chr19:18153061:C:T rs12052085 C T C EBF1_EBF_1 -12 0 - 0 0 . chr19 18195142 18195143 chr19:18195143:G:C rs749143286 G C G EBF1_EBF_1 23 0 + 0 0 . chr19 18231597 18231598 chr19:18231598:C:T rs55813157 C T C EBF1_EBF_1 10 1 - 8.00502807488795 2.6869996156209837 ACCCCCTAGGGGCC chr19 18259979 18259980 chr19:18259980:A:C rs73003205 A C A EBF1_EBF_1 -14 0 + 0 0 . chr19 18274881 18274882 chr19:18274882:C:T rs957962174 C T C EBF1_EBF_1 20 0 - 0 0 . chr19 18280268 18280269 chr19:18280269:A:G rs1126915 A G A EBF1_EBF_1 -16 0 + 0 0 . chr19 18280297 18280298 chr19:18280298:C:A rs1346734411 C A C EBF1_EBF_1 13 1 + 8.209179774827433 7.835434325541458 AATCCCCGGGGGCC chr19 18280360 18280361 chr19:18280361:C:T rs7249 C T C EBF1_EBF_1 23 0 + 0 0 . chr19 18281533 18281534 chr19:18281534:C:G rs559499745 C G C EBF1_EBF_1 4 1 + 4.2196564050097205 -1.406108696139824 CCTCCCGGGGGGCC chr19 18282031 18282032 chr19:18282032:G:A rs1049998600 G A G EBF1_EBF_1 6 1 - 5.814939341301132 6.11622547016289 AGTCCCCGGAGGCC chr19 18293762 18293763 chr19:18293763:A:G rs150443407 A G A EBF1_EBF_1 19 0 - 0 0 . chr19 18303680 18303681 chr19:18303681:C:G rs117204790 C G C EBF1_EBF_1 26 0 + 0 0 . chr19 18328709 18328710 chr19:18328710:C:T rs35999725 C T C EBF1_EBF_1 -17 0 - 0 0 . chr19 18339979 18339980 chr19:18339980:C:G rs80183980 C G C EBF1_EBF_1 7 1 - 4.863195982035817 3.8818754009544607 CCTCCCAGGGGCCC chr19 18339995 18339996 chr19:18339996:T:C rs1398379373 T C T EBF1_EBF_1 -9 0 - 0 0 . chr19 18368628 18368629 chr19:18368629:T:G rs879848130 T G T EBF1_EBF_1 12 1 - 5.844233417944691 5.814052019523365 CCTCCCCAGGGCAC chr19 18373006 18373007 chr19:18373007:G:A rs906447799 G A G EBF1_EBF_1 20 0 + 0 0 . chr19 18383142 18383143 chr19:18383143:C:A rs146054484 C A C EBF1_EBF_1 4 1 + 6.338394680321912 0.5138350568684442 AACCCCAGGGGGAA chr19 18388340 18388341 chr19:18388341:C:T rs1059022 C T C EBF1_EBF_1 8 1 - 7.3358379637569096 3.109547874870648 AGCCCCTCGGGAAG chr19 18417084 18417085 chr19:18417085:C:G rs539240775 C G C EBF1_EBF_1 -10 0 + 0 0 . chr19 18417115 18417116 chr19:18417116:A:C chr19:18417116:A:C A C A EBF1_EBF_1 21 0 + 0 0 . chr19 18417386 18417387 chr19:18417387:T:G rs200816545 T G T EBF1_EBF_1 7 1 - 6.1770266336551325 3.88324764728495 GCTCCCCAGGGCCC chr19 18420266 18420267 chr19:18420267:G:C rs549064160 G C G EBF1_EBF_1 -3 0 - 0 0 . chr19 18428023 18428024 chr19:18428024:G:T rs73523072 G T G EBF1_EBF_1 -20 0 - 0 0 . chr19 18432243 18432244 chr19:18432244:C:T rs10409003 C T C EBF1_EBF_1 -13 0 - 0 0 . chr19 18433312 18433313 chr19:18433313:C:G rs529395075 C G C EBF1_EBF_1 -15 0 + 0 0 . chr19 18433338 18433339 chr19:18433339:G:A rs12972236 G A G EBF1_EBF_1 11 1 + 4.498999671172535 7.391706514776546 GCCCCCCGGGGGCC chr19 18467062 18467063 chr19:18467063:G:C rs4808802 G C G EBF1_EBF_1 23 0 - 0 0 . chr19 18475708 18475709 chr19:18475709:T:A rs10425982 T A A EBF1_EBF_1 10 1 + 6.773703214558917 5.6384269415192145 AATCCCCAGGTAAG chr19 18479132 18479133 chr19:18479133:C:T rs11670056 C T C EBF1_EBF_1 13 1 + 6.739666858343437 7.584160972928955 CTTCCCCAGGGCAC chr19 18594597 18594598 chr19:18594598:C:G rs966100741 C G C EBF1_EBF_1 33 0 - 0 0 . chr19 18594632 18594633 chr19:18594633:G:C rs571649555 G C G EBF1_EBF_1 -2 0 - 0 0 . chr19 18604985 18604986 chr19:18604986:C:G rs2238648 C G C EBF1_EBF_1 -2 0 - 0 0 . chr19 18604998 18604999 chr19:18604999:C:T rs2238649 C T C EBF1_EBF_1 -15 0 - 0 0 . chr19 18606271 18606272 chr19:18606272:A:T rs71332131 A T A EBF1_EBF_1 -8 0 + 0 0 . chr19 18610979 18610980 chr19:18610980:C:G rs7253677 C G C EBF1_EBF_1 16 0 + 0 0 . chr19 18657716 18657717 chr19:18657717:C:T rs73533807 C T C EBF1_EBF_1 3 1 + 9.1644596388253 2.7590608972719823 ACTCCCACGGGAGC chr19 18672531 18672532 chr19:18672532:C:T rs79637643 C T C EBF1_EBF_1 -20 0 + 0 0 . chr19 18672579 18672580 chr19:18672580:C:T rs11670437 C T C EBF1_EBF_1 28 0 + 0 0 . chr19 18725930 18725931 chr19:18725931:G:A rs12460629 G A G EBF1_EBF_1 21 0 + 0 0 . chr19 18733365 18733366 chr19:18733366:C:T rs7258259 C T C EBF1_EBF_1 30 0 + 0 0 . chr19 18734865 18734866 chr19:18734866:C:G rs75922013 C G C EBF1_EBF_1 3 1 + 11.012147531818707 5.1843830837034925 GTTCCCCTGGGACC chr19 18735404 18735405 chr19:18735405:C:G rs10417175 C G G EBF1_EBF_1 1 1 + 7.89535444066323 8.085126095232447 ACCCCCCGGGGAGC chr19 18757281 18757282 chr19:18757282:C:T rs755539717 C T C EBF1_EBF_1 -17 0 + 0 0 . chr19 18764190 18764191 chr19:18764191:G:C rs570915024 G C G EBF1_EBF_1 16 0 + 0 0 . chr19 18789367 18789368 chr19:18789368:C:G rs55728087 C G C EBF1_EBF_1 -15 0 - 0 0 . chr19 18906731 18906732 chr19:18906732:G:A rs74442378 G A G EBF1_EBF_1 -5 0 - 0 0 . chr19 19054027 19054028 chr19:19054028:G:T rs73008960 G T G EBF1_EBF_1 21 0 + 0 0 . chr19 19063465 19063466 chr19:19063466:G:C rs535187749 G C G EBF1_EBF_1 16 0 - 0 0 . chr19 19105303 19105304 chr19:19105304:C:T rs77795295 C T C EBF1_EBF_1 -9 0 + 0 0 . chr19 19139888 19139889 chr19:19139889:G:A rs753912133 G A G EBF1_EBF_1 4 1 - 5.996513297061057 1.6271263521161328 AGCCCCCAGGGCCA chr19 19143784 19143785 chr19:19143785:A:G rs10402074 A G G EBF1_EBF_1 11 1 + 7.209820110876289 4.317113267272278 CCTCCCAAAGGACT chr19 19151490 19151491 chr19:19151491:C:T rs11672862 C T C EBF1_EBF_1 18 0 + 0 0 . chr19 19157951 19157952 chr19:19157952:A:C rs1124855 A C A EBF1_EBF_1 0 1 + 5.908214100464808 3.6529556167682085 AGTCCCAGGGGCTA chr19 19172894 19172895 chr19:19172895:C:G rs60636572 C G C EBF1_EBF_1 20 0 + 0 0 . chr19 19179627 19179628 chr19:19179628:G:A rs10854010 G A G EBF1_EBF_1 -9 0 + 0 0 . chr19 19179631 19179632 chr19:19179632:C:T rs10854011 C T C EBF1_EBF_1 -5 0 + 0 0 . chr19 19213623 19213624 chr19:19213624:G:A rs192299970 G A G EBF1_EBF_1 -3 0 + 0 0 . chr19 19213633 19213634 chr19:19213634:A:G rs7250569 A G G EBF1_EBF_1 7 1 + 8.154229076991871 6.841770671703045 TTTCCCCAGGGTCT chr19 19278078 19278079 chr19:19278079:T:C rs17683766 T C T EBF1_EBF_1 27 0 + 0 0 . chr19 19279687 19279688 chr19:19279688:G:A rs8101938 G A G EBF1_EBF_1 -4 0 + 0 0 . chr19 19321606 19321607 chr19:19321607:G:A rs117924058 G A G EBF1_EBF_1 -3 0 - 0 0 . chr19 19361429 19361430 chr19:19361430:A:G chr19:19361430:A:G A G A EBF1_EBF_1 6 1 + 9.099610082982206 6.932815651707732 AGCCCCAAGGGGCT chr19 19434832 19434833 chr19:19434833:A:G rs113564583 A G A EBF1_EBF_1 17 0 - 0 0 . chr19 19502571 19502572 chr19:19502572:G:A rs892022 G A G EBF1_EBF_1 26 0 + 0 0 . chr19 19529018 19529019 chr19:19529019:C:T rs117136857 C T C EBF1_EBF_1 21 0 - 0 0 . chr19 19538459 19538460 chr19:19538460:G:A rs150096634 G A G EBF1_EBF_1 10 1 + 5.216328684767452 -0.10169977449951384 GCTCCCGAGGGCCT chr19 19538517 19538518 chr19:19538518:G:C rs11670761 G C G EBF1_EBF_1 -1 0 + 0 0 . chr19 19566200 19566201 chr19:19566201:C:T rs8113221 C T C EBF1_EBF_1 6 1 + 6.722891395430552 7.024177524292308 GTCCCCCAGGGAGG chr19 19591574 19591575 chr19:19591575:G:A rs17217098 G A G EBF1_EBF_1 27 0 + 0 0 . chr19 19623729 19623730 chr19:19623730:C:T rs1054685 C T C EBF1_EBF_1 25 0 - 0 0 . chr19 19646872 19646873 chr19:19646873:C:T rs867616 C T C EBF1_EBF_1 -20 0 + 0 0 . chr19 19650106 19650107 chr19:19650107:G:A rs11668721 G A G EBF1_EBF_1 -12 0 - 0 0 . chr19 19670014 19670015 chr19:19670015:G:A rs151267666 G A G EBF1_EBF_1 -9 0 - 0 0 . chr19 19733102 19733103 chr19:19733103:C:T chr19:19733103:C:T C T c EBF1_EBF_1 24 0 + 0 0 . chr19 19747555 19747556 chr19:19747556:C:T rs773953611 C T c EBF1_EBF_1 0 1 - 6.396979686645675 8.289263556210509 GGTCCCCAGGGCAC chr19 19747976 19747977 chr19:19747977:C:T rs247795 C T c EBF1_EBF_1 -2 0 - 0 0 . chr19 19748066 19748067 chr19:19748067:C:T rs8101110 C T c EBF1_EBF_1 0 1 + 7.1818892225547035 7.464091283285281 CATCCCATGGGGAC chr19 19805926 19805927 chr19:19805927:T:C rs12973032 T C t EBF1_EBF_1 7 1 - 7.406671193447421 6.094212788158598 GTTCCCAAGGAAAT chr19 19821387 19821388 chr19:19821388:G:C rs535325979 G C t EBF1_EBF_1 -16 0 + 0 0 . chr19 19858947 19858948 chr19:19858948:A:G rs1489849285 A G N EBF1_EBF_1 -9 0 + 0 0 . chr19 19862027 19862028 chr19:19862028:C:T rs7252427 C T N EBF1_EBF_1 -8 0 - 0 0 . chr19 19948993 19948994 chr19:19948994:G:A rs59511604 G A - EBF1_EBF_1 -12 0 + 0 0 . chr19 19962458 19962459 chr19:19962459:C:T rs12609339 C T c EBF1_EBF_1 -4 0 + 0 0 . chr19 20237399 20237400 chr19:20237400:C:T rs577428982 C T c EBF1_EBF_1 -4 0 + 0 0 . chr19 20274383 20274384 chr19:20274384:A:G rs73000722 A G . EBF1_EBF_1 -9 0 + 0 0 . chr19 20276580 20276581 chr19:20276581:G:A rs12461862 G A . EBF1_EBF_1 7 1 - 6.909968160454724 8.727472906737345 CCTCCCCCGGGATC chr19 20276603 20276604 chr19:20276604:G:T rs12981224 G T . EBF1_EBF_1 -16 0 - 0 0 . chr19 20364248 20364249 chr19:20364249:C:T rs7254480 C T . EBF1_EBF_1 -7 0 - 0 0 . chr19 20503517 20503518 chr19:20503518:G:A rs2545906 G A . EBF1_EBF_1 -17 0 - 0 0 . chr19 20924432 20924433 chr19:20924433:C:G rs8100072 C G C EBF1_EBF_1 5 1 + 7.942786513063379 1.037905360428212 GGACCCCAGGGACT chr19 21008338 21008339 chr19:21008339:A:T chr19:21008339:A:T A T A EBF1_EBF_1 30 0 - 0 0 . chr19 21082655 21082656 chr19:21082656:A:C rs8100599 A C C EBF1_EBF_1 7 1 - 6.964705330088065 6.128521164886798 CTACCCCTGGGACC chr19 21082660 21082661 chr19:21082661:T:G rs6511189 T G G EBF1_EBF_1 2 1 - 6.964705330088065 8.760349506244792 CTACCCCTGGGACC chr19 21082680 21082681 chr19:21082681:C:A rs7246416 C A C EBF1_EBF_1 -18 0 - 0 0 . chr19 21294576 21294577 chr19:21294577:C:T rs504280 C T N EBF1_EBF_1 -6 0 + 0 0 . chr19 21294600 21294601 chr19:21294601:T:G rs1781872 T G t EBF1_EBF_1 18 0 + 0 0 . chr19 21359409 21359410 chr19:21359410:C:G rs2012038 C G . EBF1_EBF_1 -17 0 - 0 0 . chr19 21468858 21468859 chr19:21468859:A:G rs117729761 A G A EBF1_EBF_1 1 1 - 10.905154199135586 10.009720758736842 ATTCCCCCGGGATT chr19 21492111 21492112 chr19:21492112:A:G rs2562448 A G G EBF1_EBF_1 30 0 - 0 0 . chr19 21492159 21492160 chr19:21492160:C:T rs2246914 C T C EBF1_EBF_1 -18 0 - 0 0 . chr19 21578321 21578322 chr19:21578322:C:T rs80053384 C T . EBF1_EBF_1 -13 0 + 0 0 . chr19 21581933 21581934 chr19:21581934:G:A rs35595475 G A . EBF1_EBF_1 4 1 - 6.080319466947129 1.7109325220022056 TGTGCCAAGGGACT chr19 21778108 21778109 chr19:21778109:G:T rs3935082 G T g EBF1_EBF_1 -11 0 + 0 0 . chr19 21851981 21851982 chr19:21851982:C:T rs186761667 C T C EBF1_EBF_1 22 0 - 0 0 . chr19 21852016 21852017 chr19:21852017:A:G rs2435041 A G G EBF1_EBF_1 -13 0 - 0 0 . chr19 21877648 21877649 chr19:21877649:T:C rs111306349 T C t EBF1_EBF_1 -14 0 + 0 0 . chr19 21890073 21890074 chr19:21890074:C:G rs1229936 C G - EBF1_EBF_1 1 1 + 8.772727066516135 8.962498721085352 ACTCCCCTGGGGAA chr19 21890103 21890104 chr19:21890104:C:A rs186726610 C A - EBF1_EBF_1 31 0 + 0 0 . chr19 21934466 21934467 chr19:21934467:G:C rs116059698 G C g EBF1_EBF_1 15 0 + 0 0 . chr19 22405756 22405757 chr19:22405757:C:T rs8111665 C T t EBF1_EBF_1 17 0 - 0 0 . chr19 22427824 22427825 chr19:22427825:G:C rs10419075 G C . EBF1_EBF_1 -5 0 + 0 0 . chr19 22515223 22515224 chr19:22515224:T:C rs1194300572 T C t EBF1_EBF_1 12 1 - 9.498067879671028 8.079250537571552 TCCCCCCAGGGAAT chr19 22617845 22617846 chr19:22617846:G:A rs13346363 G A . EBF1_EBF_1 5 1 - 6.823682005435081 1.5018947898944102 ACACCCAGGGGATC chr19 22802090 22802091 chr19:22802091:A:G rs389207 A G . EBF1_EBF_1 -4 0 - 0 0 . chr19 22934233 22934234 chr19:22934234:G:T rs289364 G T . EBF1_EBF_1 -18 0 + 0 0 . chr19 22934266 22934267 chr19:22934267:G:C chr19:22934267:G:C G C . EBF1_EBF_1 15 0 + 0 0 . chr19 23160430 23160431 chr19:23160431:G:C rs12462466 G C - EBF1_EBF_1 -16 0 - 0 0 . chr19 23284085 23284086 chr19:23284086:G:A rs191807064 G A - EBF1_EBF_1 17 0 + 0 0 . chr19 23404931 23404932 chr19:23404932:G:A rs117198353 G A G EBF1_EBF_1 -8 0 - 0 0 . chr19 23510122 23510123 chr19:23510123:G:A rs7259933 G A G EBF1_EBF_1 24 0 - 0 0 . chr19 23958289 23958290 chr19:23958290:G:A rs10407092 G A G EBF1_EBF_1 13 1 - 5.814422866733424 6.658916981318942 AGTCCCCAGGCAAC chr19 24033511 24033512 chr19:24033512:C:G chr19:24033512:C:G C G C EBF1_EBF_1 23 0 - 0 0 . chr19 24054273 24054274 chr19:24054274:C:A rs149061287 C A c EBF1_EBF_1 -13 0 - 0 0 . chr19 24182048 24182049 chr19:24182049:T:A rs73031013 T A . EBF1_EBF_1 -11 0 + 0 0 . chr19 27392832 27392833 chr19:27392833:A:C rs2407336 A C . EBF1_EBF_1 -2 0 + 0 0 . chr19 27392846 27392847 chr19:27392847:A:G rs2407337 A G . EBF1_EBF_1 12 1 + 7.7046627357370765 6.285845393637599 GTCCCCATGAGAAT chr19 27392859 27392860 chr19:27392860:G:A rs201081718 G A . EBF1_EBF_1 25 0 + 0 0 . chr19 27674826 27674827 chr19:27674827:G:A rs117776102 G A . EBF1_EBF_1 -16 0 + 0 0 . chr19 27674828 27674829 chr19:27674829:G:C rs73016605 G C . EBF1_EBF_1 -14 0 + 0 0 . chr19 27674868 27674869 chr19:27674869:C:T rs148339962 C T . EBF1_EBF_1 26 0 + 0 0 . chr19 27709946 27709947 chr19:27709947:A:G rs10426045 A G . EBF1_EBF_1 33 0 + 0 0 . chr19 27752972 27752973 chr19:27752973:A:G rs3898265 A G . EBF1_EBF_1 21 0 + 0 0 . chr19 27767677 27767678 chr19:27767678:G:C rs79282569 G C g EBF1_EBF_1 10 1 + 8.617280153379292 1.7264777660498183 TCTCCCATGAGAAT chr19 27911810 27911811 chr19:27911811:C:T rs73035838 C T C EBF1_EBF_1 -14 0 + 0 0 . chr19 28039922 28039923 chr19:28039923:C:A chr19:28039923:C:A C A C EBF1_EBF_1 9 1 - 8.134675734534255 1.2976863264454468 ACTCCCCAGGGGAG chr19 28039922 28039923 chr19:28039923:C:G rs4632255 C G C EBF1_EBF_1 9 1 - 8.134675734534255 3.058986588188792 ACTCCCCAGGGGAG chr19 28299722 28299723 chr19:28299723:C:G rs7259587 C G G EBF1_EBF_1 15 0 + 0 0 . chr19 28305047 28305048 chr19:28305048:A:T rs35553845 A T A EBF1_EBF_1 18 0 + 0 0 . chr19 28405824 28405825 chr19:28405825:T:C rs10408833 T C T EBF1_EBF_1 -2 0 + 0 0 . chr19 28527679 28527680 chr19:28527680:A:G rs8102679 A G G EBF1_EBF_1 7 1 - 6.589825351752701 4.772320605470077 TTTCCCATGAGGCT chr19 28549779 28549780 chr19:28549780:A:C rs11669852 A C C EBF1_EBF_1 20 0 - 0 0 . chr19 28609525 28609526 chr19:28609526:T:C rs143837521 T C T EBF1_EBF_1 -19 0 - 0 0 . chr19 28815017 28815018 chr19:28815018:G:T rs6509046 G T T EBF1_EBF_1 4 1 - 5.850336497367545 0.02577687391407979 AGTCCCAGGGGCAG chr19 28815038 28815039 chr19:28815039:A:G rs6509047 A G A EBF1_EBF_1 -17 0 - 0 0 . chr19 28824000 28824001 chr19:28824001:T:C rs12978638 T C C EBF1_EBF_1 7 1 - 7.149603917539858 5.837145512251033 CGTCCCTAGGGCCT chr19 28824023 28824024 chr19:28824024:C:T rs139997870 C T C EBF1_EBF_1 -16 0 - 0 0 . chr19 28870106 28870107 chr19:28870107:C:T rs2287308 C T C EBF1_EBF_1 -13 0 + 0 0 . chr19 28870206 28870207 chr19:28870207:C:G rs2287309 C G C EBF1_EBF_1 -9 0 + 0 0 . chr19 28906133 28906134 chr19:28906134:C:T rs16962239 C T C EBF1_EBF_1 23 0 + 0 0 . chr19 28911460 28911461 chr19:28911461:C:G rs68069211 C G C EBF1_EBF_1 5 1 + 8.271882852293157 1.3670016996579895 AGCCCCCAGGGATG chr19 28918271 28918272 chr19:28918272:G:C rs889344 G C G EBF1_EBF_1 13 1 - 7.020781596677097 5.532710575321682 TGTCCCCAGAGATC chr19 28921901 28921902 chr19:28921902:T:G rs4804858 T G G EBF1_EBF_1 11 1 - 5.512140600333845 1.0961789024814554 GGCCCCCAAGGACT chr19 28973358 28973359 chr19:28973359:G:A rs186891814 G A G EBF1_EBF_1 8 1 + 5.021749990017039 0.7954599011307769 GCCCCCCCGGGAGC chr19 28989189 28989190 chr19:28989190:C:T rs7259519 C T C EBF1_EBF_1 6 1 + 5.397027054633285 5.698313183495043 CTTCCCCGGGGCCC chr19 29000204 29000205 chr19:29000205:C:T rs73925904 C T C EBF1_EBF_1 -6 0 + 0 0 . chr19 29010067 29010068 chr19:29010068:T:C rs12974236 T C C EBF1_EBF_1 22 0 + 0 0 . chr19 29060754 29060755 chr19:29060755:G:T rs7247156 G T g EBF1_EBF_1 30 0 - 0 0 . chr19 29079344 29079345 chr19:29079345:C:G rs1549940 C G C EBF1_EBF_1 10 1 - 8.637736467840961 1.7469340805114868 GCTCCCTTGAGAAT chr19 29094081 29094082 chr19:29094082:C:T rs9304650 C T C EBF1_EBF_1 -17 0 + 0 0 . chr19 29112201 29112202 chr19:29112202:G:A rs1368473 G A G EBF1_EBF_1 -6 0 + 0 0 . chr19 29115453 29115454 chr19:29115454:A:G rs2865496 A G A EBF1_EBF_1 14 0 - 0 0 . chr19 29329621 29329622 chr19:29329622:A:G rs8113496 A G A EBF1_EBF_1 -15 0 - 0 0 . chr19 29380905 29380906 chr19:29380906:C:T rs4805378 C T C EBF1_EBF_1 21 0 + 0 0 . chr19 29385340 29385341 chr19:29385341:T:C rs60085037 T C C EBF1_EBF_1 -10 0 - 0 0 . chr19 29425474 29425475 chr19:29425475:T:C rs76651934 T C T EBF1_EBF_1 25 0 + 0 0 . chr19 29440235 29440236 chr19:29440236:A:G rs8106372 A G G EBF1_EBF_1 -12 0 + 0 0 . chr19 29496048 29496049 chr19:29496049:G:C rs183889168 G C G EBF1_EBF_1 9 1 + 5.440306570237389 0.3646174238919249 CCTCCCCAGGGCCA chr19 29504895 29504896 chr19:29504896:A:G rs8113045 A G G EBF1_EBF_1 26 0 - 0 0 . chr19 29515197 29515198 chr19:29515198:T:G rs567804974 T G t EBF1_EBF_1 -14 0 + 0 0 . chr19 29515205 29515206 chr19:29515206:G:A rs536685147 G A G EBF1_EBF_1 -6 0 + 0 0 . chr19 29525018 29525019 chr19:29525019:G:T rs537704852 G T G EBF1_EBF_1 3 1 - 8.287526342311688 1.3813523128458796 CTTCCCCCGGGAGT chr19 29552709 29552710 chr19:29552710:G:A rs373724608 G A G EBF1_EBF_1 18 0 - 0 0 . chr19 29552977 29552978 chr19:29552978:C:T rs12460320 C T c EBF1_EBF_1 18 0 + 0 0 . chr19 29552982 29552983 chr19:29552983:A:G rs1594678 A G G EBF1_EBF_1 23 0 + 0 0 . chr19 29562629 29562630 chr19:29562630:C:T rs185960347 C T C EBF1_EBF_1 13 1 - 5.990727299512766 7.105052871582205 ACCCCCGGGGGACG chr19 29584332 29584333 chr19:29584333:T:C rs62104224 T C C EBF1_EBF_1 -7 0 + 0 0 . chr19 29594741 29594742 chr19:29594742:C:T rs114237209 C T C EBF1_EBF_1 12 1 + 6.982950835964659 5.956684265413809 AAACCCTAGGGGCT chr19 29597151 29597152 chr19:29597152:A:C rs6509498 A C C EBF1_EBF_1 -17 0 + 0 0 . chr19 29597153 29597154 chr19:29597154:C:T rs6509499 C T T EBF1_EBF_1 -15 0 + 0 0 . chr19 29598771 29598772 chr19:29598772:G:A rs77084851 G A G EBF1_EBF_1 18 0 - 0 0 . chr19 29624381 29624382 chr19:29624382:G:A rs1035936 G A A EBF1_EBF_1 3 1 - 6.818546090035828 0.4131473484825108 TTTCCCCTGAGAAG chr19 29631967 29631968 chr19:29631968:C:T rs4805452 C T T EBF1_EBF_1 2 1 + 6.407026442911957 8.295849854354106 CCCCCCCTGGGAAG chr19 29631995 29631996 chr19:29631996:T:C rs4805453 T C T EBF1_EBF_1 30 0 + 0 0 . chr19 29636819 29636820 chr19:29636820:T:C rs116764384 T C T EBF1_EBF_1 -5 0 + 0 0 . chr19 29636842 29636843 chr19:29636843:C:T rs8102192 C T C EBF1_EBF_1 9 1 - 5.951274010609734 3.296334745162129 CTTCCCGTGGGGCC chr19 29665747 29665748 chr19:29665748:G:T rs8105689 G T G EBF1_EBF_1 -11 0 + 0 0 . chr19 29688442 29688443 chr19:29688443:G:A rs189884879 G A G EBF1_EBF_1 -9 0 - 0 0 . chr19 29694659 29694660 chr19:29694660:C:G rs751936432 C G C EBF1_EBF_1 9 1 - 6.470358921206362 1.3946697748608985 CTTCCCCAGGGGGA chr19 29704681 29704682 chr19:29704682:C:T rs28526372 C T C EBF1_EBF_1 -5 0 - 0 0 . chr19 29710340 29710341 chr19:29710341:C:T rs28581949 C T C EBF1_EBF_1 20 0 + 0 0 . chr19 29737290 29737291 chr19:29737291:C:T rs117008645 C T c EBF1_EBF_1 0 1 + 5.535859383389275 5.818061444119853 CGTCCCCGGGGCCT chr19 29741635 29741636 chr19:29741636:G:C rs11667784 G C G EBF1_EBF_1 -14 0 + 0 0 . chr19 29772911 29772912 chr19:29772912:T:C rs58605706 T C T EBF1_EBF_1 7 1 + 8.990245437865823 7.1727406915832015 GCTCCCATGGGACG chr19 29811331 29811332 chr19:29811332:C:T rs67237737 C T C EBF1_EBF_1 3 1 + 7.505300630956437 1.099901889403119 GGCCCCCAGGGAGC chr19 29812870 29812871 chr19:29812871:G:A rs113558313 G A G EBF1_EBF_1 0 1 - 4.73872383537531 5.020925896105887 CTGCCCAAGGGACC chr19 29874595 29874596 chr19:29874596:A:C rs34719 A C C EBF1_EBF_1 -15 0 - 0 0 . chr19 29879502 29879503 chr19:29879503:G:A rs34727 G A A EBF1_EBF_1 3 1 - 8.32491773113026 1.9195189895769427 CTTCCCCGGGGACG chr19 29889977 29889978 chr19:29889978:T:A rs34715 T A C EBF1_EBF_1 -17 0 - 0 0 . chr19 29891914 29891915 chr19:29891915:C:T rs73042939 C T C EBF1_EBF_1 -11 0 - 0 0 . chr19 30030108 30030109 chr19:30030109:C:T rs1422130 C T C EBF1_EBF_1 10 1 - 7.094575566378009 1.776547107111043 TACCCCCAGGGAAG chr19 30064353 30064354 chr19:30064354:G:A rs77867294 G A G EBF1_EBF_1 8 1 + 8.99262892559324 4.7663388367069786 AGTCCCCCGGGAGC chr19 30077436 30077437 chr19:30077437:C:T rs111617762 C T C EBF1_EBF_1 -4 0 + 0 0 . chr19 30079095 30079096 chr19:30079096:C:A chr19:30079096:C:A C A C EBF1_EBF_1 -12 0 - 0 0 . chr19 30150627 30150628 chr19:30150628:G:A rs62104112 G A G EBF1_EBF_1 -19 0 + 0 0 . chr19 30162096 30162097 chr19:30162097:G:A rs34795399 G A G EBF1_EBF_1 28 0 + 0 0 . chr19 30232904 30232905 chr19:30232905:T:C rs9304788 T C T EBF1_EBF_1 17 0 - 0 0 . chr19 30232912 30232913 chr19:30232913:C:T rs73021614 C T C EBF1_EBF_1 9 1 - 7.835844721169501 5.180905455721896 CTCCCCAAGGGAGA chr19 30239174 30239175 chr19:30239175:A:G rs4804922 A G a EBF1_EBF_1 -2 0 + 0 0 . chr19 30240437 30240438 chr19:30240438:G:A rs12976329 G A G EBF1_EBF_1 10 1 + 8.024598534896713 2.706570075629746 AACCCCAAGGGGAC chr19 30343595 30343596 chr19:30343596:A:C rs2195949 A C C EBF1_EBF_1 22 0 + 0 0 . chr19 30344553 30344554 chr19:30344554:T:C rs1036220 T C T EBF1_EBF_1 14 0 - 0 0 . chr19 30503702 30503703 chr19:30503703:C:T rs11667431 C T C EBF1_EBF_1 19 0 - 0 0 . chr19 30526269 30526270 chr19:30526270:C:T rs73026723 C T C EBF1_EBF_1 -15 0 + 0 0 . chr19 30653669 30653670 chr19:30653670:G:A rs147187993 G A G EBF1_EBF_1 21 0 + 0 0 . chr19 30723583 30723584 chr19:30723584:G:A rs34422752 G A G EBF1_EBF_1 7 1 + 6.893634846481811 8.206093251770637 AATCCCAGAGGAAA chr19 30744892 30744893 chr19:30744893:C:T rs141644724 C T C EBF1_EBF_1 -9 0 - 0 0 . chr19 30745587 30745588 chr19:30745588:T:G rs1354137 T G G EBF1_EBF_1 -2 0 + 0 0 . chr19 30805710 30805711 chr19:30805711:G:A rs189046671 G A G EBF1_EBF_1 19 0 + 0 0 . chr19 30897374 30897375 chr19:30897375:G:T rs147681195 G T G EBF1_EBF_1 25 0 + 0 0 . chr19 30959585 30959586 chr19:30959586:G:A rs278170 G A G EBF1_EBF_1 -14 0 + 0 0 . chr19 31350188 31350189 chr19:31350189:C:A rs866837118 C A C EBF1_EBF_1 -11 0 - 0 0 . chr19 31353642 31353643 chr19:31353643:T:C rs60950793 T C T EBF1_EBF_1 1 1 + 6.702175082890584 5.80674164249184 ATCCCCCAGGGCCA chr19 31427954 31427955 chr19:31427955:C:T rs8107910 C T T EBF1_EBF_1 30 0 - 0 0 . chr19 31498982 31498983 chr19:31498983:A:G rs11668892 A G G EBF1_EBF_1 30 0 - 0 0 . chr19 31505676 31505677 chr19:31505677:T:C rs17584218 T C T EBF1_EBF_1 20 0 - 0 0 . chr19 31545784 31545785 chr19:31545785:G:A rs2194198 G A G EBF1_EBF_1 -20 0 - 0 0 . chr19 31572554 31572555 chr19:31572555:T:C rs141253873 T C T EBF1_EBF_1 -10 0 - 0 0 . chr19 31802765 31802766 chr19:31802766:C:T rs682422 C T T EBF1_EBF_1 -5 0 + 0 0 . chr19 31804590 31804591 chr19:31804591:G:A rs10411977 G A a EBF1_EBF_1 33 0 + 0 0 . chr19 31945177 31945178 chr19:31945178:C:G chr19:31945178:C:G C G C EBF1_EBF_1 -3 0 + 0 0 . chr19 31969233 31969234 chr19:31969234:T:G rs73023421 T G T EBF1_EBF_1 15 0 - 0 0 . chr19 31985866 31985867 chr19:31985867:G:A rs2075413 G A G EBF1_EBF_1 18 0 + 0 0 . chr19 32011769 32011770 chr19:32011770:C:G rs79188307 C G C EBF1_EBF_1 -18 0 + 0 0 . chr19 32012658 32012659 chr19:32012659:G:A rs73925624 G A G EBF1_EBF_1 23 0 - 0 0 . chr19 32091248 32091249 chr19:32091249:G:A rs79088882 G A G EBF1_EBF_1 -1 0 - 0 0 . chr19 32098020 32098021 chr19:32098021:G:T rs8112390 G T G EBF1_EBF_1 32 0 + 0 0 . chr19 32098834 32098835 chr19:32098835:G:A rs117227209 G A G EBF1_EBF_1 -14 0 + 0 0 . chr19 32170486 32170487 chr19:32170487:A:G rs11879447 A G G EBF1_EBF_1 -10 0 + 0 0 . chr19 32182911 32182912 chr19:32182912:T:G rs62104764 T G T EBF1_EBF_1 6 1 + 7.085119824587065 4.97864163225211 AGTCCCTAGAGGAA chr19 32190947 32190948 chr19:32190948:C:T rs17704122 C T c EBF1_EBF_1 -18 0 + 0 0 . chr19 32202480 32202481 chr19:32202481:C:A rs559334793 C A C EBF1_EBF_1 17 0 + 0 0 . chr19 32203122 32203123 chr19:32203123:G:A rs142038452 G A G EBF1_EBF_1 0 1 - 7.936234731005574 8.218436791736151 CCTCCCCCGGGACC chr19 32252939 32252940 chr19:32252940:T:C rs8102632 T C C EBF1_EBF_1 -6 0 - 0 0 . chr19 32311170 32311171 chr19:32311171:T:G rs10417813 T G T EBF1_EBF_1 7 1 - 5.899125773440518 3.605346787070337 AGTCCCCAGGAAAG chr19 32334596 32334597 chr19:32334597:G:A rs35367178 G A G EBF1_EBF_1 -17 0 + 0 0 . chr19 32581407 32581408 chr19:32581408:G:C rs71351177 G C g EBF1_EBF_1 -13 0 + 0 0 . chr19 32581452 32581453 chr19:32581453:T:C rs572122805 T C T EBF1_EBF_1 32 0 + 0 0 . chr19 32615044 32615045 chr19:32615045:C:T rs377044 C T C EBF1_EBF_1 0 1 - 7.246275297131283 9.138559166696115 GCCCCCCAGGGAAG chr19 32667178 32667179 chr19:32667179:T:C rs259272 T C C EBF1_EBF_1 -5 0 - 0 0 . chr19 32676999 32677000 chr19:32677000:C:T rs139444314 C T C EBF1_EBF_1 -5 0 + 0 0 . chr19 32692762 32692763 chr19:32692763:C:A rs6510277 C A C EBF1_EBF_1 18 0 + 0 0 . chr19 32692773 32692774 chr19:32692774:C:T rs180806972 C T C EBF1_EBF_1 29 0 + 0 0 . chr19 32801015 32801016 chr19:32801016:T:G rs75500014 T G T EBF1_EBF_1 19 0 + 0 0 . chr19 32880132 32880133 chr19:32880133:A:C rs11670103 A C A EBF1_EBF_1 8 1 - 6.382910929591876 13.27434371856907 ATTCCCAGTGGACT chr19 32880153 32880154 chr19:32880154:G:C rs11665717 G C C EBF1_EBF_1 -13 0 - 0 0 . chr19 32890703 32890704 chr19:32890704:T:C rs2098700 T C T EBF1_EBF_1 -12 0 + 0 0 . chr19 32949084 32949085 chr19:32949085:A:G rs187874453 A G A EBF1_EBF_1 20 0 + 0 0 . chr19 33052430 33052431 chr19:33052431:G:T rs10425586 G T G EBF1_EBF_1 -3 0 + 0 0 . chr19 33052441 33052442 chr19:33052442:G:A rs77576051 G A G EBF1_EBF_1 8 1 + 7.793258519368772 3.5669684304825093 CTTCCCACGGGATA chr19 33054974 33054975 chr19:33054975:C:T rs12462935 C T C EBF1_EBF_1 10 1 - 5.727608239213018 0.4095797799460516 AGCCCCGAGGGTCT chr19 33126214 33126215 chr19:33126215:C:T rs117302858 C T C EBF1_EBF_1 25 0 - 0 0 . chr19 33185770 33185771 chr19:33185771:T:C rs889104 T C C EBF1_EBF_1 14 0 + 0 0 . chr19 33195345 33195346 chr19:33195346:C:T rs551910720 C T C EBF1_EBF_1 -10 0 - 0 0 . chr19 33235566 33235567 chr19:33235567:G:A rs78327363 G A G EBF1_EBF_1 -1 0 + 0 0 . chr19 33235670 33235671 chr19:33235671:G:A rs118013485 G A G EBF1_EBF_1 11 1 - 8.092403995947633 8.410451437701298 AGTCCCTGGGGCCT chr19 33235671 33235672 chr19:33235672:C:A rs117710327 C A C EBF1_EBF_1 10 1 - 8.092403995947633 3.909651809720369 AGTCCCTGGGGCCT chr19 33241855 33241856 chr19:33241856:T:C rs752502 T C C EBF1_EBF_1 23 0 - 0 0 . chr19 33241871 33241872 chr19:33241872:C:T rs2376501 C T C EBF1_EBF_1 7 1 - 4.536777447127504 5.849235852416329 CCTCCCCGGGGGCG chr19 33243021 33243022 chr19:33243022:A:C rs748680 A C C EBF1_EBF_1 24 0 + 0 0 . chr19 33245976 33245977 chr19:33245977:A:G rs75035519 A G A EBF1_EBF_1 -12 0 + 0 0 . chr19 33260442 33260443 chr19:33260443:G:A rs55737395 G A G EBF1_EBF_1 3 1 - 8.42218347615645 2.016784734603132 ACCCCCTCGGGATT chr19 33260449 33260450 chr19:33260450:C:T rs971274898 C T C EBF1_EBF_1 -4 0 - 0 0 . chr19 33268564 33268565 chr19:33268565:C:T rs62126636 C T C EBF1_EBF_1 28 0 - 0 0 . chr19 33279898 33279899 chr19:33279899:G:A rs115259483 G A G EBF1_EBF_1 12 1 - 6.885325597750658 5.859059027199808 TTTCCCAGGGGCCT chr19 33289668 33289669 chr19:33289669:C:T rs11668585 C T C EBF1_EBF_1 16 0 + 0 0 . chr19 33389646 33389647 chr19:33389647:C:T rs61348454 C T C EBF1_EBF_1 3 1 + 6.96124865989028 0.5558499183369627 ATCCCCATGGGCCC chr19 33389678 33389679 chr19:33389679:A:G rs115069067 A G A EBF1_EBF_1 -20 0 + 0 0 . chr19 33392496 33392497 chr19:33392497:G:A rs529763086 G A G EBF1_EBF_1 -14 0 - 0 0 . chr19 33393590 33393591 chr19:33393591:T:C rs73929734 T C C EBF1_EBF_1 0 1 - 5.712522801074509 3.8202389315096745 ACCCCCCGGGGCCT chr19 33403414 33403415 chr19:33403415:T:C rs3786899 T C T EBF1_EBF_1 18 0 + 0 0 . chr19 33412907 33412908 chr19:33412908:G:C rs76776232 G C G EBF1_EBF_1 9 1 + 8.258120047096822 3.182430900751358 CACCCCAAGGGACA chr19 33432575 33432576 chr19:33432576:T:C rs76505814 T C T EBF1_EBF_1 -6 0 + 0 0 . chr19 33468600 33468601 chr19:33468601:C:T rs73926512 C T C EBF1_EBF_1 -16 0 - 0 0 . chr19 33469450 33469451 chr19:33469451:C:T rs76517094 C T C EBF1_EBF_1 7 1 + 5.0203777436865495 6.837882489969172 CCCCCCACGGGAGC chr19 33493689 33493690 chr19:33493690:C:G rs10406288 C G C EBF1_EBF_1 -2 0 + 0 0 . chr19 33512348 33512349 chr19:33512349:T:C rs153701 T C T EBF1_EBF_1 -7 0 - 0 0 . chr19 33521386 33521387 chr19:33521387:C:T rs11672114 C T C EBF1_EBF_1 26 0 - 0 0 . chr19 33546295 33546296 chr19:33546296:C:T rs4805906 C T C EBF1_EBF_1 5 1 + 6.991530951280066 1.6697437357393958 ATTCCCATAGGGCT chr19 33551310 33551311 chr19:33551311:T:C rs117905535 T C T EBF1_EBF_1 -17 0 - 0 0 . chr19 33553949 33553950 chr19:33553950:T:G rs753903 T G T EBF1_EBF_1 -11 0 + 0 0 . chr19 33564553 33564554 chr19:33564554:T:C rs989162671 T C T EBF1_EBF_1 -10 0 + 0 0 . chr19 33622566 33622567 chr19:33622567:C:G rs113843182 C G C EBF1_EBF_1 31 0 - 0 0 . chr19 33624360 33624361 chr19:33624361:G:A rs62102229 G A G EBF1_EBF_1 9 1 + 6.541443243612225 3.8865039781646216 ATCCCCCTCGGACT chr19 33641330 33641331 chr19:33641331:G:C rs919357 G C C EBF1_EBF_1 -17 0 - 0 0 . chr19 33641515 33641516 chr19:33641516:A:G rs919358 A G A EBF1_EBF_1 14 0 - 0 0 . chr19 33641538 33641539 chr19:33641539:C:A rs111320769 C A A EBF1_EBF_1 -9 0 - 0 0 . chr19 33684696 33684697 chr19:33684697:C:T rs3810364 C T C EBF1_EBF_1 8 1 - 6.337689660145636 2.111399571259375 AGTCCCCCGAGAGC chr19 33691720 33691721 chr19:33691721:G:A rs943413961 G A G EBF1_EBF_1 -3 0 + 0 0 . chr19 33724957 33724958 chr19:33724958:A:G rs117119179 A G A EBF1_EBF_1 -12 0 - 0 0 . chr19 33730194 33730195 chr19:33730195:G:A rs78280373 G A G EBF1_EBF_1 31 0 - 0 0 . chr19 33751479 33751480 chr19:33751480:C:T rs137864706 C T C EBF1_EBF_1 -12 0 + 0 0 . chr19 33751829 33751830 chr19:33751830:T:C chr19:33751830:T:C T C T EBF1_EBF_1 18 0 + 0 0 . chr19 33755117 33755118 chr19:33755118:C:T rs746183 C T C EBF1_EBF_1 24 0 + 0 0 . chr19 33763588 33763589 chr19:33763589:G:A rs8112008 G A G EBF1_EBF_1 3 1 - 6.396979686645675 -0.008419054907641874 GGTCCCCAGGGCAC chr19 33772016 33772017 chr19:33772017:C:T rs149660944 C T C EBF1_EBF_1 -17 0 + 0 0 . chr19 33779492 33779493 chr19:33779493:C:T rs62103476 C T T EBF1_EBF_1 -16 0 + 0 0 . chr19 33801815 33801816 chr19:33801816:A:G rs287103 A G G EBF1_EBF_1 30 0 + 0 0 . chr19 33879677 33879678 chr19:33879678:C:T rs1017204 C T C EBF1_EBF_1 -15 0 + 0 0 . chr19 33936259 33936260 chr19:33936260:G:A rs4805959 G A A EBF1_EBF_1 8 1 + 7.381701140110141 3.15541105122388 ACACCCCCGGGAAT chr19 33941324 33941325 chr19:33941325:T:C rs4805962 T C T EBF1_EBF_1 29 0 + 0 0 . chr19 34249376 34249377 chr19:34249377:G:C rs7250874 G C g EBF1_EBF_1 5 1 - 6.137701641886661 -0.767179510748508 TTCCCCATGGGGCA chr19 34254432 34254433 chr19:34254433:C:T chr19:34254433:C:T C T C EBF1_EBF_1 9 1 - 5.384352532343273 2.7294132668956683 CCTCTCCTGGGACC chr19 34314891 34314892 chr19:34314892:G:A rs4806010 G A G EBF1_EBF_1 -14 0 - 0 0 . chr19 34432973 34432974 chr19:34432974:C:T rs144796148 C T C EBF1_EBF_1 19 0 + 0 0 . chr19 34507976 34507977 chr19:34507977:G:A rs12981798 G A A EBF1_EBF_1 19 0 + 0 0 . chr19 34556613 34556614 chr19:34556614:T:G rs114338294 T G t EBF1_EBF_1 13 1 - 8.649235352353736 9.022980801639712 AACCCCCTGGGATA chr19 34559364 34559365 chr19:34559365:G:A rs113218854 G A g EBF1_EBF_1 -3 0 - 0 0 . chr19 34579836 34579837 chr19:34579837:C:G rs67778772 C G C EBF1_EBF_1 -12 0 - 0 0 . chr19 34596687 34596688 chr19:34596688:C:T rs117413183 C T C EBF1_EBF_1 -1 0 + 0 0 . chr19 34646096 34646097 chr19:34646097:T:C rs8105937 T C T EBF1_EBF_1 -11 0 - 0 0 . chr19 34669926 34669927 chr19:34669927:A:G rs78909343 A G A EBF1_EBF_1 19 0 - 0 0 . chr19 34677558 34677559 chr19:34677559:A:G rs369657324 A G A EBF1_EBF_1 21 0 - 0 0 . chr19 34772855 34772856 chr19:34772856:G:C rs151040179 G C G EBF1_EBF_1 -1 0 - 0 0 . chr19 34921033 34921034 chr19:34921034:G:A rs534241211 G A g EBF1_EBF_1 8 1 + 5.890524048136792 1.6642339592505304 GGTCCCCTGGGCCC chr19 34973371 34973372 chr19:34973372:A:G rs112557147 A G A EBF1_EBF_1 2 1 - 5.793370874000697 3.904547462558546 AGTTCCCTGGGACC chr19 35022991 35022992 chr19:35022992:C:T rs4806072 C T C EBF1_EBF_1 -5 0 + 0 0 . chr19 35068901 35068902 chr19:35068902:T:C rs1672993 T C C EBF1_EBF_1 19 0 - 0 0 . chr19 35125559 35125560 chr19:35125560:G:C rs12976269 G C C EBF1_EBF_1 -10 0 - 0 0 . chr19 35128114 35128115 chr19:35128115:A:G rs3803922 A G A EBF1_EBF_1 -9 0 + 0 0 . chr19 35135444 35135445 chr19:35135445:C:T rs2445817 C T C EBF1_EBF_1 10 1 - 4.7697227226667325 -0.5483057366002337 CCCCCCCAGGGCCT chr19 35135468 35135469 chr19:35135469:G:A rs2451991 G A G EBF1_EBF_1 -14 0 - 0 0 . chr19 35139794 35139795 chr19:35139795:C:T rs116884289 C T C EBF1_EBF_1 23 0 - 0 0 . chr19 35139842 35139843 chr19:35139843:C:T rs11882684 C T C EBF1_EBF_1 25 0 + 0 0 . chr19 35155487 35155488 chr19:35155488:G:A rs749659420 G A G EBF1_EBF_1 5 1 - 8.447495468359747 3.1257082528190767 AGCCCCCGGGGATC chr19 35166380 35166381 chr19:35166381:G:T rs75807286 G T T EBF1_EBF_1 32 0 - 0 0 . chr19 35166430 35166431 chr19:35166431:A:G rs73044024 A G A EBF1_EBF_1 -18 0 - 0 0 . chr19 35172753 35172754 chr19:35172754:G:T rs61258580 G T G EBF1_EBF_1 -17 0 - 0 0 . chr19 35182836 35182837 chr19:35182837:A:T rs10401634 A T A EBF1_EBF_1 -3 0 + 0 0 . chr19 35182854 35182855 chr19:35182855:C:G rs10426966 C G C EBF1_EBF_1 15 0 + 0 0 . chr19 35233860 35233861 chr19:35233861:G:T rs8112032 G T G EBF1_EBF_1 -10 0 + 0 0 . chr19 35265636 35265637 chr19:35265637:G:A rs868239730 G A G EBF1_EBF_1 3 1 - 5.771774097520473 -0.6336246440328437 CCTCCCTAGGGCAA chr19 35291027 35291028 chr19:35291028:C:T chr19:35291028:C:T C T C EBF1_EBF_1 28 0 + 0 0 . chr19 35292054 35292055 chr19:35292055:C:T rs2301599 C T C EBF1_EBF_1 6 1 - 4.602091355834615 6.768885787109093 ACCCCCGGGGGAGG chr19 35330730 35330731 chr19:35330731:C:T rs190862962 C T C EBF1_EBF_1 7 1 - 7.581478169345763 8.893936574634587 GGCCCCCGGGGACC chr19 35350953 35350954 chr19:35350954:T:C rs1978013 T C T EBF1_EBF_1 29 0 - 0 0 . chr19 35350954 35350955 chr19:35350955:G:A rs1978014 G A A EBF1_EBF_1 28 0 - 0 0 . chr19 35355973 35355974 chr19:35355974:G:A rs12462800 G A G EBF1_EBF_1 30 0 + 0 0 . chr19 35363413 35363414 chr19:35363414:A:G rs184933510 A G A EBF1_EBF_1 28 0 - 0 0 . chr19 35377822 35377823 chr19:35377823:C:T rs12609641 C T C EBF1_EBF_1 -4 0 + 0 0 . chr19 35377855 35377856 chr19:35377856:A:G rs11883057 A G G EBF1_EBF_1 29 0 + 0 0 . chr19 35406065 35406066 chr19:35406066:C:T rs8106407 C T T EBF1_EBF_1 33 0 - 0 0 . chr19 35511009 35511010 chr19:35511010:T:C rs75264675 T C T EBF1_EBF_1 29 0 - 0 0 . chr19 35518124 35518125 chr19:35518125:G:A rs113032685 G A G EBF1_EBF_1 26 0 + 0 0 . chr19 35524824 35524825 chr19:35524825:G:T rs73030916 G T G EBF1_EBF_1 10 1 + 7.962948580317935 3.7801963940906713 ACTCCCAGGGGCCT chr19 35598847 35598848 chr19:35598848:G:A rs73046840 G A g EBF1_EBF_1 5 1 - 9.315002006912415 3.993214791371745 CTTCCCCAGAGACT chr19 35632500 35632501 chr19:35632501:T:C rs2239914 T C C EBF1_EBF_1 6 1 - 6.085726342953986 3.918931911679511 AGACCCAAGGGGCA chr19 35637392 35637393 chr19:35637393:G:A rs2285416 G A G EBF1_EBF_1 30 0 + 0 0 . chr19 35643890 35643891 chr19:35643891:G:A rs116360034 G A G EBF1_EBF_1 29 0 - 0 0 . chr19 35677743 35677744 chr19:35677744:A:G rs73602806 A G A EBF1_EBF_1 16 0 + 0 0 . chr19 35677760 35677761 chr19:35677761:G:C rs10413852 G C G EBF1_EBF_1 33 0 + 0 0 . chr19 35722558 35722559 chr19:35722559:C:T rs11084828 C T C EBF1_EBF_1 0 1 + 5.138709490233625 5.420911550964204 CTCCCCCAGGGTCC chr19 35735519 35735520 chr19:35735520:G:A rs73590591 G A G EBF1_EBF_1 -8 0 + 0 0 . chr19 35746007 35746008 chr19:35746008:G:C rs10402601 G C G EBF1_EBF_1 14 0 - 0 0 . chr19 35753841 35753842 chr19:35753842:C:G rs231242 C G g EBF1_EBF_1 -4 0 - 0 0 . chr19 35753851 35753852 chr19:35753852:G:C rs2239947 G C c EBF1_EBF_1 -14 0 - 0 0 . chr19 35768389 35768390 chr19:35768390:C:T rs11881839 C T C EBF1_EBF_1 18 0 - 0 0 . chr19 35778837 35778838 chr19:35778838:G:A rs231229 G A A EBF1_EBF_1 -15 0 - 0 0 . chr19 35790700 35790701 chr19:35790701:G:C rs35627066 G C G EBF1_EBF_1 -17 0 + 0 0 . chr19 35856497 35856498 chr19:35856498:A:G rs447707 A G C EBF1_EBF_1 17 0 - 0 0 . chr19 35856876 35856877 chr19:35856877:G:A rs112703866 G A G EBF1_EBF_1 -11 0 - 0 0 . chr19 35900722 35900723 chr19:35900723:G:C rs143994199 G C G EBF1_EBF_1 26 0 + 0 0 . chr19 35903931 35903932 chr19:35903932:A:C rs8106480 A C G EBF1_EBF_1 23 0 - 0 0 . chr19 35903934 35903935 chr19:35903935:T:C rs377053543 T C T EBF1_EBF_1 20 0 - 0 0 . chr19 35928648 35928649 chr19:35928649:A:G rs73599577 A G G EBF1_EBF_1 26 0 + 0 0 . chr19 35994535 35994536 chr19:35994536:C:A rs77694703 C A C EBF1_EBF_1 24 0 - 0 0 . chr19 35994572 35994573 chr19:35994573:C:T rs4806247 C T C EBF1_EBF_1 -13 0 - 0 0 . chr19 36009628 36009629 chr19:36009629:G:A rs1486074261 G A G EBF1_EBF_1 -10 0 + 0 0 . chr19 36014938 36014939 chr19:36014939:T:C rs73034048 T C T EBF1_EBF_1 19 0 - 0 0 . chr19 36116391 36116392 chr19:36116392:G:A rs75814442 G A G EBF1_EBF_1 15 0 + 0 0 . chr19 36127795 36127796 chr19:36127796:G:A rs75581773 G A G EBF1_EBF_1 -2 0 + 0 0 . chr19 36128167 36128168 chr19:36128168:G:C rs755030893 G C G EBF1_EBF_1 20 0 - 0 0 . chr19 36233463 36233464 chr19:36233464:C:G rs2972542 C G C EBF1_EBF_1 9 1 - 5.890524048136792 0.8148349017913277 GGTCCCCTGGGCCC chr19 36528602 36528603 chr19:36528603:G:A rs150445836 G A G EBF1_EBF_1 8 1 + 7.764220423928487 3.5379303350422253 AACCCCAGGAGACT chr19 36604898 36604899 chr19:36604899:C:A rs146125814 C A C EBF1_EBF_1 -6 0 + 0 0 . chr19 37014342 37014343 chr19:37014343:A:T rs826324 A T T EBF1_EBF_1 23 0 + 0 0 . chr19 37217920 37217921 chr19:37217921:T:C rs1043648169 T C T EBF1_EBF_1 30 0 - 0 0 . chr19 37217957 37217958 chr19:37217958:A:G rs3745767 A G A EBF1_EBF_1 -7 0 - 0 0 . chr19 37333718 37333719 chr19:37333719:C:T rs117745153 C T c EBF1_EBF_1 -17 0 - 0 0 . chr19 37334604 37334605 chr19:37334605:G:T rs1388808580 G T g EBF1_EBF_1 29 0 + 0 0 . chr19 37334606 37334607 chr19:37334607:T:C rs563518108 T C t EBF1_EBF_1 31 0 + 0 0 . chr19 37371302 37371303 chr19:37371303:G:A chr19:37371303:G:A G A G EBF1_EBF_1 25 0 - 0 0 . chr19 37551700 37551701 chr19:37551701:G:T rs6508734 G T G EBF1_EBF_1 12 1 - 5.88887059735335 5.919051995774676 CCTCCCCGGAGACA chr19 37730130 37730131 chr19:37730131:C:G rs118144407 C G C EBF1_EBF_1 6 1 - 6.593968008826168 8.399160072299367 ATCCCCGGGAGAAT chr19 37907030 37907031 chr19:37907031:A:G rs117710189 A G A EBF1_EBF_1 31 0 - 0 0 . chr19 37929765 37929766 chr19:37929766:C:T rs73029180 C T C EBF1_EBF_1 12 1 + 5.659758345708086 4.633491775157236 TACCCCCAGGGGCC chr19 37953409 37953410 chr19:37953410:T:C rs185765813 T C t EBF1_EBF_1 30 0 + 0 0 . chr19 37959858 37959859 chr19:37959859:T:C rs353421 T C T EBF1_EBF_1 -7 0 - 0 0 . chr19 38026495 38026496 chr19:38026496:G:A rs78159008 G A G EBF1_EBF_1 1 1 + 9.178576009054549 8.917877177133246 AGTCCCATAGGACT chr19 38036411 38036412 chr19:38036412:C:A rs118088049 C A C EBF1_EBF_1 30 0 + 0 0 . chr19 38082508 38082509 chr19:38082509:G:C rs10405667 G C G EBF1_EBF_1 16 0 - 0 0 . chr19 38229838 38229839 chr19:38229839:C:T chr19:38229839:C:T C T C EBF1_EBF_1 13 1 - 6.566616699059849 7.680942271129288 CGCCCCCTGGGACG chr19 38251783 38251784 chr19:38251784:G:A rs143223107 G A G EBF1_EBF_1 -16 0 + 0 0 . chr19 38251789 38251790 chr19:38251790:T:A rs560747163 T A T EBF1_EBF_1 -10 0 + 0 0 . chr19 38253401 38253402 chr19:38253402:G:T rs367761753 G T G EBF1_EBF_1 -9 0 + 0 0 . chr19 38264307 38264308 chr19:38264308:G:A rs17554832 G A G EBF1_EBF_1 22 0 - 0 0 . chr19 38264347 38264348 chr19:38264348:G:A rs17554839 G A G EBF1_EBF_1 -18 0 - 0 0 . chr19 38270051 38270052 chr19:38270052:G:A rs10408768 G A G EBF1_EBF_1 17 0 + 0 0 . chr19 38270056 38270057 chr19:38270057:G:C rs10408769 G C C EBF1_EBF_1 22 0 + 0 0 . chr19 38295862 38295863 chr19:38295863:C:T rs148062559 C T C EBF1_EBF_1 8 1 - 7.16279490265637 2.936504813770111 AGTCCCAAGGGCAG chr19 38315690 38315691 chr19:38315691:G:C rs11672826 G C G EBF1_EBF_1 -6 0 + 0 0 . chr19 38351934 38351935 chr19:38351935:G:A rs149565571 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 38352871 38352872 chr19:38352872:G:A rs60082376 G A G EBF1_EBF_1 25 0 + 0 0 . chr19 38434173 38434174 chr19:38434174:T:C rs919781 T C C EBF1_EBF_1 30 0 + 0 0 . chr19 38484655 38484656 chr19:38484656:G:A rs10409638 G A A EBF1_EBF_1 25 0 + 0 0 . chr19 38535035 38535036 chr19:38535036:C:G rs79117865 C G C EBF1_EBF_1 32 0 - 0 0 . chr19 38544629 38544630 chr19:38544630:A:G rs3786830 A G A EBF1_EBF_1 25 0 - 0 0 . chr19 38583689 38583690 chr19:38583690:T:C rs554139041 T C T EBF1_EBF_1 -7 0 + 0 0 . chr19 38711543 38711544 chr19:38711544:G:A rs899200 G A G EBF1_EBF_1 -17 0 - 0 0 . chr19 38719164 38719165 chr19:38719165:C:T rs115213573 C T C EBF1_EBF_1 15 0 - 0 0 . chr19 38719174 38719175 chr19:38719175:G:T rs1042744019 G T G EBF1_EBF_1 5 1 - 7.4880354574042896 0.5831543047691201 AGACCCCTGGGATC chr19 38722611 38722612 chr19:38722612:A:G rs34717167 A G G EBF1_EBF_1 -1 0 - 0 0 . chr19 38722615 38722616 chr19:38722616:C:T rs73933052 C T C EBF1_EBF_1 -5 0 - 0 0 . chr19 38728919 38728920 chr19:38728920:G:T rs12974733 G T G EBF1_EBF_1 10 1 + 7.741537676630407 3.5587854904031415 TTTCCCTGGAGACC chr19 38747158 38747159 chr19:38747159:C:T rs118146072 C T C EBF1_EBF_1 2 1 + 8.309901996537887 10.198725407980035 AGCCCCACGGGAGT chr19 38850721 38850722 chr19:38850722:C:T rs75970479 C T C EBF1_EBF_1 19 0 + 0 0 . chr19 38889265 38889266 chr19:38889266:G:A rs11879029 G A A EBF1_EBF_1 15 0 + 0 0 . chr19 38931063 38931064 chr19:38931064:C:T rs2304115 C T T EBF1_EBF_1 32 0 - 0 0 . chr19 38931110 38931111 chr19:38931111:G:T rs16972885 G T G EBF1_EBF_1 -15 0 - 0 0 . chr19 38931113 38931114 chr19:38931114:A:G rs546791254 A G A EBF1_EBF_1 -18 0 - 0 0 . chr19 38986108 38986109 chr19:38986109:T:G rs7249004 T G G EBF1_EBF_1 2 1 + 4.958200362623272 -1.7901253275771976 GGTCACCAGGGACC chr19 39032234 39032235 chr19:39032235:C:G rs778513079 C G C EBF1_EBF_1 32 0 + 0 0 . chr19 39037735 39037736 chr19:39037736:C:T rs8104899 C T T EBF1_EBF_1 27 0 + 0 0 . chr19 39127791 39127792 chr19:39127792:G:C rs61175300 G C G EBF1_EBF_1 30 0 - 0 0 . chr19 39131715 39131716 chr19:39131716:G:A rs111574545 G A G EBF1_EBF_1 29 0 + 0 0 . chr19 39136530 39136531 chr19:39136531:A:G rs12611365 A G a EBF1_EBF_1 -17 0 - 0 0 . chr19 39167621 39167622 chr19:39167622:C:T rs115055624 C T C EBF1_EBF_1 31 0 - 0 0 . chr19 39167694 39167695 chr19:39167695:C:T rs58561880 C T C EBF1_EBF_1 7 1 + 6.249624369788358 8.067129116070982 CCTCCCACGGGGCT chr19 39203589 39203590 chr19:39203590:A:G rs373296952 A G A EBF1_EBF_1 -18 0 + 0 0 . chr19 39236849 39236850 chr19:39236850:A:G rs11883201 A G N EBF1_EBF_1 -14 0 + 0 0 . chr19 39237985 39237986 chr19:39237986:C:T rs62120525 C T C EBF1_EBF_1 -13 0 - 0 0 . chr19 39328090 39328091 chr19:39328091:T:G rs58845164 T G T EBF1_EBF_1 15 0 - 0 0 . chr19 39352982 39352983 chr19:39352983:G:A rs30455 G A A EBF1_EBF_1 6 1 + 8.165742545692893 10.332536976967369 ACTCCCGAGGGATG chr19 39373175 39373176 chr19:39373176:G:A rs148476783 G A G EBF1_EBF_1 9 1 + 10.233987295932925 7.579048030485322 ACTCCCGTGGGAAC chr19 39407555 39407556 chr19:39407556:C:G rs17878918 C G C EBF1_EBF_1 -4 0 - 0 0 . chr19 39410129 39410130 chr19:39410130:G:A rs575815713 G A G EBF1_EBF_1 -2 0 - 0 0 . chr19 39413725 39413726 chr19:39413726:C:G rs566369391 C G C EBF1_EBF_1 25 0 + 0 0 . chr19 39413948 39413949 chr19:39413949:C:T rs3746084 C T C EBF1_EBF_1 4 1 + 7.118454465732417 2.7490675207874933 ACTCCCAGGGGCCC chr19 39473187 39473188 chr19:39473188:T:A rs45568533 T A T EBF1_EBF_1 -6 0 + 0 0 . chr19 39481020 39481021 chr19:39481021:A:G rs147393132 A G A EBF1_EBF_1 18 0 - 0 0 . chr19 39498868 39498869 chr19:39498869:G:C rs985354760 G C G EBF1_EBF_1 13 1 - 9.255538942435864 7.76746792108045 GGCCCCAAGGGACC chr19 39498874 39498875 chr19:39498875:T:C rs542895664 T C T EBF1_EBF_1 7 1 - 9.255538942435864 7.943080537147039 GGCCCCAAGGGACC chr19 39516101 39516102 chr19:39516102:C:T rs77017628 C T C EBF1_EBF_1 -8 0 - 0 0 . chr19 39518825 39518826 chr19:39518826:G:T rs28596775 G T G EBF1_EBF_1 -17 0 + 0 0 . chr19 39553448 39553449 chr19:39553449:A:G rs10439099 A G - EBF1_EBF_1 11 1 + 10.682550998133147 7.7898441545291375 AGTCCCTCGGGACC chr19 39553470 39553471 chr19:39553471:T:C rs10439100 T C - EBF1_EBF_1 33 0 + 0 0 . chr19 39728921 39728922 chr19:39728922:T:G rs439881 T G - EBF1_EBF_1 17 0 - 0 0 . chr19 39831199 39831200 chr19:39831200:T:C rs718066 T C C EBF1_EBF_1 24 0 + 0 0 . chr19 39832375 39832376 chr19:39832376:C:T rs75378558 C T C EBF1_EBF_1 6 1 + 5.814052019523365 6.115338148385123 CCTCCCCAGGGCCC chr19 39833597 39833598 chr19:39833598:C:T rs117308182 C T C EBF1_EBF_1 29 0 + 0 0 . chr19 39880443 39880444 chr19:39880444:G:A rs535260612 G A . EBF1_EBF_1 -16 0 - 0 0 . chr19 39892825 39892826 chr19:39892826:G:T rs737511 G T . EBF1_EBF_1 12 1 - 8.48846970353115 8.518651101952477 ATTCCCCTGGGCCC chr19 39902408 39902409 chr19:39902409:G:A rs62108883 G A . EBF1_EBF_1 -16 0 - 0 0 . chr19 39924362 39924363 chr19:39924363:C:G rs2075304 C G . EBF1_EBF_1 -3 0 + 0 0 . chr19 39924363 39924364 chr19:39924364:A:G rs75882088 A G . EBF1_EBF_1 -2 0 + 0 0 . chr19 39953590 39953591 chr19:39953591:A:G rs2542325 A G . EBF1_EBF_1 14 0 - 0 0 . chr19 39959658 39959659 chr19:39959659:G:C rs374838558 G C . EBF1_EBF_1 -19 0 + 0 0 . chr19 39959690 39959691 chr19:39959691:G:A rs59925663 G A . EBF1_EBF_1 13 1 + 6.06487017200047 7.179195744069909 ATTGCCCAGGGACG chr19 40056199 40056200 chr19:40056200:G:A rs570808235 G A G EBF1_EBF_1 -3 0 + 0 0 . chr19 40090454 40090455 chr19:40090455:C:T rs24135 C T T EBF1_EBF_1 3 1 + 7.360199427927863 0.9548006863745455 CCCCCCTGGGGAAC chr19 40177896 40177897 chr19:40177897:T:A rs34594459 T A T EBF1_EBF_1 14 0 + 0 0 . chr19 40212453 40212454 chr19:40212454:G:C rs147252553 G C G EBF1_EBF_1 -13 0 + 0 0 . chr19 40266343 40266344 chr19:40266344:G:C rs536133312 G C G EBF1_EBF_1 30 0 - 0 0 . chr19 40278930 40278931 chr19:40278931:C:G rs8111619 C G C EBF1_EBF_1 7 1 + 5.147200583805442 6.128521164886798 CTACCCCCGGGACC chr19 40278951 40278952 chr19:40278952:C:G rs11669006 C G C EBF1_EBF_1 28 0 + 0 0 . chr19 40284188 40284189 chr19:40284189:C:T chr19:40284189:C:T C T c EBF1_EBF_1 24 0 - 0 0 . chr19 40285635 40285636 chr19:40285636:T:C rs35925927 T C T EBF1_EBF_1 -3 0 + 0 0 . chr19 40425487 40425488 chr19:40425488:C:T rs555965771 C T C EBF1_EBF_1 -15 0 - 0 0 . chr19 40441168 40441169 chr19:40441169:G:A rs7259593 G A G EBF1_EBF_1 22 0 - 0 0 . chr19 40444152 40444153 chr19:40444153:G:T rs62109499 G T G EBF1_EBF_1 7 1 + 5.5579878088222054 6.394171974023473 AGTCCCCGGGGCGC chr19 40444173 40444174 chr19:40444174:C:A rs186740731 C A c EBF1_EBF_1 28 0 + 0 0 . chr19 40529413 40529414 chr19:40529414:C:T rs112098210 C T C EBF1_EBF_1 -16 0 - 0 0 . chr19 40529414 40529415 chr19:40529415:T:G chr19:40529415:T:G T G T EBF1_EBF_1 -17 0 - 0 0 . chr19 40531366 40531367 chr19:40531367:G:C rs112093859 G C G EBF1_EBF_1 -13 0 - 0 0 . chr19 40578786 40578787 chr19:40578787:G:A rs814518 G A A EBF1_EBF_1 -9 0 - 0 0 . chr19 40594717 40594718 chr19:40594718:C:T rs35669602 C T C EBF1_EBF_1 -9 0 + 0 0 . chr19 40597341 40597342 chr19:40597342:G:A rs184935788 G A G EBF1_EBF_1 22 0 - 0 0 . chr19 40605492 40605493 chr19:40605493:G:C chr19:40605493:G:C G C G EBF1_EBF_1 4 1 - 5.801908938038666 0.176143836889122 CCTCCCAAGGGCCA chr19 40641617 40641618 chr19:40641618:A:G rs10421223 A G G EBF1_EBF_1 -5 0 - 0 0 . chr19 40663067 40663068 chr19:40663068:G:A rs116269745 G A G EBF1_EBF_1 32 0 - 0 0 . chr19 40663118 40663119 chr19:40663119:T:A rs958830384 T A T EBF1_EBF_1 -19 0 - 0 0 . chr19 40689636 40689637 chr19:40689637:G:A rs149796631 G A G EBF1_EBF_1 -2 0 - 0 0 . chr19 40703003 40703004 chr19:40703004:G:A rs145881613 G A G EBF1_EBF_1 -4 0 - 0 0 . chr19 40716904 40716905 chr19:40716905:G:A rs1280225760 G A G EBF1_EBF_1 4 1 - 6.241019618638932 1.8716326736940094 CCTCCCAAGGGGAG chr19 40787637 40787638 chr19:40787638:A:G rs2604893 A G G EBF1_EBF_1 -14 0 - 0 0 . chr19 40798555 40798556 chr19:40798556:C:G rs116842098 C G C EBF1_EBF_1 20 0 - 0 0 . chr19 40810528 40810529 chr19:40810529:G:T rs141353121 G T G EBF1_EBF_1 -18 0 + 0 0 . chr19 40846934 40846935 chr19:40846935:G:A rs1809811 G A - EBF1_EBF_1 -15 0 - 0 0 . chr19 40880678 40880679 chr19:40880679:G:T rs3815714 G T - EBF1_EBF_1 23 0 - 0 0 . chr19 40880716 40880717 chr19:40880717:C:T rs61482096 C T - EBF1_EBF_1 -15 0 - 0 0 . chr19 41017110 41017111 chr19:41017111:C:T rs3181842 C T c EBF1_EBF_1 -17 0 + 0 0 . chr19 41127809 41127810 chr19:41127810:T:A rs149394322 T A . EBF1_EBF_1 26 0 - 0 0 . chr19 41127841 41127842 chr19:41127842:G:C rs147518799 G C . EBF1_EBF_1 -6 0 - 0 0 . chr19 41135212 41135213 chr19:41135213:T:C rs12975886 T C . EBF1_EBF_1 32 0 - 0 0 . chr19 41135228 41135229 chr19:41135229:C:T rs12974071 C T . EBF1_EBF_1 16 0 - 0 0 . chr19 41135254 41135255 chr19:41135255:G:A rs7507318 G A . EBF1_EBF_1 -10 0 - 0 0 . chr19 41175796 41175797 chr19:41175797:C:T rs114270377 C T . EBF1_EBF_1 15 0 - 0 0 . chr19 41266000 41266001 chr19:41266001:G:A rs374520118 G A G EBF1_EBF_1 3 1 - 6.917602289132048 0.512203547578729 ACTCCCTGGGTACA chr19 41298449 41298450 chr19:41298450:G:A rs368108603 G A G EBF1_EBF_1 10 1 + 5.710531297853959 0.39250283858699275 AGCCCCCAGCGACC chr19 41299264 41299265 chr19:41299265:T:C rs78321273 T C T EBF1_EBF_1 13 1 - 9.256034309599467 8.141708737530028 GTCCCCCAGGGAAA chr19 41308777 41308778 chr19:41308778:G:C rs1051454224 G C G EBF1_EBF_1 5 1 - 6.631804850642833 -0.2730763019923333 ATTCCCTTGAGGTC chr19 41310098 41310099 chr19:41310099:G:A rs999774853 G A G EBF1_EBF_1 3 1 - 6.081448831678459 -0.3239499098748586 GCTCCCCGGAGAGT chr19 41355952 41355953 chr19:41355953:C:T rs11466310 C T C EBF1_EBF_1 25 0 - 0 0 . chr19 41415373 41415374 chr19:41415374:G:A rs145173140 G A G EBF1_EBF_1 -11 0 - 0 0 . chr19 41443093 41443094 chr19:41443094:G:A rs566736066 G A G EBF1_EBF_1 -8 0 + 0 0 . chr19 41479715 41479716 chr19:41479716:T:C rs887391 T C T EBF1_EBF_1 -3 0 + 0 0 . chr19 41555185 41555186 chr19:41555186:A:G rs11881771 A G - EBF1_EBF_1 -8 0 - 0 0 . chr19 41602190 41602191 chr19:41602191:G:A rs7248127 G A - EBF1_EBF_1 24 0 - 0 0 . chr19 41606479 41606480 chr19:41606480:T:G rs117837820 T G - EBF1_EBF_1 9 1 - 6.41847095785582 3.9977210769579594 CCTCCCTAGAGAAG chr19 41620517 41620518 chr19:41620518:G:C rs3745931 G C G EBF1_EBF_1 -12 0 - 0 0 . chr19 41640958 41640959 chr19:41640959:C:T rs12460742 C T C EBF1_EBF_1 -7 0 + 0 0 . chr19 41642166 41642167 chr19:41642167:G:A rs183905555 G A G EBF1_EBF_1 -2 0 + 0 0 . chr19 41695462 41695463 chr19:41695463:C:T rs4803501 C T N EBF1_EBF_1 21 0 + 0 0 . chr19 41697671 41697672 chr19:41697672:C:G rs114428578 C G c EBF1_EBF_1 8 1 - 6.581440060009544 1.262781199094858 CTTCCCCTGGGTAC chr19 41703726 41703727 chr19:41703727:T:C rs3928917 T C N EBF1_EBF_1 -15 0 + 0 0 . chr19 41705299 41705300 chr19:41705300:C:A rs73932026 C A c EBF1_EBF_1 -19 0 + 0 0 . chr19 41706865 41706866 chr19:41706866:C:T rs111502163 C T c EBF1_EBF_1 4 1 + 8.181800988357262 3.812414043412338 CCTCCCCAGGGGCT chr19 41758260 41758261 chr19:41758261:G:A rs8112826 G A g EBF1_EBF_1 -6 0 - 0 0 . chr19 41811774 41811775 chr19:41811775:T:C rs10419111 T C N EBF1_EBF_1 -14 0 + 0 0 . chr19 41812197 41812198 chr19:41812198:A:G rs8110199 A G N EBF1_EBF_1 32 0 - 0 0 . chr19 41838244 41838245 chr19:41838245:G:A rs2290695 G A N EBF1_EBF_1 25 0 - 0 0 . chr19 41871175 41871176 chr19:41871176:T:C rs2075754 T C C EBF1_EBF_1 13 1 + 10.49983893898447 9.65534482439895 ACTCCCTTGAGACT chr19 42052511 42052512 chr19:42052512:G:A rs1481687117 G A G EBF1_EBF_1 4 1 - 13.006511382924655 8.637124437979733 AGTCCCTAGGGAAC chr19 42066348 42066349 chr19:42066349:C:T rs975353942 C T C EBF1_EBF_1 -16 0 - 0 0 . chr19 42156651 42156652 chr19:42156652:A:G rs75073466 A G A EBF1_EBF_1 32 0 + 0 0 . chr19 42255950 42255951 chr19:42255951:G:A rs777262996 G A G EBF1_EBF_1 -10 0 + 0 0 . chr19 42270036 42270037 chr19:42270037:C:A rs73932879 C A C EBF1_EBF_1 -18 0 - 0 0 . chr19 42283918 42283919 chr19:42283919:C:A rs968793998 C A C EBF1_EBF_1 -16 0 - 0 0 . chr19 42316377 42316378 chr19:42316378:A:G rs1206009 A G G EBF1_EBF_1 7 1 - 6.673229631849211 4.8557248855665875 CCTCCCTTAGGACT chr19 42365403 42365404 chr19:42365404:T:G rs1654623 T G G EBF1_EBF_1 23 0 + 0 0 . chr19 42433735 42433736 chr19:42433736:A:G rs851299 A G A EBF1_EBF_1 -12 0 - 0 0 . chr19 42528471 42528472 chr19:42528472:T:C rs8103285 T C C EBF1_EBF_1 -16 0 + 0 0 . chr19 42529098 42529099 chr19:42529099:A:G rs41421250 A G G EBF1_EBF_1 -1 0 - 0 0 . chr19 42561243 42561244 chr19:42561244:C:T rs10401428 C T c EBF1_EBF_1 13 1 + 6.071766635963719 6.916260750549237 CCTCCCATGAGAGC chr19 42563647 42563648 chr19:42563648:C:A rs183894259 C A C EBF1_EBF_1 24 0 + 0 0 . chr19 42656193 42656194 chr19:42656194:A:G rs9807920 A G . EBF1_EBF_1 28 0 + 0 0 . chr19 42711172 42711173 chr19:42711173:C:T rs376599234 C T c EBF1_EBF_1 25 0 - 0 0 . chr19 42736121 42736122 chr19:42736122:G:T rs151239845 G T g EBF1_EBF_1 31 0 + 0 0 . chr19 42766892 42766893 chr19:42766893:G:A rs36033807 G A g EBF1_EBF_1 23 0 + 0 0 . chr19 42854611 42854612 chr19:42854612:C:T rs10408672 C T c EBF1_EBF_1 24 0 + 0 0 . chr19 42854612 42854613 chr19:42854613:C:G rs10408674 C G c EBF1_EBF_1 25 0 + 0 0 . chr19 42881371 42881372 chr19:42881372:G:A rs573118080 G A g EBF1_EBF_1 -15 0 + 0 0 . chr19 42884946 42884947 chr19:42884947:C:T rs1307622738 C T c EBF1_EBF_1 6 1 + 8.772727066516135 9.074013195377892 ACTCCCCTGGGGAA chr19 42884973 42884974 chr19:42884974:G:T rs145041688 G T g EBF1_EBF_1 33 0 + 0 0 . chr19 42916765 42916766 chr19:42916766:C:G chr19:42916766:C:G C G . EBF1_EBF_1 14 0 + 0 0 . chr19 42919042 42919043 chr19:42919043:T:G rs7248471 T G . EBF1_EBF_1 -5 0 + 0 0 . chr19 42919235 42919236 chr19:42919236:T:A rs2002051 T A . EBF1_EBF_1 1 1 + 6.662561349691958 5.696200731941128 ATGCCCCAGGGAAC chr19 42919579 42919580 chr19:42919580:T:A rs7249132 T A . EBF1_EBF_1 1 1 + 9.191283294752854 8.224922677002024 CTTCCCCTGGGAAG chr19 42919741 42919742 chr19:42919742:A:T rs59457585 A T . EBF1_EBF_1 -7 0 + 0 0 . chr19 42919759 42919760 chr19:42919760:A:T rs758095 A T . EBF1_EBF_1 11 1 + 5.849814875191063 1.7519006190923374 AGCCCCGTGAGACC chr19 42932776 42932777 chr19:42932777:G:A rs115409262 G A . EBF1_EBF_1 29 0 + 0 0 . chr19 42937331 42937332 chr19:42937332:C:G rs74811491 C G . EBF1_EBF_1 -8 0 - 0 0 . chr19 42938350 42938351 chr19:42938351:A:T rs8101840 A T . EBF1_EBF_1 -5 0 + 0 0 . chr19 42938897 42938898 chr19:42938898:G:T rs143625345 G T . EBF1_EBF_1 10 1 + 8.224922677002024 4.042170490774759 CATCCCCTGGGAAG chr19 43028155 43028156 chr19:43028156:C:A rs35758037 C A . EBF1_EBF_1 20 0 - 0 0 . chr19 43028172 43028173 chr19:43028173:G:T rs932915547 G T . EBF1_EBF_1 3 1 - 8.972711933669512 2.066537904203707 CTTCCCAAGGGATG chr19 43059711 43059712 chr19:43059712:A:C rs4029179 A C . EBF1_EBF_1 29 0 - 0 0 . chr19 43080546 43080547 chr19:43080547:C:G rs10426312 C G . EBF1_EBF_1 -9 0 - 0 0 . chr19 43083834 43083835 chr19:43083835:T:C rs8101141 T C . EBF1_EBF_1 -1 0 + 0 0 . chr19 43084359 43084360 chr19:43084360:A:C rs112285512 A C . EBF1_EBF_1 -7 0 + 0 0 . chr19 43187165 43187166 chr19:43187166:A:G rs10422381 A G . EBF1_EBF_1 24 0 - 0 0 . chr19 43188203 43188204 chr19:43188204:G:C rs112991646 G C . EBF1_EBF_1 21 0 + 0 0 . chr19 43191760 43191761 chr19:43191761:G:C rs193233314 G C . EBF1_EBF_1 14 0 + 0 0 . chr19 43205787 43205788 chr19:43205788:G:C rs58872941 G C . EBF1_EBF_1 -7 0 - 0 0 . chr19 43205788 43205789 chr19:43205789:C:G rs56694468 C G . EBF1_EBF_1 -8 0 - 0 0 . chr19 43248793 43248794 chr19:43248794:T:C rs8105933 T C . EBF1_EBF_1 -1 0 - 0 0 . chr19 43265191 43265192 chr19:43265192:A:G rs62115906 A G . EBF1_EBF_1 11 1 + 6.42437888497538 3.531672041371369 TTTGCCCAGGGACT chr19 43270700 43270701 chr19:43270701:T:C rs11672322 T C . EBF1_EBF_1 -3 0 + 0 0 . chr19 43270729 43270730 chr19:43270730:T:G rs112124885 T G . EBF1_EBF_1 26 0 + 0 0 . chr19 43271219 43271220 chr19:43271220:G:A rs12975725 G A . EBF1_EBF_1 -15 0 + 0 0 . chr19 43301578 43301579 chr19:43301579:A:G rs7255346 A G . EBF1_EBF_1 7 1 + 5.640516433121381 4.328058027832555 GGCCCCCAGGGTCT chr19 43304405 43304406 chr19:43304406:C:G rs62113685 C G . EBF1_EBF_1 4 1 + 5.913030504127776 0.2872654029782321 TTCCCCAGGAGACC chr19 43396069 43396070 chr19:43396070:A:G rs4802180 A G a EBF1_EBF_1 -18 0 + 0 0 . chr19 43408070 43408071 chr19:43408071:C:G rs117663591 C G C EBF1_EBF_1 1 1 - 6.515024825707183 6.325253171137965 AGACCCCCGGGATT chr19 43408080 43408081 chr19:43408081:C:T rs12609927 C T C EBF1_EBF_1 -9 0 - 0 0 . chr19 43475286 43475287 chr19:43475287:T:C rs2599437 T C T EBF1_EBF_1 1 1 + 7.06397407816884 6.168540637770095 ATTCCCGGGAGAGT chr19 43504825 43504826 chr19:43504826:G:A rs373521548 G A G EBF1_EBF_1 31 0 - 0 0 . chr19 43504870 43504871 chr19:43504871:C:T rs57335016 C T C EBF1_EBF_1 -14 0 - 0 0 . chr19 43533501 43533502 chr19:43533502:G:A rs2599460 G A G EBF1_EBF_1 6 1 - 4.536777447127504 4.838063575989262 CCTCCCCGGGGGCG chr19 43560844 43560845 chr19:43560845:G:A rs3213324 G A G EBF1_EBF_1 3 1 - 7.1884110173138565 0.7830122757605389 CTCCCCCAGGGGCT chr19 43596174 43596175 chr19:43596175:C:A rs139155257 C A C EBF1_EBF_1 -15 0 - 0 0 . chr19 43597182 43597183 chr19:43597183:A:C rs143699012 A C A EBF1_EBF_1 17 0 + 0 0 . chr19 43635176 43635177 chr19:43635177:C:T rs12608555 C T C EBF1_EBF_1 3 1 + 9.51369859258087 3.1082998510275504 ATACCCAAGGGAGT chr19 43670206 43670207 chr19:43670207:G:A rs147665588 G A G EBF1_EBF_1 3 1 - 6.874164432076139 0.4687656905228222 AGTCCCTGGGGCCA chr19 43681919 43681920 chr19:43681920:T:C rs366544 T C C EBF1_EBF_1 -20 0 - 0 0 . chr19 43700799 43700800 chr19:43700800:T:C rs77877940 T C T EBF1_EBF_1 30 0 - 0 0 . chr19 43754342 43754343 chr19:43754343:C:T rs61676505 C T C EBF1_EBF_1 10 1 - 6.255744120655642 0.9377156613886766 TTCCCCGAGGGAAG chr19 43754857 43754858 chr19:43754858:G:A rs184514252 G A G EBF1_EBF_1 22 0 + 0 0 . chr19 43790939 43790940 chr19:43790940:G:A rs8111664 G A G EBF1_EBF_1 8 1 + 6.92131176029706 2.6950216714107986 ACTCCCTCGGGCCT chr19 43797390 43797391 chr19:43797391:G:T rs10416177 G T T EBF1_EBF_1 7 1 + 6.403217720180666 7.239401885381931 CCTCCCCGGGGATG chr19 43935414 43935415 chr19:43935415:G:A rs565006009 G A G EBF1_EBF_1 10 1 + 7.502888131292125 2.1848596720251607 ATCCCCAAGGGGAG chr19 43969755 43969756 chr19:43969756:A:C rs425200 A C A EBF1_EBF_1 32 0 - 0 0 . chr19 44052137 44052138 chr19:44052138:G:A rs17656836 G A . EBF1_EBF_1 26 0 - 0 0 . chr19 44072147 44072148 chr19:44072148:G:T rs1339079347 G T G EBF1_EBF_1 2 1 - 4.848547030454489 3.0529028542977623 CGCCCCCCGGGAGC chr19 44072148 44072149 chr19:44072149:C:T rs11666432 C T T EBF1_EBF_1 1 1 - 4.848547030454489 4.587848198533187 CGCCCCCCGGGAGC chr19 44094232 44094233 chr19:44094233:T:G chr19:44094233:T:G T G . EBF1_EBF_1 28 0 - 0 0 . chr19 44207432 44207433 chr19:44207433:G:T rs10415563 G T T EBF1_EBF_1 -1 0 + 0 0 . chr19 44453092 44453093 chr19:44453093:C:T rs204532 C T c EBF1_EBF_1 29 0 - 0 0 . chr19 44457149 44457150 chr19:44457150:G:A rs12972296 G A G EBF1_EBF_1 -10 0 - 0 0 . chr19 44533380 44533381 chr19:44533381:C:T rs1727731 C T C EBF1_EBF_1 7 1 + 10.037764653791115 11.855269400073738 CTTCCCACGGGACT chr19 44592478 44592479 chr19:44592479:C:G rs1727776 C G G EBF1_EBF_1 -15 0 + 0 0 . chr19 44592514 44592515 chr19:44592515:C:T rs1661200 C T C EBF1_EBF_1 21 0 + 0 0 . chr19 44592515 44592516 chr19:44592516:A:G rs1661199 A G G EBF1_EBF_1 22 0 + 0 0 . chr19 44654220 44654221 chr19:44654221:A:G rs203707 A G a EBF1_EBF_1 -4 0 - 0 0 . chr19 44654570 44654571 chr19:44654571:C:T rs141226470 C T C EBF1_EBF_1 26 0 + 0 0 . chr19 44685084 44685085 chr19:44685085:G:A rs115487633 G A G EBF1_EBF_1 -11 0 + 0 0 . chr19 44685098 44685099 chr19:44685099:C:A rs150735888 C A C EBF1_EBF_1 3 1 + 5.975756888550747 -0.9304171409150588 AATCCCCTGTGAAT chr19 44725237 44725238 chr19:44725238:A:C rs10422350 A C C EBF1_EBF_1 -9 0 + 0 0 . chr19 44747250 44747251 chr19:44747251:C:T rs2965170 C T C EBF1_EBF_1 9 1 - 10.22571319258799 7.570773927140388 TGTCCCCTGGGACC chr19 44747528 44747529 chr19:44747529:C:A chr19:44747529:C:A C A C EBF1_EBF_1 32 0 + 0 0 . chr19 44750910 44750911 chr19:44750911:C:A rs8103315 C A C EBF1_EBF_1 9 1 - 7.942786513063379 1.1057971049745698 GGACCCCAGGGACT chr19 44770814 44770815 chr19:44770815:C:T rs140414737 C T C EBF1_EBF_1 8 1 - 10.085207004571279 5.858916915685019 CCTCCCTTGGGAAC chr19 44770821 44770822 chr19:44770822:G:A rs919397712 G A G EBF1_EBF_1 1 1 - 10.085207004571279 10.980640444970025 CCTCCCTTGGGAAC chr19 44770842 44770843 chr19:44770843:G:A rs2965138 G A G EBF1_EBF_1 -20 0 - 0 0 . chr19 44822480 44822481 chr19:44822481:T:G rs8113311 T G G EBF1_EBF_1 -11 0 - 0 0 . chr19 44850950 44850951 chr19:44850951:G:A rs532947852 G A G EBF1_EBF_1 11 1 - 7.0215207482406505 7.339568189994314 GCTCCCCAGGGCCT chr19 44850980 44850981 chr19:44850981:G:C rs2972566 G C C EBF1_EBF_1 -19 0 - 0 0 . chr19 44868926 44868927 chr19:44868927:A:C rs60389450 A C A EBF1_EBF_1 -8 0 + 0 0 . chr19 44875802 44875803 chr19:44875803:A:C rs387976 A C C EBF1_EBF_1 20 0 + 0 0 . chr19 45068522 45068523 chr19:45068523:T:C rs10445571 T C C EBF1_EBF_1 11 1 - 6.675546069893767 3.782839226289756 ACTGCCAAGGGAAA chr19 45080963 45080964 chr19:45080964:G:C rs10427048 G C G EBF1_EBF_1 2 1 - 7.532800302686215 2.6732980239278974 AGCCCCCAGGGTCT chr19 45093274 45093275 chr19:45093275:C:T rs114578504 C T C EBF1_EBF_1 -15 0 + 0 0 . chr19 45094903 45094904 chr19:45094904:G:A rs12609099 G A G EBF1_EBF_1 30 0 - 0 0 . chr19 45118802 45118803 chr19:45118803:G:A rs148008017 G A G EBF1_EBF_1 13 1 - 5.1658543572280635 6.010348471813582 GCTCCCTGGGGCCC chr19 45121835 45121836 chr19:45121836:G:C rs572001892 G C G EBF1_EBF_1 19 0 + 0 0 . chr19 45154424 45154425 chr19:45154425:G:A rs12460771 G A G EBF1_EBF_1 22 0 + 0 0 . chr19 45164435 45164436 chr19:45164436:G:T rs79323322 G T G EBF1_EBF_1 17 0 - 0 0 . chr19 45164464 45164465 chr19:45164465:C:T rs73564225 C T C EBF1_EBF_1 -12 0 - 0 0 . chr19 45164468 45164469 chr19:45164469:G:A rs117989866 G A G EBF1_EBF_1 -16 0 - 0 0 . chr19 45180189 45180190 chr19:45180190:T:A rs6509200 T A A EBF1_EBF_1 29 0 + 0 0 . chr19 45213212 45213213 chr19:45213213:G:T rs111862689 G T G EBF1_EBF_1 30 0 - 0 0 . chr19 45251656 45251657 chr19:45251657:C:G rs755800891 C G C EBF1_EBF_1 9 1 - 6.705519270529616 1.6298301241841513 CTCCCCAAGGGGCC chr19 45254294 45254295 chr19:45254295:C:T rs113761630 C T C EBF1_EBF_1 -15 0 - 0 0 . chr19 45334010 45334011 chr19:45334011:T:C rs1793978 T C C EBF1_EBF_1 -13 0 - 0 0 . chr19 45344874 45344875 chr19:45344875:C:T rs4476273 C T C EBF1_EBF_1 -11 0 + 0 0 . chr19 45405162 45405163 chr19:45405163:A:T rs34659674 A T A EBF1_EBF_1 10 1 - 6.805681308197093 5.6704050351573905 AGTCCCAAGGTGCT chr19 45406969 45406970 chr19:45406970:G:A rs1322319470 G A G EBF1_EBF_1 27 0 + 0 0 . chr19 45423864 45423865 chr19:45423865:G:A rs41540513 G A G EBF1_EBF_1 29 0 + 0 0 . chr19 45427712 45427713 chr19:45427713:G:A rs11880627 G A G EBF1_EBF_1 -3 0 + 0 0 . chr19 45427717 45427718 chr19:45427718:C:G rs186453419 C G C EBF1_EBF_1 2 1 + 8.080623224334486 3.2211209455761716 ATCCCCTTGGGGAA chr19 45443556 45443557 chr19:45443557:C:T rs555088246 C T C EBF1_EBF_1 15 0 - 0 0 . chr19 45443652 45443653 chr19:45443653:C:T chr19:45443653:C:T C T C EBF1_EBF_1 18 0 - 0 0 . chr19 45451465 45451466 chr19:45451466:G:A rs57574142 G A G EBF1_EBF_1 2 1 - 9.498488036401247 11.387311447843397 AACCCCAAGGGAGC chr19 45468216 45468217 chr19:45468217:C:T rs1385785554 C T C EBF1_EBF_1 12 1 + 6.059724002372849 5.033457431821999 CCCCCCGTGGGACC chr19 45471550 45471551 chr19:45471551:A:G rs2276472 A G G EBF1_EBF_1 6 1 + 7.627982064220936 5.461187632946461 CATCCCAAGGGGCC chr19 45485359 45485360 chr19:45485360:C:A rs1413478538 C A C EBF1_EBF_1 23 0 + 0 0 . chr19 45506261 45506262 chr19:45506262:G:C rs2238688 G C G EBF1_EBF_1 -5 0 + 0 0 . chr19 45507972 45507973 chr19:45507973:G:A rs189566350 G A G EBF1_EBF_1 28 0 - 0 0 . chr19 45509038 45509039 chr19:45509039:C:A rs577239055 C A C EBF1_EBF_1 19 0 - 0 0 . chr19 45516342 45516343 chr19:45516343:G:T rs8099878 G T T EBF1_EBF_1 -8 0 - 0 0 . chr19 45526722 45526723 chr19:45526723:T:C rs10156 T C C EBF1_EBF_1 -7 0 + 0 0 . chr19 45585665 45585666 chr19:45585666:T:G rs2334252 T G G EBF1_EBF_1 1 1 + 10.099785828228114 9.394124042398587 GTTCCCCAGGGAGC chr19 45599438 45599439 chr19:45599439:C:T rs56397211 C T C EBF1_EBF_1 -14 0 + 0 0 . chr19 45607173 45607174 chr19:45607174:G:T rs750024842 G T G EBF1_EBF_1 10 1 + 6.341457043953784 2.158704857726519 GTTCCCAGAGGAAC chr19 45621305 45621306 chr19:45621306:G:A rs146103183 G A G EBF1_EBF_1 -14 0 + 0 0 . chr19 45639256 45639257 chr19:45639257:T:A chr19:45639257:T:A T A T EBF1_EBF_1 29 0 - 0 0 . chr19 45653745 45653746 chr19:45653746:T:C rs10408179 T C C EBF1_EBF_1 16 0 - 0 0 . chr19 45653760 45653761 chr19:45653761:A:G rs10406431 A G G EBF1_EBF_1 1 1 - 9.332963818116669 8.437530377717925 ATTCCCCTGGGCCT chr19 45653978 45653979 chr19:45653979:G:A rs10407429 G A G EBF1_EBF_1 -20 0 + 0 0 . chr19 45673793 45673794 chr19:45673794:G:A rs1030111917 G A G EBF1_EBF_1 2 1 - 4.288203222212982 6.1770266336551325 GCCCCCCAGGGCCC chr19 45692497 45692498 chr19:45692498:A:C rs7245708 A C A EBF1_EBF_1 13 1 - 9.23188377937795 6.8993186434370175 GTTCCCCTGAGAAT chr19 45692514 45692515 chr19:45692515:C:G chr19:45692515:C:G C G C EBF1_EBF_1 -4 0 - 0 0 . chr19 45731081 45731082 chr19:45731082:T:G chr19:45731082:T:G T G T EBF1_EBF_1 28 0 + 0 0 . chr19 45733785 45733786 chr19:45733786:A:C rs563004948 A C A EBF1_EBF_1 1 1 - 5.833530438083549 5.127868652254022 ATTCCCCTGAGCCC chr19 45763571 45763572 chr19:45763572:T:C rs17650574 T C T EBF1_EBF_1 7 1 - 6.678364445367425 5.365906040078601 ACCCCCAAGGGTTT chr19 45767381 45767382 chr19:45767382:C:T rs10410349 C T C EBF1_EBF_1 -10 0 + 0 0 . chr19 45784423 45784424 chr19:45784424:C:G rs368991379 C G C EBF1_EBF_1 1 1 + 5.04880268057859 5.238574335147808 ACCCCCCAGAGGCC chr19 45820683 45820684 chr19:45820684:G:T rs4803859 G T G EBF1_EBF_1 -15 0 - 0 0 . chr19 45823794 45823795 chr19:45823795:C:T rs7251942 C T C EBF1_EBF_1 -16 0 + 0 0 . chr19 45825814 45825815 chr19:45825815:G:A rs76084739 G A G EBF1_EBF_1 23 0 - 0 0 . chr19 45972496 45972497 chr19:45972497:C:G rs55717480 C G C EBF1_EBF_1 21 0 - 0 0 . chr19 45972506 45972507 chr19:45972507:C:T rs547440571 C T C EBF1_EBF_1 11 1 - 6.67670837014932 9.56941521375333 ACCCCCAAGGGGGC chr19 46006320 46006321 chr19:46006321:A:G rs8102495 A G G EBF1_EBF_1 28 0 + 0 0 . chr19 46015036 46015037 chr19:46015037:C:T rs373672899 C T C EBF1_EBF_1 -3 0 + 0 0 . chr19 46015042 46015043 chr19:46015043:C:T rs76903008 C T C EBF1_EBF_1 3 1 + 6.483391072583141 0.07799233102982484 CTTCCCCAGGGTGT chr19 46018267 46018268 chr19:46018268:A:G rs2302788 A G A EBF1_EBF_1 33 0 + 0 0 . chr19 46222140 46222141 chr19:46222141:A:C rs73574624 A C a EBF1_EBF_1 21 0 + 0 0 . chr19 46232448 46232449 chr19:46232449:C:G rs56042963 C G - EBF1_EBF_1 0 1 - 6.698165769904343 6.335191155772577 GCTCCCTAAGGAAC chr19 46304260 46304261 chr19:46304261:C:A rs963729509 C A C EBF1_EBF_1 -2 0 - 0 0 . chr19 46305113 46305114 chr19:46305114:C:T rs4802306 C T C EBF1_EBF_1 -20 0 - 0 0 . chr19 46347151 46347152 chr19:46347152:C:G chr19:46347152:C:G C G C EBF1_EBF_1 17 0 + 0 0 . chr19 46370415 46370416 chr19:46370416:C:T rs73553107 C T C EBF1_EBF_1 28 0 - 0 0 . chr19 46370450 46370451 chr19:46370451:C:T rs12461394 C T C EBF1_EBF_1 -7 0 - 0 0 . chr19 46386989 46386990 chr19:46386990:G:C rs58701793 G C G EBF1_EBF_1 3 1 - 5.0180254645122195 -0.8097389836029971 GCCCCCATGGGCCT chr19 46393058 46393059 chr19:46393059:C:T rs73563028 C T C EBF1_EBF_1 6 1 - 6.954866771069522 9.121661202343997 AAACCCGAGGGAAC chr19 46405574 46405575 chr19:46405575:G:A rs1134501 G A t EBF1_EBF_1 -12 0 - 0 0 . chr19 46412743 46412744 chr19:46412744:C:T rs771970620 C T C EBF1_EBF_1 31 0 - 0 0 . chr19 46412767 46412768 chr19:46412768:G:A rs200189331 G A G EBF1_EBF_1 7 1 - 4.9886005886199225 6.806105334902546 CATCCCCCGGGAGG chr19 46412981 46412982 chr19:46412982:C:T rs117299352 C T C EBF1_EBF_1 19 0 + 0 0 . chr19 46503973 46503974 chr19:46503974:A:G rs10403174 A G A EBF1_EBF_1 28 0 + 0 0 . chr19 46508378 46508379 chr19:46508379:T:G rs62134756 T G T EBF1_EBF_1 27 0 - 0 0 . chr19 46513746 46513747 chr19:46513747:G:A rs182520098 G A G EBF1_EBF_1 27 0 - 0 0 . chr19 46528887 46528888 chr19:46528888:G:A rs11881557 G A G EBF1_EBF_1 27 0 + 0 0 . chr19 46532067 46532068 chr19:46532068:A:G rs4802315 A G G EBF1_EBF_1 26 0 - 0 0 . chr19 46534047 46534048 chr19:46534048:G:A rs10419555 G A G EBF1_EBF_1 0 1 - 4.998581897932069 5.280783958662647 CCTCCCCGGGGGTC chr19 46620525 46620526 chr19:46620526:A:G rs1126510 A G A EBF1_EBF_1 -13 0 - 0 0 . chr19 46620856 46620857 chr19:46620857:G:A rs144126196 G A G EBF1_EBF_1 -13 0 + 0 0 . chr19 46636212 46636213 chr19:46636213:G:A rs947122851 G A g EBF1_EBF_1 -14 0 + 0 0 . chr19 46660244 46660245 chr19:46660245:G:A rs368113532 G A G EBF1_EBF_1 -5 0 - 0 0 . chr19 46737959 46737960 chr19:46737960:G:A rs184341 G A A EBF1_EBF_1 -6 0 + 0 0 . chr19 46784790 46784791 chr19:46784791:C:T rs550585303 C T C EBF1_EBF_1 25 0 + 0 0 . chr19 46787393 46787394 chr19:46787394:G:T rs3826793 G T T EBF1_EBF_1 18 0 + 0 0 . chr19 46820924 46820925 chr19:46820925:C:T rs62136763 C T C EBF1_EBF_1 -7 0 - 0 0 . chr19 46850927 46850928 chr19:46850928:G:A rs781164000 G A G EBF1_EBF_1 -18 0 - 0 0 . chr19 46860990 46860991 chr19:46860991:C:T rs868156276 C T C EBF1_EBF_1 -5 0 + 0 0 . chr19 46863301 46863302 chr19:46863302:C:T rs75762246 C T C EBF1_EBF_1 4 1 + 9.485949207555482 5.116562262610559 TCTCCCCAGGGATC chr19 46890534 46890535 chr19:46890535:T:C rs3848534 T C T EBF1_EBF_1 -11 0 - 0 0 . chr19 46893008 46893009 chr19:46893009:G:A rs147258769 G A G EBF1_EBF_1 31 0 + 0 0 . chr19 46926916 46926917 chr19:46926917:A:G rs531616130 A G A EBF1_EBF_1 25 0 - 0 0 . chr19 46951409 46951410 chr19:46951410:C:G rs1015211549 C G C EBF1_EBF_1 0 1 - 6.419914543419849 6.056939929288082 GTCCCCAAGGGCAT chr19 46951807 46951808 chr19:46951808:G:A rs73057390 G A G EBF1_EBF_1 -1 0 + 0 0 . chr19 46978424 46978425 chr19:46978425:G:A rs73059314 G A G EBF1_EBF_1 32 0 + 0 0 . chr19 47036509 47036510 chr19:47036510:G:C rs976468453 G C G EBF1_EBF_1 5 1 - 4.656423096710942 -2.2484580559242273 GCCCCCCTGGGCCT chr19 47042684 47042685 chr19:47042685:T:C rs2303105 T C T EBF1_EBF_1 33 0 - 0 0 . chr19 47095315 47095316 chr19:47095316:C:T rs1363804126 C T C EBF1_EBF_1 14 0 + 0 0 . chr19 47111497 47111498 chr19:47111498:C:A rs188816372 C A C EBF1_EBF_1 17 0 + 0 0 . chr19 47125409 47125410 chr19:47125410:G:T rs116330830 G T G EBF1_EBF_1 -17 0 - 0 0 . chr19 47125481 47125482 chr19:47125482:A:G rs966848070 A G A EBF1_EBF_1 -4 0 - 0 0 . chr19 47394820 47394821 chr19:47394821:A:G rs10422396 A G a EBF1_EBF_1 -9 0 + 0 0 . chr19 47421020 47421021 chr19:47421021:G:A rs73065366 G A G EBF1_EBF_1 5 1 - 8.790530904666118 3.468743689125448 CTCCCCCTGGGAAC chr19 47421395 47421396 chr19:47421396:G:A rs576567098 G A G EBF1_EBF_1 0 1 + 7.260873384624442 9.153157254189274 GTCCCCCGGGGATC chr19 47421417 47421418 chr19:47421418:T:C rs142973777 T C T EBF1_EBF_1 22 0 + 0 0 . chr19 47426177 47426178 chr19:47426178:C:T rs138215772 C T C EBF1_EBF_1 30 0 - 0 0 . chr19 47429999 47430000 chr19:47430000:G:A rs73940834 G A G EBF1_EBF_1 -12 0 - 0 0 . chr19 47444724 47444725 chr19:47444725:A:G rs830140 A G G EBF1_EBF_1 16 0 + 0 0 . chr19 47447302 47447303 chr19:47447303:C:A rs118035787 C A C EBF1_EBF_1 -5 0 + 0 0 . chr19 47484571 47484572 chr19:47484572:G:C rs8105089 G C G EBF1_EBF_1 -2 0 - 0 0 . chr19 47608652 47608653 chr19:47608653:C:G rs1286181298 C G C EBF1_EBF_1 -3 0 + 0 0 . chr19 47608654 47608655 chr19:47608655:T:C chr19:47608655:T:C T C T EBF1_EBF_1 -1 0 + 0 0 . chr19 47608658 47608659 chr19:47608659:C:T rs987461257 C T C EBF1_EBF_1 3 1 + 5.388308694771896 -1.0170900467814201 GAACCCCCGGGACT chr19 47618788 47618789 chr19:47618789:T:A rs2913994 T A T EBF1_EBF_1 -2 0 - 0 0 . chr19 47670958 47670959 chr19:47670959:A:G rs10420569 A G G EBF1_EBF_1 -1 0 + 0 0 . chr19 47680513 47680514 chr19:47680514:C:T rs1035938 C T C EBF1_EBF_1 31 0 + 0 0 . chr19 47698426 47698427 chr19:47698427:A:G rs113913996 A G A EBF1_EBF_1 33 0 - 0 0 . chr19 47729347 47729348 chr19:47729348:C:G rs55873772 C G C EBF1_EBF_1 5 1 + 6.617493262106244 -0.28738789052892416 TGTCCCTAGGGCAC chr19 47743166 47743167 chr19:47743167:G:A rs778545963 G A G EBF1_EBF_1 -7 0 + 0 0 . chr19 47766689 47766690 chr19:47766690:G:A rs12608475 G A G EBF1_EBF_1 11 1 + 8.778595012121384 11.671301855725394 AACCCCTAGGGGCT chr19 47778485 47778486 chr19:47778486:G:T rs113413439 G T G EBF1_EBF_1 -9 0 + 0 0 . chr19 47778895 47778896 chr19:47778896:A:G rs542582049 A G A EBF1_EBF_1 16 0 - 0 0 . chr19 47778914 47778915 chr19:47778915:T:C rs1862485 T C C EBF1_EBF_1 -3 0 - 0 0 . chr19 47798930 47798931 chr19:47798931:G:A rs10403636 G A G EBF1_EBF_1 -6 0 + 0 0 . chr19 47811447 47811448 chr19:47811448:C:T rs11880887 C T C EBF1_EBF_1 3 1 + 9.54273903473937 3.137340293186051 TTTCCCCTGGGAGC chr19 47819766 47819767 chr19:47819767:G:T rs76979598 G T G EBF1_EBF_1 9 1 + 7.506854320684622 0.6698649125958123 ATTCCCCAGGGCAG chr19 47860942 47860943 chr19:47860943:C:T rs142440592 C T C EBF1_EBF_1 0 1 - 7.175348119779199 9.067631989344033 GACCCCCAGGGAAG chr19 48096137 48096138 chr19:48096138:A:G rs1864105 A G G EBF1_EBF_1 32 0 + 0 0 . chr19 48107600 48107601 chr19:48107601:G:A rs767483243 G A G EBF1_EBF_1 28 0 - 0 0 . chr19 48107622 48107623 chr19:48107623:G:A rs417931 G A G EBF1_EBF_1 6 1 - 7.580601290178874 7.881887419040632 CTCCCCCGGGGAAA chr19 48137367 48137368 chr19:48137368:A:C rs419664 A C C EBF1_EBF_1 11 1 + 7.600935416133205 3.1849737182808155 ACTCCCCTAGGATT chr19 48143625 48143626 chr19:48143626:T:C rs2288878 T C C EBF1_EBF_1 -18 0 - 0 0 . chr19 48260176 48260177 chr19:48260177:A:G rs757388590 A G A EBF1_EBF_1 15 0 + 0 0 . chr19 48260177 48260178 chr19:48260178:G:C rs146660430 G C G EBF1_EBF_1 16 0 + 0 0 . chr19 48271425 48271426 chr19:48271426:G:A rs55976836 G A G EBF1_EBF_1 25 0 - 0 0 . chr19 48274940 48274941 chr19:48274941:G:A rs6509371 G A G EBF1_EBF_1 -15 0 - 0 0 . chr19 48274945 48274946 chr19:48274946:C:T rs73045505 C T C EBF1_EBF_1 -20 0 - 0 0 . chr19 48279985 48279986 chr19:48279986:C:T rs1504520 C T C EBF1_EBF_1 5 1 + 8.535044456438618 3.2132572408979483 ATTCTCCTGGGACC chr19 48297656 48297657 chr19:48297657:G:A rs35461177 G A G EBF1_EBF_1 20 0 - 0 0 . chr19 48303568 48303569 chr19:48303569:C:T rs45465703 C T C EBF1_EBF_1 11 1 + 6.6987146247679 7.016762066521564 GTTCCCCAGGGCCA chr19 48330242 48330243 chr19:48330243:A:G rs779270939 A G A EBF1_EBF_1 13 1 + 7.189481027942266 6.075155455872827 GCCCCCATGGGATA chr19 48363363 48363364 chr19:48363364:C:T chr19:48363364:C:T C T C EBF1_EBF_1 17 0 - 0 0 . chr19 48363869 48363870 chr19:48363870:C:T chr19:48363870:C:T C T C EBF1_EBF_1 -20 0 - 0 0 . chr19 48365219 48365220 chr19:48365220:C:T rs75749710 C T C EBF1_EBF_1 -3 0 + 0 0 . chr19 48414648 48414649 chr19:48414649:G:C rs150044973 G C G EBF1_EBF_1 -15 0 + 0 0 . chr19 48473239 48473240 chr19:48473240:T:C rs56297411 T C C EBF1_EBF_1 20 0 + 0 0 . chr19 48490582 48490583 chr19:48490583:G:A rs8108419 G A G EBF1_EBF_1 14 0 + 0 0 . chr19 48522035 48522036 chr19:48522036:A:G rs12611261 A G G EBF1_EBF_1 -15 0 + 0 0 . chr19 48599255 48599256 chr19:48599256:C:T rs1052131 C T C EBF1_EBF_1 30 0 + 0 0 . chr19 48612818 48612819 chr19:48612819:C:G rs2617801 C G G EBF1_EBF_1 -8 0 + 0 0 . chr19 48614946 48614947 chr19:48614947:C:T rs1286752124 C T C EBF1_EBF_1 9 1 - 6.031607495529834 3.376668230082231 CACCCCCTGGGAGA chr19 48645430 48645431 chr19:48645431:C:T chr19:48645431:C:T C T C EBF1_EBF_1 -4 0 - 0 0 . chr19 48645431 48645432 chr19:48645432:T:C rs1427397909 T C T EBF1_EBF_1 -5 0 - 0 0 . chr19 48673956 48673957 chr19:48673957:C:T rs75311338 C T C EBF1_EBF_1 -2 0 - 0 0 . chr19 48714003 48714004 chr19:48714004:A:G rs281385 A G A EBF1_EBF_1 -8 0 - 0 0 . chr19 48716589 48716590 chr19:48716590:G:A chr19:48716590:G:A G A G EBF1_EBF_1 6 1 - 9.309066850650135 9.610352979511893 CATCCCCTGGGACA chr19 48719584 48719585 chr19:48719585:A:C rs183262653 A C A EBF1_EBF_1 22 0 - 0 0 . chr19 48720947 48720948 chr19:48720948:C:A rs139276826 C A C EBF1_EBF_1 7 1 - 6.223584146558964 7.059768311760232 TCCCCCTGGGGAGC chr19 48782499 48782500 chr19:48782500:C:A rs73059507 C A C EBF1_EBF_1 10 1 - 6.673781839094357 2.4910296528670925 AATCCCAGGGGCCA chr19 48814966 48814967 chr19:48814967:T:C rs45580433 T C T EBF1_EBF_1 32 0 - 0 0 . chr19 48837403 48837404 chr19:48837404:C:A rs35965411 C A C EBF1_EBF_1 -11 0 - 0 0 . chr19 48866731 48866732 chr19:48866732:G:A rs56021835 G A G EBF1_EBF_1 19 0 - 0 0 . chr19 48869097 48869098 chr19:48869098:G:A rs73061656 G A G EBF1_EBF_1 17 0 + 0 0 . chr19 48872670 48872671 chr19:48872671:G:T chr19:48872671:G:T G T G EBF1_EBF_1 19 0 - 0 0 . chr19 48872694 48872695 chr19:48872695:G:A rs570651435 G A A EBF1_EBF_1 -5 0 - 0 0 . chr19 48896418 48896419 chr19:48896419:T:C rs73061692 T C C EBF1_EBF_1 12 1 + 4.611988546347962 5.6382551168988115 CGCCCCCAGGGGTC chr19 48898379 48898380 chr19:48898380:G:A rs2270943 G A G EBF1_EBF_1 -11 0 - 0 0 . chr19 48918645 48918646 chr19:48918646:A:G rs2287835 A G A EBF1_EBF_1 -12 0 + 0 0 . chr19 48918708 48918709 chr19:48918709:T:C rs2287836 T C T EBF1_EBF_1 20 0 + 0 0 . chr19 48935175 48935176 chr19:48935176:C:G rs4987162 C G G EBF1_EBF_1 6 1 + 6.574508388181714 4.769316324708517 TGCCCCCTGGGAGA chr19 48954751 48954752 chr19:48954752:A:C rs993582884 A C A EBF1_EBF_1 -2 0 + 0 0 . chr19 48955312 48955313 chr19:48955313:T:C rs1009316 T C T EBF1_EBF_1 33 0 - 0 0 . chr19 48976285 48976286 chr19:48976286:C:A rs112021064 C A A EBF1_EBF_1 19 0 + 0 0 . chr19 48982500 48982501 chr19:48982501:C:T rs2270937 C T C EBF1_EBF_1 16 0 - 0 0 . chr19 48998080 48998081 chr19:48998081:G:A rs79391930 G A A EBF1_EBF_1 5 1 - 9.813132185033774 4.491344969493105 ATTCCCGAGGGAGA chr19 48998171 48998172 chr19:48998172:C:G rs115986262 C G G EBF1_EBF_1 -18 0 + 0 0 . chr19 48998184 48998185 chr19:48998185:A:C chr19:48998185:A:C A C A EBF1_EBF_1 -5 0 + 0 0 . chr19 49036945 49036946 chr19:49036946:T:C rs117204966 T C t EBF1_EBF_1 -9 0 - 0 0 . chr19 49067674 49067675 chr19:49067675:G:A rs45483292 G A G EBF1_EBF_1 12 1 - 7.809280589071538 6.783014018520689 TGTCCCCAGGGGCC chr19 49117374 49117375 chr19:49117375:C:T rs7252599 C T C EBF1_EBF_1 0 1 - 6.420103422336388 8.312387291901222 GCACCCATGGGACA chr19 49119823 49119824 chr19:49119824:G:C rs569849489 G C G EBF1_EBF_1 8 1 + 9.208230502536122 3.889571641621435 CATCCCAGGGGACC chr19 49136744 49136745 chr19:49136745:G:T rs2303053 G T G EBF1_EBF_1 -20 0 + 0 0 . chr19 49146314 49146315 chr19:49146315:G:A rs141295013 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 49158376 49158377 chr19:49158377:G:T chr19:49158377:G:T G T G EBF1_EBF_1 8 1 + 6.5269459986307545 -0.3644867903464395 CTTCCCAAGGGCGT chr19 49232009 49232010 chr19:49232010:C:A rs12610109 C A C EBF1_EBF_1 10 1 - 7.913394505889315 3.730642319662051 GTCCCCCGGGGACA chr19 49232013 49232014 chr19:49232014:G:A rs58106112 G A G EBF1_EBF_1 6 1 - 7.913394505889315 8.214680634751073 GTCCCCCGGGGACA chr19 49256236 49256237 chr19:49256237:C:T rs352823 C T C EBF1_EBF_1 -2 0 - 0 0 . chr19 49301373 49301374 chr19:49301374:T:A rs354011 T A A EBF1_EBF_1 -15 0 - 0 0 . chr19 49334109 49334110 chr19:49334110:C:T rs537626956 C T C EBF1_EBF_1 27 0 + 0 0 . chr19 49340501 49340502 chr19:49340502:G:A rs80061951 G A G EBF1_EBF_1 15 0 + 0 0 . chr19 49363229 49363230 chr19:49363230:G:T rs7250685 G T T EBF1_EBF_1 29 0 - 0 0 . chr19 49365701 49365702 chr19:49365702:G:T rs2303758 G T T EBF1_EBF_1 24 0 + 0 0 . chr19 49442830 49442831 chr19:49442831:G:T chr19:49442831:G:T G T G EBF1_EBF_1 0 1 + 7.752998131797459 7.67222557839627 GGCCCCATGGGATC chr19 49442985 49442986 chr19:49442986:C:T rs77318442 C T C EBF1_EBF_1 -4 0 + 0 0 . chr19 49443015 49443016 chr19:49443016:A:C rs1205623700 A C A EBF1_EBF_1 26 0 + 0 0 . chr19 49443441 49443442 chr19:49443442:C:T rs78916465 C T C EBF1_EBF_1 -10 0 + 0 0 . chr19 49451758 49451759 chr19:49451759:G:T rs2293011 G T T EBF1_EBF_1 6 1 - 7.880274324591083 8.24187669239236 ATTCCCCACGGATT chr19 49454645 49454646 chr19:49454646:G:A rs2946870 G A G EBF1_EBF_1 32 0 + 0 0 . chr19 49465523 49465524 chr19:49465524:A:G rs2914667 A G A EBF1_EBF_1 29 0 + 0 0 . chr19 49474339 49474340 chr19:49474340:T:C rs540199440 T C T EBF1_EBF_1 33 0 + 0 0 . chr19 49487452 49487453 chr19:49487453:C:T rs146771837 C T C EBF1_EBF_1 32 0 - 0 0 . chr19 49487503 49487504 chr19:49487504:C:T rs555354619 C T C EBF1_EBF_1 -19 0 - 0 0 . chr19 49496085 49496086 chr19:49496086:C:G chr19:49496086:C:G C G C EBF1_EBF_1 -19 0 + 0 0 . chr19 49499919 49499920 chr19:49499920:G:A rs74529756 G A G EBF1_EBF_1 22 0 - 0 0 . chr19 49499923 49499924 chr19:49499924:C:A rs141437227 C A C EBF1_EBF_1 18 0 - 0 0 . chr19 49499928 49499929 chr19:49499929:G:A rs956746772 G A G EBF1_EBF_1 13 1 - 7.575074451928151 8.41956856651367 CCTCCCCAGAGACC chr19 49528486 49528487 chr19:49528487:A:G rs200270685 A G A EBF1_EBF_1 29 0 + 0 0 . chr19 49553999 49554000 chr19:49554000:G:A chr19:49554000:G:A G A G EBF1_EBF_1 -10 0 - 0 0 . chr19 49581114 49581115 chr19:49581115:C:A rs3760706 C A C EBF1_EBF_1 -11 0 + 0 0 . chr19 49581137 49581138 chr19:49581138:G:A chr19:49581138:G:A G A G EBF1_EBF_1 12 1 + 9.77203477062068 11.190852112720156 ACTCCCAGGGGAGA chr19 49581196 49581197 chr19:49581197:T:C rs3760707 T C C EBF1_EBF_1 12 1 + 6.282863473250836 7.3091300438016855 ATCCCCCAGGTATC chr19 49642642 49642643 chr19:49642643:C:T rs189349094 C T C EBF1_EBF_1 10 1 - 5.977728501133922 0.6597000418669556 TCACCCCTGGGACA chr19 49642840 49642841 chr19:49642841:G:C rs114728563 G C G EBF1_EBF_1 2 1 - 6.616392131209799 1.7568898524514818 ACCCCCTAGGGGGC chr19 49659651 49659652 chr19:49659652:C:G rs7251 C G G EBF1_EBF_1 -1 0 + 0 0 . chr19 49677229 49677230 chr19:49677230:A:C rs376902226 A C A EBF1_EBF_1 -2 0 - 0 0 . chr19 49677813 49677814 chr19:49677814:C:G rs535166082 C G C EBF1_EBF_1 -3 0 - 0 0 . chr19 49683853 49683854 chr19:49683854:C:G rs3816047 C G C EBF1_EBF_1 15 0 - 0 0 . chr19 49694787 49694788 chr19:49694788:C:T rs80136171 C T c EBF1_EBF_1 17 0 - 0 0 . chr19 49746404 49746405 chr19:49746405:C:T rs886196170 C T C EBF1_EBF_1 31 0 + 0 0 . chr19 49764730 49764731 chr19:49764731:C:A rs1273636 C A c EBF1_EBF_1 4 1 + 8.678907207790122 2.8543475843366526 TATCCCCAGGGAGA chr19 49800355 49800356 chr19:49800356:G:C rs62129183 G C G EBF1_EBF_1 9 1 + 9.133757670796028 4.058068524450564 AGTCCCCAGGGCAT chr19 49801134 49801135 chr19:49801135:T:C rs10421561 T C T EBF1_EBF_1 13 1 + 7.287606051028194 6.443111936442676 ATCCCCAGGGGTCT chr19 49802636 49802637 chr19:49802637:G:C rs145828233 G C G EBF1_EBF_1 24 0 - 0 0 . chr19 49857565 49857566 chr19:49857566:A:G rs2278838 A G G EBF1_EBF_1 11 1 + 11.856641646404226 8.963934802800216 GTTCCCCTGGGACT chr19 49858490 49858491 chr19:49858491:G:A rs187077140 G A G EBF1_EBF_1 -12 0 - 0 0 . chr19 49858905 49858906 chr19:49858906:G:A rs1005004124 G A G EBF1_EBF_1 -7 0 + 0 0 . chr19 49858943 49858944 chr19:49858944:T:A rs7257463 T A A EBF1_EBF_1 31 0 + 0 0 . chr19 49867650 49867651 chr19:49867651:G:C rs563006268 G C G EBF1_EBF_1 1 1 + 5.640516433121381 5.450744778552164 GGCCCCCAGGGTCT chr19 49867666 49867667 chr19:49867667:G:C rs184460530 G C G EBF1_EBF_1 17 0 + 0 0 . chr19 49878017 49878018 chr19:49878018:C:A rs149823478 C A C EBF1_EBF_1 10 1 - 5.259162206985703 1.076410020758438 ATTGCCCCGGGACC chr19 49878023 49878024 chr19:49878024:G:A rs563628961 G A G EBF1_EBF_1 4 1 - 5.259162206985703 0.8897752620407791 ATTGCCCCGGGACC chr19 49878026 49878027 chr19:49878027:A:G rs937648015 A G A EBF1_EBF_1 1 1 - 5.259162206985703 4.3637287665869575 ATTGCCCCGGGACC chr19 49929169 49929170 chr19:49929170:A:G rs1152232 A G G EBF1_EBF_1 -17 0 + 0 0 . chr19 49929213 49929214 chr19:49929214:C:T rs376834272 C T C EBF1_EBF_1 27 0 + 0 0 . chr19 49929474 49929475 chr19:49929475:T:G rs997368944 T G T EBF1_EBF_1 7 1 - 5.154220521226966 2.860441534856784 ACCCCCCAGGGCTC chr19 49929480 49929481 chr19:49929481:G:A rs143963272 G A G EBF1_EBF_1 1 1 - 5.154220521226966 6.04965396162571 ACCCCCCAGGGCTC chr19 49929496 49929497 chr19:49929497:G:C rs1015941105 G C G EBF1_EBF_1 -15 0 - 0 0 . chr19 49930454 49930455 chr19:49930455:A:G rs1426911103 A G A EBF1_EBF_1 19 0 + 0 0 . chr19 49931695 49931696 chr19:49931696:G:T chr19:49931696:G:T G T G EBF1_EBF_1 13 1 + 7.704517358340667 10.0370824942816 ACACCCAAGGGAAG chr19 49950699 49950700 chr19:49950700:C:T rs407997 C T T EBF1_EBF_1 27 0 + 0 0 . chr19 49982196 49982197 chr19:49982197:A:G rs77791107 A G A EBF1_EBF_1 22 0 + 0 0 . chr19 49988475 49988476 chr19:49988476:G:A rs73932268 G A G EBF1_EBF_1 25 0 - 0 0 . chr19 49988477 49988478 chr19:49988478:T:C rs56407496 T C T EBF1_EBF_1 23 0 - 0 0 . chr19 50090903 50090904 chr19:50090904:A:T rs183834443 A T A EBF1_EBF_1 11 1 + 12.301298406355595 8.20338415025687 AGTCCCCAGGGACA chr19 50090920 50090921 chr19:50090921:T:A rs144541507 T A T EBF1_EBF_1 28 0 + 0 0 . chr19 50203361 50203362 chr19:50203362:G:T rs143246593 G T G EBF1_EBF_1 27 0 + 0 0 . chr19 50203539 50203540 chr19:50203540:C:T chr19:50203540:C:T C T C EBF1_EBF_1 5 1 + 5.218820495504691 -0.10296672003598006 GTCCCCCAGGGGCG chr19 50203549 50203550 chr19:50203550:G:A rs117666616 G A G EBF1_EBF_1 15 0 + 0 0 . chr19 50203561 50203562 chr19:50203562:C:G chr19:50203562:C:G C G C EBF1_EBF_1 27 0 + 0 0 . chr19 50207972 50207973 chr19:50207973:C:G rs660515 C G G EBF1_EBF_1 27 0 - 0 0 . chr19 50322387 50322388 chr19:50322388:C:G rs8102164 C G C EBF1_EBF_1 -1 0 - 0 0 . chr19 50357370 50357371 chr19:50357371:C:A rs11666364 C A C EBF1_EBF_1 -9 0 + 0 0 . chr19 50357406 50357407 chr19:50357407:A:T rs75974495 A T A EBF1_EBF_1 27 0 + 0 0 . chr19 50368881 50368882 chr19:50368882:C:T rs142349220 C T C EBF1_EBF_1 -18 0 + 0 0 . chr19 50378911 50378912 chr19:50378912:A:G rs2248949 A G G EBF1_EBF_1 0 1 + 6.005332030252072 4.11304816068724 ATCCCCCAGGGTGC chr19 50378914 50378915 chr19:50378915:C:T rs41432149 C T C EBF1_EBF_1 3 1 + 6.005332030252072 -0.4000667113012441 ATCCCCCAGGGTGC chr19 50382756 50382757 chr19:50382757:G:A rs73932493 G A G EBF1_EBF_1 33 0 + 0 0 . chr19 50418014 50418015 chr19:50418015:T:C rs2445837 T C C EBF1_EBF_1 26 0 - 0 0 . chr19 50432718 50432719 chr19:50432719:C:T rs2445843 C T C EBF1_EBF_1 -9 0 + 0 0 . chr19 50439749 50439750 chr19:50439750:G:C rs67427002 G C G EBF1_EBF_1 9 1 + 7.034389031265435 1.9586998849199713 ATTGCCTTGGGAAA chr19 50484589 50484590 chr19:50484590:C:G rs1673020 C G G EBF1_EBF_1 22 0 - 0 0 . chr19 50506062 50506063 chr19:50506063:C:T rs7719 C T C EBF1_EBF_1 -5 0 + 0 0 . chr19 50510733 50510734 chr19:50510734:C:G rs1274623 C G C EBF1_EBF_1 -18 0 + 0 0 . chr19 50510752 50510753 chr19:50510753:G:A rs189313579 G A G EBF1_EBF_1 1 1 + 6.56850071300079 6.307801881079489 CGTCCCTAGGTAAC chr19 50529882 50529883 chr19:50529883:C:G rs73591019 C G C EBF1_EBF_1 24 0 + 0 0 . chr19 50569860 50569861 chr19:50569861:G:A rs4802716 G A G EBF1_EBF_1 -12 0 + 0 0 . chr19 50606786 50606787 chr19:50606787:C:G rs11879676 C G G EBF1_EBF_1 -12 0 - 0 0 . chr19 50659095 50659096 chr19:50659096:G:A rs1215173706 G A g EBF1_EBF_1 25 0 - 0 0 . chr19 50659109 50659110 chr19:50659110:G:A rs377132289 G A g EBF1_EBF_1 11 1 - 4.959494377235951 5.277541818989616 CGCCCCCAGGGCCT chr19 50679463 50679464 chr19:50679464:A:G rs73598617 A G A EBF1_EBF_1 -9 0 + 0 0 . chr19 50679489 50679490 chr19:50679490:T:G rs73042598 T G T EBF1_EBF_1 17 0 + 0 0 . chr19 50752519 50752520 chr19:50752520:G:C rs79138668 G C C EBF1_EBF_1 -13 0 + 0 0 . chr19 50763660 50763661 chr19:50763661:T:C rs3859448 T C - EBF1_EBF_1 -14 0 + 0 0 . chr19 50794567 50794568 chr19:50794568:G:A rs55716643 G A g EBF1_EBF_1 -4 0 - 0 0 . chr19 50794568 50794569 chr19:50794569:C:A rs55735528 C A c EBF1_EBF_1 -5 0 - 0 0 . chr19 50799458 50799459 chr19:50799459:G:A rs3810284 G A g EBF1_EBF_1 23 0 - 0 0 . chr19 50804905 50804906 chr19:50804906:G:C rs180684879 G C G EBF1_EBF_1 -12 0 + 0 0 . chr19 50804924 50804925 chr19:50804925:T:G rs55859860 T G T EBF1_EBF_1 7 1 + 5.877683745583062 5.041499580381795 AATCCGCTGGGACT chr19 50817625 50817626 chr19:50817626:G:A rs3212849 G A G EBF1_EBF_1 31 0 - 0 0 . chr19 50823806 50823807 chr19:50823807:G:C rs36217621 G C C EBF1_EBF_1 0 1 - 7.353306752342189 7.7162813664739565 CCTCCCCAGGGAGG chr19 50835936 50835937 chr19:50835937:T:C rs184048029 T C T EBF1_EBF_1 -17 0 - 0 0 . chr19 50897187 50897188 chr19:50897188:C:A rs4473299 C A C EBF1_EBF_1 8 1 - 6.493701829078306 -0.3977309598988882 CCTCCCAAGGGTCC chr19 50930500 50930501 chr19:50930501:T:C rs61610129 T C t EBF1_EBF_1 16 0 - 0 0 . chr19 50976145 50976146 chr19:50976146:C:T rs11084043 C T C EBF1_EBF_1 5 1 + 8.314982486069448 2.993195270528778 ACCCCCGTGGGACC chr19 50979585 50979586 chr19:50979586:T:C rs1654525 T C C EBF1_EBF_1 -1 0 + 0 0 . chr19 50979603 50979604 chr19:50979604:T:C rs1701923 T C C EBF1_EBF_1 17 0 + 0 0 . chr19 50979712 50979713 chr19:50979713:C:T rs1701924 C T C EBF1_EBF_1 25 0 - 0 0 . chr19 51000818 51000819 chr19:51000819:A:T rs1701947 A T T EBF1_EBF_1 16 0 - 0 0 . chr19 51002747 51002748 chr19:51002748:G:C rs73936110 G C G EBF1_EBF_1 14 0 - 0 0 . chr19 51076903 51076904 chr19:51076904:C:T rs16988872 C T C EBF1_EBF_1 17 0 + 0 0 . chr19 51079736 51079737 chr19:51079737:G:T rs11671800 G T G EBF1_EBF_1 25 0 + 0 0 . chr19 51091285 51091286 chr19:51091286:T:C rs7343094 T C T EBF1_EBF_1 7 1 + 7.642863857179561 5.8253591108969385 AGCCCCATGAGACA chr19 51109004 51109005 chr19:51109005:T:C rs17728475 T C T EBF1_EBF_1 6 1 + 7.00514300595481 6.7038568770930524 AGACCCTTGAGACT chr19 51139466 51139467 chr19:51139467:G:A rs139546888 G A N EBF1_EBF_1 -10 0 + 0 0 . chr19 51174133 51174134 chr19:51174134:G:A rs76206169 G A A EBF1_EBF_1 -5 0 + 0 0 . chr19 51250874 51250875 chr19:51250875:G:T rs17801843 G T G EBF1_EBF_1 20 0 + 0 0 . chr19 51294702 51294703 chr19:51294703:C:T rs191479047 C T C EBF1_EBF_1 -5 0 - 0 0 . chr19 51320314 51320315 chr19:51320315:C:T rs116517690 C T C EBF1_EBF_1 6 1 - 4.951660034457943 7.118454465732417 ACTCCCGGGGGCCC chr19 51347035 51347036 chr19:51347036:G:A rs1130426 G A G EBF1_EBF_1 31 0 - 0 0 . chr19 51347172 51347173 chr19:51347173:G:A rs74903528 G A G EBF1_EBF_1 24 0 - 0 0 . chr19 51351226 51351227 chr19:51351227:C:T rs140230420 C T C EBF1_EBF_1 28 0 - 0 0 . chr19 51361345 51361346 chr19:51361346:T:C rs4802790 T C C EBF1_EBF_1 -1 0 - 0 0 . chr19 51367278 51367279 chr19:51367279:G:T rs190229451 G T G EBF1_EBF_1 11 1 - 6.946623752500357 11.362585450352745 AGTCCCCGGGGCCC chr19 51368658 51368659 chr19:51368659:C:T rs73934365 C T C EBF1_EBF_1 -15 0 + 0 0 . chr19 51368659 51368660 chr19:51368660:G:A rs367589080 G A G EBF1_EBF_1 -14 0 + 0 0 . chr19 51385657 51385658 chr19:51385658:G:A rs34211783 G A G EBF1_EBF_1 -11 0 - 0 0 . chr19 51391294 51391295 chr19:51391295:T:C rs11673263 T C C EBF1_EBF_1 6 1 + 6.912707009147636 6.611420880285878 ACTCCCTAGGGCAG chr19 51421294 51421295 chr19:51421295:G:A rs12981259 G A G EBF1_EBF_1 18 0 + 0 0 . chr19 51422089 51422090 chr19:51422090:C:A rs58653451 C A c EBF1_EBF_1 -3 0 + 0 0 . chr19 51422096 51422097 chr19:51422097:C:A rs60538328 C A C EBF1_EBF_1 4 1 + 4.24961917322066 -1.5749404502328084 CGCCCCCAGGGGGC chr19 51422172 51422173 chr19:51422173:T:C rs10405072 T C T EBF1_EBF_1 -12 0 + 0 0 . chr19 51422187 51422188 chr19:51422188:C:G rs111617666 C G C EBF1_EBF_1 3 1 + 10.984772097730124 5.157007649614909 GCTCCCAAGGGAAC chr19 51479383 51479384 chr19:51479384:A:T rs16982732 A T A EBF1_EBF_1 23 0 - 0 0 . chr19 51480418 51480419 chr19:51480419:G:A rs61743859 G A C EBF1_EBF_1 32 0 + 0 0 . chr19 51508343 51508344 chr19:51508344:C:A rs13343377 C A A EBF1_EBF_1 -19 0 - 0 0 . chr19 51523546 51523547 chr19:51523547:G:T rs10409713 G T G EBF1_EBF_1 18 0 - 0 0 . chr19 51567079 51567080 chr19:51567080:T:C rs116503272 T C t EBF1_EBF_1 2 1 + 4.916141170109197 3.0273177586670466 CGTCCCACGGGCCT chr19 51567107 51567108 chr19:51567108:C:T rs145104546 C T c EBF1_EBF_1 30 0 + 0 0 . chr19 51616176 51616177 chr19:51616177:G:A rs4802829 G A a EBF1_EBF_1 14 0 + 0 0 . chr19 51657078 51657079 chr19:51657079:G:A chr19:51657079:G:A G A G EBF1_EBF_1 -11 0 + 0 0 . chr19 51690610 51690611 chr19:51690611:G:A rs882105 G A G EBF1_EBF_1 29 0 + 0 0 . chr19 51714151 51714152 chr19:51714152:G:A rs75977318 G A G EBF1_EBF_1 14 0 + 0 0 . chr19 51724662 51724663 chr19:51724663:C:T rs11672222 C T T EBF1_EBF_1 29 0 + 0 0 . chr19 51748967 51748968 chr19:51748968:G:A rs57071021 G A . EBF1_EBF_1 12 1 - 7.253358013535286 6.227091442984436 CCTCCCAAGGTACT chr19 51960950 51960951 chr19:51960951:T:C rs7250208 T C T EBF1_EBF_1 -3 0 + 0 0 . chr19 51960979 51960980 chr19:51960980:A:G rs113082012 A G A EBF1_EBF_1 26 0 + 0 0 . chr19 51981395 51981396 chr19:51981396:G:A rs11669564 G A G EBF1_EBF_1 -18 0 + 0 0 . chr19 52013106 52013107 chr19:52013107:C:T rs11671702 C T C EBF1_EBF_1 15 0 + 0 0 . chr19 52048521 52048522 chr19:52048522:T:C rs73934736 T C T EBF1_EBF_1 -13 0 + 0 0 . chr19 52190499 52190500 chr19:52190500:C:T rs147863370 C T C EBF1_EBF_1 28 0 - 0 0 . chr19 52190510 52190511 chr19:52190511:G:A rs116256439 G A G EBF1_EBF_1 17 0 - 0 0 . chr19 52201707 52201708 chr19:52201708:T:C rs10420138 T C C EBF1_EBF_1 -5 0 + 0 0 . chr19 52221187 52221188 chr19:52221188:G:A rs189887114 G A G EBF1_EBF_1 28 0 + 0 0 . chr19 52230787 52230788 chr19:52230788:A:G rs16983603 A G A EBF1_EBF_1 33 0 - 0 0 . chr19 52343968 52343969 chr19:52343969:C:A rs190297055 C A C EBF1_EBF_1 22 0 - 0 0 . chr19 52485793 52485794 chr19:52485794:T:C rs8111995 T C T EBF1_EBF_1 25 0 - 0 0 . chr19 52493369 52493370 chr19:52493370:C:T rs116040125 C T C EBF1_EBF_1 33 0 - 0 0 . chr19 52503151 52503152 chr19:52503152:G:A rs10418371 G A A EBF1_EBF_1 8 1 + 6.254307285826599 2.028017196940336 ACTCCCCAGGTGCT chr19 52535574 52535575 chr19:52535575:C:T rs183829456 C T c EBF1_EBF_1 29 0 - 0 0 . chr19 52535607 52535608 chr19:52535608:C:T rs62117199 C T c EBF1_EBF_1 -4 0 - 0 0 . chr19 52536107 52536108 chr19:52536108:T:A rs656541 T A t EBF1_EBF_1 -10 0 + 0 0 . chr19 52541451 52541452 chr19:52541452:T:C rs2608514 T C N EBF1_EBF_1 22 0 + 0 0 . chr19 52600903 52600904 chr19:52600904:C:T chr19:52600904:C:T C T - EBF1_EBF_1 -17 0 - 0 0 . chr19 52601962 52601963 chr19:52601963:C:T rs116940665 C T c EBF1_EBF_1 7 1 + 5.784871753231076 7.602376499513698 GCTCCCCCGGGATG chr19 52669289 52669290 chr19:52669290:G:A rs4801927 G A g EBF1_EBF_1 12 1 - 10.248648049362165 9.222381478811316 TTCCCCATGGGACT chr19 52669291 52669292 chr19:52669292:C:T rs4801928 C T c EBF1_EBF_1 10 1 - 10.248648049362165 4.9306195900952 TTCCCCATGGGACT chr19 52734416 52734417 chr19:52734417:T:G rs707303 T G N EBF1_EBF_1 31 0 - 0 0 . chr19 52787142 52787143 chr19:52787143:C:T rs1974833 C T - EBF1_EBF_1 -18 0 + 0 0 . chr19 52787176 52787177 chr19:52787177:G:C rs755882885 G C - EBF1_EBF_1 16 0 + 0 0 . chr19 52923245 52923246 chr19:52923246:C:T rs142566107 C T c EBF1_EBF_1 -6 0 - 0 0 . chr19 52942588 52942589 chr19:52942589:G:A rs115812635 G A g EBF1_EBF_1 19 0 - 0 0 . chr19 52942618 52942619 chr19:52942619:C:G rs558657703 C G c EBF1_EBF_1 -11 0 - 0 0 . chr19 52942624 52942625 chr19:52942625:G:T rs28634949 G T g EBF1_EBF_1 -17 0 - 0 0 . chr19 52963418 52963419 chr19:52963419:G:A rs12327883 G A g EBF1_EBF_1 16 0 + 0 0 . chr19 52993406 52993407 chr19:52993407:C:A rs11883147 C A c EBF1_EBF_1 -4 0 + 0 0 . chr19 53012230 53012231 chr19:53012231:C:T rs1363994661 C T C EBF1_EBF_1 16 0 + 0 0 . chr19 53049871 53049872 chr19:53049872:C:T rs3844441 C T C EBF1_EBF_1 32 0 - 0 0 . chr19 53103532 53103533 chr19:53103533:C:G rs7247568 C G G EBF1_EBF_1 20 0 - 0 0 . chr19 53127246 53127247 chr19:53127247:T:C rs62115494 T C T EBF1_EBF_1 -16 0 + 0 0 . chr19 53133352 53133353 chr19:53133353:T:C rs28370368 T C T EBF1_EBF_1 27 0 - 0 0 . chr19 53155642 53155643 chr19:53155643:G:A rs566114174 G A G EBF1_EBF_1 -20 0 + 0 0 . chr19 53189795 53189796 chr19:53189796:A:G rs9630864 A G G EBF1_EBF_1 31 0 + 0 0 . chr19 53224028 53224029 chr19:53224029:A:G rs11084227 A G A EBF1_EBF_1 -17 0 - 0 0 . chr19 53297128 53297129 chr19:53297129:A:G rs11084236 A G a EBF1_EBF_1 -4 0 - 0 0 . chr19 53333340 53333341 chr19:53333341:A:G rs7343123 A G N EBF1_EBF_1 0 1 - 8.137467764099963 7.855265703369385 TTTCCCTAGGGCCT chr19 53333355 53333356 chr19:53333356:G:C rs7343125 G C g EBF1_EBF_1 -15 0 - 0 0 . chr19 53431543 53431544 chr19:53431544:T:C rs75112244 T C t EBF1_EBF_1 23 0 - 0 0 . chr19 53431546 53431547 chr19:53431547:C:A rs918499207 C A c EBF1_EBF_1 32 0 - 0 0 . chr19 53431590 53431591 chr19:53431591:G:A rs62117321 G A g EBF1_EBF_1 -12 0 - 0 0 . chr19 53431596 53431597 chr19:53431597:G:A rs2708792 G A N EBF1_EBF_1 -18 0 - 0 0 . chr19 53521239 53521240 chr19:53521240:A:G rs1343045284 A G A EBF1_EBF_1 -8 0 - 0 0 . chr19 53546641 53546642 chr19:53546642:A:G rs10418924 A G a EBF1_EBF_1 31 0 - 0 0 . chr19 53571821 53571822 chr19:53571822:A:C rs6509792 A C A EBF1_EBF_1 -13 0 + 0 0 . chr19 53581399 53581400 chr19:53581400:G:A rs17207180 G A G EBF1_EBF_1 -7 0 - 0 0 . chr19 53593225 53593226 chr19:53593226:C:T rs113121702 C T C EBF1_EBF_1 31 0 - 0 0 . chr19 53648038 53648039 chr19:53648039:A:G rs6509805 A G A EBF1_EBF_1 14 0 - 0 0 . chr19 53648056 53648057 chr19:53648057:C:G rs6509806 C G G EBF1_EBF_1 -4 0 - 0 0 . chr19 53738184 53738185 chr19:53738185:G:T rs144912487 G T g EBF1_EBF_1 20 0 - 0 0 . chr19 53809541 53809542 chr19:53809542:C:G rs58572468 C G g EBF1_EBF_1 -15 0 + 0 0 . chr19 53812493 53812494 chr19:53812494:C:T rs56120808 C T C EBF1_EBF_1 23 0 + 0 0 . chr19 53824058 53824059 chr19:53824059:C:A rs34436714 C A C EBF1_EBF_1 24 0 + 0 0 . chr19 53865885 53865886 chr19:53865886:G:A rs141295332 G A G EBF1_EBF_1 6 1 - 10.52092693962938 10.822213068491138 ACTCCCCTGGGACG chr19 53866484 53866485 chr19:53866485:C:G rs185433920 C G C EBF1_EBF_1 12 1 + 6.024848468482919 4.636212524804767 CCTCCCCGGGGGCC chr19 53872867 53872868 chr19:53872868:T:G rs8104891 T G G EBF1_EBF_1 6 1 - 10.442720228719145 10.081117860917868 CTCCCCAAGGGACT chr19 53899292 53899293 chr19:53899293:G:C rs73603624 G C G EBF1_EBF_1 1 1 - 10.249424280642872 10.439195935212089 GCTCCCCAGGGAAA chr19 53906528 53906529 chr19:53906529:G:C rs73603631 G C G EBF1_EBF_1 24 0 + 0 0 . chr19 53962329 53962330 chr19:53962330:G:A rs113392914 G A G EBF1_EBF_1 22 0 + 0 0 . chr19 53962331 53962332 chr19:53962332:G:A rs112190539 G A G EBF1_EBF_1 24 0 + 0 0 . chr19 53977586 53977587 chr19:53977587:T:C rs79728451 T C T EBF1_EBF_1 -5 0 + 0 0 . chr19 53977598 53977599 chr19:53977599:C:G rs181173591 C G C EBF1_EBF_1 7 1 + 6.177909637159303 7.159230218240659 ATCCCCACAGGACT chr19 53977701 53977702 chr19:53977702:T:C rs58051381 T C T EBF1_EBF_1 -18 0 - 0 0 . chr19 53992336 53992337 chr19:53992337:C:A rs74578896 C A C EBF1_EBF_1 -12 0 - 0 0 . chr19 54001651 54001652 chr19:54001652:T:G rs158201 T G G EBF1_EBF_1 1 1 - 6.8031372091209645 6.874064386473051 AATCCCTGAGGACA chr19 54015055 54015056 chr19:54015056:C:G rs8101466 C G C EBF1_EBF_1 28 0 + 0 0 . chr19 54023306 54023307 chr19:54023307:T:G rs574909321 T G T EBF1_EBF_1 21 0 + 0 0 . chr19 54095338 54095339 chr19:54095339:T:G rs8106130 T G T EBF1_EBF_1 0 1 - 5.713335543132781 3.4580770594361807 ACACCCGGGGGAAC chr19 54153331 54153332 chr19:54153332:T:C rs42318 T C C EBF1_EBF_1 -15 0 + 0 0 . chr19 54173067 54173068 chr19:54173068:T:C rs641738 T C C EBF1_EBF_1 20 0 + 0 0 . chr19 54173296 54173297 chr19:54173297:C:T rs6509848 C T C EBF1_EBF_1 -17 0 - 0 0 . chr19 54191087 54191088 chr19:54191088:G:C chr19:54191088:G:C G C G EBF1_EBF_1 23 0 - 0 0 . chr19 54191104 54191105 chr19:54191105:G:A chr19:54191105:G:A G A G EBF1_EBF_1 6 1 - 6.688306188100697 6.989592316962453 AGCCCCCAGGGTCC chr19 54191121 54191122 chr19:54191122:A:C rs8105385 A C C EBF1_EBF_1 -11 0 - 0 0 . chr19 54191128 54191129 chr19:54191129:C:T rs143456375 C T C EBF1_EBF_1 -18 0 - 0 0 . chr19 54209780 54209781 chr19:54209781:C:T rs1038598278 C T c EBF1_EBF_1 -6 0 + 0 0 . chr19 54219868 54219869 chr19:54219869:C:G rs255772 C G c EBF1_EBF_1 26 0 - 0 0 . chr19 54219896 54219897 chr19:54219897:A:G rs114574888 A G a EBF1_EBF_1 -2 0 - 0 0 . chr19 54262206 54262207 chr19:54262207:T:C rs34450379 T C T EBF1_EBF_1 11 1 - 5.918392524465663 3.0256856808616512 GTCCCCCAGAGATC chr19 54278733 54278734 chr19:54278734:T:C rs586206 T C t EBF1_EBF_1 30 0 + 0 0 . chr19 54279063 54279064 chr19:54279064:T:C rs386056 T C c EBF1_EBF_1 15 0 + 0 0 . chr19 54282846 54282847 chr19:54282847:A:G rs3848616 A G a EBF1_EBF_1 -3 0 + 0 0 . chr19 54308180 54308181 chr19:54308181:A:T rs1616661 A T t EBF1_EBF_1 -7 0 - 0 0 . chr19 54337317 54337318 chr19:54337318:C:G rs113109589 C G C EBF1_EBF_1 26 0 + 0 0 . chr19 54415731 54415732 chr19:54415732:G:A rs913581276 G A G EBF1_EBF_1 12 1 - 8.519722920597907 7.493456350047059 TCTCCCTCGGGACC chr19 54430362 54430363 chr19:54430363:G:A rs188593002 G A G EBF1_EBF_1 -19 0 - 0 0 . chr19 54448524 54448525 chr19:54448525:T:C rs558278092 T C T EBF1_EBF_1 -14 0 + 0 0 . chr19 54461394 54461395 chr19:54461395:C:T rs192699796 C T C EBF1_EBF_1 -17 0 + 0 0 . chr19 54474815 54474816 chr19:54474816:A:G rs7245711 A G G EBF1_EBF_1 14 0 + 0 0 . chr19 54494385 54494386 chr19:54494386:C:T rs7251986 C T C EBF1_EBF_1 18 0 - 0 0 . chr19 54514946 54514947 chr19:54514947:C:T rs2363058 C T C EBF1_EBF_1 -1 0 - 0 0 . chr19 54518966 54518967 chr19:54518967:C:T rs549964726 C T C EBF1_EBF_1 -1 0 - 0 0 . chr19 54542702 54542703 chr19:54542703:C:G rs7251007 C G G EBF1_EBF_1 -9 0 - 0 0 . chr19 54555630 54555631 chr19:54555631:G:A rs115218448 G A G EBF1_EBF_1 14 0 - 0 0 . chr19 54561865 54561866 chr19:54561866:A:T rs7257100 A T T EBF1_EBF_1 26 0 - 0 0 . chr19 54575307 54575308 chr19:54575308:T:C rs1052120 T C T EBF1_EBF_1 7 1 - 7.6195089345023215 6.307050529213496 TCTCCCCAGGGGCC chr19 54594337 54594338 chr19:54594338:C:A rs10410699 C A A EBF1_EBF_1 -16 0 + 0 0 . chr19 54596024 54596025 chr19:54596025:G:T rs35609557 G T G EBF1_EBF_1 16 0 - 0 0 . chr19 54606229 54606230 chr19:54606230:T:C rs34640503 T C t EBF1_EBF_1 24 0 - 0 0 . chr19 54607761 54607762 chr19:54607762:G:T rs11669028 G T G EBF1_EBF_1 33 0 - 0 0 . chr19 54607797 54607798 chr19:54607798:G:A rs73612487 G A G EBF1_EBF_1 -2 0 - 0 0 . chr19 54609680 54609681 chr19:54609681:A:G rs10409850 A G G EBF1_EBF_1 6 1 + 7.012725874303677 4.845931443029202 AGTCCCATGAGGCC chr19 54631171 54631172 chr19:54631172:T:G rs2296368 T G G EBF1_EBF_1 -11 0 + 0 0 . chr19 54631551 54631552 chr19:54631552:G:A rs1366459464 G A G EBF1_EBF_1 6 1 - 6.1487023119219435 6.449988440783701 ACTCCCCTGGGTGA chr19 54632387 54632388 chr19:54632388:T:A rs10426886 T A A EBF1_EBF_1 14 0 - 0 0 . chr19 54635982 54635983 chr19:54635983:A:G rs556290698 A G a EBF1_EBF_1 21 0 + 0 0 . chr19 54663045 54663046 chr19:54663046:T:C rs28366008 T C C EBF1_EBF_1 -20 0 - 0 0 . chr19 54667097 54667098 chr19:54667098:G:C rs145490926 G C T EBF1_EBF_1 -4 0 + 0 0 . chr19 54683229 54683230 chr19:54683230:T:C rs75841235 T C C EBF1_EBF_1 31 0 - 0 0 . chr19 54697868 54697869 chr19:54697869:G:T rs117699356 G T g EBF1_EBF_1 -3 0 - 0 0 . chr19 54735007 54735008 chr19:54735008:T:C rs62132698 T C . EBF1_EBF_1 25 0 + 0 0 . chr19 54771870 54771871 chr19:54771871:C:A rs935043350 C A . EBF1_EBF_1 1 1 + 5.9040706772046825 5.833143499852598 ACCCCCTGGAGATC chr19 54905860 54905861 chr19:54905861:T:C rs765171190 T C T EBF1_EBF_1 -12 0 - 0 0 . chr19 54906410 54906411 chr19:54906411:T:A rs45609140 T A A EBF1_EBF_1 -19 0 + 0 0 . chr19 54909564 54909565 chr19:54909565:G:C rs3765014 G C C EBF1_EBF_1 -19 0 + 0 0 . chr19 54912119 54912120 chr19:54912120:T:C rs73619967 T C C EBF1_EBF_1 25 0 + 0 0 . chr19 54919724 54919725 chr19:54919725:C:A rs56340482 C A - EBF1_EBF_1 30 0 + 0 0 . chr19 54944208 54944209 chr19:54944209:T:C rs775890 T C N EBF1_EBF_1 28 0 - 0 0 . chr19 54987375 54987376 chr19:54987376:G:A rs7253480 G A G EBF1_EBF_1 27 0 + 0 0 . chr19 55027611 55027612 chr19:55027612:T:C rs1654425 T C C EBF1_EBF_1 0 1 - 5.710531297853959 3.818247428289123 AGCCCCCAGCGACC chr19 55087642 55087643 chr19:55087643:C:T rs73932298 C T C EBF1_EBF_1 16 0 - 0 0 . chr19 55095304 55095305 chr19:55095305:C:T rs35936110 C T C EBF1_EBF_1 -18 0 - 0 0 . chr19 55116557 55116558 chr19:55116558:G:T rs75308471 G T G EBF1_EBF_1 -9 0 - 0 0 . chr19 55145672 55145673 chr19:55145673:C:A rs2434454 C A C EBF1_EBF_1 9 1 - 6.016360625248707 -0.8206287828401024 CTTCCCTGGGGTCC chr19 55145700 55145701 chr19:55145701:T:A rs78156871 T A T EBF1_EBF_1 -19 0 - 0 0 . chr19 55160885 55160886 chr19:55160886:T:C rs58218194 T C T EBF1_EBF_1 32 0 - 0 0 . chr19 55160929 55160930 chr19:55160930:T:C rs59663064 T C C EBF1_EBF_1 -12 0 - 0 0 . chr19 55207105 55207106 chr19:55207106:C:T rs373335003 C T C EBF1_EBF_1 14 0 + 0 0 . chr19 55222831 55222832 chr19:55222832:G:A rs140225543 G A G EBF1_EBF_1 22 0 - 0 0 . chr19 55231917 55231918 chr19:55231918:G:A rs111560071 G A G EBF1_EBF_1 7 1 + 7.107110161224844 8.41956856651367 CCTCCCCGGAGACT chr19 55240187 55240188 chr19:55240188:T:C rs79174258 T C C EBF1_EBF_1 -13 0 + 0 0 . chr19 55247166 55247167 chr19:55247167:C:G rs11880346 C G C EBF1_EBF_1 -11 0 - 0 0 . chr19 55289681 55289682 chr19:55289682:G:A rs78811144 G A G EBF1_EBF_1 2 1 - 5.4942997045997775 7.3831231160419275 GCCCCCAAGGGCCT chr19 55304525 55304526 chr19:55304526:T:C rs1172820 T C C EBF1_EBF_1 -16 0 - 0 0 . chr19 55322385 55322386 chr19:55322386:A:G rs897798 A G A EBF1_EBF_1 27 0 - 0 0 . chr19 55339073 55339074 chr19:55339074:T:C rs73935350 T C T EBF1_EBF_1 -11 0 - 0 0 . chr19 55344714 55344715 chr19:55344715:T:C rs11084395 T C C EBF1_EBF_1 24 0 + 0 0 . chr19 55346408 55346409 chr19:55346409:G:T rs45480394 G T G EBF1_EBF_1 0 1 + 4.9160278864002205 4.835255332999032 GCACCCTCGGGACC chr19 55361162 55361163 chr19:55361163:C:G rs11084397 C G C EBF1_EBF_1 23 0 + 0 0 . chr19 55369982 55369983 chr19:55369983:T:C rs8104023 T C C EBF1_EBF_1 17 0 + 0 0 . chr19 55370350 55370351 chr19:55370351:G:A rs372539987 G A G EBF1_EBF_1 22 0 + 0 0 . chr19 55374323 55374324 chr19:55374324:T:C rs111663504 T C T EBF1_EBF_1 29 0 - 0 0 . chr19 55374493 55374494 chr19:55374494:C:G rs60526379 C G C EBF1_EBF_1 20 0 - 0 0 . chr19 55374823 55374824 chr19:55374824:G:A rs11666276 G A G EBF1_EBF_1 13 1 - 5.575408299177987 6.419902413763505 AATGCCTGGGGACC chr19 55385239 55385240 chr19:55385240:T:A chr19:55385240:T:A T A T EBF1_EBF_1 28 0 - 0 0 . chr19 55385250 55385251 chr19:55385251:C:T rs75653185 C T C EBF1_EBF_1 17 0 - 0 0 . chr19 55385340 55385341 chr19:55385341:C:T rs3810168 C T C EBF1_EBF_1 9 1 - 5.9503270053116974 3.2953877398640943 CCTCCCCAGGGTTT chr19 55385357 55385358 chr19:55385358:G:C rs979100573 G C G EBF1_EBF_1 -8 0 - 0 0 . chr19 55452414 55452415 chr19:55452415:G:A chr19:55452415:G:A G A G EBF1_EBF_1 26 0 - 0 0 . chr19 55459765 55459766 chr19:55459766:G:A rs4801651 G A G EBF1_EBF_1 21 0 - 0 0 . chr19 55471413 55471414 chr19:55471414:G:A rs8113687 G A G EBF1_EBF_1 32 0 + 0 0 . chr19 55476753 55476754 chr19:55476754:C:A rs955975662 C A c EBF1_EBF_1 2 1 + 5.0395881168527366 3.2439439406960098 ACCCCCGCGGGAAG chr19 55478002 55478003 chr19:55478003:G:A rs764786465 G A G EBF1_EBF_1 -10 0 + 0 0 . chr19 55484817 55484818 chr19:55484818:C:T rs185861422 C T C EBF1_EBF_1 8 1 - 10.159086540023667 5.932796451137408 CATCCCCAGGGACC chr19 55488625 55488626 chr19:55488626:A:G rs4405662 A G g EBF1_EBF_1 15 0 - 0 0 . chr19 55488789 55488790 chr19:55488790:G:A rs77020325 G A g EBF1_EBF_1 2 1 + 6.02762170132108 9.09147980392267 AAGCCCAAGGGACC chr19 55490156 55490157 chr19:55490157:C:T rs117465505 C T C EBF1_EBF_1 21 0 + 0 0 . chr19 55491640 55491641 chr19:55491641:G:A rs117700125 G A G EBF1_EBF_1 32 0 - 0 0 . chr19 55491681 55491682 chr19:55491682:C:G rs72629133 C G C EBF1_EBF_1 -9 0 - 0 0 . chr19 55494103 55494104 chr19:55494104:C:T rs558987576 C T C EBF1_EBF_1 4 1 + 6.203241842683964 1.8338548977390394 GCCCCCAAGGGGAC chr19 55499132 55499133 chr19:55499133:A:G rs7258554 A G A EBF1_EBF_1 29 0 - 0 0 . chr19 55522012 55522013 chr19:55522013:A:T rs140154416 A T A EBF1_EBF_1 11 1 + 9.741596395803498 5.643682139704773 CCTCCCATGGGACA chr19 55536080 55536081 chr19:55536081:A:G rs310453 A G G EBF1_EBF_1 18 0 - 0 0 . chr19 55545309 55545310 chr19:55545310:A:T rs595284 A T a EBF1_EBF_1 13 1 - 7.113672822193828 5.895433258322334 GGCCCCCAGAGAAT chr19 55549993 55549994 chr19:55549994:C:T rs7251438 C T T EBF1_EBF_1 31 0 - 0 0 . chr19 55580401 55580402 chr19:55580402:G:A rs76516526 G A G EBF1_EBF_1 -6 0 - 0 0 . chr19 55580415 55580416 chr19:55580416:G:A rs78670840 G A G EBF1_EBF_1 -20 0 - 0 0 . chr19 55597977 55597978 chr19:55597978:C:T rs79090458 C T C EBF1_EBF_1 21 0 - 0 0 . chr19 55605884 55605885 chr19:55605885:C:A chr19:55605885:C:A C A C EBF1_EBF_1 27 0 + 0 0 . chr19 55619338 55619339 chr19:55619339:C:T rs2431798 C T T EBF1_EBF_1 8 1 - 5.468191984932457 1.2419018960461952 AGCCCCCAGGAACC chr19 55669365 55669366 chr19:55669366:T:C rs681804 T C t EBF1_EBF_1 -4 0 - 0 0 . chr19 55674890 55674891 chr19:55674891:C:T rs551671519 C T C EBF1_EBF_1 3 1 + 6.180498954081984 -0.22489978747133277 AGCCCCGGGGGACG chr19 55677974 55677975 chr19:55677975:C:G rs77820224 C G C EBF1_EBF_1 25 0 + 0 0 . chr19 55678045 55678046 chr19:55678046:A:G rs76206632 A G A EBF1_EBF_1 0 1 - 5.858648741060308 5.57644668032973 TATCCCTGGGGCCT chr19 55696458 55696459 chr19:55696459:G:T rs76680384 G T G EBF1_EBF_1 27 0 - 0 0 . chr19 55696505 55696506 chr19:55696506:C:T rs73062564 C T C EBF1_EBF_1 -20 0 - 0 0 . chr19 55932152 55932153 chr19:55932153:C:T rs12610617 C T C EBF1_EBF_1 20 0 - 0 0 . chr19 55946080 55946081 chr19:55946081:C:G rs78338016 C G C EBF1_EBF_1 15 0 - 0 0 . chr19 55946088 55946089 chr19:55946089:A:G rs674573 A G A EBF1_EBF_1 7 1 - 5.957111350051228 4.1396066037686055 CCTCCCTTGGGTCC chr19 55980587 55980588 chr19:55980588:G:A rs12611132 G A - EBF1_EBF_1 28 0 - 0 0 . chr19 56039513 56039514 chr19:56039514:A:G rs1405347148 A G A EBF1_EBF_1 15 0 - 0 0 . chr19 56140513 56140514 chr19:56140514:G:C rs1049325284 G C G EBF1_EBF_1 25 0 + 0 0 . chr19 56142417 56142418 chr19:56142418:C:T rs75211399 C T C EBF1_EBF_1 -18 0 + 0 0 . chr19 56369180 56369181 chr19:56369181:G:A rs2288857 G A A EBF1_EBF_1 -7 0 + 0 0 . chr19 56475802 56475803 chr19:56475803:G:T rs7251105 G T g EBF1_EBF_1 27 0 + 0 0 . chr19 56477587 56477588 chr19:56477588:G:T rs10425239 G T g EBF1_EBF_1 22 0 - 0 0 . chr19 56477604 56477605 chr19:56477605:G:A rs150574972 G A g EBF1_EBF_1 5 1 - 6.210098133703626 0.888310918162956 AGTCCCCTAGGAGA chr19 56477607 56477608 chr19:56477608:A:C rs559397278 A C a EBF1_EBF_1 2 1 - 6.210098133703626 -0.5382275564968415 AGTCCCCTAGGAGA chr19 56596860 56596861 chr19:56596861:G:A rs1548865 G A A EBF1_EBF_1 6 1 + 8.588309256596576 10.755103687871049 TTCCCCGAGGGAAT chr19 56665852 56665853 chr19:56665853:G:A rs62130947 G A G EBF1_EBF_1 10 1 + 7.8517060206193054 2.53367756135234 CTCCCCTGGGGACA chr19 56667501 56667502 chr19:56667502:G:C rs62130948 G C G EBF1_EBF_1 6 1 + 8.33327826534716 10.138470328820356 TCTCCCGAGGGACA chr19 56671795 56671796 chr19:56671796:T:C rs111307357 T C T EBF1_EBF_1 28 0 - 0 0 . chr19 56719086 56719087 chr19:56719087:C:T rs8105141 C T t EBF1_EBF_1 6 1 + 7.580601290178874 7.881887419040632 CTCCCCCGGGGAAA chr19 56988615 56988616 chr19:56988616:G:A rs189767299 G A G EBF1_EBF_1 30 0 - 0 0 . chr19 57059032 57059033 chr19:57059033:G:A rs73622130 G A G EBF1_EBF_1 -10 0 + 0 0 . chr19 57071655 57071656 chr19:57071656:A:T rs67991328 A T A EBF1_EBF_1 31 0 - 0 0 . chr19 57106180 57106181 chr19:57106181:A:C rs76041435 A C a EBF1_EBF_1 27 0 - 0 0 . chr19 57106217 57106218 chr19:57106218:A:T rs115901099 A T t EBF1_EBF_1 -10 0 - 0 0 . chr19 57120014 57120015 chr19:57120015:T:G rs1982913 T G G EBF1_EBF_1 22 0 + 0 0 . chr19 57171040 57171041 chr19:57171041:C:G rs12981425 C G G EBF1_EBF_1 28 0 + 0 0 . chr19 57192574 57192575 chr19:57192575:A:G rs79567667 A G A EBF1_EBF_1 22 0 - 0 0 . chr19 57202212 57202213 chr19:57202213:A:G rs73062831 A G A EBF1_EBF_1 26 0 - 0 0 . chr19 57225919 57225920 chr19:57225920:T:A rs34269842 T A T EBF1_EBF_1 19 0 - 0 0 . chr19 57282194 57282195 chr19:57282195:G:A rs4801457 G A G EBF1_EBF_1 -16 0 - 0 0 . chr19 57320720 57320721 chr19:57320721:G:T rs4801461 G T G EBF1_EBF_1 18 0 - 0 0 . chr19 57320732 57320733 chr19:57320733:G:A rs978927084 G A G EBF1_EBF_1 6 1 - 8.409145902192826 8.710432031054582 TTTCCCCAGAGAAA chr19 57566670 57566671 chr19:57566671:C:T rs189250697 C T C EBF1_EBF_1 -17 0 + 0 0 . chr19 57570168 57570169 chr19:57570169:C:T rs28435535 C T C EBF1_EBF_1 -11 0 - 0 0 . chr19 57648113 57648114 chr19:57648114:G:A rs56274446 G A G EBF1_EBF_1 -17 0 - 0 0 . chr19 57741794 57741795 chr19:57741795:T:C chr19:57741795:T:C T C T EBF1_EBF_1 25 0 + 0 0 . chr19 57815055 57815056 chr19:57815056:A:G rs76907963 A G . EBF1_EBF_1 -6 0 + 0 0 . chr19 57815069 57815070 chr19:57815070:G:A rs566261235 G A . EBF1_EBF_1 8 1 + 6.625251962453011 2.39896187356675 ATTCCCAGGGGCGC chr19 57824485 57824486 chr19:57824486:C:T rs12978178 C T . EBF1_EBF_1 11 1 + 5.890524048136792 6.208571489890456 GGTCCCCTGGGCCC chr19 58007522 58007523 chr19:58007523:A:G rs10853901 A G A EBF1_EBF_1 -10 0 + 0 0 . chr19 58007600 58007601 chr19:58007601:G:A rs45603136 G A G EBF1_EBF_1 -8 0 + 0 0 . chr19 58022000 58022001 chr19:58022001:G:C rs905164428 G C G EBF1_EBF_1 23 0 - 0 0 . chr19 58037953 58037954 chr19:58037954:C:T rs148768169 C T C EBF1_EBF_1 31 0 + 0 0 . chr19 58084741 58084742 chr19:58084742:A:T rs146022713 A T A EBF1_EBF_1 1 1 - 5.556023151338361 4.589662533587531 GTCCCCCAGAGAGC chr19 58097623 58097624 chr19:58097624:C:T rs924839055 C T C EBF1_EBF_1 17 0 + 0 0 . chr19 58154551 58154552 chr19:58154552:T:A rs1015959885 T A T EBF1_EBF_1 -15 0 + 0 0 . chr19 58183025 58183026 chr19:58183026:T:C chr19:58183026:T:C T C T EBF1_EBF_1 24 0 + 0 0 . chr19 58183781 58183782 chr19:58183782:A:G rs113046258 A G A EBF1_EBF_1 -4 0 - 0 0 . chr19 58279417 58279418 chr19:58279418:G:A rs260502 G A G EBF1_EBF_1 15 0 + 0 0 . chr19 58353500 58353501 chr19:58353501:A:G rs2375470 A G G EBF1_EBF_1 7 1 - 7.162318762545943 5.34481401626332 CCTCCCTTGGGGCC chr19 58362797 58362798 chr19:58362798:G:A rs148371377 G A G EBF1_EBF_1 -14 0 - 0 0 . chr19 58362798 58362799 chr19:58362799:C:T rs1009213005 C T C EBF1_EBF_1 -15 0 - 0 0 . chr19 58362866 58362867 chr19:58362867:C:G rs1332143672 C G c EBF1_EBF_1 22 0 - 0 0 . chr19 58362895 58362896 chr19:58362896:A:G rs10426951 A G A EBF1_EBF_1 -7 0 - 0 0 . chr19 58362904 58362905 chr19:58362905:C:G rs1459579304 C G C EBF1_EBF_1 -16 0 - 0 0 . chr19 58402225 58402226 chr19:58402226:C:G rs7246468 C G C EBF1_EBF_1 6 1 + 7.224007247815952 5.418815184342755 GCTCCCCTGGGGCC chr19 58408322 58408323 chr19:58408323:G:A rs28502695 G A G EBF1_EBF_1 30 0 + 0 0 . chr19 58521785 58521786 chr19:58521786:C:T rs73066244 C T C EBF1_EBF_1 -14 0 + 0 0 . chr19 58563285 58563286 chr19:58563286:T:C rs4756 T C . EBF1_EBF_1 -17 0 - 0 0 . chr19 58586332 58586333 chr19:58586333:G:A rs760922369 G A g EBF1_EBF_1 -8 0 + 0 0 . chr2 10648 10649 chr2:10649:T:G rs114772193 T G . EBF1_EBF_1 31 0 - 0 0 . chr2 10658 10659 chr2:10659:G:A rs555419020 G A . EBF1_EBF_1 21 0 - 0 0 . chr2 10661 10662 chr2:10662:G:C rs115366554 G C . EBF1_EBF_1 18 0 - 0 0 . chr2 10671 10672 chr2:10672:C:T rs556255256 C T . EBF1_EBF_1 8 1 - 3.748038041600852 -0.4782520472854098 GACCCCTCGGGGCC chr2 10681 10682 chr2:10682:C:G rs115970550 C G . EBF1_EBF_1 -2 0 - 0 0 . chr2 11403 11404 chr2:11404:T:G chr2:11404:T:G T G . EBF1_EBF_1 31 0 - 0 0 . chr2 113762 113763 chr2:113763:C:T rs1078763 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 153278 153279 chr2:153279:A:C rs408209 A C a EBF1_EBF_1 27 0 + 0 0 . chr2 279265 279266 chr2:279266:T:A rs10203722 T A T EBF1_EBF_1 28 0 - 0 0 . chr2 290074 290075 chr2:290075:A:G rs4603795 A G G EBF1_EBF_1 2 1 + 7.599549931696829 4.535691829095237 ACACCCTTGGGATC chr2 309619 309620 chr2:309620:G:C rs6717834 G C G EBF1_EBF_1 18 0 - 0 0 . chr2 368738 368739 chr2:368739:T:C rs13027650 T C C EBF1_EBF_1 31 0 - 0 0 . chr2 380766 380767 chr2:380767:A:G rs11683730 A G G EBF1_EBF_1 32 0 - 0 0 . chr2 393834 393835 chr2:393835:C:G rs114841759 C G C EBF1_EBF_1 -12 0 + 0 0 . chr2 420069 420070 chr2:420070:G:A rs2667198 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 445270 445271 chr2:445271:G:A rs144255489 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 468007 468008 chr2:468008:G:A rs78177973 G A g EBF1_EBF_1 -13 0 + 0 0 . chr2 468040 468041 chr2:468041:C:A rs974769 C A C EBF1_EBF_1 20 0 + 0 0 . chr2 506732 506733 chr2:506733:G:A rs11127475 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 542878 542879 chr2:542879:G:A rs62104184 G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 553263 553264 chr2:553264:T:C rs2685244 T C C EBF1_EBF_1 -17 0 + 0 0 . chr2 590793 590794 chr2:590794:C:T rs78020210 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 596628 596629 chr2:596629:A:G rs4854267 A G A EBF1_EBF_1 -10 0 + 0 0 . chr2 597001 597002 chr2:597002:G:A rs17042073 G A A EBF1_EBF_1 25 0 - 0 0 . chr2 610269 610270 chr2:610270:C:A rs2867132 C A C EBF1_EBF_1 20 0 + 0 0 . chr2 621557 621558 chr2:621558:C:T rs939584 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 621732 621733 chr2:621733:C:T rs72778241 C T C EBF1_EBF_1 9 1 - 5.9930528389383735 3.33811357349077 GGTCCCCAGGGCCA chr2 624414 624415 chr2:624415:G:A rs531311782 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 624677 624678 chr2:624678:G:A rs2903492 G A A EBF1_EBF_1 -18 0 + 0 0 . chr2 630994 630995 chr2:630995:T:G rs5017303 T G G EBF1_EBF_1 16 0 - 0 0 . chr2 641947 641948 chr2:641948:C:T rs76851550 C T c EBF1_EBF_1 20 0 + 0 0 . chr2 649637 649638 chr2:649638:C:T rs2903489 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 671380 671381 chr2:671381:C:G rs12611628 C G C EBF1_EBF_1 29 0 + 0 0 . chr2 671857 671858 chr2:671858:C:G rs12989120 C G - EBF1_EBF_1 -16 0 - 0 0 . chr2 676668 676669 chr2:676669:G:A chr2:676669:G:A G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 733100 733101 chr2:733101:A:G rs55681810 A G - EBF1_EBF_1 30 0 - 0 0 . chr2 758603 758604 chr2:758604:G:T rs56272636 G T G EBF1_EBF_1 1 1 + 6.624512904664703 7.330174690494232 GGTCCCATGAGAGC chr2 766413 766414 chr2:766414:C:T rs60367761 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 778671 778672 chr2:778672:G:A rs11680350 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 794668 794669 chr2:794669:C:T rs574448078 C T C EBF1_EBF_1 8 1 - 6.104269585532497 1.8779794966462355 CTTCCCTCGAGACA chr2 812019 812020 chr2:812020:A:G rs879015286 A G - EBF1_EBF_1 -19 0 + 0 0 . chr2 843324 843325 chr2:843325:G:A rs9973314 G A G EBF1_EBF_1 31 0 + 0 0 . chr2 858856 858857 chr2:858857:C:T rs73911125 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 858857 858858 chr2:858858:T:A rs73911126 T A T EBF1_EBF_1 28 0 + 0 0 . chr2 860755 860756 chr2:860756:T:C rs10203315 T C T EBF1_EBF_1 6 1 + 7.315875257935557 7.014589129073799 ATCCCTTAGGGACT chr2 865018 865019 chr2:865019:G:A rs4425104 G A G EBF1_EBF_1 13 1 - 4.9446373108766455 5.7891314254621635 TTCCCCCTGGGTCC chr2 866497 866498 chr2:866498:C:T rs13006902 C T C EBF1_EBF_1 1 1 - 8.424600037600792 8.16390120567949 CGCCCCAGGGGACT chr2 866507 866508 chr2:866508:A:G rs11900704 A G G EBF1_EBF_1 -9 0 - 0 0 . chr2 880666 880667 chr2:880667:G:T rs4452189 G T G EBF1_EBF_1 10 1 + 4.752564929101146 0.5698127428738806 CTCCTCATGGGACC chr2 884603 884604 chr2:884604:T:C rs4462814 T C C EBF1_EBF_1 14 0 + 0 0 . chr2 884618 884619 chr2:884619:G:T rs144606308 G T G EBF1_EBF_1 29 0 + 0 0 . chr2 910357 910358 chr2:910358:C:T rs6708283 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 915411 915412 chr2:915412:C:T rs4854426 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 922181 922182 chr2:922182:G:A rs55830960 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 927045 927046 chr2:927046:C:G rs11127534 C G C EBF1_EBF_1 -9 0 - 0 0 . chr2 950635 950636 chr2:950636:C:T rs113720132 C T c EBF1_EBF_1 -6 0 + 0 0 . chr2 956584 956585 chr2:956585:C:T rs533198693 C T C EBF1_EBF_1 14 0 + 0 0 . chr2 1025168 1025169 chr2:1025169:T:G rs11127446 T G T EBF1_EBF_1 19 0 + 0 0 . chr2 1025175 1025176 chr2:1025176:G:C rs7576891 G C G EBF1_EBF_1 26 0 + 0 0 . chr2 1067844 1067845 chr2:1067845:G:A rs35546657 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 1077902 1077903 chr2:1077903:C:T rs4078138 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 1080064 1080065 chr2:1080065:T:C rs10188332 T C C EBF1_EBF_1 -4 0 + 0 0 . chr2 1117611 1117612 chr2:1117612:A:T rs4971383 A T a EBF1_EBF_1 21 0 + 0 0 . chr2 1138378 1138379 chr2:1138379:C:T rs62107450 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 1148368 1148369 chr2:1148369:C:T rs62105979 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 1156530 1156531 chr2:1156531:C:A rs4971362 C A A EBF1_EBF_1 18 0 + 0 0 . chr2 1220499 1220500 chr2:1220500:C:T rs76208709 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 1221229 1221230 chr2:1221230:G:A rs57230519 G A G EBF1_EBF_1 31 0 + 0 0 . chr2 1227826 1227827 chr2:1227827:C:T rs59093455 C T c EBF1_EBF_1 -20 0 - 0 0 . chr2 1255417 1255418 chr2:1255418:G:A rs28450011 G A G EBF1_EBF_1 31 0 + 0 0 . chr2 1309447 1309448 chr2:1309448:T:G rs59675517 T G G EBF1_EBF_1 -20 0 + 0 0 . chr2 1418450 1418451 chr2:1418451:A:G rs2361767 A G A EBF1_EBF_1 -6 0 + 0 0 . chr2 1422426 1422427 chr2:1422427:C:T rs28909368 C T T EBF1_EBF_1 4 1 + 4.226871819110219 -0.14251512583470372 GCCCCTCAGGGACT chr2 1461982 1461983 chr2:1461983:T:C rs12470856 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 1484493 1484494 chr2:1484494:T:C rs28910610 T C C EBF1_EBF_1 11 1 - 5.3618300620304264 2.4691232184264145 AGCCCCAGGGAACT chr2 1495955 1495956 chr2:1495956:C:T rs2276704 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 1496126 1496127 chr2:1496127:C:T rs732608 C T C EBF1_EBF_1 6 1 + 6.031511996433929 6.332798125295685 ATTCCCCGAAGACT chr2 1497409 1497410 chr2:1497410:G:C rs28912974 G C G EBF1_EBF_1 0 1 - 4.066137794928602 4.4291124090603695 CTCCCCCCGGGAGG chr2 1504456 1504457 chr2:1504457:C:T rs938328 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 1510511 1510512 chr2:1510512:A:G rs13414566 A G g EBF1_EBF_1 26 0 - 0 0 . chr2 1514121 1514122 chr2:1514122:C:T rs11891867 C T - EBF1_EBF_1 22 0 + 0 0 . chr2 1546036 1546037 chr2:1546037:A:G rs6756310 A G G EBF1_EBF_1 8 1 + 4.7663388367069786 8.99262892559324 AGTCCCCCAGGAGC chr2 1560738 1560739 chr2:1560739:C:G rs13392636 C G C EBF1_EBF_1 -11 0 + 0 0 . chr2 1571575 1571576 chr2:1571576:G:A rs13402886 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 1571597 1571598 chr2:1571598:A:T rs75968865 A T A EBF1_EBF_1 14 0 + 0 0 . chr2 1587357 1587358 chr2:1587358:G:A rs76306530 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 1593711 1593712 chr2:1593712:A:G rs34844585 A G G EBF1_EBF_1 23 0 - 0 0 . chr2 1595095 1595096 chr2:1595096:G:C rs73913840 G C g EBF1_EBF_1 -20 0 + 0 0 . chr2 1598275 1598276 chr2:1598276:G:A rs73913844 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 1600382 1600383 chr2:1600383:C:T rs72763508 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 1601495 1601496 chr2:1601496:A:T rs77895145 A T A EBF1_EBF_1 11 1 + 7.980966322521271 3.883052066422546 ACACCCCTGGGAAA chr2 1611880 1611881 chr2:1611881:G:C rs62114638 G C G EBF1_EBF_1 -7 0 - 0 0 . chr2 1635349 1635350 chr2:1635350:T:C rs2241457 T C T EBF1_EBF_1 6 1 - 5.723382575266483 3.5565881439920064 AGTCCCATGTGACC chr2 1638051 1638052 chr2:1638052:A:C rs80303806 A C A EBF1_EBF_1 10 1 - 6.9952520241947616 11.178004210422024 AGCCCCAAGGTAAC chr2 1643398 1643399 chr2:1643399:T:C rs6730800 T C C EBF1_EBF_1 1 1 + 5.403540723197706 4.50810728279896 CTACCCTGGGGATC chr2 1645831 1645832 chr2:1645832:G:A rs12614181 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 1657173 1657174 chr2:1657174:G:C rs114083503 G C - EBF1_EBF_1 -14 0 + 0 0 . chr2 1697698 1697699 chr2:1697699:C:G rs574728792 C G C EBF1_EBF_1 20 0 + 0 0 . chr2 1722367 1722368 chr2:1722368:C:T rs138492052 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 1727530 1727531 chr2:1727531:C:T rs578064324 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 1741941 1741942 chr2:1741942:C:T rs72765514 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 1742888 1742889 chr2:1742889:G:A rs10185594 G A G EBF1_EBF_1 28 0 + 0 0 . chr2 1745072 1745073 chr2:1745073:G:T rs369879995 G T G EBF1_EBF_1 7 1 + 5.7090623429518255 6.545246508153092 GCTCCCCGGGGCCT chr2 1748456 1748457 chr2:1748457:A:G rs2382558 A G G EBF1_EBF_1 32 0 - 0 0 . chr2 1749574 1749575 chr2:1749575:C:T rs539958457 C T C EBF1_EBF_1 10 1 - 4.2444618981525135 -1.073566561114453 ATCCCCGAGGGCTC chr2 1749602 1749603 chr2:1749603:G:A rs10164792 G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 1800243 1800244 chr2:1800244:G:C rs111490721 G C G EBF1_EBF_1 13 1 - 4.533969204137274 3.045898182781859 TCACCCCCGGGACC chr2 1816789 1816790 chr2:1816790:G:A rs144079926 G A g EBF1_EBF_1 -12 0 - 0 0 . chr2 1817631 1817632 chr2:1817632:C:A rs184386395 C A C EBF1_EBF_1 31 0 - 0 0 . chr2 1817632 1817633 chr2:1817633:T:C rs550740027 T C T EBF1_EBF_1 30 0 - 0 0 . chr2 1817647 1817648 chr2:1817648:C:A rs6709623 C A C EBF1_EBF_1 15 0 - 0 0 . chr2 1817657 1817658 chr2:1817658:G:C rs150294414 G C G EBF1_EBF_1 5 1 - 4.692416070422401 -2.212465082212768 ACCCCCCAGGGCCG chr2 1817668 1817669 chr2:1817669:C:T rs746629130 C T C EBF1_EBF_1 -6 0 - 0 0 . chr2 1817679 1817680 chr2:1817680:C:T rs6709512 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 1825001 1825002 chr2:1825002:A:G rs4072464 A G G EBF1_EBF_1 -5 0 - 0 0 . chr2 1831087 1831088 chr2:1831088:C:A rs4344956 C A C EBF1_EBF_1 20 0 + 0 0 . chr2 1831809 1831810 chr2:1831810:C:T rs3935554 C T T EBF1_EBF_1 21 0 - 0 0 . chr2 1840285 1840286 chr2:1840286:A:G rs10193338 A G A EBF1_EBF_1 17 0 + 0 0 . chr2 1846478 1846479 chr2:1846479:T:C rs6721023 T C C EBF1_EBF_1 18 0 - 0 0 . chr2 1862805 1862806 chr2:1862806:G:A rs11900754 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 1872063 1872064 chr2:1872064:G:C rs12618341 G C G EBF1_EBF_1 22 0 - 0 0 . chr2 1894385 1894386 chr2:1894386:C:T rs75273633 C T C EBF1_EBF_1 7 1 + 4.248310473208911 6.065815219491534 ACCCCCACGGGCCC chr2 1960879 1960880 chr2:1960880:C:A rs60071641 C A C EBF1_EBF_1 -18 0 - 0 0 . chr2 1993834 1993835 chr2:1993835:C:T rs556400704 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 1993871 1993872 chr2:1993872:C:T rs11679140 C T C EBF1_EBF_1 -6 0 - 0 0 . chr2 2014844 2014845 chr2:2014845:G:C rs145256943 G C G EBF1_EBF_1 -6 0 + 0 0 . chr2 2293575 2293576 chr2:2293576:C:G rs55933406 C G G EBF1_EBF_1 18 0 - 0 0 . chr2 2399535 2399536 chr2:2399536:T:C rs2668827 T C T EBF1_EBF_1 -12 0 + 0 0 . chr2 2473185 2473186 chr2:2473186:A:T rs72771131 A T A EBF1_EBF_1 2 1 - 4.970993147601972 1.2865255600030956 AGTGCCCTGGGATA chr2 2507689 2507690 chr2:2507690:G:A rs114679303 G A G EBF1_EBF_1 7 1 + 6.928389453896973 8.240847859185799 CGTCCCGGGGGACA chr2 2512917 2512918 chr2:2512918:A:G rs17247083 A G A EBF1_EBF_1 7 1 + 9.416453658168296 8.10399525287947 CTCCCCAAGGGATT chr2 2517309 2517310 chr2:2517310:C:T rs17039946 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 2581893 2581894 chr2:2581894:C:G rs78851053 C G C EBF1_EBF_1 -6 0 - 0 0 . chr2 2620490 2620491 chr2:2620491:G:A rs2385304 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 2620847 2620848 chr2:2620848:C:A rs7567762 C A A EBF1_EBF_1 18 0 + 0 0 . chr2 2636838 2636839 chr2:2636839:G:A rs1530843 G A G EBF1_EBF_1 1 1 + 4.67905327840985 4.418354446488548 AGCCCCATGTGACT chr2 2639359 2639360 chr2:2639360:C:T rs13391017 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 2699316 2699317 chr2:2699317:C:T rs55898815 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 2725766 2725767 chr2:2725767:G:A rs76785723 G A G EBF1_EBF_1 12 1 + 5.145861405018159 6.564678747117636 CTTCCCTTGGGCGC chr2 2731973 2731974 chr2:2731974:G:T rs72493313 G T G EBF1_EBF_1 13 1 - 9.279157679888206 8.90541223060223 CCTCCCAGGGGACC chr2 2733414 2733415 chr2:2733415:T:C rs35585920 T C T EBF1_EBF_1 29 0 + 0 0 . chr2 2748512 2748513 chr2:2748513:C:T rs12478324 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 2756276 2756277 chr2:2756277:C:T rs66543347 C T C EBF1_EBF_1 3 1 + 5.828147851771416 -0.5772508897819015 CCTCCCTAGAGGCT chr2 2768844 2768845 chr2:2768845:C:A rs113279021 C A C EBF1_EBF_1 21 0 + 0 0 . chr2 2774731 2774732 chr2:2774732:C:T rs876565 C T T EBF1_EBF_1 21 0 - 0 0 . chr2 2774735 2774736 chr2:2774736:C:T rs113732283 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 2783185 2783186 chr2:2783186:A:G rs115413860 A G A EBF1_EBF_1 7 1 - 6.856257720974507 5.038752974691883 TCTCCCATGGGCAT chr2 2789964 2789965 chr2:2789965:C:T rs56370432 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 2789986 2789987 chr2:2789987:G:A rs75240414 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 2798658 2798659 chr2:2798659:G:A rs6758587 G A G EBF1_EBF_1 10 1 + 4.346302966935207 -0.9717254923317586 CTTTCCCAGGGACA chr2 2808032 2808033 chr2:2808033:T:C rs754812 T C T EBF1_EBF_1 2 1 + 5.94507997907883 4.056256567636681 AATCCCGGAGGAAT chr2 2814344 2814345 chr2:2814345:G:T rs934556 G T T EBF1_EBF_1 17 0 - 0 0 . chr2 2827982 2827983 chr2:2827983:G:A rs185068677 G A G EBF1_EBF_1 -10 0 - 0 0 . chr2 2835347 2835348 chr2:2835348:C:T rs11684841 C T C EBF1_EBF_1 8 1 - 5.624879237216178 1.3985891483299175 CTTCCCAAGGAAGT chr2 2936035 2936036 chr2:2936036:T:G rs115785583 T G T EBF1_EBF_1 26 0 - 0 0 . chr2 2951201 2951202 chr2:2951202:T:C rs1667034 T C T EBF1_EBF_1 17 0 + 0 0 . chr2 3036619 3036620 chr2:3036620:G:A rs28615607 G A G EBF1_EBF_1 27 0 + 0 0 . chr2 3041059 3041060 chr2:3041060:T:C rs56799760 T C C EBF1_EBF_1 -19 0 - 0 0 . chr2 3047108 3047109 chr2:3047109:A:G rs72780419 A G A EBF1_EBF_1 -6 0 - 0 0 . chr2 3048895 3048896 chr2:3048896:T:C rs72780422 T C C EBF1_EBF_1 -7 0 - 0 0 . chr2 3052074 3052075 chr2:3052075:T:C rs62119785 T C T EBF1_EBF_1 25 0 + 0 0 . chr2 3059733 3059734 chr2:3059734:T:C rs12614321 T C C EBF1_EBF_1 18 0 - 0 0 . chr2 3059973 3059974 chr2:3059974:C:T rs62119801 C T C EBF1_EBF_1 18 0 - 0 0 . chr2 3069410 3069411 chr2:3069411:C:T rs7583267 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 3074801 3074802 chr2:3074802:T:G rs12714370 T G G EBF1_EBF_1 -19 0 + 0 0 . chr2 3074832 3074833 chr2:3074833:C:T rs13428627 C T T EBF1_EBF_1 12 1 + 5.331144458419978 4.304877887869128 CTTCCCCTGGAACC chr2 3080288 3080289 chr2:3080289:G:A rs62119859 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 3099935 3099936 chr2:3099936:G:A rs7603133 G A G EBF1_EBF_1 -5 0 + 0 0 . chr2 3104442 3104443 chr2:3104443:C:T rs1973049 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 3104586 3104587 chr2:3104587:A:G rs1973048 A G G EBF1_EBF_1 11 1 - 6.208571489890456 5.890524048136792 GGTCCCCTGGGTCC chr2 3131065 3131066 chr2:3131066:G:A rs13386273 G A G EBF1_EBF_1 12 1 - 3.1205892991152706 2.0943227285644213 CGCCCCCGGGGTCC chr2 3131144 3131145 chr2:3131145:A:T rs13428416 A T A EBF1_EBF_1 -4 0 - 0 0 . chr2 3152000 3152001 chr2:3152001:G:A rs138866648 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 3155456 3155457 chr2:3155457:C:G rs6741814 C G C EBF1_EBF_1 -3 0 + 0 0 . chr2 3176500 3176501 chr2:3176501:C:T rs1045517132 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 3208705 3208706 chr2:3208706:G:A rs114920829 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 3208709 3208710 chr2:3208710:C:T rs17327576 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 3223671 3223672 chr2:3223672:A:G rs10209916 A G G EBF1_EBF_1 4 1 + 5.8695356568004176 6.068330179104341 ATTCACAAGGGGCT chr2 3268984 3268985 chr2:3268985:A:G rs4504024 A G G EBF1_EBF_1 13 1 - 4.659281891278706 3.814787776693187 TCTCCCGTGGGCCT chr2 3276974 3276975 chr2:3276975:C:A rs2694085 C A C EBF1_EBF_1 13 1 + 5.4618482885099535 5.0881028392239775 AGTCACCAGGGAGC chr2 3277570 3277571 chr2:3277571:C:G rs6761424 C G C EBF1_EBF_1 29 0 - 0 0 . chr2 3282653 3282654 chr2:3282654:G:A rs529990168 G A G EBF1_EBF_1 0 1 + 5.690838722212376 7.583122591777211 GTTGCCCAGGGAAC chr2 3293466 3293467 chr2:3293467:C:T rs115384567 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 3320119 3320120 chr2:3320120:G:C rs72493320 G C - EBF1_EBF_1 5 1 - 4.432386156273974 -2.4724949963611946 TGCCCCCAGGGGCG chr2 3324507 3324508 chr2:3324508:G:A rs62121551 G A g EBF1_EBF_1 22 0 - 0 0 . chr2 3332349 3332350 chr2:3332350:G:A rs55727967 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 3335073 3335074 chr2:3335074:G:A rs62119493 G A A EBF1_EBF_1 9 1 + 4.1394996383885285 1.484560372940925 GGTCCCAGCGGACA chr2 3344166 3344167 chr2:3344167:G:A rs59093035 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 3379743 3379744 chr2:3379744:G:T rs1360336714 G T G EBF1_EBF_1 33 0 - 0 0 . chr2 3379766 3379767 chr2:3379767:C:A chr2:3379767:C:A C A G EBF1_EBF_1 10 1 - 5.569168779614543 1.3864165933872783 CACCCCCGGGGAGC chr2 3379766 3379767 chr2:3379767:C:G rs10176767 C G G EBF1_EBF_1 10 1 - 5.569168779614543 -1.3216336077149318 CACCCCCGGGGAGC chr2 3422509 3422510 chr2:3422510:G:A rs62120501 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 3453325 3453326 chr2:3453326:G:A rs10193454 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 3453344 3453345 chr2:3453345:G:C rs10193460 G C G EBF1_EBF_1 10 1 + 5.255497215652949 -1.6353051716765254 AGCTCCCAGGGAAT chr2 3470313 3470314 chr2:3470314:C:T rs35067331 C T C EBF1_EBF_1 1 1 + 10.230013717375753 11.125447157774499 CCTCCCCAGGGACC chr2 3473240 3473241 chr2:3473241:C:T rs10170410 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 3484537 3484538 chr2:3484538:C:T rs572596491 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 3488434 3488435 chr2:3488435:G:C rs9677718 G C G EBF1_EBF_1 14 0 - 0 0 . chr2 3488460 3488461 chr2:3488461:C:G rs34308799 C G C EBF1_EBF_1 -12 0 - 0 0 . chr2 3490104 3490105 chr2:3490105:G:A rs74653778 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 3492549 3492550 chr2:3492550:G:A rs13409102 G A G EBF1_EBF_1 29 0 + 0 0 . chr2 3499794 3499795 chr2:3499795:T:A rs4591372 T A T EBF1_EBF_1 18 0 + 0 0 . chr2 3505731 3505732 chr2:3505732:C:G rs77685453 C G C EBF1_EBF_1 4 1 + 3.838320474906848 -1.7874446262426966 CCTCCCCCGGGTCC chr2 3528464 3528465 chr2:3528465:C:A rs945342204 C A C EBF1_EBF_1 8 1 - 5.526861722909658 -1.3645710660675376 CCTCCCCAGAGGCT chr2 3528492 3528493 chr2:3528493:G:C rs1000656907 G C C EBF1_EBF_1 -20 0 - 0 0 . chr2 3536898 3536899 chr2:3536899:C:G chr2:3536899:C:G C G C EBF1_EBF_1 8 1 - 5.448360820471274 0.12970195955658853 ACTCTCCCGGGACA chr2 3536898 3536899 chr2:3536899:C:T rs114616591 C T C EBF1_EBF_1 8 1 - 5.448360820471274 1.222070731585013 ACTCTCCCGGGACA chr2 3536907 3536908 chr2:3536908:G:A rs73137051 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 3611291 3611292 chr2:3611292:T:C rs12988769 T C C EBF1_EBF_1 11 1 - 4.525596042263937 1.6328891986599257 AGTCCCCGGTGACC chr2 3612866 3612867 chr2:3612867:T:G rs7604750 T G G EBF1_EBF_1 -19 0 - 0 0 . chr2 3624568 3624569 chr2:3624569:A:T rs7580340 A T T EBF1_EBF_1 29 0 - 0 0 . chr2 3624569 3624570 chr2:3624570:C:T rs11685780 C T C EBF1_EBF_1 28 0 - 0 0 . chr2 3626870 3626871 chr2:3626871:G:A rs7599901 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 3626898 3626899 chr2:3626899:G:T rs7599917 G T T EBF1_EBF_1 -7 0 - 0 0 . chr2 3628376 3628377 chr2:3628377:T:C rs55835321 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 3654796 3654797 chr2:3654797:G:A rs12711954 G A G EBF1_EBF_1 0 1 - 6.391753733481445 6.673955794212023 CGACCCCAGGGAAA chr2 3654800 3654801 chr2:3654801:C:T rs116744905 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 3659784 3659785 chr2:3659785:C:T rs191918517 C T C EBF1_EBF_1 6 1 - 6.846517987020134 9.013312418294609 GTTCCCGAGAGATT chr2 3659803 3659804 chr2:3659804:C:T rs34759180 C T C EBF1_EBF_1 -13 0 - 0 0 . chr2 3665840 3665841 chr2:3665841:G:A rs112914737 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 3674345 3674346 chr2:3674346:C:A rs13401122 C A A EBF1_EBF_1 8 1 - 6.119802157659438 -0.771630631317756 TTTCCCCAGGAAAC chr2 3704224 3704225 chr2:3704225:G:T rs184610798 G T G EBF1_EBF_1 -16 0 + 0 0 . chr2 3727908 3727909 chr2:3727909:G:A rs60988707 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 3728122 3728123 chr2:3728123:G:T rs57201373 G T T EBF1_EBF_1 -2 0 + 0 0 . chr2 3749602 3749603 chr2:3749603:C:T rs148377674 C T C EBF1_EBF_1 32 0 - 0 0 . chr2 3761827 3761828 chr2:3761828:T:C rs12463789 T C T EBF1_EBF_1 -8 0 - 0 0 . chr2 3774619 3774620 chr2:3774620:C:T rs58218445 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 3778796 3778797 chr2:3778797:T:C rs13021385 T C C EBF1_EBF_1 -10 0 + 0 0 . chr2 3779834 3779835 chr2:3779835:G:A rs1031478 G A G EBF1_EBF_1 -5 0 + 0 0 . chr2 3903768 3903769 chr2:3903769:G:A rs6754162 G A G EBF1_EBF_1 12 1 - 7.475337862745498 6.449071292194648 GCTCCCGGGGGACC chr2 3953898 3953899 chr2:3953899:T:C chr2:3953899:T:C T C T EBF1_EBF_1 -18 0 - 0 0 . chr2 3959123 3959124 chr2:3959124:T:C rs6542665 T C T EBF1_EBF_1 6 1 - 10.13475240835659 7.967957977082117 GCTCCCATGGGAAA chr2 4022424 4022425 chr2:4022425:A:G rs76132363 A G A EBF1_EBF_1 -5 0 + 0 0 . chr2 4054048 4054049 chr2:4054049:G:T rs189830120 G T G EBF1_EBF_1 31 0 + 0 0 . chr2 4313367 4313368 chr2:4313368:A:G rs55916596 A G G EBF1_EBF_1 -20 0 - 0 0 . chr2 4421541 4421542 chr2:4421542:C:T rs9973340 C T C EBF1_EBF_1 -19 0 + 0 0 . chr2 4529790 4529791 chr2:4529791:C:T rs12467634 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 4746037 4746038 chr2:4746038:C:G rs138839476 C G C EBF1_EBF_1 -20 0 + 0 0 . chr2 4763255 4763256 chr2:4763256:C:T rs183070254 C T c EBF1_EBF_1 30 0 - 0 0 . chr2 4791238 4791239 chr2:4791239:C:G rs116410513 C G C EBF1_EBF_1 -13 0 - 0 0 . chr2 4880658 4880659 chr2:4880659:C:T rs12711958 C T C EBF1_EBF_1 6 1 - 6.321751777989049 8.488546209263525 CTTCCCGAGAGAAA chr2 4884418 4884419 chr2:4884419:G:T rs151105467 G T G EBF1_EBF_1 -15 0 + 0 0 . chr2 4941476 4941477 chr2:4941477:G:A rs12052647 G A g EBF1_EBF_1 9 1 - 9.51111297751243 7.749812715769085 ATTCCCAAGCGACT chr2 5024596 5024597 chr2:5024597:G:A rs139774176 G A g EBF1_EBF_1 -16 0 - 0 0 . chr2 5064928 5064929 chr2:5064929:C:T rs35015274 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 5373445 5373446 chr2:5373446:C:T rs184560162 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 5373464 5373465 chr2:5373465:C:A rs760037380 C A C EBF1_EBF_1 1 1 + 6.668652897014773 6.597725719662689 TCTCCCAGGGGGCC chr2 5480819 5480820 chr2:5480820:A:G rs4669392 A G G EBF1_EBF_1 -9 0 - 0 0 . chr2 5520886 5520887 chr2:5520887:A:C rs140092052 A C A EBF1_EBF_1 11 1 + 6.26917870760831 1.8532170097559206 GGCCCCCAGAGAAC chr2 5544653 5544654 chr2:5544654:C:A rs62112661 C A C EBF1_EBF_1 30 0 - 0 0 . chr2 5672567 5672568 chr2:5672568:A:G rs12692443 A G A EBF1_EBF_1 0 1 + 6.631742849053134 4.739458979488299 ATTTCCCAGGGAAA chr2 5696861 5696862 chr2:5696862:G:A rs757355273 G A G EBF1_EBF_1 7 1 + 3.3764972070108925 4.688955612299718 GCTCCCCGGGGCCG chr2 5760142 5760143 chr2:5760143:T:G rs60493351 T G T EBF1_EBF_1 15 0 - 0 0 . chr2 5866999 5867000 chr2:5867000:T:G rs930107 T G G EBF1_EBF_1 -6 0 - 0 0 . chr2 5869813 5869814 chr2:5869814:C:A rs116511803 C A C EBF1_EBF_1 10 1 - 5.952343665992645 1.76959147976538 AGCCCCGAGAGACA chr2 5908817 5908818 chr2:5908818:C:T rs7582201 C T T EBF1_EBF_1 24 0 - 0 0 . chr2 5915528 5915529 chr2:5915529:G:A rs371103813 G A G EBF1_EBF_1 17 0 - 0 0 . chr2 5937480 5937481 chr2:5937481:G:A rs10175822 G A G EBF1_EBF_1 -12 0 - 0 0 . chr2 5967633 5967634 chr2:5967634:G:A rs13010448 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 5981620 5981621 chr2:5981621:A:G rs905440899 A G A EBF1_EBF_1 31 0 + 0 0 . chr2 5983673 5983674 chr2:5983674:C:T rs1036515824 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 6213430 6213431 chr2:6213431:C:T rs58137679 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 6237408 6237409 chr2:6237409:C:T rs6731694 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 6237409 6237410 chr2:6237410:A:G rs6431776 A G G EBF1_EBF_1 16 0 + 0 0 . chr2 6237424 6237425 chr2:6237425:T:C rs13005294 T C T EBF1_EBF_1 31 0 + 0 0 . chr2 6237479 6237480 chr2:6237480:T:G rs6431777 T G T EBF1_EBF_1 18 0 - 0 0 . chr2 6310638 6310639 chr2:6310639:T:C rs10199247 T C C EBF1_EBF_1 -10 0 + 0 0 . chr2 6425526 6425527 chr2:6425527:G:A rs55660935 G A G EBF1_EBF_1 9 1 + 7.950100776106312 5.2951615106587075 AACCCCTAGGGAGG chr2 6425547 6425548 chr2:6425548:C:A rs56219543 C A C EBF1_EBF_1 30 0 + 0 0 . chr2 6673081 6673082 chr2:6673082:G:T rs4669093 G T G EBF1_EBF_1 4 1 - 4.970395219269713 -0.8541644041837548 CCTTCCTAGGGACT chr2 6679419 6679420 chr2:6679420:G:A rs10199643 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 6731076 6731077 chr2:6731077:G:A rs1323179327 G A G EBF1_EBF_1 1 1 - 4.559269922574847 5.454703362973593 ACCTCCCTGGGACT chr2 6773270 6773271 chr2:6773271:A:G rs111342602 A G A EBF1_EBF_1 22 0 - 0 0 . chr2 6773271 6773272 chr2:6773272:C:T rs532539844 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 6811032 6811033 chr2:6811033:T:C rs1623155 T C C EBF1_EBF_1 -2 0 + 0 0 . chr2 6811033 6811034 chr2:6811034:G:T rs13425677 G T A EBF1_EBF_1 -1 0 + 0 0 . chr2 6825298 6825299 chr2:6825299:T:C rs6734387 T C T EBF1_EBF_1 -8 0 + 0 0 . chr2 6856389 6856390 chr2:6856390:C:T rs767609172 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 6916939 6916940 chr2:6916940:T:G rs6718980 T G T EBF1_EBF_1 29 0 + 0 0 . chr2 6918210 6918211 chr2:6918211:G:T rs149793743 G T G EBF1_EBF_1 29 0 - 0 0 . chr2 6918864 6918865 chr2:6918865:A:G rs943552970 A G A EBF1_EBF_1 6 1 - 6.905781912212326 6.60449578335057 GTTCCCTGGGGCCT chr2 6967337 6967338 chr2:6967338:G:A rs77371076 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 6994554 6994555 chr2:6994555:A:G rs75152402 A G A EBF1_EBF_1 21 0 - 0 0 . chr2 7008089 7008090 chr2:7008090:C:A chr2:7008090:C:A C A C EBF1_EBF_1 0 1 + 6.677496291680974 8.932754775377575 CGTCCCTAGAGAGC chr2 7015516 7015517 chr2:7015517:T:G rs996030352 T G T EBF1_EBF_1 -14 0 - 0 0 . chr2 7019304 7019305 chr2:7019305:C:T rs77425034 C T C EBF1_EBF_1 22 0 - 0 0 . chr2 7019638 7019639 chr2:7019639:G:C rs309307 G C C EBF1_EBF_1 -6 0 - 0 0 . chr2 7024351 7024352 chr2:7024352:C:T rs6709878 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 7026772 7026773 chr2:7026773:G:T rs183570463 G T G EBF1_EBF_1 22 0 - 0 0 . chr2 7057408 7057409 chr2:7057409:T:C rs188107048 T C T EBF1_EBF_1 19 0 - 0 0 . chr2 7094668 7094669 chr2:7094669:C:T rs4610023 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 7188610 7188611 chr2:7188611:T:A rs114582712 T A T EBF1_EBF_1 30 0 - 0 0 . chr2 7289912 7289913 chr2:7289913:G:A rs143901816 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 7378431 7378432 chr2:7378432:A:C rs2304709 A C C EBF1_EBF_1 -11 0 + 0 0 . chr2 7404194 7404195 chr2:7404195:C:T rs16855398 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 7427441 7427442 chr2:7427442:C:T rs60496356 C T C EBF1_EBF_1 10 1 - 10.866368049564834 5.548339590297869 ATTCCCCAGGGATG chr2 7473224 7473225 chr2:7473225:A:T rs12475712 A T A EBF1_EBF_1 -9 0 - 0 0 . chr2 7518545 7518546 chr2:7518546:C:T rs6728632 C T C EBF1_EBF_1 5 1 + 11.819889299189349 6.498102083648681 GTTCCCTAGGGAAC chr2 7518550 7518551 chr2:7518551:G:C rs757855394 G C G EBF1_EBF_1 10 1 + 11.819889299189349 4.9290869118598755 GTTCCCTAGGGAAC chr2 7553428 7553429 chr2:7553429:C:T rs146551663 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 7553664 7553665 chr2:7553665:T:G rs11676805 T G G EBF1_EBF_1 27 0 - 0 0 . chr2 7676855 7676856 chr2:7676856:C:T rs2117571 C T C EBF1_EBF_1 12 1 + 12.232706898548193 11.206440327997344 ATTCCCTCGGGACT chr2 7693541 7693542 chr2:7693542:G:C rs700949 G C G EBF1_EBF_1 28 0 + 0 0 . chr2 7694536 7694537 chr2:7694537:C:T rs73913670 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 7736421 7736422 chr2:7736422:C:T rs79974401 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 7850082 7850083 chr2:7850083:C:T rs12472063 C T C EBF1_EBF_1 16 0 + 0 0 . chr2 7879364 7879365 chr2:7879365:G:A rs75310575 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 7896076 7896077 chr2:7896077:T:C rs2066946 T C C EBF1_EBF_1 -4 0 - 0 0 . chr2 7907177 7907178 chr2:7907178:C:T rs10179782 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 7956697 7956698 chr2:7956698:A:G rs193925 A G G EBF1_EBF_1 -4 0 - 0 0 . chr2 8000072 8000073 chr2:8000073:C:T rs16866561 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 8009496 8009497 chr2:8009497:G:A rs1364058 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 8049811 8049812 chr2:8049812:T:C rs6751711 T C T EBF1_EBF_1 1 1 + 10.980640444970025 10.085207004571279 CTTCCCTTGGGAAC chr2 8091078 8091079 chr2:8091079:C:A rs113557473 C A C EBF1_EBF_1 18 0 - 0 0 . chr2 8133573 8133574 chr2:8133574:G:A rs73150418 G A G EBF1_EBF_1 2 1 - 5.170290826195504 7.059114237637654 CGCCCCCGGGGGCT chr2 8145108 8145109 chr2:8145109:G:T chr2:8145109:G:T G T G EBF1_EBF_1 9 1 + 4.573565119078445 -2.2634242890103646 ATCACCAAGGGACA chr2 8145132 8145133 chr2:8145133:A:G rs546884636 A G A EBF1_EBF_1 33 0 + 0 0 . chr2 8160036 8160037 chr2:8160037:T:C rs73914462 T C T EBF1_EBF_1 22 0 - 0 0 . chr2 8301054 8301055 chr2:8301055:A:G rs346833 A G A EBF1_EBF_1 33 0 + 0 0 . chr2 8301921 8301922 chr2:8301922:G:A rs10174728 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 8301922 8301923 chr2:8301923:C:T rs183710227 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 8312366 8312367 chr2:8312367:C:T rs3102960 C T T EBF1_EBF_1 16 0 + 0 0 . chr2 8327516 8327517 chr2:8327517:C:T rs3102955 C T T EBF1_EBF_1 -8 0 - 0 0 . chr2 8335250 8335251 chr2:8335251:A:G rs73912664 A G A EBF1_EBF_1 -3 0 + 0 0 . chr2 8336541 8336542 chr2:8336542:C:T rs72781078 C T C EBF1_EBF_1 15 0 - 0 0 . chr2 8361031 8361032 chr2:8361032:C:G rs3097285 C G G EBF1_EBF_1 -13 0 + 0 0 . chr2 8361034 8361035 chr2:8361035:A:G rs3097284 A G a EBF1_EBF_1 -10 0 + 0 0 . chr2 8363333 8363334 chr2:8363334:C:T rs113395621 C T C EBF1_EBF_1 4 1 + 5.833530438083549 1.4641434931386246 ATTCCCCTGAGCCC chr2 8394711 8394712 chr2:8394712:C:T rs11895006 C T C EBF1_EBF_1 4 1 + 6.406159358887058 2.036772413942135 AGTCCCAGGGAACC chr2 8402446 8402447 chr2:8402447:C:T rs7572976 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 8410273 8410274 chr2:8410274:C:T rs76656266 C T c EBF1_EBF_1 29 0 + 0 0 . chr2 8425177 8425178 chr2:8425178:A:C rs557603529 A C A EBF1_EBF_1 28 0 + 0 0 . chr2 8435843 8435844 chr2:8435844:A:T rs10189281 A T A EBF1_EBF_1 11 1 + 7.0963165644533035 2.9984023083545774 AATCCCCAGGAACC chr2 8447935 8447936 chr2:8447936:G:C rs400425 G C C EBF1_EBF_1 -20 0 - 0 0 . chr2 8452681 8452682 chr2:8452682:C:T rs12611884 C T C EBF1_EBF_1 -6 0 + 0 0 . chr2 8456992 8456993 chr2:8456993:C:T rs62106670 C T T EBF1_EBF_1 -3 0 + 0 0 . chr2 8478286 8478287 chr2:8478287:A:G rs144614937 A G A EBF1_EBF_1 -4 0 - 0 0 . chr2 8480901 8480902 chr2:8480902:C:A rs754369911 C A c EBF1_EBF_1 20 0 - 0 0 . chr2 8481031 8481032 chr2:8481032:C:T rs6733104 C T T EBF1_EBF_1 9 1 - 5.982628434688362 3.3276891692407586 TTTCCCAGCGGACT chr2 8496720 8496721 chr2:8496721:C:T rs772925811 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 8509880 8509881 chr2:8509881:G:A rs537437985 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 8526643 8526644 chr2:8526644:C:T rs2089129 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 8540254 8540255 chr2:8540255:C:T rs1466934 C T T EBF1_EBF_1 -2 0 + 0 0 . chr2 8554455 8554456 chr2:8554456:C:T rs116554753 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 8564162 8564163 chr2:8564163:T:A rs6759554 T A A EBF1_EBF_1 9 1 - 7.450091823146706 3.2680416805055 CTTCCCCTGAGAGT chr2 8571638 8571639 chr2:8571639:T:C rs12478199 T C C EBF1_EBF_1 13 1 - 8.980313246251217 7.8659876741817785 ACTCCCCTGAGACA chr2 8578159 8578160 chr2:8578160:T:C rs6737516 T C T EBF1_EBF_1 7 1 + 7.0049340134208675 5.187429267138245 ATTCCCTTGGTGAT chr2 8580686 8580687 chr2:8580687:G:A rs77616462 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 8580694 8580695 chr2:8580695:C:T rs72784626 C T C EBF1_EBF_1 8 1 - 6.042795739181001 1.816505650294739 CTCCCCGAGGGAGC chr2 8583019 8583020 chr2:8583020:G:A rs6710307 G A G EBF1_EBF_1 15 0 + 0 0 . chr2 8583542 8583543 chr2:8583543:G:A rs4669294 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 8583546 8583547 chr2:8583547:C:T rs143171760 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 8583569 8583570 chr2:8583570:G:A rs570525599 G A A EBF1_EBF_1 7 1 + 10.158665897348225 11.47112430263705 ACCCCCCGGGGAAT chr2 8608646 8608647 chr2:8608647:T:C rs78913920 T C T EBF1_EBF_1 -6 0 - 0 0 . chr2 8621128 8621129 chr2:8621129:T:C rs3889730 T C C EBF1_EBF_1 26 0 + 0 0 . chr2 8622283 8622284 chr2:8622284:T:G rs3896594 T G T EBF1_EBF_1 22 0 - 0 0 . chr2 8627664 8627665 chr2:8627665:C:T rs4081935 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 8660210 8660211 chr2:8660211:G:A rs75033408 G A A EBF1_EBF_1 21 0 - 0 0 . chr2 8662726 8662727 chr2:8662727:G:C rs753769454 G C G EBF1_EBF_1 -9 0 - 0 0 . chr2 8706012 8706013 chr2:8706013:C:T rs116143934 C T C EBF1_EBF_1 8 1 + 7.125867561226911 5.553093633164402 AATCCCCACGGAAC chr2 8807286 8807287 chr2:8807287:T:C rs1212674436 T C T EBF1_EBF_1 18 0 - 0 0 . chr2 8848529 8848530 chr2:8848530:G:A rs6746864 G A A EBF1_EBF_1 29 0 + 0 0 . chr2 8851426 8851427 chr2:8851427:G:A chr2:8851427:G:A G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 8877875 8877876 chr2:8877876:T:C rs62104409 T C T EBF1_EBF_1 24 0 - 0 0 . chr2 8937814 8937815 chr2:8937815:C:T rs116446196 C T c EBF1_EBF_1 29 0 - 0 0 . chr2 9052777 9052778 chr2:9052778:T:C rs4668595 T C T EBF1_EBF_1 11 1 + 5.732418679526026 5.414371237772362 ACTCCCCAGAGTCC chr2 9079692 9079693 chr2:9079693:T:C rs12471187 T C T EBF1_EBF_1 7 1 + 10.912710705851985 9.095205959569363 ACTCCCATGGGAAG chr2 9096175 9096176 chr2:9096176:G:A rs73912930 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 9097354 9097355 chr2:9097355:C:T rs77988763 C T C EBF1_EBF_1 6 1 + 10.318256153496115 10.619542282357871 AGCCCCCGGGGACT chr2 9097369 9097370 chr2:9097370:G:A rs76690921 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 9106160 9106161 chr2:9106161:C:A rs328635 C A C EBF1_EBF_1 -13 0 + 0 0 . chr2 9113728 9113729 chr2:9113729:G:C rs62118575 G C G EBF1_EBF_1 -11 0 + 0 0 . chr2 9115378 9115379 chr2:9115379:T:G rs2048399 T G A EBF1_EBF_1 6 1 - 4.588306359567057 4.22670399176578 ATCCCCAATGGACA chr2 9126420 9126421 chr2:9126421:C:T rs75212338 C T c EBF1_EBF_1 27 0 - 0 0 . chr2 9139764 9139765 chr2:9139765:G:A rs923528973 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 9139801 9139802 chr2:9139802:G:T rs78752186 G T G EBF1_EBF_1 -17 0 - 0 0 . chr2 9143110 9143111 chr2:9143111:G:C chr2:9143111:G:C G C G EBF1_EBF_1 -3 0 - 0 0 . chr2 9143111 9143112 chr2:9143112:C:T rs868623 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 9143746 9143747 chr2:9143747:T:C rs11683991 T C C EBF1_EBF_1 -7 0 - 0 0 . chr2 9148233 9148234 chr2:9148234:C:T rs4668606 C T T EBF1_EBF_1 -16 0 - 0 0 . chr2 9163605 9163606 chr2:9163606:T:G rs768753549 T G T EBF1_EBF_1 6 1 + 5.95975731683372 3.8532791244987648 TCTCCCTGGGGCAT chr2 9167770 9167771 chr2:9167771:C:G rs10198885 C G C EBF1_EBF_1 19 0 + 0 0 . chr2 9169749 9169750 chr2:9169750:T:C rs4668613 T C C EBF1_EBF_1 15 0 - 0 0 . chr2 9188590 9188591 chr2:9188591:C:A rs1217157648 C A C EBF1_EBF_1 -20 0 + 0 0 . chr2 9188607 9188608 chr2:9188608:G:A rs7582342 G A A EBF1_EBF_1 -3 0 + 0 0 . chr2 9191522 9191523 chr2:9191523:G:A rs73151885 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 9262975 9262976 chr2:9262976:C:A rs79486305 C A C EBF1_EBF_1 -5 0 - 0 0 . chr2 9268824 9268825 chr2:9268825:T:G rs140112567 T G t EBF1_EBF_1 -16 0 + 0 0 . chr2 9268860 9268861 chr2:9268861:C:T rs118062344 C T t EBF1_EBF_1 20 0 + 0 0 . chr2 9273897 9273898 chr2:9273898:A:C rs111550032 A C a EBF1_EBF_1 24 0 - 0 0 . chr2 9300264 9300265 chr2:9300265:C:G rs62121308 C G C EBF1_EBF_1 8 1 - 6.174295426765301 0.8556365658506158 CCTCCCAAGAGAGA chr2 9306945 9306946 chr2:9306946:C:T rs12478944 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 9318880 9318881 chr2:9318881:G:C rs76954072 G C g EBF1_EBF_1 15 0 - 0 0 . chr2 9341296 9341297 chr2:9341297:A:G rs7596570 A G G EBF1_EBF_1 -13 0 - 0 0 . chr2 9378524 9378525 chr2:9378525:A:G rs188574697 A G A EBF1_EBF_1 16 0 + 0 0 . chr2 9384835 9384836 chr2:9384836:C:T rs2715859 C T T EBF1_EBF_1 13 1 + 5.45109294938553 6.295587063971048 TTCCCCCAGGGTAC chr2 9417262 9417263 chr2:9417263:A:G rs28568480 A G G EBF1_EBF_1 -3 0 + 0 0 . chr2 9473597 9473598 chr2:9473598:G:A rs146802295 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 9474393 9474394 chr2:9474394:G:A rs13411809 G A A EBF1_EBF_1 -17 0 - 0 0 . chr2 9538318 9538319 chr2:9538319:C:G rs184109100 C G C EBF1_EBF_1 33 0 + 0 0 . chr2 9547481 9547482 chr2:9547482:C:T rs114629979 C T C EBF1_EBF_1 13 1 + 5.16894612017189 6.013440234757408 TTTCCCAGGGAAAC chr2 9596744 9596745 chr2:9596745:C:T rs6432023 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 9646865 9646866 chr2:9646866:G:T rs62121165 G T g EBF1_EBF_1 14 0 - 0 0 . chr2 9666155 9666156 chr2:9666156:C:T rs11684381 C T T EBF1_EBF_1 10 1 - 7.44742075892918 2.1293922996622134 AGCCCCCTGGGGAC chr2 9675804 9675805 chr2:9675805:C:A rs114062384 C A C EBF1_EBF_1 11 1 - 5.580415958365665 4.375208545870952 ATCCCCTCGGGGTC chr2 9675809 9675810 chr2:9675810:A:G rs9287712 A G G EBF1_EBF_1 6 1 - 5.580415958365665 5.279129829503908 ATCCCCTCGGGGTC chr2 9690486 9690487 chr2:9690487:C:T rs111638414 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 9690491 9690492 chr2:9690492:G:A rs4669419 G A A EBF1_EBF_1 -13 0 - 0 0 . chr2 9690495 9690496 chr2:9690496:A:G rs116768467 A G A EBF1_EBF_1 -17 0 - 0 0 . chr2 9692679 9692680 chr2:9692680:A:G rs6729452 A G A EBF1_EBF_1 19 0 - 0 0 . chr2 9711980 9711981 chr2:9711981:T:C rs11685771 T C T EBF1_EBF_1 -16 0 + 0 0 . chr2 9738416 9738417 chr2:9738417:T:G rs4669447 T G G EBF1_EBF_1 -7 0 + 0 0 . chr2 9746315 9746316 chr2:9746316:C:T rs12476199 C T C EBF1_EBF_1 3 1 + 4.667646485748327 -1.7377522558049905 GGCCCCCAAGGACC chr2 9751489 9751490 chr2:9751490:C:G rs6747249 C G C EBF1_EBF_1 24 0 - 0 0 . chr2 9752633 9752634 chr2:9752634:G:A rs10198218 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 9790704 9790705 chr2:9790705:C:T rs35722457 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 9794670 9794671 chr2:9794671:G:A rs1877948 G A G EBF1_EBF_1 6 1 + 4.61225346564253 6.779047896917005 GCCCCCGTGAGACT chr2 9801158 9801159 chr2:9801159:G:A rs1011081068 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 9807240 9807241 chr2:9807241:C:T rs76461231 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 9820777 9820778 chr2:9820778:G:A rs76401057 G A A EBF1_EBF_1 -9 0 - 0 0 . chr2 9884314 9884315 chr2:9884315:A:G rs395381 A G G EBF1_EBF_1 6 1 + 5.371936025247366 3.20514159397289 CTCCCCAAAGGACC chr2 9884322 9884323 chr2:9884323:G:A rs414499 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 9895161 9895162 chr2:9895162:G:A rs386355 G A A EBF1_EBF_1 -13 0 + 0 0 . chr2 9950114 9950115 chr2:9950115:T:C rs6755289 T C C EBF1_EBF_1 15 0 - 0 0 . chr2 9950631 9950632 chr2:9950632:C:G rs1864542 C G C EBF1_EBF_1 -2 0 + 0 0 . chr2 9951064 9951065 chr2:9951065:G:A rs373505866 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 9970717 9970718 chr2:9970718:G:A rs76035557 G A G EBF1_EBF_1 4 1 - 9.549007205484255 5.1796202605393304 TTCCCCCAGGGAAC chr2 9973721 9973722 chr2:9973722:G:A rs79713514 G A G EBF1_EBF_1 5 1 - 8.687599514118503 3.3658122985778323 AAACCCTAGGGAAA chr2 9978061 9978062 chr2:9978062:C:T rs3791761 C T C EBF1_EBF_1 -13 0 - 0 0 . chr2 9982456 9982457 chr2:9982457:G:A rs6432048 G A G EBF1_EBF_1 6 1 - 5.964092892060543 6.265379020922301 ACACCCCAGAGATT chr2 9990949 9990950 chr2:9990950:C:A rs1430621 C A c EBF1_EBF_1 1 1 - 7.0134513455099565 7.719113131339483 AGTCCCCAGGAAAA chr2 9990965 9990966 chr2:9990966:T:C rs1367388 T C C EBF1_EBF_1 -15 0 - 0 0 . chr2 9992145 9992146 chr2:9992146:T:C rs72784461 T C T EBF1_EBF_1 26 0 - 0 0 . chr2 10005126 10005127 chr2:10005127:T:C rs115925001 T C T EBF1_EBF_1 -9 0 + 0 0 . chr2 10008575 10008576 chr2:10008576:C:T rs12477748 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 10009761 10009762 chr2:10009762:G:A rs76053277 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 10020230 10020231 chr2:10020231:A:G rs12998233 A G G EBF1_EBF_1 1 1 - 6.077385402947141 5.181951962548395 TTCCCCTTGGGGCA chr2 10028119 10028120 chr2:10028120:G:A rs6432051 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 10033361 10033362 chr2:10033362:G:C rs13409081 G C G EBF1_EBF_1 -11 0 - 0 0 . chr2 10038821 10038822 chr2:10038822:T:C rs10182936 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 10042055 10042056 chr2:10042056:G:C rs74839537 G C G EBF1_EBF_1 -6 0 - 0 0 . chr2 10055488 10055489 chr2:10055489:C:T rs13021409 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 10061386 10061387 chr2:10061387:A:G rs10171924 A G G EBF1_EBF_1 29 0 + 0 0 . chr2 10069865 10069866 chr2:10069866:C:T rs4233874 C T T EBF1_EBF_1 -20 0 + 0 0 . chr2 10079150 10079151 chr2:10079151:G:A rs185262457 G A G EBF1_EBF_1 4 1 - 4.385929811080434 0.016542866135510925 AGCCCCAGGCGACA chr2 10080466 10080467 chr2:10080467:C:T rs1217099665 C T c EBF1_EBF_1 -2 0 - 0 0 . chr2 10080702 10080703 chr2:10080703:G:T rs13432355 G T G EBF1_EBF_1 7 1 - 3.8818754009544607 6.175654387324642 CCTCCCACGGGCCC chr2 10094051 10094052 chr2:10094052:T:C rs7587317 T C C EBF1_EBF_1 21 0 + 0 0 . chr2 10103645 10103646 chr2:10103646:C:T rs13401408 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 10122426 10122427 chr2:10122427:C:T rs72542778 C T C EBF1_EBF_1 13 1 + 6.68378893623336 7.528283050818878 GGTCCCGCGGGACC chr2 10122430 10122431 chr2:10122431:G:C rs72542779 G C G EBF1_EBF_1 17 0 + 0 0 . chr2 10122481 10122482 chr2:10122482:G:A rs72542781 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 10122497 10122498 chr2:10122498:G:T rs906472685 G T G EBF1_EBF_1 7 1 - 6.23718297413264 8.530961960502822 CGCCCCCCGGGACC chr2 10143295 10143296 chr2:10143296:C:T rs62129887 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 10144187 10144188 chr2:10144188:A:G rs729917 A G G EBF1_EBF_1 17 0 + 0 0 . chr2 10183271 10183272 chr2:10183272:G:A rs13390624 G A g EBF1_EBF_1 28 0 - 0 0 . chr2 10183310 10183311 chr2:10183311:A:G rs13030003 A G a EBF1_EBF_1 -11 0 - 0 0 . chr2 10183751 10183752 chr2:10183752:G:A rs13393693 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 10191218 10191219 chr2:10191219:A:G rs66706988 A G A EBF1_EBF_1 6 1 - 8.778595012121384 8.477308883259628 AACCCCTAGGGGCT chr2 10195389 10195390 chr2:10195390:T:A rs111981313 T A T EBF1_EBF_1 21 0 - 0 0 . chr2 10196625 10196626 chr2:10196626:G:A rs75835830 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 10228670 10228671 chr2:10228671:A:T rs7601376 A T A EBF1_EBF_1 18 0 + 0 0 . chr2 10228744 10228745 chr2:10228745:A:G rs7601478 A G G EBF1_EBF_1 23 0 + 0 0 . chr2 10231593 10231594 chr2:10231594:A:C rs7559573 A C A EBF1_EBF_1 -9 0 - 0 0 . chr2 10234353 10234354 chr2:10234354:C:T rs10929640 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 10235116 10235117 chr2:10235117:G:A rs576335088 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 10236793 10236794 chr2:10236794:A:G rs1250227060 A G A EBF1_EBF_1 15 0 + 0 0 . chr2 10245688 10245689 chr2:10245689:G:A rs6715087 G A A EBF1_EBF_1 5 1 - 5.756513051128048 0.4347258355873774 ATTCCCTTGGTGCA chr2 10252671 10252672 chr2:10252672:C:T rs73914014 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 10267658 10267659 chr2:10267659:T:C rs4027356 T C C EBF1_EBF_1 -18 0 - 0 0 . chr2 10269759 10269760 chr2:10269760:T:C rs192728684 T C T EBF1_EBF_1 19 0 + 0 0 . chr2 10270386 10270387 chr2:10270387:G:A rs58404611 G A G EBF1_EBF_1 0 1 + 5.79948965254704 7.691773522111874 GGTGCCCAGGGACT chr2 10270730 10270731 chr2:10270731:C:T rs79722815 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 10273888 10273889 chr2:10273889:G:A rs551020229 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 10274042 10274043 chr2:10274043:C:T rs13025403 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 10274589 10274590 chr2:10274590:C:T rs72771647 C T C EBF1_EBF_1 3 1 + 4.103200700275495 -2.302198041277823 GGTCCCCCGGGCAC chr2 10274596 10274597 chr2:10274597:G:A rs6710746 G A G EBF1_EBF_1 10 1 + 4.103200700275495 -1.2148277589914713 GGTCCCCCGGGCAC chr2 10282812 10282813 chr2:10282813:C:T rs7566637 C T T EBF1_EBF_1 14 0 + 0 0 . chr2 10289984 10289985 chr2:10289985:T:G rs1054882349 T G T EBF1_EBF_1 7 1 - 9.301975412838724 7.008196426468544 TGTCCCCAGGGATA chr2 10290013 10290014 chr2:10290014:G:C rs75561268 G C G EBF1_EBF_1 12 1 - 8.135841853003543 6.74720590932539 ACTCCCTGGGTACT chr2 10320212 10320213 chr2:10320213:A:T rs6740419 A T A EBF1_EBF_1 -20 0 + 0 0 . chr2 10328169 10328170 chr2:10328170:A:C rs1003652 A C C EBF1_EBF_1 26 0 + 0 0 . chr2 10331407 10331408 chr2:10331408:C:T rs753343024 C T C EBF1_EBF_1 31 0 + 0 0 . chr2 10335181 10335182 chr2:10335182:T:C rs4669572 T C C EBF1_EBF_1 -15 0 - 0 0 . chr2 10346013 10346014 chr2:10346014:T:C rs113512457 T C T EBF1_EBF_1 26 0 - 0 0 . chr2 10353708 10353709 chr2:10353709:T:C rs4669576 T C C EBF1_EBF_1 22 0 + 0 0 . chr2 10369376 10369377 chr2:10369377:C:T rs117777750 C T C EBF1_EBF_1 6 1 - 8.828889207806313 10.995683639080788 AATCCCGAGAGAAT chr2 10371855 10371856 chr2:10371856:C:T rs73914060 C T T EBF1_EBF_1 -14 0 - 0 0 . chr2 10374879 10374880 chr2:10374880:T:C rs2016436 T C C EBF1_EBF_1 12 1 + 4.543800634886633 5.570067205437483 ACTCCCTGGGGCTG chr2 10396601 10396602 chr2:10396602:C:T rs2270300 C T C EBF1_EBF_1 1 1 - 5.507181002839347 5.246482170918044 AGCCCCAAGGTAAG chr2 10396891 10396892 chr2:10396892:A:G rs2270302 A G G EBF1_EBF_1 21 0 + 0 0 . chr2 10399753 10399754 chr2:10399754:C:T rs62130183 C T C EBF1_EBF_1 7 1 - 3.9564134039901613 5.268871809278988 ACTGCCCGGGGAGC chr2 10410660 10410661 chr2:10410661:G:T rs138912274 G T G EBF1_EBF_1 -17 0 + 0 0 . chr2 10411607 10411608 chr2:10411608:A:G rs56044909 A G G EBF1_EBF_1 -20 0 + 0 0 . chr2 10411655 10411656 chr2:10411656:C:T rs62130189 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 10411762 10411763 chr2:10411763:A:G rs11691753 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 10411791 10411792 chr2:10411792:C:T rs114338829 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 10413750 10413751 chr2:10413751:A:G rs3755262 A G A EBF1_EBF_1 11 1 + 5.334886045998802 2.4421792023947906 ATTCCAATGGGATC chr2 10413771 10413772 chr2:10413772:C:T rs3755261 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 10421987 10421988 chr2:10421988:G:A rs3821198 G A A EBF1_EBF_1 18 0 + 0 0 . chr2 10424798 10424799 chr2:10424799:A:G rs3771120 A G A EBF1_EBF_1 -3 0 + 0 0 . chr2 10425295 10425296 chr2:10425296:T:G rs3771118 T G G EBF1_EBF_1 11 1 - 7.3570153963746066 2.941053698522216 AGTCCCCAGGAACC chr2 10425451 10425452 chr2:10425452:G:A rs11686949 G A G EBF1_EBF_1 -7 0 + 0 0 . chr2 10432821 10432822 chr2:10432822:C:T rs114158849 C T T EBF1_EBF_1 17 0 + 0 0 . chr2 10469300 10469301 chr2:10469301:G:A rs114804780 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 10471509 10471510 chr2:10471510:C:A rs2430423 C A C EBF1_EBF_1 3 1 + 3.529991772900604 -3.3761822565652038 GCCCCCGTGGGGCC chr2 10474961 10474962 chr2:10474962:T:C rs36017246 T C T EBF1_EBF_1 0 1 + 5.3688886750351426 5.086686614304565 TTCCCCAGGAGAGT chr2 10481980 10481981 chr2:10481981:G:C rs818178 G C C EBF1_EBF_1 -9 0 - 0 0 . chr2 10486978 10486979 chr2:10486979:G:A rs11673735 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 10491165 10491166 chr2:10491166:C:T rs78573341 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 10496809 10496810 chr2:10496810:T:C rs818149 T C C EBF1_EBF_1 -14 0 - 0 0 . chr2 10502121 10502122 chr2:10502122:C:T chr2:10502122:C:T C T C EBF1_EBF_1 22 0 + 0 0 . chr2 10507247 10507248 chr2:10507248:A:G rs114456406 A G A EBF1_EBF_1 28 0 + 0 0 . chr2 10520290 10520291 chr2:10520291:A:T rs6738001 A T T EBF1_EBF_1 22 0 + 0 0 . chr2 10520912 10520913 chr2:10520913:G:A rs116283717 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 10520920 10520921 chr2:10520921:C:T rs1405950 C T c EBF1_EBF_1 -19 0 - 0 0 . chr2 10522995 10522996 chr2:10522996:C:T rs113521172 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 10526908 10526909 chr2:10526909:G:T rs16856347 G T G EBF1_EBF_1 20 0 + 0 0 . chr2 10530627 10530628 chr2:10530628:T:G rs1641142 T G G EBF1_EBF_1 -19 0 + 0 0 . chr2 10531253 10531254 chr2:10531254:G:A rs2463462 G A g EBF1_EBF_1 18 0 + 0 0 . chr2 10537089 10537090 chr2:10537090:T:A rs16856397 T A T EBF1_EBF_1 -13 0 + 0 0 . chr2 10568944 10568945 chr2:10568945:T:C rs2357651 T C C EBF1_EBF_1 -16 0 + 0 0 . chr2 10568967 10568968 chr2:10568968:T:C rs11679990 T C C EBF1_EBF_1 7 1 + 8.532340344891766 6.714835598609142 AGACCCATGGGAAA chr2 10571860 10571861 chr2:10571861:C:T rs1045596 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 10588845 10588846 chr2:10588846:C:T rs35516187 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 10591377 10591378 chr2:10591378:G:C rs2024433 G C G EBF1_EBF_1 5 1 - 6.180487091777816 -0.7243940608573536 ACCCCCCAGGGCCC chr2 10599917 10599918 chr2:10599918:A:G rs7600820 A G G EBF1_EBF_1 -4 0 - 0 0 . chr2 10604803 10604804 chr2:10604804:C:T rs6432114 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 10744327 10744328 chr2:10744328:G:A rs571928012 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 10765027 10765028 chr2:10765028:C:A rs76967584 C A C EBF1_EBF_1 -19 0 + 0 0 . chr2 10765069 10765070 chr2:10765070:G:T rs1198842 G T G EBF1_EBF_1 23 0 + 0 0 . chr2 10766188 10766189 chr2:10766189:A:G rs72777381 A G A EBF1_EBF_1 28 0 + 0 0 . chr2 10775215 10775216 chr2:10775216:G:A rs62128999 G A G EBF1_EBF_1 4 1 - 7.680355632632414 3.310968687687491 CGTCCCATGAGAAC chr2 10776755 10776756 chr2:10776756:C:T rs115921758 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 10776760 10776761 chr2:10776761:C:A rs114349491 C A C EBF1_EBF_1 -2 0 + 0 0 . chr2 10777467 10777468 chr2:10777468:G:A rs3856467 G A G EBF1_EBF_1 7 1 - 5.397547386853971 7.215052133136595 CCACCCTCGGGACT chr2 10778235 10778236 chr2:10778236:A:G rs58528211 A G G EBF1_EBF_1 -10 0 - 0 0 . chr2 10813143 10813144 chr2:10813144:C:G rs373137111 C G C EBF1_EBF_1 16 0 - 0 0 . chr2 10813152 10813153 chr2:10813153:G:A rs6737184 G A G EBF1_EBF_1 7 1 - 6.8991973253005145 8.716702071583137 GCTCCCCCGGGATA chr2 10814716 10814717 chr2:10814717:C:G rs56260452 C G C EBF1_EBF_1 -19 0 + 0 0 . chr2 10814717 10814718 chr2:10814718:A:G rs1627163 A G G EBF1_EBF_1 -18 0 + 0 0 . chr2 10815292 10815293 chr2:10815293:G:A rs80159174 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 10820283 10820284 chr2:10820284:T:C rs13431852 T C T EBF1_EBF_1 -1 0 - 0 0 . chr2 10828860 10828861 chr2:10828861:C:T rs62120276 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 10835455 10835456 chr2:10835456:C:T rs114363400 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 10860219 10860220 chr2:10860220:A:G rs72781419 A G G EBF1_EBF_1 19 0 - 0 0 . chr2 10860569 10860570 chr2:10860570:A:G rs13027142 A G G EBF1_EBF_1 -7 0 + 0 0 . chr2 10866011 10866012 chr2:10866012:C:T rs1574302 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 10866012 10866013 chr2:10866013:T:G rs1317614 T G T EBF1_EBF_1 29 0 - 0 0 . chr2 10884365 10884366 chr2:10884366:A:C rs77014155 A C A EBF1_EBF_1 -1 0 + 0 0 . chr2 10916482 10916483 chr2:10916483:C:T rs72781460 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 10932999 10933000 chr2:10933000:T:C rs11676836 T C T EBF1_EBF_1 29 0 + 0 0 . chr2 10941422 10941423 chr2:10941423:T:C rs6758252 T C T EBF1_EBF_1 -12 0 + 0 0 . chr2 10947713 10947714 chr2:10947714:G:A rs11895862 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 10980934 10980935 chr2:10980935:G:A rs72781492 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 10980947 10980948 chr2:10980948:T:C rs896754 T C C EBF1_EBF_1 15 0 - 0 0 . chr2 10985028 10985029 chr2:10985029:T:C rs73915216 T C T EBF1_EBF_1 -4 0 + 0 0 . chr2 11005087 11005088 chr2:11005088:T:G rs7597964 T G G EBF1_EBF_1 0 1 + 6.45971527303282 6.540487826434007 TTTCCCCTGGGTCA chr2 11024556 11024557 chr2:11024557:G:A rs77840423 G A G EBF1_EBF_1 7 1 - 4.6507172998879796 6.468222046170603 AGCCCCTCGAGACG chr2 11024571 11024572 chr2:11024572:A:C rs4668709 A C A EBF1_EBF_1 -8 0 - 0 0 . chr2 11066340 11066341 chr2:11066341:A:G rs16857119 A G A EBF1_EBF_1 6 1 + 5.142754495757962 2.975960064483487 CTTCCCAAGGAATC chr2 11069184 11069185 chr2:11069185:G:A rs73917721 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 11108153 11108154 chr2:11108154:T:C rs33998989 T C T EBF1_EBF_1 17 0 + 0 0 . chr2 11110371 11110372 chr2:11110372:T:C rs10167253 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 11121597 11121598 chr2:11121598:T:C rs77326210 T C T EBF1_EBF_1 -16 0 - 0 0 . chr2 11123688 11123689 chr2:11123689:C:T rs1546600 C T c EBF1_EBF_1 7 1 + 5.542065734799318 7.359570481081943 CTCCCCACGGGAGA chr2 11125245 11125246 chr2:11125246:A:T rs926162589 A T A EBF1_EBF_1 21 0 - 0 0 . chr2 11156842 11156843 chr2:11156843:C:T rs12185728 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 11159120 11159121 chr2:11159121:G:T rs115451724 G T G EBF1_EBF_1 24 0 - 0 0 . chr2 11159729 11159730 chr2:11159730:A:G rs7601432 A G G EBF1_EBF_1 -12 0 + 0 0 . chr2 11344334 11344335 chr2:11344335:C:T rs577796797 C T - EBF1_EBF_1 32 0 + 0 0 . chr2 11344335 11344336 chr2:11344336:A:G rs745706111 A G - EBF1_EBF_1 33 0 + 0 0 . chr2 11370931 11370932 chr2:11370932:C:T rs35750432 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 11372185 11372186 chr2:11372186:C:T rs35665018 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 11392000 11392001 chr2:11392001:A:G rs35542469 A G G EBF1_EBF_1 -10 0 - 0 0 . chr2 11407204 11407205 chr2:11407205:C:T rs146474975 C T C EBF1_EBF_1 4 1 + 6.629827690510329 2.260440745565405 ATCCCCCTGGGCAC chr2 11407217 11407218 chr2:11407218:G:A rs113662835 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 11465674 11465675 chr2:11465675:G:A rs185008115 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 11522051 11522052 chr2:11522052:C:T rs4669743 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 11564709 11564710 chr2:11564710:G:T rs1898003 G T G EBF1_EBF_1 27 0 + 0 0 . chr2 11582894 11582895 chr2:11582895:C:T rs7576826 C T C EBF1_EBF_1 9 1 - 4.24961917322066 1.5946799077730558 CGCCCCCAGGGGGC chr2 11583998 11583999 chr2:11583999:G:A rs145958370 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 11591647 11591648 chr2:11591648:T:G rs2358040 T G G EBF1_EBF_1 -4 0 - 0 0 . chr2 11630558 11630559 chr2:11630559:A:G rs7564647 A G A EBF1_EBF_1 14 0 + 0 0 . chr2 11636662 11636663 chr2:11636663:A:G rs13013185 A G a EBF1_EBF_1 7 1 + 11.217154232707482 9.904695827418657 AGTCCCCAGGGAAG chr2 11667818 11667819 chr2:11667819:C:A rs76755623 C A C EBF1_EBF_1 -5 0 + 0 0 . chr2 11697887 11697888 chr2:11697888:G:A rs62116208 G A G EBF1_EBF_1 6 1 + 5.440571421743148 7.6073658530176225 TCTCCCGAGGGGCA chr2 11703277 11703278 chr2:11703278:G:T rs13431864 G T G EBF1_EBF_1 22 0 + 0 0 . chr2 11714997 11714998 chr2:11714998:G:A rs10929768 G A A EBF1_EBF_1 18 0 - 0 0 . chr2 11715585 11715586 chr2:11715586:A:G rs12692445 A G A EBF1_EBF_1 33 0 - 0 0 . chr2 11721793 11721794 chr2:11721794:C:T rs4076903 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 11744313 11744314 chr2:11744314:C:T rs189985622 C T C EBF1_EBF_1 5 1 + 6.416632234705522 1.094845019164851 CCTCCCAGGGGGAC chr2 11744338 11744339 chr2:11744339:T:C rs564433228 T C T EBF1_EBF_1 30 0 + 0 0 . chr2 11744379 11744380 chr2:11744380:G:A rs11884138 G A G EBF1_EBF_1 9 1 - 5.058714997402517 3.2974147356591716 ACTCCCAGGCGATA chr2 11746825 11746826 chr2:11746826:G:C rs62113307 G C - EBF1_EBF_1 8 1 + 4.691365268803757 -0.627293592110929 CGTCCCCGGGGCCC chr2 11746924 11746925 chr2:11746925:C:T rs73183200 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 11753086 11753087 chr2:11753087:T:C rs10169802 T C C EBF1_EBF_1 7 1 - 4.923937260373308 3.6114788550844823 CTTGCCCAGGGACA chr2 11753964 11753965 chr2:11753965:C:T rs114983780 C T C EBF1_EBF_1 -14 0 + 0 0 . chr2 11765749 11765750 chr2:11765750:C:T rs10209969 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 11800044 11800045 chr2:11800045:G:A rs11690125 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 11806010 11806011 chr2:11806011:A:T rs139986663 A T A EBF1_EBF_1 -18 0 - 0 0 . chr2 11846755 11846756 chr2:11846756:T:C rs6716213 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 11857506 11857507 chr2:11857507:C:T rs2716622 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 11875531 11875532 chr2:11875532:G:A rs80084273 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 12024408 12024409 chr2:12024409:G:A rs62113770 G A . EBF1_EBF_1 6 1 - 6.517072515350008 6.818358644211765 ATTCCCCCAGGAAA chr2 12106442 12106443 chr2:12106443:C:T rs141323486 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 12120588 12120589 chr2:12120589:T:C rs16858306 T C T EBF1_EBF_1 0 1 - 9.622908994852443 7.730625125287609 AATCCCTGGAGAAT chr2 12145792 12145793 chr2:12145793:G:C rs11677653 G C G EBF1_EBF_1 0 1 - 4.626945011235337 4.989919625367104 CTTCCCAGGAGGCC chr2 12148144 12148145 chr2:12148145:A:G rs10169179 A G G EBF1_EBF_1 -7 0 + 0 0 . chr2 12163632 12163633 chr2:12163633:A:C rs60393234 A C A EBF1_EBF_1 -10 0 + 0 0 . chr2 12164136 12164137 chr2:12164137:C:G rs35173680 C G C EBF1_EBF_1 -8 0 + 0 0 . chr2 12164896 12164897 chr2:12164897:G:C rs3906769 G C G EBF1_EBF_1 27 0 - 0 0 . chr2 12167683 12167684 chr2:12167684:C:T rs12617169 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 12177157 12177158 chr2:12177158:C:A rs35611779 C A C EBF1_EBF_1 29 0 + 0 0 . chr2 12202571 12202572 chr2:12202572:C:T rs79576863 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 12202599 12202600 chr2:12202600:G:A rs6432270 G A G EBF1_EBF_1 -14 0 - 0 0 . chr2 12217359 12217360 chr2:12217360:A:G rs78656944 A G A EBF1_EBF_1 33 0 + 0 0 . chr2 12227571 12227572 chr2:12227572:A:G rs12692474 A G A EBF1_EBF_1 19 0 - 0 0 . chr2 12287635 12287636 chr2:12287636:C:T rs1020606 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 12287640 12287641 chr2:12287641:G:A rs61429922 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 12314518 12314519 chr2:12314519:G:A rs13419438 G A G EBF1_EBF_1 1 1 + 4.238975525047116 3.9782766931258133 TGCCCCCTGGGTCC chr2 12320326 12320327 chr2:12320327:G:T rs75425998 G T G EBF1_EBF_1 33 0 + 0 0 . chr2 12347657 12347658 chr2:12347658:T:C rs1453500 T C T EBF1_EBF_1 30 0 + 0 0 . chr2 12383064 12383065 chr2:12383065:G:A rs59873945 G A A EBF1_EBF_1 31 0 + 0 0 . chr2 12417932 12417933 chr2:12417933:C:T rs6742536 C T T EBF1_EBF_1 -4 0 + 0 0 . chr2 12424302 12424303 chr2:12424303:G:A rs12990104 G A A EBF1_EBF_1 3 1 - 8.732974072156209 2.32757533060289 CCCCCCAAGGGAAC chr2 12431042 12431043 chr2:12431043:G:A rs17367389 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 12469505 12469506 chr2:12469506:G:T rs34339694 G T G EBF1_EBF_1 24 0 + 0 0 . chr2 12469512 12469513 chr2:12469513:T:C rs10203605 T C T EBF1_EBF_1 31 0 + 0 0 . chr2 12486936 12486937 chr2:12486937:G:A rs143912575 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 12501041 12501042 chr2:12501042:C:T rs777453789 C T C EBF1_EBF_1 9 1 - 5.214832314294007 2.5598930488464027 AGCCCCCAGGTAGC chr2 12540314 12540315 chr2:12540315:G:A rs75111824 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 12543870 12543871 chr2:12543871:T:C rs779919 T C C EBF1_EBF_1 2 1 - 7.0262310065997235 3.9623729039981317 AGACCCCTGGGACG chr2 12657726 12657727 chr2:12657727:C:T rs72779695 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 12672747 12672748 chr2:12672748:T:C rs111452822 T C T EBF1_EBF_1 -20 0 - 0 0 . chr2 12703011 12703012 chr2:12703012:G:A rs143644619 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 12704580 12704581 chr2:12704581:C:T rs192628625 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 12715457 12715458 chr2:12715458:G:C rs1279850381 G C G EBF1_EBF_1 -12 0 + 0 0 . chr2 12718975 12718976 chr2:12718976:T:C chr2:12718976:T:C T C T EBF1_EBF_1 30 0 + 0 0 . chr2 12719332 12719333 chr2:12719333:T:C rs10929829 T C T EBF1_EBF_1 1 1 - 5.3811190146139545 5.641817846535256 GATCCCTAGGGCTC chr2 12734179 12734180 chr2:12734180:G:A rs1450937411 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 12737077 12737078 chr2:12737078:C:T rs13016655 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 12756846 12756847 chr2:12756847:A:C rs112134276 A C A EBF1_EBF_1 6 1 + 5.142198242139236 4.780595874337959 TGTCCCAAGAGGCA chr2 12808774 12808775 chr2:12808775:G:A rs732805 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 12825101 12825102 chr2:12825102:A:C rs370586536 A C A EBF1_EBF_1 33 0 - 0 0 . chr2 12826451 12826452 chr2:12826452:C:G rs72767642 C G T EBF1_EBF_1 -9 0 + 0 0 . chr2 12834529 12834530 chr2:12834530:C:A rs74523437 C A C EBF1_EBF_1 -9 0 - 0 0 . chr2 12854159 12854160 chr2:12854160:C:T rs6720520 C T C EBF1_EBF_1 8 1 - 6.663538309733352 2.4372482208470894 GTTCCCACGGGGCC chr2 12884915 12884916 chr2:12884916:G:A rs16859460 G A G EBF1_EBF_1 13 1 - 5.260392813940519 6.104886928526037 GTCCTCCAGGGAAC chr2 12932373 12932374 chr2:12932374:C:G rs116604701 C G C EBF1_EBF_1 22 0 - 0 0 . chr2 13055847 13055848 chr2:13055848:A:G rs77642872 A G A EBF1_EBF_1 27 0 - 0 0 . chr2 13093211 13093212 chr2:13093212:C:T rs10084422 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 13115930 13115931 chr2:13115931:T:C rs10193511 T C C EBF1_EBF_1 -6 0 - 0 0 . chr2 13190488 13190489 chr2:13190489:C:G rs10191846 C G C EBF1_EBF_1 -16 0 + 0 0 . chr2 13474773 13474774 chr2:13474774:A:G rs6739411 A G G EBF1_EBF_1 28 0 - 0 0 . chr2 13586181 13586182 chr2:13586182:G:A rs11688917 G A A EBF1_EBF_1 -12 0 + 0 0 . chr2 13645599 13645600 chr2:13645600:G:C rs7602013 G C G EBF1_EBF_1 -8 0 - 0 0 . chr2 13676340 13676341 chr2:13676341:G:T rs6757532 G T G EBF1_EBF_1 -18 0 - 0 0 . chr2 13726332 13726333 chr2:13726333:G:A rs1446545 G A A EBF1_EBF_1 15 0 + 0 0 . chr2 13745683 13745684 chr2:13745684:A:C rs55888601 A C A EBF1_EBF_1 -15 0 + 0 0 . chr2 13883525 13883526 chr2:13883526:G:A rs12692513 G A A EBF1_EBF_1 19 0 - 0 0 . chr2 14326086 14326087 chr2:14326087:C:T rs1871616 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 14365824 14365825 chr2:14365825:T:G rs10171046 T G G EBF1_EBF_1 13 1 + 6.26259777887291 3.9300326429319767 ATTCCCAAAGGTCT chr2 14535187 14535188 chr2:14535188:G:A rs6750312 G A - EBF1_EBF_1 27 0 - 0 0 . chr2 14675306 14675307 chr2:14675307:T:C rs1434974 T C C EBF1_EBF_1 29 0 + 0 0 . chr2 14751311 14751312 chr2:14751312:C:T rs28545122 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 14830441 14830442 chr2:14830442:A:G rs771201220 A G A EBF1_EBF_1 13 1 + 5.282079771903494 4.1677541998340555 CCTCCCCTGGGTCA chr2 14844085 14844086 chr2:14844086:T:C rs2714273 T C C EBF1_EBF_1 -18 0 + 0 0 . chr2 14844135 14844136 chr2:14844136:G:A rs2679425 G A A EBF1_EBF_1 32 0 + 0 0 . chr2 14901945 14901946 chr2:14901946:A:G rs17367529 A G G EBF1_EBF_1 6 1 + 7.65986617063635 5.493071739361873 ACACCCATGGGATC chr2 14906021 14906022 chr2:14906022:C:T rs875199 C T T EBF1_EBF_1 22 0 + 0 0 . chr2 14925103 14925104 chr2:14925104:T:C rs10180134 T C T EBF1_EBF_1 15 0 + 0 0 . chr2 15032064 15032065 chr2:15032065:G:A rs67199753 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 15038694 15038695 chr2:15038695:C:T rs6753890 C T C EBF1_EBF_1 6 1 + 4.317519773914692 4.61880590277645 CCTCCCCGAGGACA chr2 15038697 15038698 chr2:15038698:G:C rs6754091 G C G EBF1_EBF_1 9 1 + 4.317519773914692 -0.7581693724307712 CCTCCCCGAGGACA chr2 15041488 15041489 chr2:15041489:C:T rs532299418 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 15041503 15041504 chr2:15041504:C:T rs79177621 C T C EBF1_EBF_1 9 1 - 5.585878810255697 2.9309395448080933 TCCCCCTTGGGGAC chr2 15128716 15128717 chr2:15128717:A:C rs10180512 A C A EBF1_EBF_1 -10 0 + 0 0 . chr2 15287739 15287740 chr2:15287740:T:A rs4668442 T A t EBF1_EBF_1 16 0 + 0 0 . chr2 15472558 15472559 chr2:15472559:A:C rs11693457 A C C EBF1_EBF_1 -6 0 - 0 0 . chr2 15582255 15582256 chr2:15582256:A:G rs7601397 A G A EBF1_EBF_1 25 0 - 0 0 . chr2 15591779 15591780 chr2:15591780:T:C rs375713651 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 15618487 15618488 chr2:15618488:G:A rs76880770 G A G EBF1_EBF_1 23 0 + 0 0 . chr2 15618673 15618674 chr2:15618674:C:G rs76860840 C G C EBF1_EBF_1 18 0 + 0 0 . chr2 15619213 15619214 chr2:15619214:T:C rs116032592 T C T EBF1_EBF_1 21 0 - 0 0 . chr2 15640459 15640460 chr2:15640460:C:T rs148330977 C T C EBF1_EBF_1 6 1 + 4.50159361423454 4.802879743096298 CCTCCCCGGGGCCC chr2 15662999 15663000 chr2:15663000:C:A rs807580 C A C EBF1_EBF_1 10 1 - 7.615442749429945 3.4326905632026814 ACTCCCAGGGGGTC chr2 15668710 15668711 chr2:15668711:T:G rs35639114 T G T EBF1_EBF_1 27 0 - 0 0 . chr2 15668720 15668721 chr2:15668721:G:C rs114608187 G C G EBF1_EBF_1 17 0 - 0 0 . chr2 15675949 15675950 chr2:15675950:C:T rs11678931 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 15678046 15678047 chr2:15678047:G:A rs13401637 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 15696920 15696921 chr2:15696921:C:T rs6738091 C T C EBF1_EBF_1 6 1 - 5.577528561323758 7.744322992598233 CTTCCCGTGGGGCA chr2 15696943 15696944 chr2:15696944:G:A rs6738284 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 15696974 15696975 chr2:15696975:G:A rs6738303 G A A EBF1_EBF_1 -14 0 + 0 0 . chr2 15697004 15697005 chr2:15697005:T:C rs2380660 T C C EBF1_EBF_1 16 0 + 0 0 . chr2 15697009 15697010 chr2:15697010:G:A rs2380661 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 15733426 15733427 chr2:15733427:C:A rs57629313 C A C EBF1_EBF_1 13 1 - 7.305774344018421 9.638339479959354 TTCCCCTAGGGATG chr2 15764815 15764816 chr2:15764816:A:T rs993791123 A T A EBF1_EBF_1 28 0 + 0 0 . chr2 15766651 15766652 chr2:15766652:G:A rs184768317 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 15766653 15766654 chr2:15766654:T:C rs2544535 T C C EBF1_EBF_1 22 0 + 0 0 . chr2 15772801 15772802 chr2:15772802:A:G rs10929387 A G G EBF1_EBF_1 1 1 - 4.980645124866301 4.085211684467556 ATTCCCAGGGTGCA chr2 15780387 15780388 chr2:15780388:C:T rs72784908 C T C EBF1_EBF_1 14 0 + 0 0 . chr2 15818670 15818671 chr2:15818671:G:A rs4471862 G A G EBF1_EBF_1 -6 0 + 0 0 . chr2 15818678 15818679 chr2:15818679:T:C rs2380694 T C C EBF1_EBF_1 2 1 + 7.231948536309222 5.343125124867069 ACTCCCAAAGGAGC chr2 15822968 15822969 chr2:15822969:A:G rs62115371 A G G EBF1_EBF_1 26 0 - 0 0 . chr2 15851424 15851425 chr2:15851425:G:A rs78930443 G A - EBF1_EBF_1 27 0 - 0 0 . chr2 15874952 15874953 chr2:15874953:C:G rs2245018 C G G EBF1_EBF_1 6 1 + 5.65666727039829 3.851475206925093 ATTCCCCAGGTCAT chr2 15911903 15911904 chr2:15911904:G:A rs116245096 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 15912301 15912302 chr2:15912302:A:G rs958255054 A G A EBF1_EBF_1 -6 0 + 0 0 . chr2 15931148 15931149 chr2:15931149:T:C rs72770525 T C t EBF1_EBF_1 -7 0 + 0 0 . chr2 15931153 15931154 chr2:15931154:C:T rs578184151 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 15959889 15959890 chr2:15959890:C:T rs59322668 C T C EBF1_EBF_1 -11 0 - 0 0 . chr2 15965970 15965971 chr2:15965971:G:C rs79513878 G C G EBF1_EBF_1 5 1 - 5.941360553062067 -0.9635205995731022 ACCCCCCAGGGGGA chr2 15981869 15981870 chr2:15981870:C:T rs58598314 C T c EBF1_EBF_1 26 0 + 0 0 . chr2 16012621 16012622 chr2:16012622:A:T rs1334983030 A T A EBF1_EBF_1 28 0 + 0 0 . chr2 16030881 16030882 chr2:16030882:C:T rs35003082 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 16030892 16030893 chr2:16030893:C:T rs867074631 C T C EBF1_EBF_1 6 1 - 6.255744120655642 8.422538551930117 TTCCCCGAGGGAAG chr2 16038694 16038695 chr2:16038695:G:A rs141127230 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 16050493 16050494 chr2:16050494:G:C rs10929413 G C C EBF1_EBF_1 22 0 - 0 0 . chr2 16056173 16056174 chr2:16056174:G:T rs138057376 G T G EBF1_EBF_1 13 1 - 6.250959406592937 5.877213957306961 GTTCCCTGAGGACC chr2 16094137 16094138 chr2:16094138:G:A rs28377696 G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 16105930 16105931 chr2:16105931:C:T rs1281168431 C T C EBF1_EBF_1 30 0 + 0 0 . chr2 16123488 16123489 chr2:16123489:C:T rs4128882 C T C EBF1_EBF_1 5 1 + 5.434209821908735 0.11242260636806467 CCTCCCGTGGGATG chr2 16123713 16123714 chr2:16123714:G:C rs36170768 G C G EBF1_EBF_1 2 1 - 6.1397413128470575 1.280239034088739 AACCCCCAGGGCAC chr2 16134852 16134853 chr2:16134853:G:C rs74219240 G C G EBF1_EBF_1 32 0 - 0 0 . chr2 16165482 16165483 chr2:16165483:T:C rs949755 T C C EBF1_EBF_1 -13 0 + 0 0 . chr2 16185151 16185152 chr2:16185152:C:G rs11096716 C G G EBF1_EBF_1 9 1 - 6.728896023189226 1.6532068768437642 GTTCCCCAGGGCAA chr2 16191049 16191050 chr2:16191050:C:T rs10164840 C T C EBF1_EBF_1 -12 0 + 0 0 . chr2 16200974 16200975 chr2:16200975:C:T rs56252808 C T C EBF1_EBF_1 22 0 + 0 0 . chr2 16201987 16201988 chr2:16201988:C:T rs536734282 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 16224179 16224180 chr2:16224180:G:A rs12474170 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 16238473 16238474 chr2:16238474:G:C rs116693119 G C G EBF1_EBF_1 -11 0 + 0 0 . chr2 16238482 16238483 chr2:16238483:G:A rs4832659 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 16244545 16244546 chr2:16244546:C:T rs187672351 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 16250716 16250717 chr2:16250717:C:T rs56115366 C T C EBF1_EBF_1 12 1 + 5.1823014738653255 4.156034903314476 AGTCCCCAAGGGCA chr2 16254578 16254579 chr2:16254579:C:T rs73215877 C T T EBF1_EBF_1 33 0 - 0 0 . chr2 16254629 16254630 chr2:16254630:T:C rs73916528 T C C EBF1_EBF_1 -18 0 - 0 0 . chr2 16255142 16255143 chr2:16255143:A:T rs6737053 A T T EBF1_EBF_1 -3 0 + 0 0 . chr2 16257918 16257919 chr2:16257919:A:G rs9306904 A G G EBF1_EBF_1 23 0 - 0 0 . chr2 16385389 16385390 chr2:16385390:C:G rs766032 C G G EBF1_EBF_1 -8 0 - 0 0 . chr2 16434261 16434262 chr2:16434262:T:C rs6706856 T C T EBF1_EBF_1 -14 0 - 0 0 . chr2 16482799 16482800 chr2:16482800:G:C rs7605021 G C C EBF1_EBF_1 29 0 - 0 0 . chr2 16508682 16508683 chr2:16508683:A:T rs7605502 A T A EBF1_EBF_1 7 1 - 9.591268911380713 10.067543151468271 TATCCCCTGGGACA chr2 16584184 16584185 chr2:16584185:C:T rs12328157 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 16591668 16591669 chr2:16591669:T:C rs11685029 T C T EBF1_EBF_1 -19 0 + 0 0 . chr2 16624456 16624457 chr2:16624457:T:C rs117989284 T C T EBF1_EBF_1 22 0 + 0 0 . chr2 16629183 16629184 chr2:16629184:C:G rs4832471 C G C EBF1_EBF_1 10 1 - 4.362135995150788 -2.528666392178688 GTCCCCTGAGGACC chr2 16755359 16755360 chr2:16755360:G:C rs810065 G C G EBF1_EBF_1 33 0 + 0 0 . chr2 16763659 16763660 chr2:16763660:G:C rs12473034 G C G EBF1_EBF_1 28 0 - 0 0 . chr2 16855341 16855342 chr2:16855342:G:C rs114468390 G C G EBF1_EBF_1 -20 0 - 0 0 . chr2 16941181 16941182 chr2:16941182:C:T chr2:16941182:C:T C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 16955269 16955270 chr2:16955270:G:A rs2341502 G A A EBF1_EBF_1 -3 0 + 0 0 . chr2 17031184 17031185 chr2:17031185:A:G rs3899235 A G G EBF1_EBF_1 22 0 - 0 0 . chr2 17084740 17084741 chr2:17084741:G:A rs7605245 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 17164621 17164622 chr2:17164622:T:C rs758892756 T C T EBF1_EBF_1 1 1 + 8.395949385866343 7.500515945467597 TTTCCCTTGAGATT chr2 17257253 17257254 chr2:17257254:G:A rs13016088 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 17263690 17263691 chr2:17263691:G:C rs7597793 G C g EBF1_EBF_1 5 1 - 7.005659438246991 0.1007782856118235 ATTCCCGAAGGAAA chr2 17444994 17444995 chr2:17444995:G:C rs11676937 G C C EBF1_EBF_1 -15 0 + 0 0 . chr2 17557840 17557841 chr2:17557841:A:C rs62131501 A C A EBF1_EBF_1 -16 0 - 0 0 . chr2 17610770 17610771 chr2:17610771:G:T rs60589080 G T G EBF1_EBF_1 -11 0 + 0 0 . chr2 17618020 17618021 chr2:17618021:C:T rs11683808 C T T EBF1_EBF_1 7 1 - 6.756932741574507 8.069391146863333 TTTCCCCGGGTACT chr2 17626311 17626312 chr2:17626312:T:C rs11681239 T C C EBF1_EBF_1 -7 0 + 0 0 . chr2 17753724 17753725 chr2:17753725:A:C chr2:17753725:A:C A C A EBF1_EBF_1 -9 0 + 0 0 . chr2 17754381 17754382 chr2:17754382:G:T rs13399970 G T G EBF1_EBF_1 -20 0 - 0 0 . chr2 17754626 17754627 chr2:17754627:G:C rs534733728 G C G EBF1_EBF_1 8 1 + 5.290381986558774 -0.02827687435591153 CTTCCCCCGGGCAT chr2 17754628 17754629 chr2:17754629:G:C rs77433929 G C G EBF1_EBF_1 10 1 + 5.290381986558774 -1.6004204007707001 CTTCCCCCGGGCAT chr2 17800416 17800417 chr2:17800417:G:T rs300159 G T G EBF1_EBF_1 8 1 + 4.9841007183541235 -1.9073320706230714 CCTCCCTCGGGTCT chr2 17805317 17805318 chr2:17805318:G:C rs1450095240 G C G EBF1_EBF_1 8 1 + 6.810239374728374 1.4915805138136893 AGTCCCAAGAGCCT chr2 17813846 17813847 chr2:17813847:G:A rs574434339 G A G EBF1_EBF_1 4 1 - 11.16067071429883 6.791283769353908 ACTCCCAGGGGACA chr2 17813948 17813949 chr2:17813949:C:G rs138105793 C G C EBF1_EBF_1 -20 0 + 0 0 . chr2 17912647 17912648 chr2:17912648:C:G rs1461951 C G C EBF1_EBF_1 4 1 + 8.72561740490296 3.0998523037534174 ATTCCCCAGGGGGA chr2 17918891 17918892 chr2:17918892:C:T rs2344690 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 17968955 17968956 chr2:17968956:T:C rs10184972 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 17968956 17968957 chr2:17968957:G:A rs10193216 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 18047980 18047981 chr2:18047981:T:A rs116590878 T A T EBF1_EBF_1 0 1 - 5.131262773965229 3.1582063509992064 AATCCCCTGTGAAC chr2 18047988 18047989 chr2:18047989:T:G rs4496377 T G T EBF1_EBF_1 -8 0 - 0 0 . chr2 18065333 18065334 chr2:18065334:T:C rs34148822 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 18110934 18110935 chr2:18110935:G:T rs2345493 G T T EBF1_EBF_1 26 0 + 0 0 . chr2 18120275 18120276 chr2:18120276:A:G rs144710362 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 18160112 18160113 chr2:18160113:C:T rs75950462 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 18209332 18209333 chr2:18209333:T:C rs2001863 T C T EBF1_EBF_1 -12 0 - 0 0 . chr2 18387655 18387656 chr2:18387656:G:A rs183895764 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 18387672 18387673 chr2:18387673:T:C rs78640509 T C T EBF1_EBF_1 13 1 - 5.904285319511688 4.789959747442249 CTTCCCAAGGTATA chr2 18425479 18425480 chr2:18425480:G:T rs13420313 G T G EBF1_EBF_1 18 0 + 0 0 . chr2 18491708 18491709 chr2:18491709:C:T rs73916548 C T C EBF1_EBF_1 8 1 - 7.79284823322927 3.566558144343008 CATCCCTCGGGACA chr2 18560886 18560887 chr2:18560887:C:T rs954767245 C T C EBF1_EBF_1 2 1 + 10.278829447783492 12.16765285922564 TTCCCCATGGGAAT chr2 18560991 18560992 chr2:18560992:T:C rs7587775 T C C EBF1_EBF_1 7 1 - 5.965745260142856 4.653286854854031 AGTCCCAAGAGCCC chr2 18586117 18586118 chr2:18586118:G:T rs11096544 G T G EBF1_EBF_1 -6 0 + 0 0 . chr2 18595381 18595382 chr2:18595382:A:G rs73226387 A G G EBF1_EBF_1 15 0 - 0 0 . chr2 18784551 18784552 chr2:18784552:C:G rs7597452 C G G EBF1_EBF_1 10 1 - 6.040556072721631 -0.850246314607843 TCTCCCCAGGGTCA chr2 18784567 18784568 chr2:18784568:A:G rs7558215 A G G EBF1_EBF_1 -6 0 - 0 0 . chr2 18790687 18790688 chr2:18790688:T:C rs56350710 T C C EBF1_EBF_1 15 0 + 0 0 . chr2 18829328 18829329 chr2:18829329:A:G rs181900963 A G A EBF1_EBF_1 -19 0 + 0 0 . chr2 18829358 18829359 chr2:18829359:C:T rs12994425 C T C EBF1_EBF_1 11 1 + 4.783484924184753 5.101532365938417 TGTCCCCTGGGCTC chr2 18829360 18829361 chr2:18829361:C:G rs12994426 C G C EBF1_EBF_1 13 1 + 4.783484924184753 3.2954139028293383 TGTCCCCTGGGCTC chr2 18911089 18911090 chr2:18911090:C:T rs539762407 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 18915451 18915452 chr2:18915452:A:G rs7560403 A G A EBF1_EBF_1 -16 0 - 0 0 . chr2 18976354 18976355 chr2:18976355:G:A rs114103646 G A G EBF1_EBF_1 11 1 + 7.812301605027584 10.705008448631595 ACTCCCCAGAGGAT chr2 19021638 19021639 chr2:19021639:T:C rs1001873630 T C T EBF1_EBF_1 7 1 + 6.7231232064678865 4.905618460185263 TTTCCCATAGGAAA chr2 19224226 19224227 chr2:19224227:G:A rs851317 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 19305552 19305553 chr2:19305553:T:G rs72780049 T G T EBF1_EBF_1 2 1 - 5.2434335671198955 7.039077743276621 ACACCCAAGGGGTC chr2 19319823 19319824 chr2:19319824:G:C rs113163516 G C G EBF1_EBF_1 10 1 + 7.241373755628104 0.3505713682986301 GCTCCCCAAGGAAT chr2 19347763 19347764 chr2:19347764:C:A chr2:19347764:C:A C A C EBF1_EBF_1 -13 0 + 0 0 . chr2 19347801 19347802 chr2:19347802:C:T rs746372353 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 19349849 19349850 chr2:19349850:C:T rs140195422 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 19361112 19361113 chr2:19361113:T:A chr2:19361113:T:A T A T EBF1_EBF_1 -14 0 - 0 0 . chr2 19419239 19419240 chr2:19419240:A:G rs13029323 A G A EBF1_EBF_1 13 1 + 4.8241991826409265 3.7098736105714876 AATGCCCAGGGAGA chr2 19507664 19507665 chr2:19507665:G:A rs12710713 G A A EBF1_EBF_1 -3 0 + 0 0 . chr2 19536340 19536341 chr2:19536341:A:T rs59593570 A T A EBF1_EBF_1 -11 0 + 0 0 . chr2 19571960 19571961 chr2:19571961:C:T rs62125178 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 19573658 19573659 chr2:19573659:T:C rs1427539 T C T EBF1_EBF_1 -16 0 + 0 0 . chr2 19609395 19609396 chr2:19609396:A:G rs1346353 A G A EBF1_EBF_1 20 0 - 0 0 . chr2 19648860 19648861 chr2:19648861:A:G rs62111830 A G G EBF1_EBF_1 33 0 - 0 0 . chr2 19651013 19651014 chr2:19651014:A:G rs1542320 A G A EBF1_EBF_1 -5 0 - 0 0 . chr2 19677548 19677549 chr2:19677549:C:T rs116313881 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 19718838 19718839 chr2:19718839:C:T rs4574073 C T C EBF1_EBF_1 10 1 - 9.768612087641433 4.450583628374467 GGCCCCCAGGGAAT chr2 19726606 19726607 chr2:19726607:G:A rs4666546 G A A EBF1_EBF_1 -11 0 - 0 0 . chr2 19728740 19728741 chr2:19728741:A:G rs113962420 A G A EBF1_EBF_1 12 1 + 8.884225224051844 7.465407881952368 AGCCCCACGGGAAC chr2 19734306 19734307 chr2:19734307:T:G rs896803 T G G EBF1_EBF_1 29 0 + 0 0 . chr2 19734518 19734519 chr2:19734519:C:T rs1078150 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 19750431 19750432 chr2:19750432:G:A rs13002741 G A . EBF1_EBF_1 4 1 - 7.422137684887534 3.0527507399426086 TCCCCCTTGGGATC chr2 19751814 19751815 chr2:19751815:C:T rs57793953 C T . EBF1_EBF_1 -14 0 + 0 0 . chr2 19819796 19819797 chr2:19819797:C:T rs116556732 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 19858656 19858657 chr2:19858657:G:A rs73920408 G A G EBF1_EBF_1 6 1 - 4.415023998555679 4.716310127417437 CTTCCTCAGGGAGC chr2 19862735 19862736 chr2:19862736:G:A rs546855118 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 19862886 19862887 chr2:19862887:G:A rs186079979 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 19879422 19879423 chr2:19879423:A:C rs80269679 A C A EBF1_EBF_1 2 1 - 9.9680739490137 3.2197482588132305 TCTCCCCAGGGAGT chr2 19879430 19879431 chr2:19879431:G:A rs13418866 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 19884861 19884862 chr2:19884862:C:A rs75651650 C A C EBF1_EBF_1 4 1 + 5.058960276111222 -0.7655993473422449 ACCCCCTGGGTAAA chr2 19884877 19884878 chr2:19884878:A:G rs12622453 A G G EBF1_EBF_1 20 0 + 0 0 . chr2 19886007 19886008 chr2:19886008:G:A rs10171948 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 19886009 19886010 chr2:19886010:C:T rs12474192 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 19903289 19903290 chr2:19903290:C:A rs77857432 C A C EBF1_EBF_1 18 0 - 0 0 . chr2 19903304 19903305 chr2:19903305:G:T rs78910981 G T G EBF1_EBF_1 3 1 - 6.375169909485395 -0.5310041199804099 ATTCCCCAGAGGAG chr2 19916770 19916771 chr2:19916771:C:T rs72779358 C T C EBF1_EBF_1 9 1 - 5.1766843032593215 2.5217450378117165 TTTCCCCAGGTGCT chr2 19933584 19933585 chr2:19933585:C:T rs2293670 C T C EBF1_EBF_1 4 1 + 4.39360615594353 0.024219210998605245 ACTCCGTAGGGACG chr2 20015719 20015720 chr2:20015720:C:T rs62109427 C T C EBF1_EBF_1 8 1 - 6.167510074339481 1.9412199854532204 ATTCACCAGGGAGC chr2 20098000 20098001 chr2:20098001:T:C rs6531207 T C C EBF1_EBF_1 24 0 - 0 0 . chr2 20126657 20126658 chr2:20126658:A:T rs3947817 A T A EBF1_EBF_1 13 1 + 6.050401448770736 7.26864101264223 GATCCCCAGGGTCA chr2 20129591 20129592 chr2:20129592:C:T rs6753830 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 20129614 20129615 chr2:20129615:G:T rs16987387 G T G EBF1_EBF_1 -7 0 - 0 0 . chr2 20145952 20145953 chr2:20145953:C:T rs10193851 C T C EBF1_EBF_1 3 1 - 5.665902584157244 4.587493002806654 CTTGCCCTGGGACT chr2 20146074 20146075 chr2:20146075:T:C rs77755634 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 20151241 20151242 chr2:20151242:T:C rs7607854 T C T EBF1_EBF_1 28 0 + 0 0 . chr2 20169800 20169801 chr2:20169801:C:T rs870526 C T T EBF1_EBF_1 32 0 - 0 0 . chr2 20173333 20173334 chr2:20173334:T:C rs55797386 T C T EBF1_EBF_1 11 1 - 11.487049525575776 8.594342681971764 CTTCCCAAGGGACC chr2 20174106 20174107 chr2:20174107:C:T rs57199133 C T C EBF1_EBF_1 18 0 - 0 0 . chr2 20177042 20177043 chr2:20177043:A:T rs3936141 A T T EBF1_EBF_1 -12 0 - 0 0 . chr2 20178443 20178444 chr2:20178444:G:C rs7559900 G C C EBF1_EBF_1 28 0 + 0 0 . chr2 20185639 20185640 chr2:20185640:T:C rs115254356 T C T EBF1_EBF_1 0 1 + 5.753641186551433 5.471439125820855 TTTCCCAGGAGGCT chr2 20185645 20185646 chr2:20185646:A:C rs898242476 A C A EBF1_EBF_1 6 1 + 5.753641186551433 5.392038818750156 TTTCCCAGGAGGCT chr2 20186266 20186267 chr2:20186267:G:A rs77018885 G A G EBF1_EBF_1 6 1 - 4.254005421719259 4.555291550581017 TCCCTCCAGGGACC chr2 20186279 20186280 chr2:20186280:G:A rs71435550 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 20186762 20186763 chr2:20186763:A:T rs4233759 A T A EBF1_EBF_1 6 1 + 6.879070950620814 6.818754711681293 CCTCCCATGGGGAA chr2 20213988 20213989 chr2:20213989:A:G rs3732162 A G G EBF1_EBF_1 1 1 - 6.792795145986209 5.897361705587464 CTTCCCAGAGGACT chr2 20214393 20214394 chr2:20214394:T:C rs140505120 T C T EBF1_EBF_1 -11 0 - 0 0 . chr2 20217693 20217694 chr2:20217694:T:C rs115010744 T C T EBF1_EBF_1 24 0 - 0 0 . chr2 20217726 20217727 chr2:20217727:C:G rs3771232 C G G EBF1_EBF_1 -9 0 - 0 0 . chr2 20220316 20220317 chr2:20220317:G:A rs72785204 G A G EBF1_EBF_1 1 1 - 5.9231126496370825 6.818546090035828 TCTCCCCTGAGAAG chr2 20221677 20221678 chr2:20221678:C:G rs113385704 C G C EBF1_EBF_1 33 0 + 0 0 . chr2 20225509 20225510 chr2:20225510:G:A rs767864938 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 20232271 20232272 chr2:20232272:C:T rs150773446 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 20236931 20236932 chr2:20236932:C:T rs58564199 C T C EBF1_EBF_1 9 1 + 5.274844354706856 3.5135440929635093 AACCCCTTGCGACC chr2 20321116 20321117 chr2:20321117:C:T rs6531230 C T T EBF1_EBF_1 -8 0 - 0 0 . chr2 20339751 20339752 chr2:20339752:C:T rs12473500 C T C EBF1_EBF_1 26 0 - 0 0 . chr2 20357931 20357932 chr2:20357932:C:G rs115263719 C G C EBF1_EBF_1 -6 0 + 0 0 . chr2 20357967 20357968 chr2:20357968:G:A rs140633504 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 20392162 20392163 chr2:20392163:C:T rs367587487 C T C EBF1_EBF_1 11 1 + 6.531778703083926 6.84982614483759 ATCCCCCAGGGCGT chr2 20405821 20405822 chr2:20405822:C:G rs2449623 C G C EBF1_EBF_1 -8 0 + 0 0 . chr2 20410637 20410638 chr2:20410638:G:C rs80290650 G C G EBF1_EBF_1 -19 0 - 0 0 . chr2 20411349 20411350 chr2:20411350:G:A rs13393775 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 20415720 20415721 chr2:20415721:A:G rs116697184 A G a EBF1_EBF_1 23 0 - 0 0 . chr2 20424407 20424408 chr2:20424408:C:T rs12467970 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 20424426 20424427 chr2:20424427:A:C rs6736002 A C C EBF1_EBF_1 2 1 - 6.4347412500954855 -0.31358444010498226 GCTCCCATGAGAGC chr2 20456185 20456186 chr2:20456186:C:T rs1453051 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 20456204 20456205 chr2:20456205:C:G rs80280929 C G C EBF1_EBF_1 -3 0 - 0 0 . chr2 20469177 20469178 chr2:20469178:A:G rs1872070 A G A EBF1_EBF_1 13 1 - 7.242207506214011 6.397713391628493 TATCCCCAGAGAGT chr2 20472308 20472309 chr2:20472309:G:A rs73916830 G A G EBF1_EBF_1 5 1 - 6.735700668950942 1.4139134534102722 CTCCCCAAGGGGAC chr2 20484399 20484400 chr2:20484400:T:C rs676621 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 20490629 20490630 chr2:20490630:A:T rs11679219 A T A EBF1_EBF_1 -3 0 - 0 0 . chr2 20496217 20496218 chr2:20496218:G:A rs7603661 G A G EBF1_EBF_1 31 0 + 0 0 . chr2 20499763 20499764 chr2:20499764:C:T rs73227385 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 20501025 20501026 chr2:20501026:C:T rs961496 C T C EBF1_EBF_1 8 1 - 11.33504818189227 7.10875809300601 CATCCCTAGGGAAT chr2 20501042 20501043 chr2:20501043:T:C rs6741463 T C T EBF1_EBF_1 -9 0 - 0 0 . chr2 20523270 20523271 chr2:20523271:G:A rs951941223 G A g EBF1_EBF_1 29 0 + 0 0 . chr2 20524407 20524408 chr2:20524408:C:T rs6720071 C T c EBF1_EBF_1 33 0 - 0 0 . chr2 20524903 20524904 chr2:20524904:C:T rs749569343 C T c EBF1_EBF_1 6 1 + 5.830939219871578 6.132225348733336 AGTCCCCGGAGAGG chr2 20525046 20525047 chr2:20525047:A:C rs11895097 A C a EBF1_EBF_1 0 1 + 4.993380083489788 2.7381215997931863 ATCCCCAGGAGGCC chr2 20531748 20531749 chr2:20531749:G:T rs13024097 G T g EBF1_EBF_1 10 1 + 5.003536666951299 0.820784480724034 ACCCCCAGGGGTGT chr2 20535081 20535082 chr2:20535082:G:A rs11096668 G A g EBF1_EBF_1 -5 0 - 0 0 . chr2 20559276 20559277 chr2:20559277:G:A rs11682088 G A A EBF1_EBF_1 -18 0 + 0 0 . chr2 20565598 20565599 chr2:20565599:C:T rs114029315 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 20572976 20572977 chr2:20572977:C:T rs75472546 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 20577003 20577004 chr2:20577004:C:G rs10199240 C G C EBF1_EBF_1 4 1 + 6.98498184852434 1.3592167473747971 AATGCCCTGGGAAT chr2 20577010 20577011 chr2:20577011:A:C rs11096677 A C A EBF1_EBF_1 11 1 + 6.98498184852434 2.5690201506719514 AATGCCCTGGGAAT chr2 20580111 20580112 chr2:20580112:T:C rs28642817 T C T EBF1_EBF_1 20 0 + 0 0 . chr2 20586414 20586415 chr2:20586415:T:C rs80035881 T C T EBF1_EBF_1 19 0 - 0 0 . chr2 20593149 20593150 chr2:20593150:C:T rs75636487 C T C EBF1_EBF_1 4 1 + 5.420911550964204 1.0515246060192789 TTCCCCCAGGGTCC chr2 20597271 20597272 chr2:20597272:C:T rs13031724 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 20598912 20598913 chr2:20598913:C:T rs76087091 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 20604969 20604970 chr2:20604970:A:G chr2:20604970:A:G A G A EBF1_EBF_1 7 1 - 9.075243589131095 7.257738842848472 TCTCCCGTGGGACT chr2 20609478 20609479 chr2:20609479:G:A rs551045343 G A G EBF1_EBF_1 1 1 - 6.781445571987587 7.676879012386332 GCTCCCTAGAGAAG chr2 20609929 20609930 chr2:20609930:C:T rs1529896 C T C EBF1_EBF_1 8 1 - 8.607310997964172 4.381020909077914 AGTCCCCAGGGTAC chr2 20616169 20616170 chr2:20616170:C:T rs148352185 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 20623998 20623999 chr2:20623999:C:T rs35589938 C T C EBF1_EBF_1 -6 0 - 0 0 . chr2 20624002 20624003 chr2:20624003:G:A rs369008161 G A G EBF1_EBF_1 -10 0 - 0 0 . chr2 20626343 20626344 chr2:20626344:C:G chr2:20626344:C:G C G C EBF1_EBF_1 28 0 - 0 0 . chr2 20627836 20627837 chr2:20627837:C:G rs4666318 C G G EBF1_EBF_1 11 1 - 6.083068449733326 4.559813595484948 AGCCCCCAGAGGCT chr2 20675846 20675847 chr2:20675847:C:T rs142675977 C T C EBF1_EBF_1 4 1 + 5.974132111624887 1.6047451666799644 ATTCCCCTGGGCTG chr2 20676229 20676230 chr2:20676230:A:G rs3821216 A G A EBF1_EBF_1 2 1 - 10.551108338050707 8.662284926608557 ACTCCCCTGGGAAG chr2 20857011 20857012 chr2:20857012:T:G rs13002483 T G T EBF1_EBF_1 7 1 - 7.032651855379581 4.738872869009399 ATTCCTCAGGGATC chr2 20973974 20973975 chr2:20973975:G:C rs938848 G C C EBF1_EBF_1 1 1 - 4.863209267806965 5.052980922376182 GCTCCCCGGAGAGA chr2 20975263 20975264 chr2:20975264:G:A rs6733447 G A A EBF1_EBF_1 24 0 + 0 0 . chr2 20983310 20983311 chr2:20983311:T:G rs6754295 T G T EBF1_EBF_1 12 1 - 6.667104529878175 6.636923131456849 AGACCCTAGAGAAC chr2 20990143 20990144 chr2:20990144:C:G rs147127633 C G C EBF1_EBF_1 -10 0 + 0 0 . chr2 20993239 20993240 chr2:20993240:A:C rs76384951 A C A EBF1_EBF_1 -8 0 + 0 0 . chr2 21000464 21000465 chr2:21000465:G:A rs1213350314 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 21011126 21011127 chr2:21011127:T:C rs1801699 T C T EBF1_EBF_1 24 0 + 0 0 . chr2 21043350 21043351 chr2:21043351:T:C rs72653053 T C T EBF1_EBF_1 7 1 - 6.648069389368492 5.335610984079666 ACACCCAAGGGATG chr2 21123922 21123923 chr2:21123923:T:G rs2059972 T G T EBF1_EBF_1 2 1 + 5.1658543572280635 -1.5824713329724043 GCTCCCTGGGGCCC chr2 21254990 21254991 chr2:21254991:G:T rs35437800 G T G EBF1_EBF_1 2 1 - 4.709547894588906 2.913903718432179 AACCCCCAGGGCTA chr2 21299548 21299549 chr2:21299549:A:G rs380969 A G G EBF1_EBF_1 16 0 + 0 0 . chr2 21654365 21654366 chr2:21654366:C:T rs55701660 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 22335710 22335711 chr2:22335711:C:G rs4369891 C G G EBF1_EBF_1 -2 0 + 0 0 . chr2 22335736 22335737 chr2:22335737:A:G rs4558612 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 22393928 22393929 chr2:22393929:T:C rs1509361 T C T EBF1_EBF_1 -7 0 + 0 0 . chr2 22455318 22455319 chr2:22455319:G:A rs1876769 G A G EBF1_EBF_1 5 1 - 8.663118503139856 3.3413312875991856 AATCCCAAGAGAAG chr2 22520405 22520406 chr2:22520406:T:C rs1509392 T C T EBF1_EBF_1 -18 0 - 0 0 . chr2 22597941 22597942 chr2:22597942:C:G rs4306742 C G G EBF1_EBF_1 13 1 + 6.586651295611804 5.098580274256389 GGTCCCCAAGGAAC chr2 22600252 22600253 chr2:22600253:G:A rs7561030 G A G EBF1_EBF_1 8 1 + 5.170992128023262 0.9447020391370002 ACTCCTTCGGGACC chr2 22694861 22694862 chr2:22694862:A:G rs7579099 A G A EBF1_EBF_1 -6 0 + 0 0 . chr2 22695152 22695153 chr2:22695153:G:A rs719504 G A G EBF1_EBF_1 -14 0 - 0 0 . chr2 22958336 22958337 chr2:22958337:T:A rs6744716 T A A EBF1_EBF_1 -17 0 - 0 0 . chr2 23025008 23025009 chr2:23025009:T:C rs12466137 T C C EBF1_EBF_1 -19 0 + 0 0 . chr2 23025019 23025020 chr2:23025020:G:A rs2068010 G A A EBF1_EBF_1 -8 0 + 0 0 . chr2 23026905 23026906 chr2:23026906:T:C rs4665540 T C C EBF1_EBF_1 -10 0 - 0 0 . chr2 23206875 23206876 chr2:23206876:C:T rs771516443 C T C EBF1_EBF_1 -6 0 - 0 0 . chr2 23210033 23210034 chr2:23210034:G:A rs7594267 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 23215097 23215098 chr2:23215098:C:T rs11684503 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 23220583 23220584 chr2:23220584:C:G rs73920110 C G C EBF1_EBF_1 2 1 + 7.643998232188946 2.7844959534306293 ATCCCCTGGAGATT chr2 23239722 23239723 chr2:23239723:G:A rs115180836 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 23241535 23241536 chr2:23241536:C:T rs75170014 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 23241988 23241989 chr2:23241989:A:G rs6719052 A G A EBF1_EBF_1 18 0 - 0 0 . chr2 23285994 23285995 chr2:23285995:C:T rs751029 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 23336053 23336054 chr2:23336054:A:G rs2016432 A G A EBF1_EBF_1 -19 0 - 0 0 . chr2 23358686 23358687 chr2:23358687:C:T rs6749822 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 23359345 23359346 chr2:23359346:A:G rs12052766 A G G EBF1_EBF_1 21 0 - 0 0 . chr2 23385013 23385014 chr2:23385014:G:T rs552485253 G T G EBF1_EBF_1 -3 0 + 0 0 . chr2 23385023 23385024 chr2:23385024:C:T rs114491226 C T C EBF1_EBF_1 7 1 + 4.517819447004041 6.335324193286664 AATCCCTCGGGCCG chr2 23386208 23386209 chr2:23386209:G:A rs1029380226 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 23423668 23423669 chr2:23423669:G:A rs117011882 G A G EBF1_EBF_1 3 1 - 5.329955064451101 -1.0754436771022153 GTTCCCACAGGACC chr2 23489307 23489308 chr2:23489308:A:G rs934363 A G A EBF1_EBF_1 -2 0 - 0 0 . chr2 23493228 23493229 chr2:23493229:G:A rs9808019 G A A EBF1_EBF_1 -4 0 - 0 0 . chr2 23499670 23499671 chr2:23499671:A:G rs1653759 A G G EBF1_EBF_1 20 0 + 0 0 . chr2 23506137 23506138 chr2:23506138:A:C rs72848091 A C A EBF1_EBF_1 -8 0 + 0 0 . chr2 23512972 23512973 chr2:23512973:G:A rs1653773 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 23535303 23535304 chr2:23535304:G:C rs1560590 G C C EBF1_EBF_1 -7 0 + 0 0 . chr2 23542014 23542015 chr2:23542015:T:C rs975331039 T C T EBF1_EBF_1 -11 0 - 0 0 . chr2 23542917 23542918 chr2:23542918:T:A rs72793553 T A T EBF1_EBF_1 -13 0 + 0 0 . chr2 23551881 23551882 chr2:23551882:A:C rs4665609 A C C EBF1_EBF_1 -15 0 - 0 0 . chr2 23554061 23554062 chr2:23554062:G:T rs113899998 G T G EBF1_EBF_1 21 0 - 0 0 . chr2 23563423 23563424 chr2:23563424:G:A rs4665227 G A A EBF1_EBF_1 -17 0 + 0 0 . chr2 23563946 23563947 chr2:23563947:G:C rs73919760 G C G EBF1_EBF_1 20 0 + 0 0 . chr2 23565944 23565945 chr2:23565945:C:G rs72849620 C G C EBF1_EBF_1 -9 0 - 0 0 . chr2 23590212 23590213 chr2:23590213:A:G rs115840419 A G A EBF1_EBF_1 -17 0 + 0 0 . chr2 23591251 23591252 chr2:23591252:G:A rs13034826 G A G EBF1_EBF_1 5 1 - 4.788986089603614 -0.5328011259370559 TGCCCCAAGGGCAC chr2 23622007 23622008 chr2:23622008:C:T rs545882377 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 23628432 23628433 chr2:23628433:A:G rs635182 A G G EBF1_EBF_1 -4 0 + 0 0 . chr2 23649373 23649374 chr2:23649374:C:A rs889865 C A C EBF1_EBF_1 22 0 - 0 0 . chr2 23655242 23655243 chr2:23655243:G:A rs11889250 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 23682119 23682120 chr2:23682120:C:A rs72796108 C A C EBF1_EBF_1 -19 0 + 0 0 . chr2 23695930 23695931 chr2:23695931:G:A rs184455424 G A G EBF1_EBF_1 12 1 + 5.258992158946286 6.677809501045763 TGTCCCAAGGGCGC chr2 23699444 23699445 chr2:23699445:C:A rs1862900 C A C EBF1_EBF_1 5 1 + 6.5901117537344875 -0.3147693989006812 AGCCCCCAAGGAAC chr2 23699454 23699455 chr2:23699455:C:T rs55801864 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 23703635 23703636 chr2:23703636:C:T rs77064076 C T C EBF1_EBF_1 10 1 - 4.769172221751192 -0.5488562375157738 ACCCTCCAGGGAAG chr2 23719796 23719797 chr2:23719797:G:C rs72796171 G C G EBF1_EBF_1 19 0 - 0 0 . chr2 23924891 23924892 chr2:23924892:G:C rs556192535 G C G EBF1_EBF_1 5 1 - 5.932375808461963 -0.9725053441732057 ACCCCCCGAGGAAT chr2 23932577 23932578 chr2:23932578:T:C rs6754694 T C T EBF1_EBF_1 7 1 - 9.372921064327453 8.060462659038627 ATTCTCAAGGGACC chr2 24009221 24009222 chr2:24009222:G:A rs72781675 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 24009853 24009854 chr2:24009854:C:T rs1876721 C T c EBF1_EBF_1 -20 0 + 0 0 . chr2 24009902 24009903 chr2:24009903:G:A rs139823867 G A G EBF1_EBF_1 29 0 + 0 0 . chr2 24024552 24024553 chr2:24024553:T:C rs72781683 T C T EBF1_EBF_1 19 0 + 0 0 . chr2 24049643 24049644 chr2:24049644:C:A rs113594441 C A C EBF1_EBF_1 22 0 + 0 0 . chr2 24061138 24061139 chr2:24061139:A:G rs72783604 A G A EBF1_EBF_1 -4 0 - 0 0 . chr2 24203568 24203569 chr2:24203569:C:G rs112277321 C G C EBF1_EBF_1 21 0 + 0 0 . chr2 24367148 24367149 chr2:24367149:A:C rs60060146 A C A EBF1_EBF_1 -17 0 - 0 0 . chr2 24415237 24415238 chr2:24415238:C:T rs7581577 C T t EBF1_EBF_1 4 1 + 8.637736467840961 4.268349522896039 GCTCCCTTGAGAAT chr2 24435728 24435729 chr2:24435729:T:G rs113683965 T G T EBF1_EBF_1 11 1 - 6.609586152385977 2.1936244545335883 GTCCCCAAAGGAAT chr2 24435752 24435753 chr2:24435753:C:T rs189861453 C T C EBF1_EBF_1 -13 0 - 0 0 . chr2 24435924 24435925 chr2:24435925:G:A rs1545257 G A A EBF1_EBF_1 26 0 - 0 0 . chr2 24491686 24491687 chr2:24491687:G:A rs1311582459 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 24491695 24491696 chr2:24491696:G:A rs573657367 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 24492448 24492449 chr2:24492449:T:C rs13002937 T C T EBF1_EBF_1 -2 0 + 0 0 . chr2 24492452 24492453 chr2:24492453:C:T rs76948839 C T C EBF1_EBF_1 2 1 + 4.925973038311109 6.814796449753261 ACCCCCATGGGTAG chr2 24492465 24492466 chr2:24492466:C:T rs77782776 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 24492476 24492477 chr2:24492477:C:T rs72803256 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 24616856 24616857 chr2:24616857:G:A rs17734886 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 24720446 24720447 chr2:24720447:A:G rs56082276 A G A EBF1_EBF_1 9 1 + 5.634075672414435 8.289014937862039 TCTCCCTTGAGGCT chr2 24721577 24721578 chr2:24721578:T:C chr2:24721578:T:C T C T EBF1_EBF_1 31 0 - 0 0 . chr2 24731185 24731186 chr2:24731186:T:C rs17046499 T C T EBF1_EBF_1 14 0 + 0 0 . chr2 24840272 24840273 chr2:24840273:G:A rs934608 G A G EBF1_EBF_1 6 1 + 6.427548250697292 8.594342681971764 CTTCCCGAGGGGCC chr2 24841287 24841288 chr2:24841288:G:C rs2241758 G C G EBF1_EBF_1 8 1 + 5.998577975868371 0.6799191149536855 AGCCCCATGAGGAT chr2 24855295 24855296 chr2:24855296:T:C rs77777078 T C T EBF1_EBF_1 21 0 + 0 0 . chr2 24855989 24855990 chr2:24855990:G:A rs11684619 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 24860775 24860776 chr2:24860776:A:G rs77225428 A G A EBF1_EBF_1 29 0 - 0 0 . chr2 24863855 24863856 chr2:24863856:C:T rs75367830 C T C EBF1_EBF_1 8 1 - 4.517335947444908 0.29104585855864606 AGCCCCAGGGAACC chr2 24876624 24876625 chr2:24876625:G:A rs78372398 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 24877458 24877459 chr2:24877459:A:G rs2384058 A G G EBF1_EBF_1 -11 0 + 0 0 . chr2 24877468 24877469 chr2:24877469:C:T rs2384059 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 24878032 24878033 chr2:24878033:C:G rs2033656 C G G EBF1_EBF_1 17 0 - 0 0 . chr2 24885970 24885971 chr2:24885971:T:G rs6743996 T G T EBF1_EBF_1 24 0 - 0 0 . chr2 24886797 24886798 chr2:24886798:G:A rs115947964 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 24886806 24886807 chr2:24886807:G:A rs188934814 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 24887542 24887543 chr2:24887543:G:A rs115605854 G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 24898255 24898256 chr2:24898256:C:A rs6756609 C A A EBF1_EBF_1 32 0 - 0 0 . chr2 24898298 24898299 chr2:24898299:G:T rs11688665 G T T EBF1_EBF_1 -11 0 - 0 0 . chr2 24903458 24903459 chr2:24903459:G:C rs6726199 G C C EBF1_EBF_1 20 0 - 0 0 . chr2 24916117 24916118 chr2:24916118:T:C rs7593130 T C t EBF1_EBF_1 1 1 - 7.819594558857972 8.080293390779273 CATCCCAGGGGAGC chr2 24921279 24921280 chr2:24921280:C:T rs62140612 C T C EBF1_EBF_1 6 1 + 5.732312122206299 6.033598251068057 ATCCCTCGGGGAAT chr2 24935138 24935139 chr2:24935139:T:C rs713586 T C C EBF1_EBF_1 19 0 + 0 0 . chr2 24949363 24949364 chr2:24949364:A:G rs955856 A G A EBF1_EBF_1 9 1 + 4.698367486894584 7.353306752342189 CCTCCCCAGAGAGG chr2 24957447 24957448 chr2:24957448:A:T rs180947281 A T A EBF1_EBF_1 -9 0 + 0 0 . chr2 25018207 25018208 chr2:25018208:T:A rs4665758 T A A EBF1_EBF_1 1 1 - 5.6415956237224245 6.6079562414732536 AACCCCCGGGGCCT chr2 25062800 25062801 chr2:25062801:C:T rs13007686 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 25110235 25110236 chr2:25110236:A:C rs72807674 A C A EBF1_EBF_1 -20 0 - 0 0 . chr2 25160198 25160199 chr2:25160199:G:C rs28932474 G C G EBF1_EBF_1 -16 0 - 0 0 . chr2 25168231 25168232 chr2:25168232:G:C rs1009388 G C G EBF1_EBF_1 6 1 - 7.1884110173138565 5.383218953840659 CTCCCCCAGGGGCT chr2 25184251 25184252 chr2:25184252:A:G rs4665775 A G G EBF1_EBF_1 -14 0 - 0 0 . chr2 25192499 25192500 chr2:25192500:C:T rs879807662 C T C EBF1_EBF_1 2 1 + 10.04924737219056 11.93807078363271 AACCCCCTGGGACC chr2 25210030 25210031 chr2:25210031:C:T rs78870364 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 25229443 25229444 chr2:25229444:A:G rs115265285 A G A EBF1_EBF_1 8 1 + 6.93438062541257 11.16067071429883 ACTCCCAGAGGACA chr2 25229457 25229458 chr2:25229458:G:A rs73920655 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 25230180 25230181 chr2:25230181:G:A rs75781902 G A G EBF1_EBF_1 -12 0 - 0 0 . chr2 25230648 25230649 chr2:25230649:G:A rs62129120 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 25231234 25231235 chr2:25231235:C:T rs192230289 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 25263784 25263785 chr2:25263785:C:G chr2:25263785:C:G C G C EBF1_EBF_1 19 0 + 0 0 . chr2 25306007 25306008 chr2:25306008:A:C rs143245298 A C A EBF1_EBF_1 13 1 + 8.043916885261055 8.41766233454703 GGCCCCCTGGGACA chr2 25312673 25312674 chr2:25312674:T:C rs34048824 T C C EBF1_EBF_1 -9 0 + 0 0 . chr2 25313957 25313958 chr2:25313958:G:A rs41284843 G A G EBF1_EBF_1 7 1 + 3.48549630817892 4.797954713467745 TGTCCCCGGGGCCG chr2 25334175 25334176 chr2:25334176:C:T rs11895890 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 25335404 25335405 chr2:25335405:C:T rs6728919 C T C EBF1_EBF_1 7 1 - 5.956635214014999 7.269093619303824 ACTCCCTGGAGGAC chr2 25341177 25341178 chr2:25341178:T:C rs979573211 T C T EBF1_EBF_1 21 0 + 0 0 . chr2 25379440 25379441 chr2:25379441:C:T rs2304427 C T c EBF1_EBF_1 27 0 + 0 0 . chr2 25437154 25437155 chr2:25437155:C:T rs4499386 C T c EBF1_EBF_1 27 0 + 0 0 . chr2 25721104 25721105 chr2:25721105:A:G rs6721446 A G A EBF1_EBF_1 27 0 + 0 0 . chr2 25765439 25765440 chr2:25765440:C:T rs6546461 C T T EBF1_EBF_1 24 0 - 0 0 . chr2 25765477 25765478 chr2:25765478:C:A rs6546462 C A A EBF1_EBF_1 -14 0 - 0 0 . chr2 25956670 25956671 chr2:25956671:T:C rs6724038 T C T EBF1_EBF_1 13 1 - 4.447181450699744 3.332855878630305 TTCCCCGAGGGGCA chr2 25956697 25956698 chr2:25956698:G:A rs6734138 G A A EBF1_EBF_1 -14 0 - 0 0 . chr2 25979288 25979289 chr2:25979289:A:G rs13410984 A G G EBF1_EBF_1 22 0 - 0 0 . chr2 25979299 25979300 chr2:25979300:T:A rs6724232 T A T EBF1_EBF_1 11 1 - 4.533969204137274 0.4360549480385484 TCACCCCCGGGACC chr2 25979681 25979682 chr2:25979682:C:T rs13398253 C T C EBF1_EBF_1 10 1 - 6.7350181627223105 1.4169897034553443 GGTCCCCTGGGCCT chr2 25981770 25981771 chr2:25981771:G:A rs6721440 G A A EBF1_EBF_1 0 1 - 4.024675548062647 4.306877608793225 CGCCCCGGGGGAGC chr2 25981773 25981774 chr2:25981774:G:A rs750301291 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 26033837 26033838 chr2:26033838:G:C chr2:26033838:G:C G C G EBF1_EBF_1 -3 0 + 0 0 . chr2 26033838 26033839 chr2:26033839:C:T rs182123344 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 26086550 26086551 chr2:26086551:T:G rs62128360 T G T EBF1_EBF_1 24 0 - 0 0 . chr2 26161638 26161639 chr2:26161639:C:T rs62128427 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 26174184 26174185 chr2:26174185:C:A rs140701895 C A C EBF1_EBF_1 -6 0 + 0 0 . chr2 26175696 26175697 chr2:26175697:A:G rs10176168 A G A EBF1_EBF_1 -8 0 - 0 0 . chr2 26176344 26176345 chr2:26176345:G:A rs4665830 G A G EBF1_EBF_1 4 1 - 10.551108338050707 6.181721393105785 ACTCCCCTGGGAAG chr2 26179724 26179725 chr2:26179725:G:C rs930263 G C G EBF1_EBF_1 12 1 + 4.5378178719155216 5.926453815593673 ACTCCCTGGAGGGC chr2 26181351 26181352 chr2:26181352:C:T rs12611790 C T C EBF1_EBF_1 4 1 + 5.095241518146176 0.7258545732012532 CTTCCACAGGGAAT chr2 26190947 26190948 chr2:26190948:C:T rs1049987 C T C EBF1_EBF_1 11 1 - 5.0439699761254175 7.936676819729429 CCTCCCAAGAGGCC chr2 26193166 26193167 chr2:26193167:T:G rs4665315 T G t EBF1_EBF_1 7 1 - 6.322605372675082 4.0288263863049005 ATCCCCCAGAGATG chr2 26223276 26223277 chr2:26223277:T:C rs2196153 T C T EBF1_EBF_1 -8 0 - 0 0 . chr2 26244391 26244392 chr2:26244392:C:T rs193147804 C T C EBF1_EBF_1 9 1 - 6.181233512869581 3.5262942474219763 ACTGCCCTGGGACC chr2 26244784 26244785 chr2:26244785:C:T rs72809668 C T C EBF1_EBF_1 7 1 - 6.143901588602764 7.456359993891589 CTCCCCCGGAGAAT chr2 26244797 26244798 chr2:26244798:G:A rs3806516 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 26282989 26282990 chr2:26282990:A:G rs17528590 A G A EBF1_EBF_1 18 0 - 0 0 . chr2 26330396 26330397 chr2:26330397:C:T rs75011572 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 26401028 26401029 chr2:26401029:A:T rs1864459 A T A EBF1_EBF_1 20 0 + 0 0 . chr2 26458849 26458850 chr2:26458850:C:T rs143226490 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 26491837 26491838 chr2:26491838:C:T rs13397811 C T C EBF1_EBF_1 13 1 + 8.446728463033722 9.29122257761924 AGCCCCAGGGGAGC chr2 26500559 26500560 chr2:26500560:C:G rs12053498 C G G EBF1_EBF_1 -8 0 + 0 0 . chr2 26523236 26523237 chr2:26523237:C:A rs981538770 C A C EBF1_EBF_1 23 0 - 0 0 . chr2 26525055 26525056 chr2:26525056:A:G rs74551407 A G A EBF1_EBF_1 -3 0 + 0 0 . chr2 26534705 26534706 chr2:26534706:T:C rs1522098 T C T EBF1_EBF_1 -17 0 - 0 0 . chr2 26536710 26536711 chr2:26536711:C:T rs17320634 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 26536711 26536712 chr2:26536712:G:A rs72815251 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 26541380 26541381 chr2:26541381:T:C rs11679769 T C T EBF1_EBF_1 -7 0 - 0 0 . chr2 26550998 26550999 chr2:26550999:C:T rs6547102 C T T EBF1_EBF_1 -1 0 + 0 0 . chr2 26576850 26576851 chr2:26576851:T:C rs1148954 T C C EBF1_EBF_1 23 0 + 0 0 . chr2 26583657 26583658 chr2:26583658:C:T rs58604989 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 26585224 26585225 chr2:26585225:G:A rs11689447 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 26588700 26588701 chr2:26588701:G:A rs535913881 G A g EBF1_EBF_1 16 0 - 0 0 . chr2 26594508 26594509 chr2:26594509:T:C rs55970911 T C T EBF1_EBF_1 19 0 - 0 0 . chr2 26607038 26607039 chr2:26607039:A:C rs911705621 A C A EBF1_EBF_1 -7 0 + 0 0 . chr2 26612106 26612107 chr2:26612107:C:T rs1275973 C T T EBF1_EBF_1 8 1 - 8.591357749256474 4.3650676603702125 AACCCCCTGGGAAG chr2 26612528 26612529 chr2:26612529:C:A rs1554902 C A C EBF1_EBF_1 20 0 - 0 0 . chr2 26613451 26613452 chr2:26613452:T:A chr2:26613452:T:A T A T EBF1_EBF_1 10 1 + 4.865671342148447 3.730395069108745 ACTCCCCAGGTGGT chr2 26619275 26619276 chr2:26619276:T:C rs942510848 T C T EBF1_EBF_1 -18 0 - 0 0 . chr2 26620447 26620448 chr2:26620448:G:A rs72800404 G A G EBF1_EBF_1 13 1 - 7.887457911080055 8.731952025665574 TCTCCCCAGAGAAC chr2 26640634 26640635 chr2:26640635:C:T rs114010635 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 26651407 26651408 chr2:26651408:G:A rs17005548 G A A EBF1_EBF_1 -18 0 - 0 0 . chr2 26691947 26691948 chr2:26691948:A:T rs28482911 A T A EBF1_EBF_1 -7 0 - 0 0 . chr2 26694511 26694512 chr2:26694512:G:A rs191396782 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 26706413 26706414 chr2:26706414:T:G rs13394970 T G G EBF1_EBF_1 15 0 + 0 0 . chr2 26728580 26728581 chr2:26728581:G:A rs1663002 G A G EBF1_EBF_1 11 1 + 4.115265114156191 7.007971957760202 TGCCCCGAGGGGCC chr2 26728597 26728598 chr2:26728598:G:A rs571255777 G A G EBF1_EBF_1 28 0 + 0 0 . chr2 26746093 26746094 chr2:26746094:A:G rs10153739 A G A EBF1_EBF_1 -20 0 + 0 0 . chr2 26764207 26764208 chr2:26764208:G:A rs74326561 G A G EBF1_EBF_1 31 0 - 0 0 . chr2 26771202 26771203 chr2:26771203:G:A rs528689943 G A G EBF1_EBF_1 7 1 - 5.640321911165685 7.457826657448309 AACCCCTCGGGGCC chr2 26771216 26771217 chr2:26771217:A:G rs1251781581 A G A EBF1_EBF_1 -7 0 - 0 0 . chr2 26772733 26772734 chr2:26772734:G:A rs828266 G A A EBF1_EBF_1 9 1 + 8.492291669414307 5.837352403966705 AGTCCCCAGGTACC chr2 26817050 26817051 chr2:26817051:A:G rs12471783 A G A EBF1_EBF_1 -4 0 + 0 0 . chr2 26819238 26819239 chr2:26819239:A:G rs6547245 A G G EBF1_EBF_1 24 0 + 0 0 . chr2 26846686 26846687 chr2:26846687:A:G rs934493 A G G EBF1_EBF_1 28 0 - 0 0 . chr2 26847782 26847783 chr2:26847783:C:G rs753553630 C G C EBF1_EBF_1 14 0 + 0 0 . chr2 26847852 26847853 chr2:26847853:G:A rs898295511 G A G EBF1_EBF_1 24 0 + 0 0 . chr2 26849340 26849341 chr2:26849341:C:T rs13405539 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 26851624 26851625 chr2:26851625:G:A rs13418647 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 26914438 26914439 chr2:26914439:C:T rs12612520 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 26929050 26929051 chr2:26929051:A:G rs6547317 A G A EBF1_EBF_1 -18 0 + 0 0 . chr2 26948376 26948377 chr2:26948377:C:T rs10181727 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 26956623 26956624 chr2:26956624:G:A rs12991124 G A G EBF1_EBF_1 3 1 - 5.485397225751934 -0.9200015158013827 CTCCCCAAGAGAAG chr2 26990283 26990284 chr2:26990284:C:G rs78422444 C G c EBF1_EBF_1 31 0 + 0 0 . chr2 27021231 27021232 chr2:27021232:T:A rs146586624 T A T EBF1_EBF_1 -2 0 + 0 0 . chr2 27049891 27049892 chr2:27049892:C:G rs76233644 C G C EBF1_EBF_1 8 1 - 5.584389052698461 0.26573019178377666 GTTCCCCAGGGCCG chr2 27050945 27050946 chr2:27050946:T:C rs11889781 T C T EBF1_EBF_1 -19 0 + 0 0 . chr2 27081848 27081849 chr2:27081849:G:C rs74464646 G C G EBF1_EBF_1 33 0 - 0 0 . chr2 27210233 27210234 chr2:27210234:C:T rs186587608 C T C EBF1_EBF_1 8 1 - 4.672046612944193 0.44575652405793215 CTTCCCTGGGGCTC chr2 27212381 27212382 chr2:27212382:A:G rs1275533 A G G EBF1_EBF_1 32 0 + 0 0 . chr2 27218165 27218166 chr2:27218166:C:A chr2:27218166:C:A C A C EBF1_EBF_1 32 0 - 0 0 . chr2 27218169 27218170 chr2:27218170:A:T rs58187782 A T A EBF1_EBF_1 28 0 - 0 0 . chr2 27251648 27251649 chr2:27251649:G:C rs549456345 G C G EBF1_EBF_1 33 0 - 0 0 . chr2 27371199 27371200 chr2:27371200:C:T rs140085399 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 27377327 27377328 chr2:27377328:C:G rs536704518 C G C EBF1_EBF_1 8 1 - 6.764951292214907 1.4462924313002203 TGCCCCCAGGGGCT chr2 27380956 27380957 chr2:27380957:C:A chr2:27380957:C:A C A C EBF1_EBF_1 33 0 - 0 0 . chr2 27380980 27380981 chr2:27380981:C:T rs568584861 C T C EBF1_EBF_1 9 1 - 9.145080357776953 6.490141092329349 TTCCCCCAGGGACA chr2 27408255 27408256 chr2:27408256:A:G rs144367232 A G A EBF1_EBF_1 15 0 + 0 0 . chr2 27408265 27408266 chr2:27408266:T:A rs1236752582 T A T EBF1_EBF_1 25 0 + 0 0 . chr2 27408272 27408273 chr2:27408273:T:C rs7579600 T C T EBF1_EBF_1 32 0 + 0 0 . chr2 27409207 27409208 chr2:27409208:G:C rs181961549 G C G EBF1_EBF_1 19 0 - 0 0 . chr2 27428733 27428734 chr2:27428734:G:T rs2303371 G T g EBF1_EBF_1 -1 0 + 0 0 . chr2 27428740 27428741 chr2:27428741:C:T rs555924849 C T C EBF1_EBF_1 6 1 + 4.614891945219556 4.916178074081314 AGCGCCCAGGGAAA chr2 27495032 27495033 chr2:27495033:G:A rs145345057 G A - EBF1_EBF_1 -10 0 + 0 0 . chr2 27495523 27495524 chr2:27495524:C:T rs192173895 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 27712484 27712485 chr2:27712485:C:A rs4665386 C A C EBF1_EBF_1 10 1 - 6.136052191995093 1.9533000057678291 CCTCCCTTGGGGTC chr2 27729442 27729443 chr2:27729443:A:G rs61279775 A G A EBF1_EBF_1 29 0 + 0 0 . chr2 27746859 27746860 chr2:27746860:T:G rs116569650 T G T EBF1_EBF_1 11 1 - 7.573273942049064 3.1573122441966737 AAACCCTAGGGAAG chr2 27748155 27748156 chr2:27748156:C:T rs115712390 C T C EBF1_EBF_1 22 0 - 0 0 . chr2 27766609 27766610 chr2:27766610:A:G rs146796793 A G A EBF1_EBF_1 31 0 - 0 0 . chr2 27799378 27799379 chr2:27799379:C:A rs560776360 C A C EBF1_EBF_1 1 1 + 4.852315689483941 4.781388512131857 ACTGCCGAGGGACC chr2 27890058 27890059 chr2:27890059:C:T rs116426367 C T C EBF1_EBF_1 4 1 + 6.917027755875261 2.5476408109303375 CCTCCCCTGAGATT chr2 27890163 27890164 chr2:27890164:G:A rs551447527 G A G EBF1_EBF_1 7 1 + 6.775177058879575 8.0876354641684 TATCCCTGGAGACC chr2 27891241 27891242 chr2:27891242:C:G rs558779678 C G C EBF1_EBF_1 -20 0 - 0 0 . chr2 27916091 27916092 chr2:27916092:A:G rs60339724 A G A EBF1_EBF_1 0 1 + 11.425453777804538 9.533169908239707 ATTCCCTTGAGAAT chr2 28080845 28080846 chr2:28080846:C:T rs2337699 C T T EBF1_EBF_1 14 0 + 0 0 . chr2 28135883 28135884 chr2:28135884:C:T rs10189434 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 28247095 28247096 chr2:28247096:C:T rs11127136 C T C EBF1_EBF_1 10 1 + 4.479213339534164 7.187263540636374 AACCCCCAGGCACT chr2 28287580 28287581 chr2:28287581:A:G rs144821775 A G A EBF1_EBF_1 0 1 + 6.902779101559701 5.010495231994867 ATCCCCCTGAGAAG chr2 28319893 28319894 chr2:28319894:G:A rs572739257 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 28334781 28334782 chr2:28334782:C:G rs78676614 C G C EBF1_EBF_1 4 1 - 6.371089778350555 11.996854879500098 ACTCGCATGGGACA chr2 28336849 28336850 chr2:28336850:C:T rs11127142 C T C EBF1_EBF_1 7 1 - 4.321987601779212 5.634446007068038 ACTCACCGGGGATC chr2 28336860 28336861 chr2:28336861:C:T rs11127143 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 28344902 28344903 chr2:28344903:G:C rs115513386 G C G EBF1_EBF_1 -11 0 - 0 0 . chr2 28347530 28347531 chr2:28347531:A:G rs884437 A G A EBF1_EBF_1 -8 0 - 0 0 . chr2 28353074 28353075 chr2:28353075:C:T rs62141102 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 28353093 28353094 chr2:28353094:T:C rs6547846 T C T EBF1_EBF_1 6 1 - 7.176087803974698 5.009293372700224 CTTCCCAGGAGAAA chr2 28360244 28360245 chr2:28360245:A:G rs28476594 A G G EBF1_EBF_1 -18 0 - 0 0 . chr2 28364183 28364184 chr2:28364184:C:T rs7594431 C T C EBF1_EBF_1 5 1 + 6.036765083822816 0.7149778682821455 ATTCCCGGAGGACC chr2 28364201 28364202 chr2:28364202:A:G rs2175095 A G A EBF1_EBF_1 23 0 + 0 0 . chr2 28374891 28374892 chr2:28374892:G:A rs192684034 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 28377590 28377591 chr2:28377591:T:C rs931947 T C C EBF1_EBF_1 6 1 + 5.600248603858809 5.298962474997051 ACTCCCTGGGGCAG chr2 28378846 28378847 chr2:28378847:A:C rs13029274 A C C EBF1_EBF_1 16 0 - 0 0 . chr2 28396973 28396974 chr2:28396974:T:G rs565831000 T G T EBF1_EBF_1 21 0 - 0 0 . chr2 28424782 28424783 chr2:28424783:G:A rs55870117 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 28437970 28437971 chr2:28437971:G:A rs371048290 G A G EBF1_EBF_1 25 0 - 0 0 . chr2 28444950 28444951 chr2:28444951:C:T rs2338437 C T T EBF1_EBF_1 -19 0 - 0 0 . chr2 28465821 28465822 chr2:28465822:T:C rs76360823 T C T EBF1_EBF_1 -19 0 + 0 0 . chr2 28469604 28469605 chr2:28469605:A:G rs10194841 A G A EBF1_EBF_1 27 0 - 0 0 . chr2 28485612 28485613 chr2:28485613:G:A rs77008095 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 28488898 28488899 chr2:28488899:T:C rs11680308 T C T EBF1_EBF_1 -18 0 + 0 0 . chr2 28577842 28577843 chr2:28577843:G:A rs1881252 G A G EBF1_EBF_1 4 1 - 5.762098121063652 1.3927111761187287 TTTCCCCTGAGATG chr2 28621071 28621072 chr2:28621072:G:C rs79753150 G C G EBF1_EBF_1 0 1 + 5.07237819987215 4.709403585740384 GGTCCCAGGGGCAA chr2 28630484 28630485 chr2:28630485:C:T rs6713038 C T C EBF1_EBF_1 10 1 - 4.548272726571612 -0.7697557326953552 CTACCCCAGGGGCC chr2 28630509 28630510 chr2:28630510:T:C rs6731155 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 28633180 28633181 chr2:28633181:T:C rs3752901 T C T EBF1_EBF_1 -7 0 + 0 0 . chr2 28643165 28643166 chr2:28643166:A:C rs3088281 A C A EBF1_EBF_1 18 0 - 0 0 . chr2 28648480 28648481 chr2:28648481:C:T rs80066548 C T C EBF1_EBF_1 8 1 - 4.968278396106131 0.7419883072198702 ACTCCCCTGAGCAC chr2 28654139 28654140 chr2:28654140:C:T rs11694841 C T C EBF1_EBF_1 -6 0 + 0 0 . chr2 28661578 28661579 chr2:28661579:G:C rs68181708 G C C EBF1_EBF_1 19 0 + 0 0 . chr2 28663562 28663563 chr2:28663563:T:C rs116035232 T C T EBF1_EBF_1 25 0 - 0 0 . chr2 28751016 28751017 chr2:28751017:T:C rs4372836 T C C EBF1_EBF_1 2 1 + 6.315750582047398 4.426927170605248 ATTCCCTAGGAGCT chr2 28796853 28796854 chr2:28796854:A:C rs114595327 A C A EBF1_EBF_1 14 0 - 0 0 . chr2 28811220 28811221 chr2:28811221:G:A rs115452731 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 28869983 28869984 chr2:28869984:G:T rs4666122 G T G EBF1_EBF_1 3 1 - 5.455814163394771 -1.4503598660710353 ATCCCCTCGAGAGC chr2 28895217 28895218 chr2:28895218:C:T rs1053352311 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 28895222 28895223 chr2:28895223:C:T rs192921075 C T C EBF1_EBF_1 2 1 + 4.742546255417134 6.631369666859283 GTCCCCCTGGGGCG chr2 28895225 28895226 chr2:28895226:C:G rs575660428 C G C EBF1_EBF_1 5 1 + 4.742546255417134 -2.1623348972180363 GTCCCCCTGGGGCG chr2 28957139 28957140 chr2:28957140:A:G rs13020792 A G A EBF1_EBF_1 7 1 - 8.857971224333228 7.040466478050603 ATTCCCATAGGATT chr2 28958916 28958917 chr2:28958917:C:A rs139419692 C A C EBF1_EBF_1 19 0 - 0 0 . chr2 28969210 28969211 chr2:28969211:A:C rs6547899 A C C EBF1_EBF_1 17 0 - 0 0 . chr2 28980070 28980071 chr2:28980071:C:T rs4361080 C T C EBF1_EBF_1 16 0 + 0 0 . chr2 28981908 28981909 chr2:28981909:G:A rs4292052 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 28981910 28981911 chr2:28981911:G:A rs4292053 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 28991676 28991677 chr2:28991677:C:T rs145730129 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 28991677 28991678 chr2:28991678:G:A rs11898049 G A G EBF1_EBF_1 13 1 - 4.381526759328253 5.226020873913771 AGTCCACAGGGAGC chr2 28992357 28992358 chr2:28992358:C:T rs72786168 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 29009990 29009991 chr2:29009991:G:A rs150491034 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 29025096 29025097 chr2:29025097:C:T rs13026779 C T C EBF1_EBF_1 5 1 + 6.263896823445002 0.9421096079043325 AGCCCCAGGGGCCT chr2 29025547 29025548 chr2:29025548:T:C rs13014261 T C C EBF1_EBF_1 28 0 - 0 0 . chr2 29025997 29025998 chr2:29025998:C:A rs34503529 C A C EBF1_EBF_1 2 1 + 6.088321537122214 4.292677360965487 AGCCCCGAGGGGCC chr2 29040616 29040617 chr2:29040617:G:A rs7575676 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 29057286 29057287 chr2:29057287:G:T rs895590 G T T EBF1_EBF_1 20 0 - 0 0 . chr2 29063497 29063498 chr2:29063498:C:T rs57505815 C T C EBF1_EBF_1 5 1 + 12.976329984503328 7.65454276896266 AGTCCCTAGGGACC chr2 29113586 29113587 chr2:29113587:C:G rs143390632 C G C EBF1_EBF_1 8 1 - 5.592158152308684 0.27349929139399787 ATTCCCAGGATACC chr2 29193705 29193706 chr2:29193706:T:C rs1670283 T C C EBF1_EBF_1 -11 0 - 0 0 . chr2 29208477 29208478 chr2:29208478:G:A rs3820711 G A A EBF1_EBF_1 21 0 + 0 0 . chr2 29218049 29218050 chr2:29218050:G:C rs3924613 G C G EBF1_EBF_1 24 0 - 0 0 . chr2 29222246 29222247 chr2:29222247:C:T rs72852030 C T C EBF1_EBF_1 18 0 - 0 0 . chr2 29222942 29222943 chr2:29222943:T:C rs11685441 T C T EBF1_EBF_1 7 1 - 4.89728974554192 3.584831340253095 TCTCCCCAAGGAGC chr2 29228644 29228645 chr2:29228645:C:G rs11687789 C G G EBF1_EBF_1 -7 0 + 0 0 . chr2 29232900 29232901 chr2:29232901:G:C rs4665448 G C G EBF1_EBF_1 3 1 - 4.9160411245061075 -0.9117233236091087 CCTCCCACAGGACT chr2 29250069 29250070 chr2:29250070:T:G rs10197179 T G G EBF1_EBF_1 22 0 + 0 0 . chr2 29272013 29272014 chr2:29272014:C:G rs572918342 C G C EBF1_EBF_1 16 0 - 0 0 . chr2 29283123 29283124 chr2:29283124:T:G rs10173020 T G G EBF1_EBF_1 -3 0 - 0 0 . chr2 29311778 29311779 chr2:29311779:A:G rs80172601 A G A EBF1_EBF_1 20 0 - 0 0 . chr2 29325495 29325496 chr2:29325496:G:T rs4665455 G T G EBF1_EBF_1 -5 0 + 0 0 . chr2 29340445 29340446 chr2:29340446:G:A rs138294565 G A A EBF1_EBF_1 32 0 + 0 0 . chr2 29402162 29402163 chr2:29402163:T:G rs11690456 T G T EBF1_EBF_1 28 0 + 0 0 . chr2 29405137 29405138 chr2:29405138:G:A rs62130033 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 29447051 29447052 chr2:29447052:C:T chr2:29447052:C:T C T C EBF1_EBF_1 2 1 + 5.311619786307847 7.200443197749997 CTCCCCTAAGGACC chr2 29450920 29450921 chr2:29450921:C:T rs59035516 C T C EBF1_EBF_1 9 1 - 4.056797214173654 1.4018579487260503 CGCCCCCACGGACT chr2 29476621 29476622 chr2:29476622:G:C rs6760164 G C G EBF1_EBF_1 1 1 - 6.938073073188966 7.127844727758183 ACTCCCCCGGGTCT chr2 29484114 29484115 chr2:29484115:T:C rs4311028 T C C EBF1_EBF_1 -13 0 + 0 0 . chr2 29484115 29484116 chr2:29484116:G:A rs4536610 G A T EBF1_EBF_1 -12 0 + 0 0 . chr2 29497725 29497726 chr2:29497726:T:C rs7584818 T C T EBF1_EBF_1 7 1 + 4.6839382341891 2.866433487906477 GCTCACATGGGAAC chr2 29502235 29502236 chr2:29502236:G:A rs115241230 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 29546293 29546294 chr2:29546294:C:T rs4666246 C T T EBF1_EBF_1 10 1 - 4.415024314042415 -0.9030041452245511 ACACCCCAGGGCAC chr2 29570120 29570121 chr2:29570121:C:T rs4233744 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 29570121 29570122 chr2:29570122:T:G rs6547950 T G T EBF1_EBF_1 -5 0 - 0 0 . chr2 29576615 29576616 chr2:29576616:C:G rs35387194 C G C EBF1_EBF_1 -1 0 + 0 0 . chr2 29577615 29577616 chr2:29577616:G:T rs4128814 G T T EBF1_EBF_1 -12 0 - 0 0 . chr2 29619861 29619862 chr2:29619862:G:A rs2339557 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 29619953 29619954 chr2:29619954:G:A rs183276009 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 29628425 29628426 chr2:29628426:G:A rs145714347 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 29640362 29640363 chr2:29640363:G:A rs12617820 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 29651285 29651286 chr2:29651286:G:C rs6718929 G C C EBF1_EBF_1 12 1 + 7.945199234603135 9.333835178281285 AATCCCTAAGGAGT chr2 29655632 29655633 chr2:29655633:G:C rs954030 G C G EBF1_EBF_1 -17 0 - 0 0 . chr2 29663537 29663538 chr2:29663538:T:C rs78410351 T C T EBF1_EBF_1 25 0 - 0 0 . chr2 29685430 29685431 chr2:29685431:G:A rs2631993 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 29690404 29690405 chr2:29690405:G:A rs13423559 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 29697330 29697331 chr2:29697331:T:C rs2541167 T C T EBF1_EBF_1 -17 0 - 0 0 . chr2 29705550 29705551 chr2:29705551:G:C rs57743653 G C G EBF1_EBF_1 -2 0 - 0 0 . chr2 29766516 29766517 chr2:29766517:A:G rs115421947 A G A EBF1_EBF_1 -19 0 + 0 0 . chr2 29783847 29783848 chr2:29783848:C:T rs4665479 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 29850909 29850910 chr2:29850910:G:A rs553014175 G A g EBF1_EBF_1 6 1 + 6.431008565888866 8.597802997163342 GCCCCCGGGGGACT chr2 29850921 29850922 chr2:29850922:C:G rs72613833 C G G EBF1_EBF_1 18 0 + 0 0 . chr2 29913752 29913753 chr2:29913753:T:C rs13387589 T C T EBF1_EBF_1 22 0 - 0 0 . chr2 29951781 29951782 chr2:29951782:G:A rs115785662 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 30022037 30022038 chr2:30022038:C:T rs147226535 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 30026745 30026746 chr2:30026746:C:T rs58986325 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 30147049 30147050 chr2:30147050:A:C chr2:30147050:A:C A C A EBF1_EBF_1 29 0 - 0 0 . chr2 30148444 30148445 chr2:30148445:G:A rs143727527 G A g EBF1_EBF_1 -10 0 + 0 0 . chr2 30178011 30178012 chr2:30178012:A:T rs7564198 A T A EBF1_EBF_1 -17 0 - 0 0 . chr2 30200862 30200863 chr2:30200863:C:T rs1045030916 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 30220217 30220218 chr2:30220218:A:G rs1487592608 A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 30233823 30233824 chr2:30233824:T:A rs13391700 T A T EBF1_EBF_1 26 0 - 0 0 . chr2 30233869 30233870 chr2:30233870:A:G rs569905979 A G A EBF1_EBF_1 -20 0 - 0 0 . chr2 30239534 30239535 chr2:30239535:A:G rs147469037 A G A EBF1_EBF_1 -11 0 + 0 0 . chr2 30245993 30245994 chr2:30245994:C:T rs6708792 C T C EBF1_EBF_1 20 0 + 0 0 . chr2 30249575 30249576 chr2:30249576:C:A chr2:30249576:C:A C A G EBF1_EBF_1 27 0 - 0 0 . chr2 30249575 30249576 chr2:30249576:C:G rs13414105 C G G EBF1_EBF_1 27 0 - 0 0 . chr2 30268679 30268680 chr2:30268680:C:T rs57783522 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 30268689 30268690 chr2:30268690:T:G rs7604484 T G G EBF1_EBF_1 -12 0 - 0 0 . chr2 30367604 30367605 chr2:30367605:C:G rs13421874 C G C EBF1_EBF_1 14 0 - 0 0 . chr2 30407857 30407858 chr2:30407858:A:C rs829613 A C C EBF1_EBF_1 -11 0 + 0 0 . chr2 30436706 30436707 chr2:30436707:T:A rs1252655 T A A EBF1_EBF_1 23 0 + 0 0 . chr2 30621759 30621760 chr2:30621760:A:G rs2100112 A G a EBF1_EBF_1 0 1 + 5.723185201038435 3.830901331473602 ATTCCCAGGGAAGC chr2 30649118 30649119 chr2:30649119:T:A rs146674778 T A T EBF1_EBF_1 24 0 + 0 0 . chr2 30676025 30676026 chr2:30676026:C:G rs4952175 C G C EBF1_EBF_1 6 1 + 8.058918425930429 6.253726362457233 ATTCCTCAGGGACC chr2 30678221 30678222 chr2:30678222:A:C rs77652134 A C A EBF1_EBF_1 -4 0 + 0 0 . chr2 30681650 30681651 chr2:30681651:G:A rs2609938 G A G EBF1_EBF_1 4 1 - 5.6791426290214275 1.3097556840765037 AGTCCCATAAGACC chr2 30685846 30685847 chr2:30685847:G:C rs77873239 G C G EBF1_EBF_1 16 0 + 0 0 . chr2 30692144 30692145 chr2:30692145:C:G rs76994921 C G G EBF1_EBF_1 26 0 - 0 0 . chr2 30697979 30697980 chr2:30697980:A:C rs55968228 A C A EBF1_EBF_1 14 0 + 0 0 . chr2 30710654 30710655 chr2:30710655:T:A rs12618680 T A T EBF1_EBF_1 11 1 - 6.958400465282453 2.860486209183728 CGCCCCATGGGAAG chr2 30710680 30710681 chr2:30710681:G:C rs72865479 G C G EBF1_EBF_1 -15 0 - 0 0 . chr2 30716885 30716886 chr2:30716886:G:A rs80006336 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 30734323 30734324 chr2:30734324:C:T rs148490353 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 30735599 30735600 chr2:30735600:C:G rs77017306 C G C EBF1_EBF_1 3 1 + 5.695513552471376 -0.13225089564384052 TGCCCCGGGGGACC chr2 30735601 30735602 chr2:30735602:C:T rs2013768 C T T EBF1_EBF_1 5 1 + 5.695513552471376 0.3737263369307072 TGCCCCGGGGGACC chr2 30735618 30735619 chr2:30735619:T:C rs72867117 T C T EBF1_EBF_1 0 1 - 7.911083704886071 6.0187998353212375 ACTCCCCTGGGTCC chr2 30738155 30738156 chr2:30738156:C:G rs55799526 C G C EBF1_EBF_1 25 0 - 0 0 . chr2 30744353 30744354 chr2:30744354:C:T rs191577245 C T C EBF1_EBF_1 9 1 - 5.953511520894679 3.2985722554470747 ATACCCCTGGGGCA chr2 30757362 30757363 chr2:30757363:C:T rs72783026 C T C EBF1_EBF_1 9 1 - 11.437830616926403 8.782891351478801 TTTCCCCAGGGAAC chr2 30757392 30757393 chr2:30757393:C:T rs72783028 C T C EBF1_EBF_1 1 1 + 8.215747598493115 9.11118103889186 GCCCCCATGGGACA chr2 30764122 30764123 chr2:30764123:G:T rs579016 G T T EBF1_EBF_1 8 1 + 4.60049303800423 -2.2909397509729645 GCTCCCCAGTGACT chr2 30777441 30777442 chr2:30777442:T:C rs74377741 T C T EBF1_EBF_1 -12 0 + 0 0 . chr2 30803870 30803871 chr2:30803871:T:C rs7597567 T C C EBF1_EBF_1 0 1 - 7.643913105741376 5.751629236176543 AATCCCCAAGGAGT chr2 30804643 30804644 chr2:30804644:A:G rs13385578 A G A EBF1_EBF_1 32 0 - 0 0 . chr2 30807157 30807158 chr2:30807158:C:T rs7592727 C T T EBF1_EBF_1 32 0 - 0 0 . chr2 30810732 30810733 chr2:30810733:C:T rs6759484 C T c EBF1_EBF_1 25 0 - 0 0 . chr2 30811452 30811453 chr2:30811453:C:A rs6741689 C A A EBF1_EBF_1 2 1 + 9.635025019251637 7.839380843094909 CTCCCCCTGGGAAT chr2 30965684 30965685 chr2:30965685:G:T rs62141361 G T G EBF1_EBF_1 3 1 - 6.4144133780009875 -0.49176065146481895 GTTCCCCTGGGCTT chr2 30979691 30979692 chr2:30979692:A:T rs1862970 A T T EBF1_EBF_1 25 0 - 0 0 . chr2 31004391 31004392 chr2:31004392:A:G rs80055147 A G A EBF1_EBF_1 7 1 - 3.7068008028965203 1.8892960566138972 CCTCCCCTTGGACT chr2 31007077 31007078 chr2:31007078:G:A rs6707684 G A A EBF1_EBF_1 -3 0 - 0 0 . chr2 31013540 31013541 chr2:31013541:A:G rs744638 A G G EBF1_EBF_1 22 0 + 0 0 . chr2 31028532 31028533 chr2:31028533:A:G rs4951959 A G G EBF1_EBF_1 24 0 - 0 0 . chr2 31028550 31028551 chr2:31028551:T:C rs4952030 T C C EBF1_EBF_1 6 1 - 4.919320361826578 2.7525259305521037 CACCCCATGGGGAA chr2 31047983 31047984 chr2:31047984:G:A rs72793304 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 31049015 31049016 chr2:31049016:T:C rs1862985 T C T EBF1_EBF_1 0 1 - 7.574184334954261 5.681900465389427 ATTCACAAGGGAAA chr2 31050843 31050844 chr2:31050844:A:G rs7564975 A G G EBF1_EBF_1 -18 0 + 0 0 . chr2 31050869 31050870 chr2:31050870:G:T rs10205676 G T G EBF1_EBF_1 8 1 + 4.665527243274708 -2.2259055457024868 ACCCACAGGGGACT chr2 31066948 31066949 chr2:31066949:C:T rs17010640 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 31123901 31123902 chr2:31123902:A:T rs75225945 A T A EBF1_EBF_1 -5 0 + 0 0 . chr2 31126705 31126706 chr2:31126706:C:T rs13427784 C T T EBF1_EBF_1 25 0 - 0 0 . chr2 31134679 31134680 chr2:31134680:C:G rs10168512 C G C EBF1_EBF_1 -12 0 + 0 0 . chr2 31172032 31172033 chr2:31172033:T:C rs73921552 T C T EBF1_EBF_1 15 0 + 0 0 . chr2 31188375 31188376 chr2:31188376:C:A rs1465973 C A A EBF1_EBF_1 25 0 - 0 0 . chr2 31188420 31188421 chr2:31188421:T:C rs77569859 T C C EBF1_EBF_1 12 1 - 5.529303405638281 4.110486063538803 GCTCCCAGGAGAAG chr2 31188426 31188427 chr2:31188427:T:C rs146061154 T C T EBF1_EBF_1 6 1 - 5.529303405638281 3.3625089743638057 GCTCCCAGGAGAAG chr2 31188443 31188444 chr2:31188444:C:T rs1465974 C T T EBF1_EBF_1 -11 0 - 0 0 . chr2 31192396 31192397 chr2:31192397:T:C rs10204608 T C T EBF1_EBF_1 21 0 - 0 0 . chr2 31193346 31193347 chr2:31193347:T:C rs11124248 T C C EBF1_EBF_1 18 0 - 0 0 . chr2 31197276 31197277 chr2:31197277:C:T rs72797147 C T C EBF1_EBF_1 15 0 - 0 0 . chr2 31205163 31205164 chr2:31205164:G:T rs1867329 G T g EBF1_EBF_1 28 0 - 0 0 . chr2 31214753 31214754 chr2:31214754:T:C rs11688485 T C T EBF1_EBF_1 25 0 + 0 0 . chr2 31231742 31231743 chr2:31231743:G:A rs13425772 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 31231752 31231753 chr2:31231753:C:G rs148919975 C G C EBF1_EBF_1 19 0 - 0 0 . chr2 31240177 31240178 chr2:31240178:A:T rs633323 A T T EBF1_EBF_1 -9 0 + 0 0 . chr2 31252011 31252012 chr2:31252012:C:T rs602037 C T C EBF1_EBF_1 0 1 - 9.055456531371485 10.947740400936317 GTCCCCCAGGGAGT chr2 31252387 31252388 chr2:31252388:A:G rs612500 A G A EBF1_EBF_1 18 0 - 0 0 . chr2 31283409 31283410 chr2:31283410:G:A rs10178948 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 31287819 31287820 chr2:31287820:C:T rs114294488 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 31288155 31288156 chr2:31288156:C:T rs11896460 C T T EBF1_EBF_1 -7 0 - 0 0 . chr2 31347509 31347510 chr2:31347510:T:C rs1366813 T C C EBF1_EBF_1 7 1 + 4.9651610134659165 3.1476562671832933 GATCCCATGGGCTC chr2 31366980 31366981 chr2:31366981:G:A rs2295475 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 31385064 31385065 chr2:31385065:A:G rs45530139 A G A EBF1_EBF_1 -19 0 + 0 0 . chr2 31398731 31398732 chr2:31398732:T:C rs10175754 T C T EBF1_EBF_1 -18 0 + 0 0 . chr2 31430023 31430024 chr2:31430024:A:G rs79619072 A G A EBF1_EBF_1 7 1 - 5.533610920697266 3.716106174414641 ACTCCCATGTGACC chr2 31441297 31441298 chr2:31441298:G:T chr2:31441298:G:T G T G EBF1_EBF_1 -13 0 + 0 0 . chr2 31557720 31557721 chr2:31557721:T:C rs12467911 T C C EBF1_EBF_1 22 0 - 0 0 . chr2 31632453 31632454 chr2:31632454:G:A rs56128492 G A G EBF1_EBF_1 0 1 - 6.677058838967428 6.959260899698005 CGTCCCCTGGGGCA chr2 31708819 31708820 chr2:31708820:A:C rs806592 A C A EBF1_EBF_1 -17 0 - 0 0 . chr2 32011182 32011183 chr2:32011183:A:T rs118071363 A T A EBF1_EBF_1 1 1 - 6.441761118614362 5.4754005008635325 ATACCCGCGGGACT chr2 32269215 32269216 chr2:32269216:A:G rs6726255 A G A EBF1_EBF_1 -11 0 + 0 0 . chr2 32285002 32285003 chr2:32285003:G:T rs390480 G T T EBF1_EBF_1 6 1 - 4.681050628156479 5.042652995957757 GTACCCCGGAGACT chr2 32315264 32315265 chr2:32315265:T:C rs7604035 T C C EBF1_EBF_1 29 0 - 0 0 . chr2 32442884 32442885 chr2:32442885:C:G rs114655785 C G C EBF1_EBF_1 4 1 + 6.980774969309892 1.3550098681603475 GATCCCCAGGGCAT chr2 32711518 32711519 chr2:32711519:A:G rs138125150 A G A EBF1_EBF_1 15 0 + 0 0 . chr2 32745473 32745474 chr2:32745474:A:G rs60290630 A G A EBF1_EBF_1 24 0 - 0 0 . chr2 32745500 32745501 chr2:32745501:G:A rs79878281 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 32802981 32802982 chr2:32802982:C:T rs57970270 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 32826461 32826462 chr2:32826462:G:A rs4952302 G A A EBF1_EBF_1 13 1 - 4.457019708505389 5.301513823090907 AGCCCCTGGGAACC chr2 32832473 32832474 chr2:32832474:C:T rs4952272 C T T EBF1_EBF_1 10 1 - 5.117617172372376 -0.20041128689458987 AGCCCAAAGGGAAT chr2 32878070 32878071 chr2:32878071:G:C rs114736183 G C G EBF1_EBF_1 2 1 - 6.727389778195101 1.867887499436783 TTCCCCTGGAGAAT chr2 32903220 32903221 chr2:32903221:G:A rs58120926 G A G EBF1_EBF_1 7 1 + 5.677870154804522 6.9903285600933485 TTTCCCCGGAGAGA chr2 32926528 32926529 chr2:32926529:A:G rs62135677 A G A EBF1_EBF_1 33 0 + 0 0 . chr2 32946386 32946387 chr2:32946387:G:T rs188182131 G T G EBF1_EBF_1 12 1 - 4.394938892229012 4.425120290650338 ACCGCCCAGGGACA chr2 32946396 32946397 chr2:32946397:G:A rs138438252 G A G EBF1_EBF_1 2 1 - 4.394938892229012 6.283762303671163 ACCGCCCAGGGACA chr2 32947239 32947240 chr2:32947240:C:G rs112060237 C G C EBF1_EBF_1 0 1 - 4.255634136011585 3.892659521879818 GCTCCCCCGAGAGC chr2 32958429 32958430 chr2:32958430:T:C rs219435 T C T EBF1_EBF_1 -13 0 - 0 0 . chr2 32962879 32962880 chr2:32962880:C:T rs78255203 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 33067788 33067789 chr2:33067789:A:G rs219088 A G A EBF1_EBF_1 27 0 - 0 0 . chr2 33108223 33108224 chr2:33108224:G:T rs140066206 G T T EBF1_EBF_1 5 1 - 5.675480205331791 -1.2294009473033771 GCCCCCCAGAGACA chr2 33142880 33142881 chr2:33142881:G:A rs2045128 G A A EBF1_EBF_1 4 1 - 5.638961692163279 1.2695747472183556 AGACCCCAAGGAAT chr2 33224054 33224055 chr2:33224055:A:G rs73924192 A G A EBF1_EBF_1 -2 0 - 0 0 . chr2 33241415 33241416 chr2:33241416:A:G rs12468769 A G G EBF1_EBF_1 28 0 + 0 0 . chr2 33290847 33290848 chr2:33290848:G:A rs778243286 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 33298418 33298419 chr2:33298419:A:G rs750565182 A G A EBF1_EBF_1 6 1 + 6.060891175041596 3.894096743767123 CTTCACATGGGAAT chr2 33391263 33391264 chr2:33391264:G:A rs143274887 G A G EBF1_EBF_1 9 1 + 6.089821539274137 3.434882273826534 CCTCCCTAGGGTAA chr2 33396621 33396622 chr2:33396622:T:G rs652230 T G T EBF1_EBF_1 27 0 + 0 0 . chr2 33420582 33420583 chr2:33420583:A:C rs7575204 A C C EBF1_EBF_1 -10 0 + 0 0 . chr2 33486715 33486716 chr2:33486716:G:A rs6758071 G A G EBF1_EBF_1 0 1 - 7.710896833232915 7.993098893963492 CAACCCAAGGGAAT chr2 33554838 33554839 chr2:33554839:T:C rs4670699 T C T EBF1_EBF_1 -6 0 + 0 0 . chr2 33554849 33554850 chr2:33554850:C:T rs59038982 C T C EBF1_EBF_1 5 1 + 5.289721623473523 -0.03206559206714715 AACCCCCTGGGCAA chr2 33598603 33598604 chr2:33598604:G:A rs776578599 G A G EBF1_EBF_1 3 1 - 4.090584636250939 -2.3148141053023803 CGTCCCTGGGAACC chr2 33608194 33608195 chr2:33608195:C:T rs13428393 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 33633309 33633310 chr2:33633310:C:G rs141264755 C G C EBF1_EBF_1 31 0 - 0 0 . chr2 33634797 33634798 chr2:33634798:C:G rs78659661 C G C EBF1_EBF_1 -8 0 + 0 0 . chr2 33634815 33634816 chr2:33634816:G:T rs62150506 G T G EBF1_EBF_1 10 1 + 5.350088809440347 1.1673366232130815 ACCCCCTAGAGGCC chr2 33681629 33681630 chr2:33681630:A:T rs56241325 A T A EBF1_EBF_1 27 0 - 0 0 . chr2 33718406 33718407 chr2:33718407:T:G rs6543740 T G G EBF1_EBF_1 -13 0 - 0 0 . chr2 33726681 33726682 chr2:33726682:A:G rs2290102 A G A EBF1_EBF_1 -14 0 + 0 0 . chr2 33775622 33775623 chr2:33775623:A:C rs7607065 A C C EBF1_EBF_1 -11 0 + 0 0 . chr2 33988239 33988240 chr2:33988240:C:T rs4670309 C T C EBF1_EBF_1 11 1 + 5.863243756072171 6.181291197825835 GATCCCTAGGGCGT chr2 34019173 34019174 chr2:34019174:C:G rs76784336 C G C EBF1_EBF_1 12 1 + 6.801605464636746 5.412969520958595 CCTCCCTTGGGTCT chr2 34056698 34056699 chr2:34056699:A:G rs1834282 A G A EBF1_EBF_1 -19 0 + 0 0 . chr2 34119612 34119613 chr2:34119613:C:T rs79959213 C T C EBF1_EBF_1 15 0 - 0 0 . chr2 34176672 34176673 chr2:34176673:T:A rs7566234 T A A EBF1_EBF_1 31 0 + 0 0 . chr2 34210611 34210612 chr2:34210612:C:A rs146085723 C A C EBF1_EBF_1 -13 0 + 0 0 . chr2 34731426 34731427 chr2:34731427:G:A rs11897621 G A G EBF1_EBF_1 3 1 - 5.35831808992243 -1.047080651630887 GATCCCTGGGTAAC chr2 34772598 34772599 chr2:34772599:G:A rs10198070 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 34848641 34848642 chr2:34848642:C:G rs280692 C G G EBF1_EBF_1 -19 0 - 0 0 . chr2 35178944 35178945 chr2:35178945:C:A rs968059 C A C EBF1_EBF_1 1 1 + 5.457291452332233 5.386364274980148 CCTCCCTTGGGCTT chr2 35298541 35298542 chr2:35298542:C:T rs146645589 C T C EBF1_EBF_1 22 0 + 0 0 . chr2 35343237 35343238 chr2:35343238:C:A rs4435430 C A C EBF1_EBF_1 17 0 + 0 0 . chr2 35445296 35445297 chr2:35445297:G:T rs4439937 G T G EBF1_EBF_1 -2 0 + 0 0 . chr2 35468441 35468442 chr2:35468442:C:G rs13388298 C G C EBF1_EBF_1 15 0 - 0 0 . chr2 35477759 35477760 chr2:35477760:A:G rs56053627 A G G EBF1_EBF_1 31 0 + 0 0 . chr2 35663749 35663750 chr2:35663750:A:G rs12466969 A G G EBF1_EBF_1 -7 0 + 0 0 . chr2 35734672 35734673 chr2:35734673:C:T rs77844368 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 35772122 35772123 chr2:35772123:G:A rs139454680 G A G EBF1_EBF_1 10 1 + 4.278480992719059 -1.0395474665479072 ACACCCAGGGGCCT chr2 35824907 35824908 chr2:35824908:C:T rs7586691 C T C EBF1_EBF_1 -6 0 + 0 0 . chr2 35834661 35834662 chr2:35834662:T:C rs62145622 T C T EBF1_EBF_1 -6 0 - 0 0 . chr2 35843796 35843797 chr2:35843797:G:T rs34033137 G T T EBF1_EBF_1 8 1 + 9.410432402819403 2.518999613842208 ACTCCCCGGGGAGA chr2 35946823 35946824 chr2:35946824:C:T rs62148492 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 35962287 35962288 chr2:35962288:C:A rs33999697 C A C EBF1_EBF_1 27 0 + 0 0 . chr2 35973803 35973804 chr2:35973804:A:G rs149665245 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 36031856 36031857 chr2:36031857:G:A rs1510730 G A A EBF1_EBF_1 13 1 + 5.976172818921064 7.090498390990503 GTTCCCAAGGGCAG chr2 36088898 36088899 chr2:36088899:A:C rs74938494 A C C EBF1_EBF_1 26 0 - 0 0 . chr2 36088914 36088915 chr2:36088915:A:C rs79911040 A C A EBF1_EBF_1 10 1 - 5.898365674690603 10.081117860917868 CTCCCCCAGGTACT chr2 36147962 36147963 chr2:36147963:A:G rs1167451 A G A EBF1_EBF_1 6 1 - 7.110983369263188 6.809697240401431 ACTCCCTCAGGACT chr2 36169362 36169363 chr2:36169363:G:A rs1167462 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 36185161 36185162 chr2:36185162:A:G rs12618258 A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 36253215 36253216 chr2:36253216:A:G rs1919816 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 36270801 36270802 chr2:36270802:G:C rs12468853 G C G EBF1_EBF_1 27 0 - 0 0 . chr2 36417084 36417085 chr2:36417085:C:G rs3821160 C G C EBF1_EBF_1 18 0 + 0 0 . chr2 36441826 36441827 chr2:36441827:A:G rs148427831 A G A EBF1_EBF_1 12 1 + 7.294820330123387 5.8760029880239095 TTTCCCCAGAGAAG chr2 36457453 36457454 chr2:36457454:G:A rs72787269 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 36463552 36463553 chr2:36463553:A:T rs3770863 A T A EBF1_EBF_1 -4 0 + 0 0 . chr2 36479367 36479368 chr2:36479368:G:C rs711250 G C G EBF1_EBF_1 30 0 + 0 0 . chr2 36479644 36479645 chr2:36479645:C:G rs138940127 C G C EBF1_EBF_1 31 0 - 0 0 . chr2 36496528 36496529 chr2:36496529:C:T rs533306999 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 36507880 36507881 chr2:36507881:G:T rs10184223 G T T EBF1_EBF_1 -11 0 + 0 0 . chr2 36561758 36561759 chr2:36561759:T:G rs78644967 T G T EBF1_EBF_1 -6 0 + 0 0 . chr2 36561781 36561782 chr2:36561782:T:G rs924457616 T G T EBF1_EBF_1 17 0 + 0 0 . chr2 36565938 36565939 chr2:36565939:A:G rs848608 A G A EBF1_EBF_1 7 1 - 7.852772984361348 6.035268238078725 CCCCCCATGGGACA chr2 36579461 36579462 chr2:36579462:A:G rs72868479 A G A EBF1_EBF_1 -20 0 + 0 0 . chr2 36637341 36637342 chr2:36637342:G:A rs12469492 G A G EBF1_EBF_1 6 1 - 7.942786513063379 8.244072641925138 GGACCCCAGGGACT chr2 36650095 36650096 chr2:36650096:G:A rs962048749 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 36706646 36706647 chr2:36706647:G:A rs17019526 G A A EBF1_EBF_1 0 1 - 9.571967021322864 9.854169082053444 CCTCCCCTGGGATT chr2 36708262 36708263 chr2:36708263:C:T rs12712516 C T T EBF1_EBF_1 4 1 + 9.779428272300063 5.410041327355139 GTCCCCAAGGGATT chr2 36708458 36708459 chr2:36708459:G:C rs151145682 G C G EBF1_EBF_1 27 0 - 0 0 . chr2 36756411 36756412 chr2:36756412:T:C rs11124538 T C C EBF1_EBF_1 -15 0 - 0 0 . chr2 36784523 36784524 chr2:36784524:T:G rs11675969 T G T EBF1_EBF_1 22 0 + 0 0 . chr2 36818157 36818158 chr2:36818158:C:G rs11899264 C G G EBF1_EBF_1 -2 0 - 0 0 . chr2 36824415 36824416 chr2:36824416:A:T rs6730884 A T A EBF1_EBF_1 -6 0 + 0 0 . chr2 37141308 37141309 chr2:37141309:T:C rs2287350 T C T EBF1_EBF_1 -17 0 - 0 0 . chr2 37155053 37155054 chr2:37155054:T:C rs13026696 T C T EBF1_EBF_1 13 1 + 5.808214444160366 4.963720329574848 ACTCCCCTGGTGAT chr2 37156964 37156965 chr2:37156965:G:A rs112719085 G A g EBF1_EBF_1 -18 0 + 0 0 . chr2 37157645 37157646 chr2:37157646:A:C rs72860801 A C A EBF1_EBF_1 22 0 + 0 0 . chr2 37180159 37180160 chr2:37180160:T:A rs13392521 T A T EBF1_EBF_1 31 0 + 0 0 . chr2 37272262 37272263 chr2:37272263:C:T rs2160394 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 37282869 37282870 chr2:37282870:G:C rs2058716 G C C EBF1_EBF_1 -20 0 + 0 0 . chr2 37282899 37282900 chr2:37282900:G:A rs192958760 G A G EBF1_EBF_1 10 1 + 7.198462811279815 1.8804343520128495 TCTCCCATGAGAGT chr2 37327818 37327819 chr2:37327819:G:A rs4670689 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 37344370 37344371 chr2:37344371:G:A rs74641045 G A G EBF1_EBF_1 10 1 + 9.231852059559147 3.913823600292182 ACTCCCCAGGGTCT chr2 37376695 37376696 chr2:37376696:A:G rs17020628 A G A EBF1_EBF_1 33 0 - 0 0 . chr2 37387594 37387595 chr2:37387595:T:C rs4390746 T C T EBF1_EBF_1 27 0 + 0 0 . chr2 37390216 37390217 chr2:37390217:C:T rs73924634 C T C EBF1_EBF_1 3 1 + 6.984901992199329 0.5795032506460123 ATTCCCTAGGTATG chr2 37460376 37460377 chr2:37460377:A:G rs6733460 A G A EBF1_EBF_1 -20 0 + 0 0 . chr2 37486921 37486922 chr2:37486922:G:A rs7562161 G A G EBF1_EBF_1 16 0 + 0 0 . chr2 37558606 37558607 chr2:37558607:G:A rs4670731 G A A EBF1_EBF_1 -15 0 - 0 0 . chr2 37612602 37612603 chr2:37612603:T:A rs56729908 T A T EBF1_EBF_1 19 0 - 0 0 . chr2 37654118 37654119 chr2:37654119:C:A rs142298536 C A C EBF1_EBF_1 -10 0 - 0 0 . chr2 37698902 37698903 chr2:37698903:C:T rs727765 C T T EBF1_EBF_1 -6 0 + 0 0 . chr2 37698929 37698930 chr2:37698930:T:C rs189285944 T C T EBF1_EBF_1 21 0 + 0 0 . chr2 37721426 37721427 chr2:37721427:C:T rs12467084 C T C EBF1_EBF_1 -11 0 - 0 0 . chr2 37773427 37773428 chr2:37773428:T:C rs10209437 T C T EBF1_EBF_1 27 0 + 0 0 . chr2 37777849 37777850 chr2:37777850:A:G rs12996847 A G G EBF1_EBF_1 -11 0 + 0 0 . chr2 37801884 37801885 chr2:37801885:A:G rs6753042 A G A EBF1_EBF_1 28 0 + 0 0 . chr2 37819141 37819142 chr2:37819142:A:C rs10865128 A C C EBF1_EBF_1 26 0 - 0 0 . chr2 37819164 37819165 chr2:37819165:G:C rs10865129 G C C EBF1_EBF_1 3 1 - 9.032549049419528 3.2047846013043113 AATCCCCAAGGACT chr2 37830239 37830240 chr2:37830240:C:G rs77664382 C G C EBF1_EBF_1 1 1 + 4.6519201290434795 4.8416917836126965 TCTCCCCAGGGTGA chr2 37830252 37830253 chr2:37830253:C:G rs79615379 C G C EBF1_EBF_1 14 0 + 0 0 . chr2 37838219 37838220 chr2:37838220:T:C rs6745535 T C C EBF1_EBF_1 -5 0 + 0 0 . chr2 37857853 37857854 chr2:37857854:C:G rs76542795 C G C EBF1_EBF_1 30 0 + 0 0 . chr2 37893268 37893269 chr2:37893269:G:A rs2707270 G A A EBF1_EBF_1 0 1 + 7.277801031255602 9.170084900820434 GGTCCCCTGAGACA chr2 37925549 37925550 chr2:37925550:G:A rs928696485 G A C EBF1_EBF_1 5 1 - 5.12593660733898 -0.1958506082016893 CTTCGCCAGGGACA chr2 37925563 37925564 chr2:37925564:T:C rs7584680 T C T EBF1_EBF_1 -9 0 - 0 0 . chr2 37962838 37962839 chr2:37962839:C:T rs11689996 C T C EBF1_EBF_1 5 1 + 5.978004193442291 0.6562169779016213 GCACCCGAGGGAAT chr2 37962851 37962852 chr2:37962852:C:T rs61643091 C T c EBF1_EBF_1 18 0 + 0 0 . chr2 37996002 37996003 chr2:37996003:C:T rs7598117 C T T EBF1_EBF_1 -11 0 - 0 0 . chr2 38038202 38038203 chr2:38038203:G:A chr2:38038203:G:A G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 38038339 38038340 chr2:38038340:G:A chr2:38038340:G:A G A G EBF1_EBF_1 5 1 - 6.869484103418672 1.5476968878780006 CACCCCAAGGGAGA chr2 38064823 38064824 chr2:38064824:T:A rs10169939 T A T EBF1_EBF_1 17 0 + 0 0 . chr2 38077527 38077528 chr2:38077528:T:A rs376485196 T A T EBF1_EBF_1 -12 0 + 0 0 . chr2 38130051 38130052 chr2:38130052:C:A rs774074714 C A C EBF1_EBF_1 -9 0 - 0 0 . chr2 38147332 38147333 chr2:38147333:C:T rs776461319 C T C EBF1_EBF_1 28 0 - 0 0 . chr2 38147362 38147363 chr2:38147363:G:A rs147881524 G A G EBF1_EBF_1 -2 0 - 0 0 . chr2 38149173 38149174 chr2:38149174:C:A rs76947090 C A C EBF1_EBF_1 3 1 + 4.9686214715886 -1.9375525578772066 AACCCCATGGGCTC chr2 38157001 38157002 chr2:38157002:G:A rs232579 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 38176488 38176489 chr2:38176489:G:A rs75135097 G A A EBF1_EBF_1 -13 0 + 0 0 . chr2 38218573 38218574 chr2:38218574:T:C rs10195599 T C t EBF1_EBF_1 -13 0 - 0 0 . chr2 38218574 38218575 chr2:38218575:G:A rs10183195 G A g EBF1_EBF_1 -14 0 - 0 0 . chr2 38218790 38218791 chr2:38218791:A:C rs10206780 A C C EBF1_EBF_1 20 0 + 0 0 . chr2 38218792 38218793 chr2:38218793:C:G rs563620777 C G C EBF1_EBF_1 22 0 + 0 0 . chr2 38239913 38239914 chr2:38239914:C:T rs10865136 C T T EBF1_EBF_1 -1 0 + 0 0 . chr2 38239930 38239931 chr2:38239931:C:G rs10865137 C G G EBF1_EBF_1 16 0 + 0 0 . chr2 38243655 38243656 chr2:38243656:A:G rs79885581 A G A EBF1_EBF_1 0 1 + 5.4630062819430565 3.5707224123782226 ATTCCCCATGGATC chr2 38246484 38246485 chr2:38246485:A:G rs7604860 A G G EBF1_EBF_1 25 0 - 0 0 . chr2 38278037 38278038 chr2:38278038:C:T rs186131923 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 38278047 38278048 chr2:38278048:T:C rs4263092 T C C EBF1_EBF_1 19 0 - 0 0 . chr2 38388774 38388775 chr2:38388775:C:T rs73931224 C T C EBF1_EBF_1 11 1 + 5.7298185220542655 6.047865963807929 ACTCCCAGGGGCGC chr2 38430452 38430453 chr2:38430453:G:A rs17022657 G A G EBF1_EBF_1 -5 0 + 0 0 . chr2 38452408 38452409 chr2:38452409:G:A rs1396738 G A A EBF1_EBF_1 27 0 - 0 0 . chr2 38452422 38452423 chr2:38452423:T:C rs1396737 T C T EBF1_EBF_1 13 1 - 7.859995464232641 6.745669892163202 ATTCCCAGAGGAAA chr2 38499246 38499247 chr2:38499247:G:A rs76889085 G A G EBF1_EBF_1 27 0 + 0 0 . chr2 38529413 38529414 chr2:38529414:G:A rs4670878 G A A EBF1_EBF_1 -15 0 + 0 0 . chr2 38602594 38602595 chr2:38602595:G:A rs34376380 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 38665996 38665997 chr2:38665997:C:A rs138569180 C A c EBF1_EBF_1 7 1 - 6.203580584505483 7.03976474970675 AGCCCCTGGGGCCT chr2 38687181 38687182 chr2:38687182:C:T rs55992390 C T C EBF1_EBF_1 30 0 + 0 0 . chr2 38709734 38709735 chr2:38709735:C:T rs116505901 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 38893828 38893829 chr2:38893829:A:G rs3099956 A G G EBF1_EBF_1 -2 0 - 0 0 . chr2 38959754 38959755 chr2:38959755:G:A rs11691022 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 39107346 39107347 chr2:39107347:G:A rs10177250 G A A EBF1_EBF_1 0 1 + 5.342086588410664 7.234370457975498 GTTCCCGGGAGACA chr2 39107351 39107352 chr2:39107352:C:T rs61456571 C T T EBF1_EBF_1 5 1 + 5.342086588410664 0.020299372869993837 GTTCCCGGGAGACA chr2 39123709 39123710 chr2:39123710:G:A rs10165968 G A A EBF1_EBF_1 7 1 + 5.557362612198552 6.869821017487377 TATCCCCGGGGCCT chr2 39533713 39533714 chr2:39533714:G:A rs76552528 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 39649653 39649654 chr2:39649654:G:A rs2540212 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 39662088 39662089 chr2:39662089:T:G rs949813 T G T EBF1_EBF_1 -11 0 + 0 0 . chr2 39739588 39739589 chr2:39739589:C:A rs78355687 C A C EBF1_EBF_1 -20 0 + 0 0 . chr2 39830487 39830488 chr2:39830488:A:G rs62140193 A G A EBF1_EBF_1 5 1 - 5.178285481080964 10.500072696621633 TCTCCTAAGGGACA chr2 39831130 39831131 chr2:39831131:G:A rs34887628 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 39847762 39847763 chr2:39847763:A:G rs13430309 A G a EBF1_EBF_1 13 1 - 7.213984480935016 6.369490366349498 GATCCCCAGGTAAT chr2 39851922 39851923 chr2:39851923:A:C rs62137177 A C a EBF1_EBF_1 30 0 - 0 0 . chr2 39851945 39851946 chr2:39851946:A:G rs115052168 A G a EBF1_EBF_1 7 1 - 6.149844723371359 4.332339977088736 TTCCCCCTGGGGCC chr2 39937861 39937862 chr2:39937862:G:A rs1861259 G A A EBF1_EBF_1 -20 0 - 0 0 . chr2 39938254 39938255 chr2:39938255:G:C rs4670299 G C G EBF1_EBF_1 13 1 - 7.6195089345023215 6.131437913146907 TCTCCCCAGGGGCC chr2 40034839 40034840 chr2:40034840:G:A rs7583651 G A G EBF1_EBF_1 6 1 - 6.126435478675269 6.427721607537027 TCTCCCCAGGGCAC chr2 40043393 40043394 chr2:40043394:T:G rs6705905 T G T EBF1_EBF_1 -15 0 + 0 0 . chr2 40101464 40101465 chr2:40101465:C:T rs10186961 C T C EBF1_EBF_1 7 1 - 6.241521169218803 7.553979574507629 CTTCCCCGGGGCCT chr2 40131496 40131497 chr2:40131497:T:G rs4952469 T G T EBF1_EBF_1 -5 0 - 0 0 . chr2 40248300 40248301 chr2:40248301:G:T rs7593406 G T T EBF1_EBF_1 -13 0 + 0 0 . chr2 40338679 40338680 chr2:40338680:T:C rs2216005 T C C EBF1_EBF_1 24 0 - 0 0 . chr2 40364532 40364533 chr2:40364533:C:T rs111910251 C T C EBF1_EBF_1 4 1 + 4.496088903783703 0.12670195883877866 TCTCCCCAGGCAAT chr2 40451228 40451229 chr2:40451229:C:T rs1464105201 C T C EBF1_EBF_1 8 1 - 7.04371645345127 2.8174263645650095 TTCCCCAAGAGATT chr2 40451256 40451257 chr2:40451257:A:G chr2:40451257:A:G A G A EBF1_EBF_1 -20 0 - 0 0 . chr2 40451424 40451425 chr2:40451425:G:A rs139674149 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 40451460 40451461 chr2:40451461:G:C rs72953136 G C G EBF1_EBF_1 17 0 + 0 0 . chr2 40611222 40611223 chr2:40611223:A:G rs80045429 A G A EBF1_EBF_1 -8 0 - 0 0 . chr2 41020289 41020290 chr2:41020290:G:C rs34871676 G C G EBF1_EBF_1 0 1 + 8.718810634172382 8.355836020040615 GTTCCCATGAGACC chr2 41025446 41025447 chr2:41025447:C:T rs72789439 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 41030131 41030132 chr2:41030132:T:A rs34085470 T A T EBF1_EBF_1 -8 0 + 0 0 . chr2 41278332 41278333 chr2:41278333:T:C rs1441231 T C T EBF1_EBF_1 -16 0 + 0 0 . chr2 41326891 41326892 chr2:41326892:G:C rs6544410 G C G EBF1_EBF_1 -7 0 + 0 0 . chr2 41328939 41328940 chr2:41328940:C:A rs1508110 C A C EBF1_EBF_1 -19 0 + 0 0 . chr2 41483458 41483459 chr2:41483459:C:T rs17027822 C T T EBF1_EBF_1 14 0 + 0 0 . chr2 41598674 41598675 chr2:41598675:G:A rs2115612 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 41669697 41669698 chr2:41669698:G:C rs113407506 G C G EBF1_EBF_1 24 0 + 0 0 . chr2 41735861 41735862 chr2:41735862:G:C rs749652 G C G EBF1_EBF_1 9 1 + 4.986272301744174 -0.08941684460128996 ACACCCCTGGTACT chr2 41764916 41764917 chr2:41764917:G:A rs12469496 G A G EBF1_EBF_1 13 1 - 8.370769814594514 9.215263929180033 AATCCCCAGAGAGC chr2 41764933 41764934 chr2:41764934:T:C rs56819693 T C T EBF1_EBF_1 -4 0 - 0 0 . chr2 41841292 41841293 chr2:41841293:T:G rs1419718616 T G T EBF1_EBF_1 25 0 - 0 0 . chr2 41870967 41870968 chr2:41870968:G:A rs41513050 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 41872145 41872146 chr2:41872146:C:T rs4594493 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 41873460 41873461 chr2:41873461:T:C rs72613882 T C T EBF1_EBF_1 -11 0 - 0 0 . chr2 41890221 41890222 chr2:41890222:G:A rs7601644 G A G EBF1_EBF_1 8 1 + 8.502565535779201 4.276275446892941 ATTCCCTTGAGGCT chr2 41910152 41910153 chr2:41910153:T:G rs6749233 T G G EBF1_EBF_1 11 1 - 6.365325996290771 1.949364298438381 CCTCCCAAAGGACC chr2 41915683 41915684 chr2:41915684:T:C rs4528810 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 41924421 41924422 chr2:41924422:G:A rs67808084 G A A EBF1_EBF_1 14 0 + 0 0 . chr2 41930862 41930863 chr2:41930863:G:C rs76591784 G C G EBF1_EBF_1 -17 0 - 0 0 . chr2 41938016 41938017 chr2:41938017:C:T rs116087409 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 41938223 41938224 chr2:41938224:C:T rs369270992 C T C EBF1_EBF_1 4 1 + 4.20558555487385 -0.1638013900710743 GGACCCCAGGGGCC chr2 41940335 41940336 chr2:41940336:T:A rs79276486 T A T EBF1_EBF_1 19 0 - 0 0 . chr2 41964549 41964550 chr2:41964550:A:G rs34380336 A G A EBF1_EBF_1 -2 0 - 0 0 . chr2 41967352 41967353 chr2:41967353:A:G rs1530165 A G A EBF1_EBF_1 7 1 + 4.732087441440203 3.4196290361513793 CCTCCCCAGGGTTA chr2 41984518 41984519 chr2:41984519:G:A rs12617564 G A G EBF1_EBF_1 4 1 - 6.937030274375695 2.567643329430773 GCTCCCATGGGCAT chr2 41990701 41990702 chr2:41990702:G:A rs189072999 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 42011903 42011904 chr2:42011904:T:C rs720043 T C C EBF1_EBF_1 -13 0 + 0 0 . chr2 42025380 42025381 chr2:42025381:C:T rs183755757 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 42048335 42048336 chr2:42048336:G:A rs780735438 G A G EBF1_EBF_1 27 0 + 0 0 . chr2 42048523 42048524 chr2:42048524:T:C rs187184761 T C C EBF1_EBF_1 22 0 + 0 0 . chr2 42055491 42055492 chr2:42055492:A:T rs13401037 A T A EBF1_EBF_1 2 1 - 7.784256669764487 4.099789082165608 GGTCCCCAGAGAAA chr2 42061179 42061180 chr2:42061180:C:T rs57299708 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 42063395 42063396 chr2:42063396:T:C rs988263 T C C EBF1_EBF_1 11 1 - 4.223243533643097 1.330536690039085 GTTCCCCATGGACA chr2 42063415 42063416 chr2:42063416:C:A rs765966622 C A C EBF1_EBF_1 -9 0 - 0 0 . chr2 42063887 42063888 chr2:42063888:A:G rs1440098 A G G EBF1_EBF_1 -12 0 + 0 0 . chr2 42063896 42063897 chr2:42063897:T:C rs1440099 T C C EBF1_EBF_1 -3 0 + 0 0 . chr2 42063928 42063929 chr2:42063929:T:C rs1440100 T C C EBF1_EBF_1 29 0 + 0 0 . chr2 42074630 42074631 chr2:42074631:A:G rs893811 A G A EBF1_EBF_1 -10 0 + 0 0 . chr2 42106044 42106045 chr2:42106045:G:A rs115370925 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 42111467 42111468 chr2:42111468:C:T rs34303535 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 42111475 42111476 chr2:42111476:A:C rs11690069 A C C EBF1_EBF_1 6 1 - 4.728023952132313 2.6215457597973573 CGCCCCTCGAGACT chr2 42112422 42112423 chr2:42112423:G:A rs78217521 G A G EBF1_EBF_1 9 1 + 6.131132207631284 3.4761929421836806 AGCCCCCAGGGGGA chr2 42133519 42133520 chr2:42133520:C:A rs4453730 C A A EBF1_EBF_1 28 0 - 0 0 . chr2 42141915 42141916 chr2:42141916:G:A chr2:42141916:G:A G A G EBF1_EBF_1 10 1 + 10.456793181017527 5.138764721750564 TTTCCCAGGGGACC chr2 42141915 42141916 chr2:42141916:G:C rs115646213 G C G EBF1_EBF_1 10 1 + 10.456793181017527 3.565990793688054 TTTCCCAGGGGACC chr2 42169051 42169052 chr2:42169052:G:C rs566426563 G C G EBF1_EBF_1 18 0 + 0 0 . chr2 42325143 42325144 chr2:42325144:G:C rs56315033 G C G EBF1_EBF_1 -5 0 - 0 0 . chr2 42332147 42332148 chr2:42332148:A:G rs13375 A G A EBF1_EBF_1 32 0 - 0 0 . chr2 42370127 42370128 chr2:42370128:G:A rs7586114 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 42386782 42386783 chr2:42386783:G:T rs115759531 G T G EBF1_EBF_1 1 1 + 6.35851098208341 7.064172767912939 GGCCCCTAGAGATT chr2 42408237 42408238 chr2:42408238:T:G rs2109377 T G G EBF1_EBF_1 23 0 - 0 0 . chr2 42464718 42464719 chr2:42464719:G:A rs138990527 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 42494310 42494311 chr2:42494311:A:C rs6760754 A C C EBF1_EBF_1 -7 0 + 0 0 . chr2 42754425 42754426 chr2:42754426:G:A rs111873367 G A G EBF1_EBF_1 8 1 + 5.776286105872565 1.5499960169863034 GGCCCCGGGGGACC chr2 42788673 42788674 chr2:42788674:G:A rs62143190 G A g EBF1_EBF_1 7 1 - 6.771988301496467 8.58949304777909 GCCCCCACGGGACC chr2 42789954 42789955 chr2:42789955:A:G rs3772063 A G g EBF1_EBF_1 19 0 - 0 0 . chr2 42789983 42789984 chr2:42789984:T:A rs3772064 T A t EBF1_EBF_1 -10 0 - 0 0 . chr2 42792003 42792004 chr2:42792004:C:T rs920390 C T C EBF1_EBF_1 13 1 + 5.872123493011365 6.716617607596883 CTTCCCAAAGGAGC chr2 42809235 42809236 chr2:42809236:G:A rs13429831 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 42809235 42809236 chr2:42809236:G:T chr2:42809236:G:T G T G EBF1_EBF_1 -2 0 + 0 0 . chr2 42810080 42810081 chr2:42810081:G:A rs527444069 G A G EBF1_EBF_1 8 1 + 3.1129576705563884 -1.113332418329873 CCTCCCCGGGGCGC chr2 42810094 42810095 chr2:42810095:G:A rs547394815 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 42828573 42828574 chr2:42828574:T:A rs58786572 T A T EBF1_EBF_1 -7 0 - 0 0 . chr2 42837193 42837194 chr2:42837194:T:A rs2119020 T A A EBF1_EBF_1 23 0 + 0 0 . chr2 42842573 42842574 chr2:42842574:T:A rs537828984 T A T EBF1_EBF_1 -10 0 + 0 0 . chr2 42847327 42847328 chr2:42847328:C:T rs12712865 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 42849085 42849086 chr2:42849086:C:A rs13395387 C A C EBF1_EBF_1 -3 0 - 0 0 . chr2 42854723 42854724 chr2:42854724:G:A rs75471134 G A G EBF1_EBF_1 13 1 - 10.816401842620747 11.660895957206266 AGCCCCCAGGGAAC chr2 42857252 42857253 chr2:42857253:T:C rs112820712 T C C EBF1_EBF_1 0 1 + 4.7113363545409 4.429134293810322 TCTCCCTGGGGCCA chr2 42868645 42868646 chr2:42868646:C:T rs4408769 C T T EBF1_EBF_1 -11 0 - 0 0 . chr2 42898613 42898614 chr2:42898614:C:G rs4953680 C G G EBF1_EBF_1 -13 0 - 0 0 . chr2 42916236 42916237 chr2:42916237:T:G rs13003281 T G T EBF1_EBF_1 -17 0 + 0 0 . chr2 42921030 42921031 chr2:42921031:T:C rs73923013 T C T EBF1_EBF_1 -7 0 + 0 0 . chr2 42921594 42921595 chr2:42921595:G:A rs4953685 G A g EBF1_EBF_1 28 0 + 0 0 . chr2 42925737 42925738 chr2:42925738:G:T rs2010439 G T G EBF1_EBF_1 10 1 + 12.533858696616695 8.351106510389432 AATCCCTAGGGATT chr2 42925751 42925752 chr2:42925752:C:G rs2888843 C G G EBF1_EBF_1 24 0 + 0 0 . chr2 42936219 42936220 chr2:42936220:A:T rs72803835 A T A EBF1_EBF_1 0 1 + 7.881370519110712 5.90831409614469 AGCCCCAAGGGGCA chr2 42936959 42936960 chr2:42936960:C:T rs72876011 C T C EBF1_EBF_1 11 1 + 7.782807917701624 8.100855359455286 AGTCCCCTGGGCCC chr2 42942364 42942365 chr2:42942365:C:T rs72803840 C T C EBF1_EBF_1 5 1 + 4.07386627679869 -1.24792093874198 ACCCCCCTGGCACT chr2 42951572 42951573 chr2:42951573:T:A rs139489287 T A T EBF1_EBF_1 12 1 + 5.986459303752827 7.042907272725003 AGTCCCATGAGGTC chr2 42958177 42958178 chr2:42958178:C:T rs754866 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 42958732 42958733 chr2:42958733:T:C rs895510 T C C EBF1_EBF_1 -14 0 + 0 0 . chr2 42962066 42962067 chr2:42962067:G:T rs6745258 G T G EBF1_EBF_1 0 1 - 5.164655293410367 7.419913777106968 CATCCCAGGAGAGC chr2 42964697 42964698 chr2:42964698:G:A rs1447124255 G A G EBF1_EBF_1 3 1 - 10.45164207682573 4.046243335272413 ACCCCCTTGGGAAC chr2 42966206 42966207 chr2:42966207:T:C rs10865186 T C C EBF1_EBF_1 -8 0 - 0 0 . chr2 42976439 42976440 chr2:42976440:C:T rs78983421 C T C EBF1_EBF_1 33 0 - 0 0 . chr2 42976440 42976441 chr2:42976441:G:A rs3749084 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 42999776 42999777 chr2:42999777:G:C rs62137465 G C G EBF1_EBF_1 19 0 - 0 0 . chr2 43000671 43000672 chr2:43000672:G:C chr2:43000672:G:C G C G EBF1_EBF_1 -5 0 - 0 0 . chr2 43044673 43044674 chr2:43044674:C:T rs115482636 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 43046661 43046662 chr2:43046662:C:T rs148205006 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 43046670 43046671 chr2:43046671:A:T chr2:43046671:A:T A T A EBF1_EBF_1 26 0 + 0 0 . chr2 43050236 43050237 chr2:43050237:G:C rs11690947 G C G EBF1_EBF_1 18 0 - 0 0 . chr2 43050241 43050242 chr2:43050242:G:A rs10201011 G A G EBF1_EBF_1 13 1 - 5.801346242570604 6.645840357156122 CATCCCTTGGTACC chr2 43057501 43057502 chr2:43057502:G:C rs35917223 G C G EBF1_EBF_1 -3 0 - 0 0 . chr2 43086977 43086978 chr2:43086978:C:A rs6723438 C A C EBF1_EBF_1 3 1 + 7.757535729465843 0.851361700000036 AGCCCCCAGAGACA chr2 43100615 43100616 chr2:43100616:C:A rs9807981 C A C EBF1_EBF_1 24 0 - 0 0 . chr2 43101031 43101032 chr2:43101032:A:C rs892483323 A C A EBF1_EBF_1 -13 0 + 0 0 . chr2 43116045 43116046 chr2:43116046:A:C rs62135363 A C A EBF1_EBF_1 -20 0 + 0 0 . chr2 43116069 43116070 chr2:43116070:C:T rs80168958 C T T EBF1_EBF_1 4 1 + 5.86650200737609 1.4971150624311655 CTTCCCTCGGGGCA chr2 43116082 43116083 chr2:43116083:A:G rs78123956 A G a EBF1_EBF_1 17 0 + 0 0 . chr2 43125441 43125442 chr2:43125442:T:C rs115134216 T C T EBF1_EBF_1 -20 0 + 0 0 . chr2 43126249 43126250 chr2:43126250:G:A rs747709 G A A EBF1_EBF_1 29 0 - 0 0 . chr2 43126284 43126285 chr2:43126285:G:C rs747708 G C G EBF1_EBF_1 6 1 - 4.974356880886997 3.1691648174138 GCACCCCTGGGAAG chr2 43134616 43134617 chr2:43134617:G:A rs116801475 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 43137646 43137647 chr2:43137647:A:G rs79529395 A G G EBF1_EBF_1 -19 0 + 0 0 . chr2 43152902 43152903 chr2:43152903:G:A rs10207292 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 43155006 43155007 chr2:43155007:A:G rs62135438 A G A EBF1_EBF_1 30 0 - 0 0 . chr2 43158081 43158082 chr2:43158082:A:G rs116790962 A G A EBF1_EBF_1 16 0 + 0 0 . chr2 43162485 43162486 chr2:43162486:T:C rs114712030 T C T EBF1_EBF_1 -16 0 + 0 0 . chr2 43169342 43169343 chr2:43169343:G:C rs116658220 G C G EBF1_EBF_1 -6 0 + 0 0 . chr2 43169348 43169349 chr2:43169349:G:A chr2:43169349:G:A G A G EBF1_EBF_1 0 1 + 6.203241842683964 8.095525712248797 GCCCCCAAGGGGAC chr2 43194204 43194205 chr2:43194205:A:T rs12617964 A T A EBF1_EBF_1 -10 0 + 0 0 . chr2 43210747 43210748 chr2:43210748:C:T rs77127718 C T C EBF1_EBF_1 8 1 - 4.7921089079420875 0.5658188190558266 GCTCCCTGGGGCCA chr2 43225043 43225044 chr2:43225044:A:G rs7933 A G G EBF1_EBF_1 25 0 - 0 0 . chr2 43228000 43228001 chr2:43228001:G:A rs542709721 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 43228016 43228017 chr2:43228017:T:C rs80347800 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 43228551 43228552 chr2:43228552:A:C chr2:43228552:A:C A C A EBF1_EBF_1 -7 0 + 0 0 . chr2 43228585 43228586 chr2:43228586:T:C rs6761000 T C T EBF1_EBF_1 27 0 + 0 0 . chr2 43265580 43265581 chr2:43265581:T:C chr2:43265581:T:C T C T EBF1_EBF_1 30 0 + 0 0 . chr2 43269354 43269355 chr2:43269355:C:T rs55944066 C T C EBF1_EBF_1 10 1 - 7.997024365308539 2.678995906041573 AATCCCCAGAGAGA chr2 43311530 43311531 chr2:43311531:T:C rs13418562 T C T EBF1_EBF_1 13 1 + 8.277576669232742 7.433082554647224 ATACCCAAGAGAAT chr2 43328366 43328367 chr2:43328367:T:C rs4340576 T C C EBF1_EBF_1 -15 0 - 0 0 . chr2 43335090 43335091 chr2:43335091:C:T rs6715072 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 43347102 43347103 chr2:43347103:G:T rs11684601 G T G EBF1_EBF_1 31 0 - 0 0 . chr2 43462890 43462891 chr2:43462891:C:T rs10203174 C T T EBF1_EBF_1 31 0 - 0 0 . chr2 43512044 43512045 chr2:43512045:T:G rs13419380 T G T EBF1_EBF_1 21 0 + 0 0 . chr2 43567936 43567937 chr2:43567937:T:A rs6544673 T A T EBF1_EBF_1 11 1 + 5.931176809492959 10.029091065591684 ATTCCCCAAGGTAT chr2 43637224 43637225 chr2:43637225:A:C chr2:43637225:A:C A C A EBF1_EBF_1 23 0 - 0 0 . chr2 43637250 43637251 chr2:43637251:G:T rs116675699 G T G EBF1_EBF_1 -3 0 - 0 0 . chr2 43637257 43637258 chr2:43637258:T:C rs111263487 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 43657442 43657443 chr2:43657443:C:G rs919690 C G C EBF1_EBF_1 25 0 + 0 0 . chr2 43737697 43737698 chr2:43737698:A:G rs1368087 A G A EBF1_EBF_1 9 1 + 5.234236997858236 7.88917626330584 CTTCCCCAGAGGAA chr2 43759590 43759591 chr2:43759591:C:G rs112027172 C G C EBF1_EBF_1 21 0 + 0 0 . chr2 43829660 43829661 chr2:43829661:A:T rs4148180 A T T EBF1_EBF_1 -8 0 + 0 0 . chr2 43838796 43838797 chr2:43838797:T:G rs55853083 T G T EBF1_EBF_1 6 1 + 12.126310295129795 10.01983210279484 AGTCCCTTGGGACA chr2 43841201 43841202 chr2:43841202:C:G rs66900043 C G C EBF1_EBF_1 23 0 + 0 0 . chr2 43852163 43852164 chr2:43852164:C:T rs553645975 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 43852170 43852171 chr2:43852171:C:A rs72875462 C A g EBF1_EBF_1 4 1 + 6.901443717126165 1.076884093672697 GGCCCCTCGGGACC chr2 43875427 43875428 chr2:43875428:C:T rs78577353 C T C EBF1_EBF_1 6 1 + 3.929238032677703 4.230524161539461 TGCCCCCGGGGCCT chr2 43885371 43885372 chr2:43885372:T:C rs4953031 T C C EBF1_EBF_1 27 0 - 0 0 . chr2 43904951 43904952 chr2:43904952:T:C rs4550712 T C T EBF1_EBF_1 16 0 - 0 0 . chr2 43995724 43995725 chr2:43995725:G:A chr2:43995725:G:A G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 43995741 43995742 chr2:43995742:C:A rs62135152 C A C EBF1_EBF_1 -1 0 + 0 0 . chr2 44038475 44038476 chr2:44038476:G:A rs73925758 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 44044476 44044477 chr2:44044477:G:C chr2:44044477:G:C G C G EBF1_EBF_1 9 1 + 6.966098151151847 1.8904090048063837 GTTCCCAGGGGCCT chr2 44057784 44057785 chr2:44057785:G:A rs10202948 G A G EBF1_EBF_1 -12 0 - 0 0 . chr2 44061876 44061877 chr2:44061877:T:C rs73924143 T C T EBF1_EBF_1 -19 0 + 0 0 . chr2 44090537 44090538 chr2:44090538:A:G rs7572802 A G G EBF1_EBF_1 26 0 - 0 0 . chr2 44091617 44091618 chr2:44091618:G:A rs12612571 G A G EBF1_EBF_1 15 0 + 0 0 . chr2 44147184 44147185 chr2:44147185:C:G rs4953068 C G C EBF1_EBF_1 6 1 + 4.60583194173108 2.8006398782578827 TCCCCCCTGGGCAT chr2 44351338 44351339 chr2:44351339:T:C rs148747207 T C T EBF1_EBF_1 31 0 + 0 0 . chr2 44351339 44351340 chr2:44351340:T:C rs114976582 T C T EBF1_EBF_1 32 0 + 0 0 . chr2 44361277 44361278 chr2:44361278:G:C rs78898272 G C G EBF1_EBF_1 32 0 - 0 0 . chr2 44372113 44372114 chr2:44372114:A:G rs7593926 A G G EBF1_EBF_1 -13 0 + 0 0 . chr2 44372124 44372125 chr2:44372125:A:G rs7593930 A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 44389588 44389589 chr2:44389589:A:G rs1085485 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 44393670 44393671 chr2:44393671:T:G rs1067344 T G T EBF1_EBF_1 -3 0 - 0 0 . chr2 44427954 44427955 chr2:44427955:T:C rs1067366 T C C EBF1_EBF_1 -4 0 - 0 0 . chr2 44549315 44549316 chr2:44549316:A:G rs115003416 A G a EBF1_EBF_1 6 1 + 6.034665214810098 3.867870783535624 ACCCCTATGGGAAT chr2 44798341 44798342 chr2:44798342:A:G rs6723965 A G G EBF1_EBF_1 -9 0 + 0 0 . chr2 44802096 44802097 chr2:44802097:G:A rs1021593617 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 44845849 44845850 chr2:44845850:G:T rs526351 G T G EBF1_EBF_1 9 1 + 6.7350181627223105 -0.1019712453664996 GGTCCCCTGGGCCT chr2 44881584 44881585 chr2:44881585:G:A rs4953142 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 44912937 44912938 chr2:44912938:T:C rs749148694 T C T EBF1_EBF_1 31 0 + 0 0 . chr2 44943295 44943296 chr2:44943296:G:A rs338078 G A A EBF1_EBF_1 -7 0 + 0 0 . chr2 44943306 44943307 chr2:44943307:C:T rs338077 C T C EBF1_EBF_1 4 1 + 5.721826232492669 1.3524392875477456 AACCCCGAGAGAAA chr2 44952435 44952436 chr2:44952436:C:G rs370199627 C G C EBF1_EBF_1 22 0 - 0 0 . chr2 44957283 44957284 chr2:44957284:C:T rs4952728 C T T EBF1_EBF_1 14 0 - 0 0 . chr2 44964086 44964087 chr2:44964087:G:A rs163510 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 44964103 44964104 chr2:44964104:T:C rs74709050 T C T EBF1_EBF_1 15 0 + 0 0 . chr2 44964822 44964823 chr2:44964823:G:A rs10192373 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 44981552 44981553 chr2:44981553:A:C rs541473 A C C EBF1_EBF_1 18 0 - 0 0 . chr2 45011930 45011931 chr2:45011931:T:C rs1447105 T C T EBF1_EBF_1 13 1 + 5.449872197103576 4.605378082518058 CTTCCCCCAGGACT chr2 45012568 45012569 chr2:45012569:T:C rs2917772 T C C EBF1_EBF_1 16 0 + 0 0 . chr2 45053767 45053768 chr2:45053768:G:A rs62130936 G A G EBF1_EBF_1 3 1 - 7.383438038522229 0.9780392969689127 AGTCCTCAGGGAAC chr2 45058812 45058813 chr2:45058813:G:T rs4355165 G T G EBF1_EBF_1 15 0 - 0 0 . chr2 45174866 45174867 chr2:45174867:C:A rs7591926 C A C EBF1_EBF_1 8 1 - 7.291036987637658 0.39960419866046276 AGTCCCTTGGTATC chr2 45184888 45184889 chr2:45184889:G:A rs529463391 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 45194300 45194301 chr2:45194301:C:G rs3851324 C G C EBF1_EBF_1 22 0 + 0 0 . chr2 45200436 45200437 chr2:45200437:G:A rs72801925 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 45219360 45219361 chr2:45219361:A:G rs533265322 A G A EBF1_EBF_1 6 1 - 5.464525067362221 5.163238938500464 TGTCCCTGAGGACC chr2 45223329 45223330 chr2:45223330:C:T rs3908092 C T c EBF1_EBF_1 27 0 + 0 0 . chr2 45223848 45223849 chr2:45223849:G:A rs4953210 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 45224722 45224723 chr2:45224723:G:A rs12990220 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 45274897 45274898 chr2:45274898:C:G rs13425590 C G G EBF1_EBF_1 -1 0 - 0 0 . chr2 45328869 45328870 chr2:45328870:G:A rs11125016 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 45345739 45345740 chr2:45345740:A:G chr2:45345740:A:G A G A EBF1_EBF_1 20 0 - 0 0 . chr2 45639944 45639945 chr2:45639945:T:C rs601361 T C C EBF1_EBF_1 -10 0 - 0 0 . chr2 45675804 45675805 chr2:45675805:C:G rs146387093 C G C EBF1_EBF_1 -17 0 - 0 0 . chr2 45688056 45688057 chr2:45688057:G:T rs72799086 G T G EBF1_EBF_1 -13 0 - 0 0 . chr2 45706157 45706158 chr2:45706158:G:T rs755619153 G T g EBF1_EBF_1 2 1 - 4.921091653245208 3.12544747708848 TTCCCCCAGGGCTT chr2 45707151 45707152 chr2:45707152:C:T rs770996198 C T c EBF1_EBF_1 6 1 - 4.7066246576878035 6.873419088962279 ATCCACGAGGGACT chr2 45723191 45723192 chr2:45723192:C:T rs6544847 C T T EBF1_EBF_1 6 1 + 4.7029978496184075 5.004283978480165 GCCCTCCTGGGACT chr2 45723192 45723193 chr2:45723193:T:C rs6544848 T C C EBF1_EBF_1 7 1 + 4.7029978496184075 2.8854931033357847 GCCCTCCTGGGACT chr2 45723259 45723260 chr2:45723260:G:C rs139366068 G C G EBF1_EBF_1 29 0 + 0 0 . chr2 45745376 45745377 chr2:45745377:T:G rs17034091 T G T EBF1_EBF_1 -2 0 - 0 0 . chr2 45760700 45760701 chr2:45760701:C:T rs76832879 C T C EBF1_EBF_1 14 0 + 0 0 . chr2 45771935 45771936 chr2:45771936:G:A rs4953253 G A A EBF1_EBF_1 9 1 + 4.545562477465594 1.8906232120179889 ATTGCCATGGGGCC chr2 45778155 45778156 chr2:45778156:G:A rs147641629 G A G EBF1_EBF_1 3 1 - 7.319113067010311 0.9137143254569944 CAACCCCAGGGACT chr2 45778368 45778369 chr2:45778369:T:C rs116307221 T C T EBF1_EBF_1 31 0 + 0 0 . chr2 45814645 45814646 chr2:45814646:T:A rs4455207 T A T EBF1_EBF_1 6 1 - 5.902117952784979 5.841801713845459 GACCCCAGGAGAAT chr2 45820837 45820838 chr2:45820838:G:C rs77953141 G C G EBF1_EBF_1 29 0 + 0 0 . chr2 45821030 45821031 chr2:45821031:A:G rs79827187 A G A EBF1_EBF_1 7 1 + 6.676163106615998 5.363704701327172 AGCCCCAAGGGTCA chr2 45823030 45823031 chr2:45823031:T:C rs7576304 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 45832133 45832134 chr2:45832134:G:A rs6726221 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 45860733 45860734 chr2:45860734:T:C rs1992932 T C C EBF1_EBF_1 -18 0 - 0 0 . chr2 45883396 45883397 chr2:45883397:G:C rs78618599 G C G EBF1_EBF_1 -16 0 - 0 0 . chr2 45892202 45892203 chr2:45892203:C:A rs139424836 C A C EBF1_EBF_1 0 1 + 5.812693058964023 8.067951542660625 CCTCCCCAGAGAGA chr2 45958612 45958613 chr2:45958613:C:T rs11682903 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 45960698 45960699 chr2:45960699:C:T rs72874349 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 45969352 45969353 chr2:45969353:A:G rs77467776 A G A EBF1_EBF_1 22 0 - 0 0 . chr2 45978264 45978265 chr2:45978265:C:T rs139100563 C T C EBF1_EBF_1 9 1 - 6.575727528198203 3.9207882627506 CCACCCCAGGGAAC chr2 45992217 45992218 chr2:45992218:A:T chr2:45992218:A:T A T G EBF1_EBF_1 -8 0 + 0 0 . chr2 45992258 45992259 chr2:45992259:A:G rs79778445 A G A EBF1_EBF_1 33 0 + 0 0 . chr2 46002003 46002004 chr2:46002004:G:A rs12988237 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 46002018 46002019 chr2:46002019:G:A rs61756880 G A G EBF1_EBF_1 5 1 - 5.101267310463474 -0.2205199050771957 ATTCCCCAGGCAGC chr2 46006530 46006531 chr2:46006531:A:G rs11691705 A G A EBF1_EBF_1 -13 0 + 0 0 . chr2 46006745 46006746 chr2:46006746:C:T rs79213696 C T C EBF1_EBF_1 20 0 + 0 0 . chr2 46058384 46058385 chr2:46058385:C:T rs13024907 C T T EBF1_EBF_1 -6 0 + 0 0 . chr2 46063142 46063143 chr2:46063143:G:A rs536392350 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 46079262 46079263 chr2:46079263:G:A rs2084428 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 46082651 46082652 chr2:46082652:G:A rs1049621517 G A G EBF1_EBF_1 7 1 - 5.779016410685269 7.596521156967893 AATCCCACGAGGCT chr2 46087590 46087591 chr2:46087591:C:T rs1124788 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 46117514 46117515 chr2:46117515:C:A chr2:46117515:C:A C A A EBF1_EBF_1 -4 0 + 0 0 . chr2 46117514 46117515 chr2:46117515:C:T rs17034557 C T A EBF1_EBF_1 -4 0 + 0 0 . chr2 46118817 46118818 chr2:46118818:G:A rs17034573 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 46118838 46118839 chr2:46118839:G:A rs2881260 G A A EBF1_EBF_1 11 1 - 6.684392777506922 7.002440219260586 ACTCCCTGGGGCCA chr2 46131395 46131396 chr2:46131396:A:G rs10184620 A G A EBF1_EBF_1 -11 0 - 0 0 . chr2 46131400 46131401 chr2:46131401:A:G rs564993415 A G A EBF1_EBF_1 -16 0 - 0 0 . chr2 46134668 46134669 chr2:46134669:T:A rs10183887 T A T EBF1_EBF_1 -5 0 - 0 0 . chr2 46143752 46143753 chr2:46143753:G:A rs2053797 G A G EBF1_EBF_1 32 0 + 0 0 . chr2 46151069 46151070 chr2:46151070:C:T rs1143692 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 46179234 46179235 chr2:46179235:G:A rs767090451 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 46179235 46179236 chr2:46179236:C:T chr2:46179236:C:T C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 46182564 46182565 chr2:46182565:C:G rs182743046 C G C EBF1_EBF_1 -15 0 - 0 0 . chr2 46184011 46184012 chr2:46184012:A:G rs61760004 A G A EBF1_EBF_1 -15 0 - 0 0 . chr2 46185148 46185149 chr2:46185149:T:C rs2278774 T C T EBF1_EBF_1 -17 0 + 0 0 . chr2 46190506 46190507 chr2:46190507:T:C rs281501 T C C EBF1_EBF_1 25 0 - 0 0 . chr2 46190524 46190525 chr2:46190525:A:G rs7598789 A G A EBF1_EBF_1 7 1 - 4.424940182867022 2.607435436584398 GCTCCCCTGGAACA chr2 46196074 46196075 chr2:46196075:C:T rs116046147 C T C EBF1_EBF_1 22 0 + 0 0 . chr2 46201326 46201327 chr2:46201327:C:A rs281517 C A C EBF1_EBF_1 26 0 + 0 0 . chr2 46271481 46271482 chr2:46271482:T:C rs7606705 T C C EBF1_EBF_1 28 0 + 0 0 . chr2 46335424 46335425 chr2:46335425:T:C rs955923719 T C T EBF1_EBF_1 -11 0 + 0 0 . chr2 46352733 46352734 chr2:46352734:C:T rs188910338 C T C EBF1_EBF_1 -19 0 + 0 0 . chr2 46352741 46352742 chr2:46352742:T:C rs1868088 T C T EBF1_EBF_1 -11 0 + 0 0 . chr2 46379720 46379721 chr2:46379721:G:A rs183165733 G A G EBF1_EBF_1 6 1 - 8.61683081875672 8.918116947618477 AGACCCCAGGGACA chr2 46381947 46381948 chr2:46381948:C:T rs114150617 C T C EBF1_EBF_1 -19 0 + 0 0 . chr2 46383130 46383131 chr2:46383131:C:T rs116056466 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 46389800 46389801 chr2:46389801:G:A rs74535874 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 46407505 46407506 chr2:46407506:G:A rs12623288 G A A EBF1_EBF_1 23 0 - 0 0 . chr2 46436032 46436033 chr2:46436033:G:A rs7556828 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 46443123 46443124 chr2:46443124:G:A rs1868096 G A A EBF1_EBF_1 -1 0 + 0 0 . chr2 46446919 46446920 chr2:46446920:A:C rs1597041 A C c EBF1_EBF_1 -17 0 + 0 0 . chr2 46545574 46545575 chr2:46545575:C:T rs111573071 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 46643085 46643086 chr2:46643086:G:A rs191878704 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 46643089 46643090 chr2:46643090:A:G chr2:46643090:A:G A G A EBF1_EBF_1 -11 0 + 0 0 . chr2 46666521 46666522 chr2:46666522:T:C rs897531 T C C EBF1_EBF_1 -7 0 + 0 0 . chr2 46690306 46690307 chr2:46690307:A:G rs149426254 A G A EBF1_EBF_1 22 0 + 0 0 . chr2 46699870 46699871 chr2:46699871:C:G rs987760588 C G C EBF1_EBF_1 19 0 - 0 0 . chr2 46699918 46699919 chr2:46699919:G:T rs191957072 G T G EBF1_EBF_1 27 0 - 0 0 . chr2 46748807 46748808 chr2:46748808:G:A rs77888307 G A A EBF1_EBF_1 -8 0 + 0 0 . chr2 46799382 46799383 chr2:46799383:C:G rs72882863 C G C EBF1_EBF_1 20 0 - 0 0 . chr2 46799402 46799403 chr2:46799403:G:A rs115442036 G A G EBF1_EBF_1 0 1 - 9.977314084058337 10.259516144788916 CATCCCCAGGGATT chr2 46812039 46812040 chr2:46812040:A:C rs72804445 A C A EBF1_EBF_1 33 0 + 0 0 . chr2 46818169 46818170 chr2:46818170:C:T rs2289933 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 46818181 46818182 chr2:46818182:A:G rs17773492 A G A EBF1_EBF_1 11 1 + 4.897418199808752 2.0047113562047403 CTCCTCCAGGGAAC chr2 46848557 46848558 chr2:46848558:G:A rs12614366 G A A EBF1_EBF_1 7 1 + 11.415701705548246 12.72816011083707 ATCCCCAGGGGAAT chr2 46849286 46849287 chr2:46849287:C:T rs116027928 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 46849316 46849317 chr2:46849317:G:A rs565438193 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 46849533 46849534 chr2:46849534:C:T rs935374 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 46854595 46854596 chr2:46854596:G:A rs184890816 G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 46861360 46861361 chr2:46861361:C:G rs78959594 C G C EBF1_EBF_1 18 0 - 0 0 . chr2 46862144 46862145 chr2:46862145:C:A rs78505242 C A C EBF1_EBF_1 -9 0 + 0 0 . chr2 46863804 46863805 chr2:46863805:T:C rs11125097 T C C EBF1_EBF_1 33 0 - 0 0 . chr2 46886322 46886323 chr2:46886323:A:G rs720827 A G a EBF1_EBF_1 15 0 - 0 0 . chr2 46888590 46888591 chr2:46888591:C:G rs72806504 C G c EBF1_EBF_1 -14 0 - 0 0 . chr2 46890469 46890470 chr2:46890470:G:A rs72806507 G A g EBF1_EBF_1 4 1 - 7.089650820476259 2.7202638755313364 TTTCCCTTGAGACG chr2 46891783 46891784 chr2:46891784:G:A rs72806508 G A g EBF1_EBF_1 22 0 - 0 0 . chr2 46915450 46915451 chr2:46915451:C:A rs116731409 C A C EBF1_EBF_1 -18 0 + 0 0 . chr2 46915464 46915465 chr2:46915465:C:T rs148805152 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 46915989 46915990 chr2:46915990:C:T rs28365918 C T C EBF1_EBF_1 5 1 + 5.590908495489838 0.26912127994916907 TTTCCCAAGGGCGA chr2 46916035 46916036 chr2:46916036:A:G chr2:46916036:A:G A G A EBF1_EBF_1 6 1 - 4.235119176551323 3.933833047689565 GGCCCCTAGGGCGT chr2 46916038 46916039 chr2:46916039:G:T chr2:46916039:G:T G T G EBF1_EBF_1 3 1 - 4.235119176551323 -2.6710548529144837 GGCCCCTAGGGCGT chr2 46916047 46916048 chr2:46916048:G:A rs6544938 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 46955661 46955662 chr2:46955662:C:T rs35872096 C T C EBF1_EBF_1 4 1 + 4.899040309060026 0.5296533641151013 CTTCCCCAGAGCCT chr2 46956510 46956511 chr2:46956511:T:C rs2278450 T C C EBF1_EBF_1 6 1 - 6.568912113821886 4.402117682547412 AGCCCCAGGGGGCA chr2 46978064 46978065 chr2:46978065:G:A rs1051385419 G A g EBF1_EBF_1 24 0 - 0 0 . chr2 46984239 46984240 chr2:46984240:A:G rs13011673 A G A EBF1_EBF_1 30 0 - 0 0 . chr2 46987734 46987735 chr2:46987735:C:A rs6727430 C A A EBF1_EBF_1 -17 0 + 0 0 . chr2 47008636 47008637 chr2:47008637:C:G rs147451730 C G C EBF1_EBF_1 3 1 + 8.196383593129129 2.3686191450139136 CCCCCCTTGGGAAC chr2 47013935 47013936 chr2:47013936:A:G rs7558419 A G G EBF1_EBF_1 -7 0 - 0 0 . chr2 47022099 47022100 chr2:47022100:A:G rs3814036 A G A EBF1_EBF_1 0 1 + 4.924829352480001 3.0325454829151655 ACTCCTCTGGGAGA chr2 47024370 47024371 chr2:47024371:G:C rs11885389 G C C EBF1_EBF_1 29 0 - 0 0 . chr2 47024375 47024376 chr2:47024376:C:T rs78064634 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 47024415 47024416 chr2:47024416:G:T rs72872958 G T G EBF1_EBF_1 -16 0 - 0 0 . chr2 47029157 47029158 chr2:47029158:C:T rs2304289 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 47034785 47034786 chr2:47034786:G:C rs6717654 G C G EBF1_EBF_1 3 1 - 5.910592516143866 0.08282806802865002 ACTCCCAAGAGGGC chr2 47034790 47034791 chr2:47034791:T:A rs58397705 T A T EBF1_EBF_1 30 0 - 0 0 . chr2 47034835 47034836 chr2:47034836:G:T rs189428092 G T G EBF1_EBF_1 4 1 - 5.449872197103576 -0.37468742634989094 CTTCCCCCAGGACT chr2 47035498 47035499 chr2:47035499:C:T rs13407211 C T C EBF1_EBF_1 5 1 + 5.795763262088516 0.4739760465478469 TGTCCCGTGAGAAC chr2 47035917 47035918 chr2:47035918:G:C rs55829028 G C G EBF1_EBF_1 -15 0 - 0 0 . chr2 47035919 47035920 chr2:47035920:G:C rs13407889 G C G EBF1_EBF_1 -17 0 - 0 0 . chr2 47041069 47041070 chr2:47041070:G:A rs11895095 G A G EBF1_EBF_1 3 1 - 8.834485053250146 2.4290863116968278 CATCCCAGGGGACA chr2 47043364 47043365 chr2:47043365:C:T rs55813111 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 47044037 47044038 chr2:47044038:A:T rs181383173 A T - EBF1_EBF_1 11 1 + 9.313351488997398 5.2154372328986724 TGTCCCCAGGGAGC chr2 47057533 47057534 chr2:47057534:A:G rs138029698 A G A EBF1_EBF_1 -20 0 - 0 0 . chr2 47058215 47058216 chr2:47058216:G:A rs112025050 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 47063651 47063652 chr2:47063652:C:T rs6750227 C T T EBF1_EBF_1 10 1 - 6.3261345973446765 1.0081061380777097 CCTCCCTGGGGGCC chr2 47073889 47073890 chr2:47073890:A:G rs3739099 A G G EBF1_EBF_1 -15 0 + 0 0 . chr2 47083981 47083982 chr2:47083982:G:C rs13009260 G C G EBF1_EBF_1 8 1 + 5.882254173357794 0.5635953124431086 GCACCCCAGGGATC chr2 47089481 47089482 chr2:47089482:T:C rs78946457 T C C EBF1_EBF_1 -12 0 + 0 0 . chr2 47174322 47174323 chr2:47174323:C:T chr2:47174323:C:T C T C EBF1_EBF_1 32 0 + 0 0 . chr2 47176169 47176170 chr2:47176170:C:A chr2:47176170:C:A C A C EBF1_EBF_1 -12 0 + 0 0 . chr2 47176206 47176207 chr2:47176207:G:A rs193105754 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 47193592 47193593 chr2:47193593:G:A rs115464689 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 47238442 47238443 chr2:47238443:T:C rs71423955 T C T EBF1_EBF_1 3 1 + 5.243378543537405 11.648777285090722 AGTTCCCAGGGATC chr2 47269601 47269602 chr2:47269602:C:G rs1464089965 C G C EBF1_EBF_1 17 0 - 0 0 . chr2 47283625 47283626 chr2:47283626:G:A rs76116679 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 47297052 47297053 chr2:47297053:A:G rs56168461 A G A EBF1_EBF_1 -1 0 - 0 0 . chr2 47340306 47340307 chr2:47340307:T:G chr2:47340307:T:G T G T EBF1_EBF_1 -14 0 - 0 0 . chr2 47345271 47345272 chr2:47345272:T:C rs1008267752 T C T EBF1_EBF_1 21 0 + 0 0 . chr2 47351041 47351042 chr2:47351042:A:G rs4953495 A G A EBF1_EBF_1 1 1 - 6.128513319182487 5.233079878783742 ATTCCCCTGGAAAG chr2 47403356 47403357 chr2:47403357:G:C rs587779102 G C G EBF1_EBF_1 23 0 - 0 0 . chr2 47453550 47453551 chr2:47453551:G:A rs115459162 G A G EBF1_EBF_1 1 1 - 11.772410431498805 12.667843871897551 ACCCCCTAGGGAAT chr2 47494188 47494189 chr2:47494189:T:C rs17036636 T C C EBF1_EBF_1 -16 0 - 0 0 . chr2 47494461 47494462 chr2:47494462:C:T rs138248104 C T C EBF1_EBF_1 20 0 + 0 0 . chr2 47503381 47503382 chr2:47503382:G:A rs10175972 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 47504309 47504310 chr2:47504310:G:A rs60304708 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 47510563 47510564 chr2:47510564:A:G rs112762121 A G A EBF1_EBF_1 -1 0 - 0 0 . chr2 47516287 47516288 chr2:47516288:G:A rs7587143 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 47530437 47530438 chr2:47530438:C:T rs6751501 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 47552013 47552014 chr2:47552014:T:C rs12464816 T C C EBF1_EBF_1 -9 0 - 0 0 . chr2 47581012 47581013 chr2:47581013:C:T rs17503541 C T C EBF1_EBF_1 -18 0 - 0 0 . chr2 47602894 47602895 chr2:47602895:T:G rs115428713 T G T EBF1_EBF_1 11 1 - 11.506460088842893 7.090498390990503 GTTCCCAAGGGAAA chr2 47631705 47631706 chr2:47631706:A:G rs6725925 A G A EBF1_EBF_1 -9 0 - 0 0 . chr2 47645061 47645062 chr2:47645062:A:C rs13391328 A C A EBF1_EBF_1 -14 0 - 0 0 . chr2 47650248 47650249 chr2:47650249:A:G rs17570243 A G A EBF1_EBF_1 -6 0 + 0 0 . chr2 47655627 47655628 chr2:47655628:C:T rs13383462 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 47655696 47655697 chr2:47655697:T:C rs2969776 T C C EBF1_EBF_1 0 1 + 6.668652897014773 6.386450836284196 TCTCCCAGGGGGCC chr2 47659950 47659951 chr2:47659951:G:A rs6729891 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 47674953 47674954 chr2:47674954:A:G rs9309149 A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 47677292 47677293 chr2:47677293:T:A rs17036815 T A T EBF1_EBF_1 -19 0 + 0 0 . chr2 47682856 47682857 chr2:47682857:C:T rs78430863 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 47682866 47682867 chr2:47682867:C:G rs72815898 C G C EBF1_EBF_1 9 1 - 5.439994569662407 0.36430542331694166 ACTCCCCAGGTGAC chr2 47783348 47783349 chr2:47783349:G:A rs1042821 G A g EBF1_EBF_1 8 1 + 3.929238032677703 -0.29705205620855857 TGCCCCCGGGGCCT chr2 47815962 47815963 chr2:47815963:A:T chr2:47815963:A:T A T A EBF1_EBF_1 1 1 - 5.8261037905683715 4.8597431728175415 GTTCCCATGAGGCC chr2 48172875 48172876 chr2:48172876:T:C rs4146603 T C C EBF1_EBF_1 -13 0 + 0 0 . chr2 48391787 48391788 chr2:48391788:C:T rs145966334 C T C EBF1_EBF_1 3 1 + 6.116692062100503 -0.28870667945281325 AACCCCAAAGGAGT chr2 48415195 48415196 chr2:48415196:G:C rs950874213 G C G EBF1_EBF_1 -13 0 + 0 0 . chr2 48415216 48415217 chr2:48415217:G:A rs72872705 G A G EBF1_EBF_1 8 1 + 5.750992152393545 1.5247020635072848 AGTGCCAAGGGAAG chr2 48420375 48420376 chr2:48420376:T:A rs116950786 T A T EBF1_EBF_1 0 1 - 4.753062790119049 2.7800063671530264 ACACCCCTGGGCCT chr2 48420622 48420623 chr2:48420623:G:A chr2:48420623:G:A G A G EBF1_EBF_1 30 0 - 0 0 . chr2 48420629 48420630 chr2:48420630:C:T rs1376040321 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 48420641 48420642 chr2:48420642:C:T rs62137040 C T C EBF1_EBF_1 11 1 - 8.60096018866845 11.49366703227246 CTTCCCCTGGGGCT chr2 48425744 48425745 chr2:48425745:C:G rs56851985 C G G EBF1_EBF_1 30 0 + 0 0 . chr2 48440624 48440625 chr2:48440625:A:G rs3811520 A G C EBF1_EBF_1 -19 0 + 0 0 . chr2 48440658 48440659 chr2:48440659:G:C rs73927968 G C G EBF1_EBF_1 15 0 + 0 0 . chr2 48440671 48440672 chr2:48440672:A:G rs781383290 A G A EBF1_EBF_1 28 0 + 0 0 . chr2 48572910 48572911 chr2:48572911:G:A rs6716878 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 48587776 48587777 chr2:48587777:A:G rs11888325 A G A EBF1_EBF_1 16 0 - 0 0 . chr2 48600186 48600187 chr2:48600187:G:A rs36011867 G A A EBF1_EBF_1 18 0 - 0 0 . chr2 48601530 48601531 chr2:48601531:T:C rs11125177 T C C EBF1_EBF_1 16 0 - 0 0 . chr2 48635497 48635498 chr2:48635498:C:T rs79121606 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 48710209 48710210 chr2:48710210:G:A rs12618729 G A G EBF1_EBF_1 -4 0 + 0 0 . chr2 48725900 48725901 chr2:48725901:C:G rs532553346 C G C EBF1_EBF_1 14 0 + 0 0 . chr2 48768504 48768505 chr2:48768505:T:C rs10180731 T C T EBF1_EBF_1 7 1 + 5.693030645922319 3.8755258996396957 ATCCCCATAGGAAG chr2 48769404 48769405 chr2:48769405:A:G rs4245820 A G G EBF1_EBF_1 13 1 - 7.0350688539390624 6.190574739353544 ATTCCCATGGTGCT chr2 48849681 48849682 chr2:48849682:A:G rs13017739 A G A EBF1_EBF_1 -12 0 + 0 0 . chr2 48916307 48916308 chr2:48916308:C:T rs17037836 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 49239625 49239626 chr2:49239626:A:T rs75486414 A T A EBF1_EBF_1 -9 0 - 0 0 . chr2 49263550 49263551 chr2:49263551:G:A rs13033709 G A A EBF1_EBF_1 -9 0 + 0 0 . chr2 49512436 49512437 chr2:49512437:T:C rs7560377 T C C EBF1_EBF_1 -12 0 - 0 0 . chr2 49665263 49665264 chr2:49665264:C:T rs1427413 C T c EBF1_EBF_1 11 1 - 7.519768151309435 10.412474994913445 AGCCCCCAGGGGCA chr2 49772440 49772441 chr2:49772441:C:G rs2139161 C G - EBF1_EBF_1 1 1 + 3.775198984963989 3.9649706395332065 ACTCCAGAGGGACC chr2 49862042 49862043 chr2:49862043:T:C rs71407576 T C T EBF1_EBF_1 -15 0 + 0 0 . chr2 49954866 49954867 chr2:49954867:T:A rs115934127 T A T EBF1_EBF_1 -16 0 + 0 0 . chr2 50019110 50019111 chr2:50019111:T:G rs7586095 T G T EBF1_EBF_1 26 0 - 0 0 . chr2 50075883 50075884 chr2:50075884:C:T rs4971646 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 50127141 50127142 chr2:50127142:C:T rs985132 C T C EBF1_EBF_1 5 1 + 6.095050753915263 0.7732635383745938 ATTCACTAGGGAGA chr2 50139042 50139043 chr2:50139043:T:C rs12713088 T C C EBF1_EBF_1 32 0 + 0 0 . chr2 50198163 50198164 chr2:50198164:T:G rs17040169 T G G EBF1_EBF_1 14 0 - 0 0 . chr2 50334684 50334685 chr2:50334685:C:T rs59636772 C T C EBF1_EBF_1 33 0 - 0 0 . chr2 50402192 50402193 chr2:50402193:C:G rs10209627 C G C EBF1_EBF_1 8 1 - 6.64059509678993 1.3219362358752431 GATCCCTAGGTACC chr2 50491943 50491944 chr2:50491944:C:A rs115745029 C A C EBF1_EBF_1 4 1 + 8.095096074072782 2.270536450619315 AATCCCTGGGTAAT chr2 50515740 50515741 chr2:50515741:T:A rs13012186 T A A EBF1_EBF_1 16 0 - 0 0 . chr2 50516548 50516549 chr2:50516549:G:C rs10191072 G C G EBF1_EBF_1 -18 0 - 0 0 . chr2 50538999 50539000 chr2:50539000:G:C rs10490225 G C G EBF1_EBF_1 14 0 + 0 0 . chr2 50647170 50647171 chr2:50647171:C:T rs918807 C T T EBF1_EBF_1 4 1 + 9.170452270503795 4.801065325558872 ATTCCCTGGAGAGT chr2 50924224 50924225 chr2:50924225:A:C rs2052328 A C A EBF1_EBF_1 -19 0 + 0 0 . chr2 50924474 50924475 chr2:50924475:G:C rs56309954 G C G EBF1_EBF_1 -17 0 - 0 0 . chr2 50933421 50933422 chr2:50933422:G:T rs13028294 G T G EBF1_EBF_1 -3 0 + 0 0 . chr2 50975737 50975738 chr2:50975738:C:T rs71411521 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 50990501 50990502 chr2:50990502:A:T rs17573587 A T A EBF1_EBF_1 13 1 - 9.646469534195498 8.428229970324004 CTTCCCTAGAGAAT chr2 51162350 51162351 chr2:51162351:G:A rs72830236 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 51220858 51220859 chr2:51220859:G:A rs17520196 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 51220884 51220885 chr2:51220885:A:G rs75730354 A G A EBF1_EBF_1 15 0 + 0 0 . chr2 51252024 51252025 chr2:51252025:C:G rs2080571 C G G EBF1_EBF_1 13 1 - 6.7655321746784205 8.253603196033835 AAACCCCTGGGACG chr2 51252031 51252032 chr2:51252032:G:A rs2080572 G A A EBF1_EBF_1 6 1 - 6.7655321746784205 7.066818303540178 AAACCCCTGGGACG chr2 51324234 51324235 chr2:51324235:C:T rs780750245 C T C EBF1_EBF_1 6 1 - 8.953130504093599 11.119924935368072 AATCCCGGGGGAAA chr2 51341834 51341835 chr2:51341835:T:G rs6732516 T G G EBF1_EBF_1 31 0 - 0 0 . chr2 51424717 51424718 chr2:51424718:G:A rs115089973 G A A EBF1_EBF_1 24 0 + 0 0 . chr2 51510760 51510761 chr2:51510761:A:G rs11563147 A G N EBF1_EBF_1 31 0 + 0 0 . chr2 51673697 51673698 chr2:51673698:C:A rs6758434 C A A EBF1_EBF_1 14 0 + 0 0 . chr2 51780453 51780454 chr2:51780454:C:T rs114612105 C T C EBF1_EBF_1 10 1 - 5.855499581156082 0.5374711218891157 CTCCCCATGGGGCA chr2 52035466 52035467 chr2:52035467:G:C rs17042387 G C G EBF1_EBF_1 12 1 - 9.270648303887674 7.882012360209524 ATTCCCAAGGGTCA chr2 52093491 52093492 chr2:52093492:T:A rs2355801 T A t EBF1_EBF_1 13 1 + 5.245647683720042 4.027408119848548 TGTCACCTGGGACT chr2 52236596 52236597 chr2:52236597:G:A rs11125413 G A A EBF1_EBF_1 4 1 - 5.227459791906383 0.8580728469614596 ATTCCTGAGGGATC chr2 52284748 52284749 chr2:52284749:G:A rs17786474 G A G EBF1_EBF_1 7 1 + 8.88747609674109 10.199934502029913 AGTCCCAGGAGATT chr2 52521096 52521097 chr2:52521097:C:A rs72894810 C A A EBF1_EBF_1 14 0 - 0 0 . chr2 52597343 52597344 chr2:52597344:G:A rs1021176 G A A EBF1_EBF_1 -14 0 - 0 0 . chr2 52638331 52638332 chr2:52638332:T:C rs72886101 T C C EBF1_EBF_1 32 0 - 0 0 . chr2 52668904 52668905 chr2:52668905:T:C rs13018204 T C T EBF1_EBF_1 7 1 - 6.9903285600933485 5.677870154804522 TTTCCCCAGAGAGA chr2 52670916 52670917 chr2:52670917:T:A rs10186229 T A A EBF1_EBF_1 -11 0 - 0 0 . chr2 52706700 52706701 chr2:52706701:A:C rs7604332 A C C EBF1_EBF_1 -14 0 - 0 0 . chr2 52749367 52749368 chr2:52749368:G:T rs10201166 G T G EBF1_EBF_1 -17 0 + 0 0 . chr2 52861273 52861274 chr2:52861274:G:A rs1451459 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 52894824 52894825 chr2:52894825:C:T rs1376571 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 52894837 52894838 chr2:52894838:G:A rs62138249 G A G EBF1_EBF_1 3 1 - 5.514239343175196 -0.8911593983781216 CTTCCCTGAGGACA chr2 53126796 53126797 chr2:53126797:C:T rs78142572 C T C EBF1_EBF_1 5 1 + 6.313621723752905 0.9918345082122348 TTCCCCGAGGGATA chr2 53135444 53135445 chr2:53135445:C:T rs115581310 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 53208683 53208684 chr2:53208684:C:A rs6735690 C A A EBF1_EBF_1 17 0 - 0 0 . chr2 53217254 53217255 chr2:53217255:G:A rs112072646 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 53231959 53231960 chr2:53231960:C:G rs1364665 C G C EBF1_EBF_1 8 1 - 6.590003941810067 1.2713450808953801 TATCCCTAGGTAAC chr2 53253490 53253491 chr2:53253491:G:C rs59463716 G C G EBF1_EBF_1 20 0 + 0 0 . chr2 53402182 53402183 chr2:53402183:G:A rs4671396 G A A EBF1_EBF_1 14 0 - 0 0 . chr2 53424994 53424995 chr2:53424995:G:A rs10189167 G A A EBF1_EBF_1 22 0 - 0 0 . chr2 53425244 53425245 chr2:53425245:C:G rs1565797 C G C EBF1_EBF_1 -2 0 + 0 0 . chr2 53435077 53435078 chr2:53435078:A:C rs1521937 A C A EBF1_EBF_1 27 0 + 0 0 . chr2 53504140 53504141 chr2:53504141:T:C rs10165742 T C T EBF1_EBF_1 -1 0 + 0 0 . chr2 53506191 53506192 chr2:53506192:A:T rs10183446 A T T EBF1_EBF_1 -15 0 + 0 0 . chr2 53620144 53620145 chr2:53620145:C:G rs6545360 C G G EBF1_EBF_1 33 0 - 0 0 . chr2 53970236 53970237 chr2:53970237:G:A rs760246841 G A G EBF1_EBF_1 8 1 + 5.627016076993898 1.4007259881076355 TCTCCCTCGGGGCC chr2 53970343 53970344 chr2:53970344:G:A chr2:53970344:G:A G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 54056136 54056137 chr2:54056137:G:C rs78244745 G C G EBF1_EBF_1 -10 0 - 0 0 . chr2 54103811 54103812 chr2:54103812:A:G rs567073779 A G A EBF1_EBF_1 14 0 + 0 0 . chr2 54176969 54176970 chr2:54176970:C:G rs7594400 C G G EBF1_EBF_1 0 1 + 7.101269226144714 7.464243840276479 CTTCCCAAGGGCAC chr2 54195174 54195175 chr2:54195175:G:A rs17045588 G A G EBF1_EBF_1 4 1 - 8.127381976707966 3.757995031763041 ATTCCCAAAGGAGC chr2 54391388 54391389 chr2:54391389:C:T rs77371573 C T C EBF1_EBF_1 0 1 - 7.016762066521564 8.909045936086397 GTTCCCCAGGGTCA chr2 54420942 54420943 chr2:54420943:G:A chr2:54420943:G:A G A G EBF1_EBF_1 4 1 - 4.792776919931746 0.42338997498682257 GTTCCCTCGAGGCT chr2 54468799 54468800 chr2:54468800:T:C rs4599153 T C T EBF1_EBF_1 -2 0 + 0 0 . chr2 54468830 54468831 chr2:54468831:C:T rs73932835 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 54471319 54471320 chr2:54471320:G:A chr2:54471320:G:A G A G EBF1_EBF_1 15 0 + 0 0 . chr2 54501077 54501078 chr2:54501078:A:C rs77533639 A C A EBF1_EBF_1 27 0 - 0 0 . chr2 54501105 54501106 chr2:54501106:C:G chr2:54501106:C:G C G T EBF1_EBF_1 -1 0 - 0 0 . chr2 54503238 54503239 chr2:54503239:A:G rs74748035 A G A EBF1_EBF_1 24 0 - 0 0 . chr2 54521084 54521085 chr2:54521085:C:G rs759800540 C G C EBF1_EBF_1 -11 0 + 0 0 . chr2 54534216 54534217 chr2:54534217:G:T rs17415829 G T G EBF1_EBF_1 -20 0 + 0 0 . chr2 54596298 54596299 chr2:54596299:C:T rs753208694 C T c EBF1_EBF_1 3 1 + 8.448753766755312 2.0433550252019934 AGTCCCCAAGGACC chr2 54624639 54624640 chr2:54624640:T:G rs78071415 T G T EBF1_EBF_1 -20 0 - 0 0 . chr2 54723259 54723260 chr2:54723260:C:G rs143039610 C G C EBF1_EBF_1 -18 0 + 0 0 . chr2 54795815 54795816 chr2:54795816:A:G rs354944 A G A EBF1_EBF_1 -11 0 - 0 0 . chr2 54841451 54841452 chr2:54841452:T:A rs2358153 T A T EBF1_EBF_1 -17 0 + 0 0 . chr2 54902010 54902011 chr2:54902011:A:G rs17046477 A G A EBF1_EBF_1 13 1 + 8.588152108088194 7.473826536018755 AACCCCTTGGGAGA chr2 54904196 54904197 chr2:54904197:G:T rs553909870 G T G EBF1_EBF_1 -19 0 + 0 0 . chr2 54904205 54904206 chr2:54904206:A:G rs115894831 A G A EBF1_EBF_1 -10 0 + 0 0 . chr2 54957643 54957644 chr2:54957644:A:C rs77602473 A C A EBF1_EBF_1 2 1 - 4.916610667961673 -1.8317150222387955 ATTCCCCAGGTGGC chr2 54957646 54957647 chr2:54957647:A:G rs141873291 A G A EBF1_EBF_1 -1 0 - 0 0 . chr2 55049069 55049070 chr2:55049070:G:A rs75308563 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 55050575 55050576 chr2:55050576:C:T rs2241958 C T C EBF1_EBF_1 1 1 + 5.879417250837655 6.774850691236401 CCTCCCCAGGGGAG chr2 55050577 55050578 chr2:55050578:C:T rs199717670 C T C EBF1_EBF_1 3 1 + 5.879417250837655 -0.5259814907156626 CCTCCCCAGGGGAG chr2 55097420 55097421 chr2:55097421:G:C rs10206023 G C G EBF1_EBF_1 23 0 + 0 0 . chr2 55097864 55097865 chr2:55097865:G:A rs79800828 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 55112001 55112002 chr2:55112002:T:C rs191416153 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 55139960 55139961 chr2:55139961:T:C rs6731596 T C T EBF1_EBF_1 19 0 + 0 0 . chr2 55139963 55139964 chr2:55139964:G:A rs57385616 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 55154889 55154890 chr2:55154890:C:G rs12990898 C G G EBF1_EBF_1 12 1 + 6.393684390752037 5.005048447073886 GTTCACATGGGACT chr2 55224301 55224302 chr2:55224302:C:T chr2:55224302:C:T C T C EBF1_EBF_1 13 1 - 5.820055053343131 6.93438062541257 ACTCCCAGAGGACG chr2 55232655 55232656 chr2:55232656:G:C chr2:55232656:G:C G C G EBF1_EBF_1 -4 0 + 0 0 . chr2 55232656 55232657 chr2:55232657:G:A rs187450927 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 55232678 55232679 chr2:55232679:G:C rs556773707 G C G EBF1_EBF_1 19 0 + 0 0 . chr2 55279521 55279522 chr2:55279522:A:G chr2:55279522:A:G A G A EBF1_EBF_1 27 0 - 0 0 . chr2 55281389 55281390 chr2:55281390:G:A rs13031346 G A A EBF1_EBF_1 3 1 - 7.042357570986458 0.6369588294331409 TTTCCCAAGGTAGT chr2 55282668 55282669 chr2:55282669:C:T rs2589061 C T T EBF1_EBF_1 -4 0 - 0 0 . chr2 55378048 55378049 chr2:55378049:C:G rs11678347 C G C EBF1_EBF_1 5 1 + 6.881687020364228 -0.023194132270938958 ATTCCCAAGGCAAC chr2 55500339 55500340 chr2:55500340:G:A rs12986587 G A G EBF1_EBF_1 4 1 - 6.724168957662439 2.3547820127175147 CTTCCCTTAGGACC chr2 55500346 55500347 chr2:55500347:T:C rs113840016 T C T EBF1_EBF_1 -3 0 - 0 0 . chr2 55724050 55724051 chr2:55724051:T:C rs79873145 T C T EBF1_EBF_1 12 1 - 4.638364457319854 3.2195471152203767 TCTCCCCAGGGCAG chr2 55824863 55824864 chr2:55824864:G:A rs2115876 G A G EBF1_EBF_1 11 1 + 4.820415265886082 7.713122109490093 ACACCCACGGGGCT chr2 55876546 55876547 chr2:55876547:T:C rs17047287 T C C EBF1_EBF_1 31 0 + 0 0 . chr2 55923749 55923750 chr2:55923750:G:A rs143361440 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 55923797 55923798 chr2:55923798:G:C rs577899468 G C G EBF1_EBF_1 29 0 + 0 0 . chr2 55954982 55954983 chr2:55954983:G:C rs11694304 G C C EBF1_EBF_1 25 0 - 0 0 . chr2 56018418 56018419 chr2:56018419:G:A rs11693755 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 56315178 56315179 chr2:56315179:C:T rs6748952 C T T EBF1_EBF_1 -8 0 + 0 0 . chr2 56407549 56407550 chr2:56407550:G:T rs4672117 G T T EBF1_EBF_1 -6 0 - 0 0 . chr2 56485920 56485921 chr2:56485921:A:C rs2033014 A C A EBF1_EBF_1 -11 0 + 0 0 . chr2 56489792 56489793 chr2:56489793:A:C rs2113866 A C C EBF1_EBF_1 22 0 - 0 0 . chr2 56612943 56612944 chr2:56612944:A:G rs2870070 A G G EBF1_EBF_1 -17 0 + 0 0 . chr2 56672302 56672303 chr2:56672303:G:A rs2904312 G A A EBF1_EBF_1 20 0 - 0 0 . chr2 56672419 56672420 chr2:56672420:T:C rs6728372 T C C EBF1_EBF_1 27 0 + 0 0 . chr2 56770524 56770525 chr2:56770525:G:A rs11125653 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 56802018 56802019 chr2:56802019:C:T rs116078682 C T C EBF1_EBF_1 32 0 - 0 0 . chr2 56802029 56802030 chr2:56802030:G:A rs146976407 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 56874669 56874670 chr2:56874670:C:T rs2904426 C T C EBF1_EBF_1 5 1 + 10.436388222589887 5.114601007049218 AGTCCCCTGGGAGA chr2 56874826 56874827 chr2:56874827:A:T rs55951264 A T A EBF1_EBF_1 -14 0 + 0 0 . chr2 56892580 56892581 chr2:56892581:G:C rs4672171 G C C EBF1_EBF_1 -11 0 + 0 0 . chr2 57501168 57501169 chr2:57501169:T:A rs2243837 T A A EBF1_EBF_1 30 0 + 0 0 . chr2 57637197 57637198 chr2:57637198:C:T rs2612318 C T C EBF1_EBF_1 5 1 + 5.217769306786567 -0.10401790875410104 GTTCACCTGGGAAC chr2 57843346 57843347 chr2:57843347:T:C rs1851140 T C T EBF1_EBF_1 -14 0 + 0 0 . chr2 57849660 57849661 chr2:57849661:G:T rs2947351 G T G EBF1_EBF_1 -15 0 + 0 0 . chr2 57850892 57850893 chr2:57850893:G:A rs7604987 G A G EBF1_EBF_1 -2 0 - 0 0 . chr2 57943522 57943523 chr2:57943523:C:G rs146360205 C G C EBF1_EBF_1 3 1 + 6.826697748464021 0.9989333003488046 CTTCCCTAAGGACA chr2 57943524 57943525 chr2:57943525:C:A rs79661802 C A C EBF1_EBF_1 5 1 + 6.826697748464021 -0.07818340417114755 CTTCCCTAAGGACA chr2 58046765 58046766 chr2:58046766:G:A rs370086036 G A G EBF1_EBF_1 -7 0 + 0 0 . chr2 58131872 58131873 chr2:58131873:C:T rs369326737 C T C EBF1_EBF_1 1 1 + 5.633780617852806 6.529214058251552 ACTTCCCTGGGAAC chr2 58240811 58240812 chr2:58240812:A:G rs1376141532 A G A EBF1_EBF_1 -13 0 + 0 0 . chr2 58340724 58340725 chr2:58340725:C:A rs66778004 C A A EBF1_EBF_1 3 1 + 7.460402579641871 0.5542285501760635 CCTCCCATGAGACC chr2 58427808 58427809 chr2:58427809:G:A rs62140778 G A G EBF1_EBF_1 16 0 + 0 0 . chr2 58570097 58570098 chr2:58570098:C:T rs916445605 C T C EBF1_EBF_1 7 1 - 5.700992940221131 7.0134513455099565 AGTCCCCGGGAAAA chr2 58645099 58645100 chr2:58645100:C:T rs17269154 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 58762394 58762395 chr2:58762395:C:T rs7572723 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 58762418 58762419 chr2:58762419:G:T chr2:58762419:G:T G T G EBF1_EBF_1 9 1 + 5.103610530302304 -1.7333788777865053 TTTGCCCTGGGACC chr2 58842574 58842575 chr2:58842575:G:T rs62140569 G T G EBF1_EBF_1 15 0 + 0 0 . chr2 58852464 58852465 chr2:58852465:C:T rs976200186 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 58976569 58976570 chr2:58976570:C:G rs537616797 C G C EBF1_EBF_1 -11 0 + 0 0 . chr2 59067061 59067062 chr2:59067062:T:C rs143909875 T C T EBF1_EBF_1 -9 0 - 0 0 . chr2 59414128 59414129 chr2:59414129:T:C rs76963088 T C T EBF1_EBF_1 32 0 + 0 0 . chr2 59538718 59538719 chr2:59538719:G:A rs147401304 G A G EBF1_EBF_1 9 1 + 8.197784003039484 5.542844737591881 TTTCCCAAGGGCCT chr2 59775436 59775437 chr2:59775437:C:G rs9808126 C G G EBF1_EBF_1 6 1 + 8.937352695210977 7.132160631737779 ATTCCCCAGGAAAT chr2 59920114 59920115 chr2:59920115:A:G rs140078709 A G A EBF1_EBF_1 -8 0 + 0 0 . chr2 59931058 59931059 chr2:59931059:G:A rs11883482 G A G EBF1_EBF_1 0 1 - 4.765777339991327 5.047979400721904 CGTCCCAGGAGGCT chr2 60319583 60319584 chr2:60319584:T:C rs78032740 T C C EBF1_EBF_1 21 0 + 0 0 . chr2 60336778 60336779 chr2:60336779:C:T rs138160297 C T C EBF1_EBF_1 10 1 - 7.594485015195268 2.2764565559283025 GCTCCCCAGAGAAA chr2 60349426 60349427 chr2:60349427:A:T rs144946796 A T A EBF1_EBF_1 28 0 + 0 0 . chr2 60372176 60372177 chr2:60372177:G:A rs243036 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 60372176 60372177 chr2:60372177:G:C chr2:60372177:G:C G C G EBF1_EBF_1 -1 0 + 0 0 . chr2 60410891 60410892 chr2:60410892:C:G rs142829278 C G C EBF1_EBF_1 2 1 + 5.404703092752153 0.5452008139938358 GTCCCCAAGGTACA chr2 60414100 60414101 chr2:60414101:G:A rs17028031 G A G EBF1_EBF_1 -6 0 + 0 0 . chr2 60426436 60426437 chr2:60426437:T:C rs1035831 T C T EBF1_EBF_1 -19 0 + 0 0 . chr2 60429856 60429857 chr2:60429857:G:A rs13011256 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 60429882 60429883 chr2:60429883:T:C rs11894442 T C C EBF1_EBF_1 15 0 + 0 0 . chr2 60435219 60435220 chr2:60435220:C:T rs4671391 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 60483435 60483436 chr2:60483436:G:A rs13019832 G A A EBF1_EBF_1 -14 0 + 0 0 . chr2 60483479 60483480 chr2:60483480:C:A rs45473195 C A C EBF1_EBF_1 30 0 + 0 0 . chr2 60483636 60483637 chr2:60483637:C:T rs11692350 C T T EBF1_EBF_1 4 1 + 5.131938311099333 0.7625513661544082 CGTCCCCAGGAAAC chr2 60493453 60493454 chr2:60493454:A:G rs10195871 A G G EBF1_EBF_1 29 0 + 0 0 . chr2 60553819 60553820 chr2:60553820:C:A rs373243627 C A - EBF1_EBF_1 16 0 - 0 0 . chr2 60711270 60711271 chr2:60711271:C:T rs112150709 C T C EBF1_EBF_1 3 1 + 6.5120352627042655 0.1066365211509489 TTCCCCTAGGTAAT chr2 60807662 60807663 chr2:60807663:C:G rs185629239 C G C EBF1_EBF_1 -9 0 - 0 0 . chr2 60850557 60850558 chr2:60850558:A:G rs1177210 A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 60948176 60948177 chr2:60948177:T:C rs12479056 T C C EBF1_EBF_1 18 0 - 0 0 . chr2 61017249 61017250 chr2:61017250:C:T rs113353750 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 61017274 61017275 chr2:61017275:C:G rs62150970 C G G EBF1_EBF_1 18 0 + 0 0 . chr2 61365376 61365377 chr2:61365377:A:G rs56155430 A G A EBF1_EBF_1 -13 0 + 0 0 . chr2 61396210 61396211 chr2:61396211:C:T rs142894374 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 61454530 61454531 chr2:61454531:G:T rs190189579 G T G EBF1_EBF_1 12 1 - 6.850484232188105 6.880665630609431 AGTCACCAGGGACC chr2 61471535 61471536 chr2:61471536:T:G chr2:61471536:T:G T G T EBF1_EBF_1 13 1 + 7.836181635238207 5.503616499297274 TTTCCCCAGGGCCT chr2 61471549 61471550 chr2:61471550:G:C rs13409525 G C G EBF1_EBF_1 27 0 + 0 0 . chr2 61471698 61471699 chr2:61471699:T:A rs914631679 T A T EBF1_EBF_1 23 0 + 0 0 . chr2 61536364 61536365 chr2:61536365:G:A rs78246039 G A G EBF1_EBF_1 31 0 - 0 0 . chr2 61626561 61626562 chr2:61626562:T:A rs10201849 T A T EBF1_EBF_1 2 1 + 5.944814046433637 2.260346458834761 TTTCCCTTGGAAAC chr2 61627000 61627001 chr2:61627001:G:C rs59197404 G C G EBF1_EBF_1 5 1 - 7.42452807755525 0.5196469249200805 TGCCCCCAGGGAGC chr2 61694726 61694727 chr2:61694727:T:A rs757488416 T A T EBF1_EBF_1 -1 0 - 0 0 . chr2 61694825 61694826 chr2:61694826:G:A rs1201762158 G A g EBF1_EBF_1 -2 0 - 0 0 . chr2 61717435 61717436 chr2:61717436:C:T rs4672450 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 61854156 61854157 chr2:61854157:G:A rs892870503 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 61854178 61854179 chr2:61854179:G:C rs147147464 G C G EBF1_EBF_1 8 1 + 5.160455343049878 -0.1582035178648079 AGCCGCCAGGGACC chr2 61855311 61855312 chr2:61855312:C:A rs7566878 C A C EBF1_EBF_1 0 1 - 4.828867030574324 4.748094477173136 GCTCCCCTGGAAAC chr2 61855320 61855321 chr2:61855321:G:A rs867610409 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 61913896 61913897 chr2:61913897:T:C rs11677853 T C C EBF1_EBF_1 11 1 + 4.633624349765769 4.315576908012106 ACCCCCCTGGGTGC chr2 62094087 62094088 chr2:62094088:C:A rs34671119 C A C EBF1_EBF_1 22 0 - 0 0 . chr2 62142257 62142258 chr2:62142258:G:A rs13014169 G A G EBF1_EBF_1 1 1 - 9.608565236038789 10.503998676437533 ACTCCCCAGGGAGG chr2 62142438 62142439 chr2:62142439:G:A rs75588186 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 62179149 62179150 chr2:62179150:G:C rs1460343610 G C G EBF1_EBF_1 5 1 - 5.317650332177489 -1.5872308204576795 CTCCCCCAGGGGTC chr2 62195860 62195861 chr2:62195861:G:A rs187347872 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 62270766 62270767 chr2:62270767:C:T rs10200712 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 62287379 62287380 chr2:62287380:C:G rs4672493 C G G EBF1_EBF_1 21 0 + 0 0 . chr2 62296092 62296093 chr2:62296093:G:A rs4672496 G A A EBF1_EBF_1 -5 0 + 0 0 . chr2 62299535 62299536 chr2:62299536:G:A rs79551081 G A G EBF1_EBF_1 3 1 - 6.043395806869795 -0.36200293468352185 CATCCTAAGGGACT chr2 62380002 62380003 chr2:62380003:C:T rs4401198 C T T EBF1_EBF_1 8 1 - 9.960785231966302 5.734495143080044 ACTCCCCTGGGGCT chr2 62398674 62398675 chr2:62398675:C:G rs141664879 C G C EBF1_EBF_1 20 0 - 0 0 . chr2 62398708 62398709 chr2:62398709:G:A rs11896546 G A A EBF1_EBF_1 -14 0 - 0 0 . chr2 62411463 62411464 chr2:62411464:C:T rs989814066 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 62425204 62425205 chr2:62425205:T:C rs72805536 T C T EBF1_EBF_1 24 0 + 0 0 . chr2 62653682 62653683 chr2:62653683:A:C rs11125941 A C a EBF1_EBF_1 16 0 + 0 0 . chr2 62672748 62672749 chr2:62672749:G:A chr2:62672749:G:A G A G EBF1_EBF_1 4 1 - 6.254899162965385 1.8855122180204618 ACCCCCAAGAGGCT chr2 62672748 62672749 chr2:62672749:G:C rs61137797 G C G EBF1_EBF_1 4 1 - 6.254899162965385 0.6291340618158412 ACCCCCAAGAGGCT chr2 62864290 62864291 chr2:62864291:T:C rs2058567 T C C EBF1_EBF_1 -14 0 - 0 0 . chr2 62904595 62904596 chr2:62904596:G:A rs721048 G A G EBF1_EBF_1 3 1 - 9.880428174864203 3.4750294333108878 TTCCCCAAGGGACC chr2 63056042 63056043 chr2:63056043:C:G rs17850223 C G C EBF1_EBF_1 -1 0 + 0 0 . chr2 63106822 63106823 chr2:63106823:T:C rs62180306 T C t EBF1_EBF_1 27 0 - 0 0 . chr2 63379191 63379192 chr2:63379192:T:C rs113217225 T C T EBF1_EBF_1 6 1 - 6.364146533062143 4.1973521017876685 AGTCCCATGAGCAT chr2 63505374 63505375 chr2:63505375:A:G rs10865338 A G G EBF1_EBF_1 14 0 + 0 0 . chr2 63588755 63588756 chr2:63588756:G:A rs981418864 G A G EBF1_EBF_1 -4 0 + 0 0 . chr2 63607484 63607485 chr2:63607485:A:G rs262474 A G G EBF1_EBF_1 -17 0 - 0 0 . chr2 63668961 63668962 chr2:63668962:A:C rs2592388 A C C EBF1_EBF_1 23 0 + 0 0 . chr2 63680597 63680598 chr2:63680598:A:C rs143616172 A C A EBF1_EBF_1 -2 0 - 0 0 . chr2 63840604 63840605 chr2:63840605:T:A rs761102951 T A T EBF1_EBF_1 7 1 + 5.830682243475722 6.30695648356328 CGTCCCCTGAGAAG chr2 63840636 63840637 chr2:63840637:C:T rs116157906 C T C EBF1_EBF_1 -5 0 - 0 0 . chr2 63841382 63841383 chr2:63841383:T:C rs1288298322 T C T EBF1_EBF_1 -20 0 + 0 0 . chr2 63927080 63927081 chr2:63927081:C:T rs11125988 C T T EBF1_EBF_1 -4 0 - 0 0 . chr2 64018516 64018517 chr2:64018517:C:G rs77735212 C G C EBF1_EBF_1 6 1 + 5.395668094073944 3.5904760306007466 CTTCCCCGGAGAGA chr2 64018521 64018522 chr2:64018522:A:G rs72893106 A G A EBF1_EBF_1 11 1 + 5.395668094073944 2.502961250469933 CTTCCCCGGAGAGA chr2 64019750 64019751 chr2:64019751:A:G rs115404815 A G A EBF1_EBF_1 -20 0 + 0 0 . chr2 64168048 64168049 chr2:64168049:C:T rs34923691 C T T EBF1_EBF_1 30 0 + 0 0 . chr2 64201359 64201360 chr2:64201360:T:C rs114740833 T C T EBF1_EBF_1 22 0 + 0 0 . chr2 64214028 64214029 chr2:64214029:G:A rs573556521 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 64311351 64311352 chr2:64311352:G:C rs997694707 G C G EBF1_EBF_1 -4 0 + 0 0 . chr2 64312978 64312979 chr2:64312979:T:C rs66495987 T C C EBF1_EBF_1 16 0 - 0 0 . chr2 64326042 64326043 chr2:64326043:C:T rs13400235 C T C EBF1_EBF_1 8 1 - 7.007823412797746 2.781533323911485 ATCCTCATGGGACC chr2 64331561 64331562 chr2:64331562:A:T rs11901497 A T A EBF1_EBF_1 30 0 - 0 0 . chr2 64347547 64347548 chr2:64347548:G:A rs2059631 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 64362860 64362861 chr2:64362861:C:T rs112867838 C T C EBF1_EBF_1 15 0 - 0 0 . chr2 64371539 64371540 chr2:64371540:G:A rs4671568 G A A EBF1_EBF_1 -2 0 + 0 0 . chr2 64374703 64374704 chr2:64374704:G:A rs60978669 G A G EBF1_EBF_1 7 1 - 4.965290333017952 6.782795079300575 AACCCCCCGGGGCA chr2 64379164 64379165 chr2:64379165:T:G rs2288299 T G T EBF1_EBF_1 14 0 + 0 0 . chr2 64404063 64404064 chr2:64404064:G:A rs975134355 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 64463897 64463898 chr2:64463898:G:T rs80142105 G T G EBF1_EBF_1 25 0 + 0 0 . chr2 64463898 64463899 chr2:64463899:T:A rs6546072 T A A EBF1_EBF_1 26 0 + 0 0 . chr2 64524941 64524942 chr2:64524942:T:C rs538532283 T C T EBF1_EBF_1 20 0 - 0 0 . chr2 64593481 64593482 chr2:64593482:A:G rs9653500 A G G EBF1_EBF_1 29 0 - 0 0 . chr2 64599334 64599335 chr2:64599335:T:C rs11682570 T C C EBF1_EBF_1 -15 0 - 0 0 . chr2 64601182 64601183 chr2:64601183:T:A rs58948926 T A T EBF1_EBF_1 23 0 - 0 0 . chr2 64609132 64609133 chr2:64609133:C:T rs4671601 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 64622738 64622739 chr2:64622739:C:T rs59013575 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 64622762 64622763 chr2:64622763:G:A rs149388439 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 64623735 64623736 chr2:64623736:A:C rs34569551 A C A EBF1_EBF_1 -9 0 + 0 0 . chr2 64644656 64644657 chr2:64644657:C:G rs80148946 C G C EBF1_EBF_1 5 1 + 5.040515055867415 -1.8643660967677531 CTCCCCCAAGGAAC chr2 64750712 64750713 chr2:64750713:G:A rs116331878 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 64751707 64751708 chr2:64751708:C:T rs912026742 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 64827804 64827805 chr2:64827805:G:T rs4671615 G T T EBF1_EBF_1 -14 0 + 0 0 . chr2 64837275 64837276 chr2:64837276:C:A rs918198 C A C EBF1_EBF_1 1 1 + 5.8032811843691565 5.732354007017072 GCTCCCCAGGGCCA chr2 64837286 64837287 chr2:64837287:C:A rs75188244 C A C EBF1_EBF_1 12 1 + 5.8032811843691565 5.8334625827904825 GCTCCCCAGGGCCA chr2 64838132 64838133 chr2:64838133:T:C rs12713525 T C C EBF1_EBF_1 -12 0 - 0 0 . chr2 64852363 64852364 chr2:64852364:G:A rs6748097 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 64864833 64864834 chr2:64864834:T:C rs189649112 T C T EBF1_EBF_1 -14 0 + 0 0 . chr2 64866815 64866816 chr2:64866816:C:T rs78157305 C T C EBF1_EBF_1 9 1 - 5.756124712701534 3.1011854472539304 ATTCCCACCGGACA chr2 64869741 64869742 chr2:64869742:A:C rs78578814 A C A EBF1_EBF_1 -20 0 + 0 0 . chr2 64876636 64876637 chr2:64876637:C:T rs145530927 C T C EBF1_EBF_1 5 1 + 5.434729886752023 0.1129426712113537 GCTGCCTTGGGACT chr2 64922767 64922768 chr2:64922768:C:T rs960265098 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 64944245 64944246 chr2:64944246:C:T rs115759797 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 64972774 64972775 chr2:64972775:C:G rs111730782 C G C EBF1_EBF_1 4 1 + 7.68928231202111 2.0635172108715647 GTACCCATGGGACC chr2 65018988 65018989 chr2:65018989:G:A rs2075209 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 65047102 65047103 chr2:65047103:T:C rs28545674 T C C EBF1_EBF_1 -2 0 + 0 0 . chr2 65070223 65070224 chr2:65070224:C:T rs2723084 C T C EBF1_EBF_1 3 1 + 8.978433186557996 2.5730344450046796 AGACCCAAGGGACA chr2 65072631 65072632 chr2:65072632:T:C rs55952639 T C T EBF1_EBF_1 26 0 - 0 0 . chr2 65079770 65079771 chr2:65079771:C:T rs6741255 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 65128897 65128898 chr2:65128898:C:G rs17029896 C G C EBF1_EBF_1 18 0 + 0 0 . chr2 65142829 65142830 chr2:65142830:T:C rs984748 T C C EBF1_EBF_1 21 0 + 0 0 . chr2 65156215 65156216 chr2:65156216:G:C rs11897778 G C G EBF1_EBF_1 15 0 + 0 0 . chr2 65295479 65295480 chr2:65295480:C:T rs62139089 C T C EBF1_EBF_1 4 1 + 6.852544790449974 2.4831578455050507 CTTCCCTTGGGTCC chr2 65377776 65377777 chr2:65377777:G:C rs34928603 G C G EBF1_EBF_1 23 0 + 0 0 . chr2 65377778 65377779 chr2:65377779:C:T rs74944056 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 65377779 65377780 chr2:65377780:A:G rs268120 A G a EBF1_EBF_1 26 0 + 0 0 . chr2 65380408 65380409 chr2:65380409:C:T rs268136 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 65421402 65421403 chr2:65421403:T:C rs76707106 T C T EBF1_EBF_1 23 0 - 0 0 . chr2 65421412 65421413 chr2:65421413:A:C rs963539 A C C EBF1_EBF_1 13 1 - 6.985471285840058 4.652906149899125 ACTCCCCAGGAATT chr2 65432707 65432708 chr2:65432708:C:T rs552923738 C T C EBF1_EBF_1 1 1 + 7.978985788632182 8.874419229030925 ACTCCCGGGGGAGC chr2 65432725 65432726 chr2:65432726:A:G rs563037431 A G A EBF1_EBF_1 19 0 + 0 0 . chr2 65436032 65436033 chr2:65436033:G:C rs1807337 G C G EBF1_EBF_1 33 0 - 0 0 . chr2 65453175 65453176 chr2:65453176:T:G rs1370394 T G t EBF1_EBF_1 -6 0 - 0 0 . chr2 65505411 65505412 chr2:65505412:G:A rs116327085 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 65528712 65528713 chr2:65528713:A:T rs75284693 A T A EBF1_EBF_1 24 0 + 0 0 . chr2 65539744 65539745 chr2:65539745:C:T rs702906 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 65545020 65545021 chr2:65545021:C:T rs9309375 C T C EBF1_EBF_1 6 1 - 5.229209983007022 7.396004414281497 AGTCCCGAGGTAAG chr2 65555085 65555086 chr2:65555086:G:A rs11894179 G A G EBF1_EBF_1 17 0 - 0 0 . chr2 65555103 65555104 chr2:65555104:C:T rs79694590 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 65556215 65556216 chr2:65556216:G:A rs4671671 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 65556742 65556743 chr2:65556743:C:T rs1641464 C T C EBF1_EBF_1 22 0 - 0 0 . chr2 65564633 65564634 chr2:65564634:G:A rs13403716 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 65565214 65565215 chr2:65565215:C:G rs1641475 C G C EBF1_EBF_1 -14 0 + 0 0 . chr2 65565951 65565952 chr2:65565952:C:T rs1641477 C T C EBF1_EBF_1 33 0 - 0 0 . chr2 65565988 65565989 chr2:65565989:A:G rs1641478 A G G EBF1_EBF_1 -4 0 - 0 0 . chr2 65568991 65568992 chr2:65568992:A:G rs1623093 A G A EBF1_EBF_1 25 0 - 0 0 . chr2 65569036 65569037 chr2:65569037:C:G rs138800585 C G C EBF1_EBF_1 -20 0 - 0 0 . chr2 65575100 65575101 chr2:65575101:T:C chr2:65575101:T:C T C T EBF1_EBF_1 4 1 + 8.13392357306406 12.503310518008982 ACTCTCAAGGGAAA chr2 65578975 65578976 chr2:65578976:A:T rs12466804 A T A EBF1_EBF_1 -13 0 + 0 0 . chr2 65611147 65611148 chr2:65611148:A:C rs770176256 A C A EBF1_EBF_1 13 1 - 4.479213339534164 2.1466482035932306 AACCCCCAGGCACT chr2 65611177 65611178 chr2:65611178:C:T rs2080386 C T T EBF1_EBF_1 -17 0 - 0 0 . chr2 65613279 65613280 chr2:65613280:A:C chr2:65613280:A:C A C A EBF1_EBF_1 27 0 - 0 0 . chr2 65647750 65647751 chr2:65647751:G:A rs6745649 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 65686952 65686953 chr2:65686953:T:A rs10490612 T A T EBF1_EBF_1 -15 0 - 0 0 . chr2 65699197 65699198 chr2:65699198:G:C rs17628021 G C G EBF1_EBF_1 26 0 - 0 0 . chr2 65699240 65699241 chr2:65699241:G:A rs759777190 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 65715724 65715725 chr2:65715725:A:C rs4671686 A C C EBF1_EBF_1 -20 0 + 0 0 . chr2 65790806 65790807 chr2:65790807:A:G rs59632890 A G A EBF1_EBF_1 31 0 + 0 0 . chr2 65858896 65858897 chr2:65858897:C:T rs66954288 C T c EBF1_EBF_1 30 0 + 0 0 . chr2 65867570 65867571 chr2:65867571:T:G rs976394 T G G EBF1_EBF_1 12 1 - 5.781339724386659 5.751158325965333 CTTCCCTCAGGAAT chr2 65867597 65867598 chr2:65867598:G:A rs530546551 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 65869332 65869333 chr2:65869333:C:T rs66534457 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 65880618 65880619 chr2:65880619:C:G rs112498139 C G C EBF1_EBF_1 9 1 - 6.104253278857828 1.0285641325123651 TGTCCCCAGGGCTT chr2 65934985 65934986 chr2:65934986:T:C rs7598654 T C T EBF1_EBF_1 -14 0 - 0 0 . chr2 65947732 65947733 chr2:65947733:T:A rs12465255 T A A EBF1_EBF_1 -8 0 - 0 0 . chr2 65991346 65991347 chr2:65991347:A:G rs2052670 A G G EBF1_EBF_1 20 0 - 0 0 . chr2 66080324 66080325 chr2:66080325:A:C rs7580714 A C A EBF1_EBF_1 -17 0 - 0 0 . chr2 66127133 66127134 chr2:66127134:G:T rs72902015 G T G EBF1_EBF_1 7 1 - 5.855540509318264 8.149319495688447 AGCCCCACGAGAAA chr2 66137853 66137854 chr2:66137854:C:A rs1881879 C A A EBF1_EBF_1 -19 0 - 0 0 . chr2 66237497 66237498 chr2:66237498:C:A rs872888 C A C EBF1_EBF_1 27 0 - 0 0 . chr2 66334722 66334723 chr2:66334723:A:G rs2969685 A G G EBF1_EBF_1 21 0 + 0 0 . chr2 66390947 66390948 chr2:66390948:G:A rs116218424 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 66425446 66425447 chr2:66425447:C:T rs13014940 C T C EBF1_EBF_1 -11 0 - 0 0 . chr2 66579054 66579055 chr2:66579055:T:C rs182134093 T C t EBF1_EBF_1 1 1 + 6.165844601941805 5.270411161543058 TTCCCCCTGGGAGG chr2 66579086 66579087 chr2:66579087:G:C rs60815631 G C G EBF1_EBF_1 33 0 + 0 0 . chr2 66654359 66654360 chr2:66654360:A:G rs4346369 A G G EBF1_EBF_1 -11 0 + 0 0 . chr2 66775915 66775916 chr2:66775916:A:T rs77024272 A T A EBF1_EBF_1 -3 0 + 0 0 . chr2 66845552 66845553 chr2:66845553:G:A rs77855434 G A G EBF1_EBF_1 12 1 - 5.154341323623935 4.1280747530730855 TGTCCCCAGAGGCC chr2 66915297 66915298 chr2:66915298:T:C rs17032742 T C C EBF1_EBF_1 33 0 - 0 0 . chr2 66915317 66915318 chr2:66915318:G:A rs77705126 G A G EBF1_EBF_1 13 1 - 6.092483449309089 6.936977563894607 AGTCCCTGGGTAGC chr2 67013929 67013930 chr2:67013930:A:G rs116838683 A G A EBF1_EBF_1 24 0 - 0 0 . chr2 67023973 67023974 chr2:67023974:G:A rs35020128 G A A EBF1_EBF_1 19 0 + 0 0 . chr2 67322813 67322814 chr2:67322814:G:C rs7591262 G C G EBF1_EBF_1 -5 0 - 0 0 . chr2 67542873 67542874 chr2:67542874:A:C rs2541029 A C A EBF1_EBF_1 30 0 + 0 0 . chr2 67544334 67544335 chr2:67544335:A:G rs1568469 A G G EBF1_EBF_1 25 0 - 0 0 . chr2 67544373 67544374 chr2:67544374:C:T rs145249150 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 67568245 67568246 chr2:67568246:C:G rs2270343 C G C EBF1_EBF_1 33 0 + 0 0 . chr2 67574393 67574394 chr2:67574394:T:A rs7573396 T A T EBF1_EBF_1 -15 0 - 0 0 . chr2 67614624 67614625 chr2:67614625:C:A rs4671191 C A C EBF1_EBF_1 13 1 + 8.708214533844147 8.334469084558172 AATCCCAAGGGTAC chr2 67641365 67641366 chr2:67641366:A:T rs1430787 A T T EBF1_EBF_1 -19 0 + 0 0 . chr2 67646623 67646624 chr2:67646624:T:G rs72621577 T G T EBF1_EBF_1 -8 0 - 0 0 . chr2 67649984 67649985 chr2:67649985:T:C rs73936556 T C T EBF1_EBF_1 13 1 + 5.440741252831821 4.596247138246303 CTTCCCAAGGCACT chr2 67669864 67669865 chr2:67669865:A:G rs80280585 A G A EBF1_EBF_1 -6 0 + 0 0 . chr2 67669867 67669868 chr2:67669868:C:T rs6744001 C T T EBF1_EBF_1 -3 0 + 0 0 . chr2 67783185 67783186 chr2:67783186:A:G rs1864571 A G A EBF1_EBF_1 -7 0 + 0 0 . chr2 67856462 67856463 chr2:67856463:T:C rs6709038 T C C EBF1_EBF_1 15 0 + 0 0 . chr2 67878706 67878707 chr2:67878707:T:A rs7565334 T A A EBF1_EBF_1 33 0 + 0 0 . chr2 67880170 67880171 chr2:67880171:C:T rs76397327 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 67895820 67895821 chr2:67895821:G:A rs72900951 G A G EBF1_EBF_1 12 1 - 4.802879743096298 3.776613172545448 CCTCCCTGGGGCCC chr2 67899454 67899455 chr2:67899455:G:A rs6741065 G A g EBF1_EBF_1 6 1 - 3.4559703027652278 3.7572564316269856 GCTCACCGGGGACC chr2 68062870 68062871 chr2:68062871:C:T rs188506913 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 68062883 68062884 chr2:68062884:G:A rs1385327961 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 68062893 68062894 chr2:68062894:G:A rs531280563 G A G EBF1_EBF_1 7 1 + 5.931842755751553 7.2443011610403785 AATCCCAGGAGAGG chr2 68079606 68079607 chr2:68079607:C:T rs17034913 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 68091678 68091679 chr2:68091679:G:A rs72908805 G A A EBF1_EBF_1 -5 0 + 0 0 . chr2 68091691 68091692 chr2:68091692:G:T rs72830074 G T G EBF1_EBF_1 8 1 + 7.351930927894625 0.46049813891743024 TTTCCCAAGAGAGA chr2 68175519 68175520 chr2:68175520:C:T rs13004407 C T C EBF1_EBF_1 -18 0 - 0 0 . chr2 68185744 68185745 chr2:68185745:G:A rs192449152 G A G EBF1_EBF_1 12 1 - 5.264814887183231 4.238548316632381 ACCCCCAGGGGGCG chr2 68185773 68185774 chr2:68185774:C:A rs7599591 C A A EBF1_EBF_1 -17 0 - 0 0 . chr2 68251290 68251291 chr2:68251291:T:C rs566671543 T C T EBF1_EBF_1 -3 0 - 0 0 . chr2 68284451 68284452 chr2:68284452:A:G rs735815 A G A EBF1_EBF_1 16 0 - 0 0 . chr2 68318736 68318737 chr2:68318737:A:G rs72906142 A G A EBF1_EBF_1 17 0 + 0 0 . chr2 68318737 68318738 chr2:68318738:G:A rs184360642 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 68352798 68352799 chr2:68352799:A:G rs7578047 A G A EBF1_EBF_1 -7 0 + 0 0 . chr2 68375726 68375727 chr2:68375727:A:G rs7605863 A G A EBF1_EBF_1 15 0 - 0 0 . chr2 68418737 68418738 chr2:68418738:A:G rs12468278 A G A EBF1_EBF_1 -10 0 - 0 0 . chr2 68441165 68441166 chr2:68441166:A:T rs9309419 A T A EBF1_EBF_1 7 1 + 4.687514528919223 4.211240288831665 ACTCCCCAAGGCCT chr2 68468824 68468825 chr2:68468825:C:T rs72900054 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 68538072 68538073 chr2:68538073:C:T rs13404469 C T T EBF1_EBF_1 23 0 - 0 0 . chr2 68643168 68643169 chr2:68643169:G:A rs536726291 G A G EBF1_EBF_1 3 1 - 5.5167785988508165 -0.888620142702502 GGTCCCCTGGGCCA chr2 68670561 68670562 chr2:68670562:A:C chr2:68670562:A:C A C A EBF1_EBF_1 -12 0 + 0 0 . chr2 68731491 68731492 chr2:68731492:A:G rs74600684 A G A EBF1_EBF_1 0 1 + 5.949568921845304 4.057285052280469 ACTCCCTAGTGACC chr2 68746047 68746048 chr2:68746048:T:A rs72893992 T A T EBF1_EBF_1 27 0 + 0 0 . chr2 68748111 68748112 chr2:68748112:G:C rs4396758 G C C EBF1_EBF_1 -17 0 - 0 0 . chr2 68767121 68767122 chr2:68767122:G:A rs114972696 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 68768530 68768531 chr2:68768531:A:G rs4854452 A G A EBF1_EBF_1 12 1 + 7.114354881389932 5.695537539290455 AATCCCAAGGAAAA chr2 68790473 68790474 chr2:68790474:G:T rs111951689 G T G EBF1_EBF_1 31 0 + 0 0 . chr2 68791020 68791021 chr2:68791021:C:G rs72830933 C G C EBF1_EBF_1 -18 0 + 0 0 . chr2 68791024 68791025 chr2:68791025:C:T rs12478131 C T C EBF1_EBF_1 -14 0 + 0 0 . chr2 68791654 68791655 chr2:68791655:G:A rs10208669 G A G EBF1_EBF_1 -6 0 + 0 0 . chr2 68836002 68836003 chr2:68836003:T:A rs115824996 T A T EBF1_EBF_1 -5 0 + 0 0 . chr2 68888988 68888989 chr2:68888989:T:G rs2312085 T G G EBF1_EBF_1 -18 0 + 0 0 . chr2 68911859 68911860 chr2:68911860:C:A rs6726044 C A C EBF1_EBF_1 3 1 + 5.037439269429108 -1.868734760036697 ACCCCCTAGGGTGA chr2 68931813 68931814 chr2:68931814:A:G rs6735301 A G G EBF1_EBF_1 -15 0 + 0 0 . chr2 68931849 68931850 chr2:68931850:C:G rs6706642 C G G EBF1_EBF_1 21 0 + 0 0 . chr2 68970759 68970760 chr2:68970760:T:C rs13428063 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 68995962 68995963 chr2:68995963:C:G rs59567366 C G C EBF1_EBF_1 19 0 + 0 0 . chr2 69012997 69012998 chr2:69012998:C:T rs532221673 C T C EBF1_EBF_1 13 1 + 4.288386895130251 5.132881009715769 ACTCCCGCGGGTCC chr2 69100358 69100359 chr2:69100359:C:T rs114050086 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 69103151 69103152 chr2:69103152:G:A rs4241349 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 69121083 69121084 chr2:69121084:T:C rs4321401 T C C EBF1_EBF_1 7 1 - 6.55559301802359 5.243134612734764 CTTCCCCAAGGAAA chr2 69124484 69124485 chr2:69124485:G:A rs78702803 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 69128813 69128814 chr2:69128814:G:A rs75430990 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 69144501 69144502 chr2:69144502:A:G rs10198416 A G G EBF1_EBF_1 26 0 - 0 0 . chr2 69168519 69168520 chr2:69168520:G:A rs759376226 G A G EBF1_EBF_1 8 1 + 4.278480992719059 0.0521909038327979 ACACCCAGGGGCCT chr2 69178213 69178214 chr2:69178214:C:A rs565968883 C A C EBF1_EBF_1 30 0 - 0 0 . chr2 69214800 69214801 chr2:69214801:T:C rs74607137 T C T EBF1_EBF_1 12 1 - 6.975843040229322 5.557025698129845 CACCCCAGGGGAAA chr2 69215177 69215178 chr2:69215178:A:G rs34160927 A G A EBF1_EBF_1 0 1 + 7.809023126730457 5.916739257165623 AGCCCCATGGGGAC chr2 69224549 69224550 chr2:69224550:T:A rs6738487 T A A EBF1_EBF_1 -19 0 + 0 0 . chr2 69300119 69300120 chr2:69300120:G:A rs4077915 G A G EBF1_EBF_1 10 1 + 5.189738479690348 -0.12828997957661725 TGTCCCAAGGGCAG chr2 69307175 69307176 chr2:69307176:G:A rs372427410 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 69397241 69397242 chr2:69397242:G:A rs6546512 G A A EBF1_EBF_1 16 0 + 0 0 . chr2 69437843 69437844 chr2:69437844:C:T rs13416004 C T c EBF1_EBF_1 16 0 + 0 0 . chr2 69437879 69437880 chr2:69437880:C:G rs6731035 C G c EBF1_EBF_1 9 1 - 8.532340344891766 3.4566511985463015 AGACCCATGGGAAA chr2 69437889 69437890 chr2:69437890:G:C rs908760343 G C g EBF1_EBF_1 -1 0 - 0 0 . chr2 69601498 69601499 chr2:69601499:C:G rs78912967 C G C EBF1_EBF_1 2 1 + 7.881370519110712 3.021868240352395 AGCCCCAAGGGGCA chr2 69693738 69693739 chr2:69693739:T:C rs7578474 T C T EBF1_EBF_1 -11 0 - 0 0 . chr2 69779926 69779927 chr2:69779927:C:G rs7583731 C G C EBF1_EBF_1 3 1 + 7.2174449390577 1.3896804909424838 AACCCCCAGGTAAT chr2 69791260 69791261 chr2:69791261:A:G rs114744766 A G A EBF1_EBF_1 16 0 - 0 0 . chr2 69791261 69791262 chr2:69791262:G:A rs76418195 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 69796336 69796337 chr2:69796337:T:C rs7588022 T C t EBF1_EBF_1 12 1 - 6.83686109039962 5.418043748300143 AGCCCCAGGAGAAA chr2 69807660 69807661 chr2:69807661:A:G rs2168115 A G G EBF1_EBF_1 21 0 + 0 0 . chr2 69817880 69817881 chr2:69817881:T:A rs11126247 T A T EBF1_EBF_1 15 0 + 0 0 . chr2 69893499 69893500 chr2:69893500:G:A rs6710444 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 69914540 69914541 chr2:69914541:G:A rs114269851 G A G EBF1_EBF_1 27 0 + 0 0 . chr2 69933899 69933900 chr2:69933900:C:T rs6729760 C T T EBF1_EBF_1 4 1 + 9.020757666464021 4.651370721519098 AGACCCCAGGGAAC chr2 69955643 69955644 chr2:69955644:G:A rs7569331 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 69960594 69960595 chr2:69960595:A:C rs12464297 A C C EBF1_EBF_1 19 0 + 0 0 . chr2 69960595 69960596 chr2:69960596:C:T chr2:69960596:C:T C T C EBF1_EBF_1 20 0 + 0 0 . chr2 69987984 69987985 chr2:69987985:C:T rs138200761 C T C EBF1_EBF_1 -5 0 - 0 0 . chr2 70038575 70038576 chr2:70038576:C:A chr2:70038576:C:A C A c EBF1_EBF_1 16 0 - 0 0 . chr2 70070309 70070310 chr2:70070310:G:A rs74349815 G A G EBF1_EBF_1 10 1 + 6.252734477042313 0.9347060177753475 AATCCCATTGGACT chr2 70112537 70112538 chr2:70112538:G:T rs1199975037 G T G EBF1_EBF_1 1 1 - 8.875277390084038 8.804350212731952 CCTCCCTGGGGAAA chr2 70122131 70122132 chr2:70122132:G:A rs183912729 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 70125118 70125119 chr2:70125119:G:A rs116421640 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 70125130 70125131 chr2:70125131:A:G rs183755518 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 70136300 70136301 chr2:70136301:G:A rs1053341168 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 70141229 70141230 chr2:70141230:A:T rs532462434 A T A EBF1_EBF_1 0 1 + 6.209383898731225 4.2363274757652025 ACACCCTAGGGGCC chr2 70141257 70141258 chr2:70141258:C:T rs147623197 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 70141258 70141259 chr2:70141259:A:G rs13012197 A G G EBF1_EBF_1 29 0 + 0 0 . chr2 70141633 70141634 chr2:70141634:G:A rs72900625 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 70141641 70141642 chr2:70141642:A:C rs117614093 A C A EBF1_EBF_1 -10 0 + 0 0 . chr2 70141675 70141676 chr2:70141676:C:T rs117774281 C T G EBF1_EBF_1 24 0 + 0 0 . chr2 70141812 70141813 chr2:70141813:T:C rs751374337 T C T EBF1_EBF_1 24 0 - 0 0 . chr2 70141856 70141857 chr2:70141857:A:T chr2:70141857:A:T A T A EBF1_EBF_1 -20 0 - 0 0 . chr2 70190732 70190733 chr2:70190733:T:C rs142693529 T C T EBF1_EBF_1 0 1 + 9.965014360666688 9.68281229993611 TATCCCCTGGGACC chr2 70190763 70190764 chr2:70190764:C:T rs567728511 C T C EBF1_EBF_1 31 0 + 0 0 . chr2 70258748 70258749 chr2:70258749:G:C rs12615979 G C C EBF1_EBF_1 -8 0 - 0 0 . chr2 70300959 70300960 chr2:70300960:G:A rs72904358 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 70340227 70340228 chr2:70340228:A:G rs113279547 A G A EBF1_EBF_1 16 0 - 0 0 . chr2 70387653 70387654 chr2:70387654:C:G rs1478646 C G C EBF1_EBF_1 -17 0 + 0 0 . chr2 70444051 70444052 chr2:70444052:C:T rs10865381 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 70449506 70449507 chr2:70449507:A:G rs538118 A G A EBF1_EBF_1 6 1 + 4.307604996963607 2.140810565689131 GGCCCCATGGGTCA chr2 70489413 70489414 chr2:70489414:T:C rs17005702 T C T EBF1_EBF_1 11 1 - 5.101267310463474 2.208560466859462 ATTCCCCAGGCAGC chr2 70518580 70518581 chr2:70518581:G:A rs536645058 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 70585041 70585042 chr2:70585042:T:A rs425064 T A T EBF1_EBF_1 13 1 + 6.332798125295685 5.114558561424191 ATTCCCTGAAGACT chr2 70631057 70631058 chr2:70631058:T:C rs1859917 T C C EBF1_EBF_1 -3 0 + 0 0 . chr2 70639758 70639759 chr2:70639759:T:C rs7560329 T C C EBF1_EBF_1 -5 0 + 0 0 . chr2 70645684 70645685 chr2:70645685:T:C rs11898642 T C C EBF1_EBF_1 -5 0 + 0 0 . chr2 70656755 70656756 chr2:70656756:G:T rs1015190 G T G EBF1_EBF_1 26 0 + 0 0 . chr2 70668206 70668207 chr2:70668207:A:C chr2:70668207:A:C A C A EBF1_EBF_1 8 1 + 7.220251689563194 6.127882917534768 ATTCCCCTAGGAAG chr2 70685735 70685736 chr2:70685736:G:A rs12470211 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 70720809 70720810 chr2:70720810:T:C rs7580774 T C T EBF1_EBF_1 0 1 - 8.55781094368328 6.665527074118448 ATTCCCTAGGGTTC chr2 70745660 70745661 chr2:70745661:G:A rs184372769 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 70745663 70745664 chr2:70745664:C:G rs189387858 C G C EBF1_EBF_1 23 0 + 0 0 . chr2 70790383 70790384 chr2:70790384:A:G rs12713717 A G G EBF1_EBF_1 -4 0 + 0 0 . chr2 70828275 70828276 chr2:70828276:C:T rs73937038 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 70833601 70833602 chr2:70833602:A:C rs72836218 A C A EBF1_EBF_1 6 1 + 5.61768213473079 5.256079766929513 GATCCCATGGGCCA chr2 70871575 70871576 chr2:70871576:T:A rs888759477 T A T EBF1_EBF_1 17 0 - 0 0 . chr2 70871608 70871609 chr2:70871609:G:A rs186158272 G A A EBF1_EBF_1 -16 0 - 0 0 . chr2 70887684 70887685 chr2:70887685:T:C rs1009599403 T C T EBF1_EBF_1 -12 0 - 0 0 . chr2 70901367 70901368 chr2:70901368:C:T rs34327179 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 70901383 70901384 chr2:70901384:G:C rs62143226 G C G EBF1_EBF_1 1 1 - 5.276415789301736 5.466187443870953 TCTCCCCTGGGCAA chr2 70902532 70902533 chr2:70902533:G:A rs3755340 G A G EBF1_EBF_1 1 1 - 10.62841499029504 11.523848430693787 CCTCCCCTGGGAAT chr2 70918512 70918513 chr2:70918513:T:C rs3771391 T C C EBF1_EBF_1 7 1 - 7.195354068898408 5.882895663609583 TTCCCCTAGAGAAC chr2 70975502 70975503 chr2:70975503:C:T rs12616710 C T T EBF1_EBF_1 3 1 + 8.155428075960875 1.750029334407559 TCCCCCCGGGGACT chr2 70975504 70975505 chr2:70975505:C:T rs146990551 C T C EBF1_EBF_1 5 1 + 8.155428075960875 2.8336408604202052 TCCCCCCGGGGACT chr2 70977377 70977378 chr2:70977378:A:G rs890142877 A G A EBF1_EBF_1 -3 0 + 0 0 . chr2 70978562 70978563 chr2:70978563:T:C rs1217627199 T C T EBF1_EBF_1 24 0 - 0 0 . chr2 70984286 70984287 chr2:70984287:C:G rs72832792 C G C EBF1_EBF_1 23 0 + 0 0 . chr2 70986816 70986817 chr2:70986817:A:G rs57263588 A G A EBF1_EBF_1 -9 0 + 0 0 . chr2 71000043 71000044 chr2:71000044:A:G rs61629583 A G . EBF1_EBF_1 -12 0 - 0 0 . chr2 71004763 71004764 chr2:71004764:A:G rs67535692 A G . EBF1_EBF_1 21 0 - 0 0 . chr2 71020447 71020448 chr2:71020448:T:C rs2418654 T C . EBF1_EBF_1 1 1 + 5.169648429964641 4.274214989565896 GTCCCCGAGAGAAC chr2 71043738 71043739 chr2:71043739:C:A rs111274560 C A . EBF1_EBF_1 31 0 - 0 0 . chr2 71048508 71048509 chr2:71048509:G:T rs6726172 G T . EBF1_EBF_1 32 0 + 0 0 . chr2 71130064 71130065 chr2:71130065:C:A rs11126319 C A . EBF1_EBF_1 9 1 - 3.654891943723411 -3.182097464365399 CCTCCCCCGGGGGC chr2 71131024 71131025 chr2:71131025:A:C rs114674469 A C . EBF1_EBF_1 25 0 + 0 0 . chr2 71155263 71155264 chr2:71155264:C:A rs147007778 C A . EBF1_EBF_1 19 0 - 0 0 . chr2 71156415 71156416 chr2:71156416:A:T rs183080 A T . EBF1_EBF_1 29 0 - 0 0 . chr2 71156426 71156427 chr2:71156427:A:G rs148378500 A G . EBF1_EBF_1 18 0 - 0 0 . chr2 71156884 71156885 chr2:71156885:A:C rs1229208061 A C . EBF1_EBF_1 -1 0 + 0 0 . chr2 71156893 71156894 chr2:71156894:C:T rs72905573 C T . EBF1_EBF_1 8 1 + 6.2687024907435 4.695928562680992 AGTCCCACCGGACT chr2 71156900 71156901 chr2:71156901:G:A rs79260045 G A . EBF1_EBF_1 15 0 + 0 0 . chr2 71175745 71175746 chr2:71175746:A:G rs435434 A G . EBF1_EBF_1 26 0 + 0 0 . chr2 71177698 71177699 chr2:71177699:G:C rs450084 G C . EBF1_EBF_1 16 0 - 0 0 . chr2 71177703 71177704 chr2:71177704:T:C rs408488 T C . EBF1_EBF_1 11 1 - 7.406671193447421 4.513964349843411 GTTCCCAAGGAAAT chr2 71181587 71181588 chr2:71181588:T:G rs403523 T G . EBF1_EBF_1 19 0 - 0 0 . chr2 71191767 71191768 chr2:71191768:G:A rs187596651 G A t EBF1_EBF_1 24 0 - 0 0 . chr2 71191768 71191769 chr2:71191769:C:G rs191568425 C G c EBF1_EBF_1 23 0 - 0 0 . chr2 71277745 71277746 chr2:71277746:G:T rs151308540 G T G EBF1_EBF_1 -1 0 + 0 0 . chr2 71363480 71363481 chr2:71363481:T:C rs4852254 T C T EBF1_EBF_1 -5 0 + 0 0 . chr2 71455037 71455038 chr2:71455038:T:C rs11888686 T C T EBF1_EBF_1 18 0 + 0 0 . chr2 71455268 71455269 chr2:71455269:G:T rs80037813 G T G EBF1_EBF_1 17 0 - 0 0 . chr2 71464544 71464545 chr2:71464545:C:T rs12623021 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 71468507 71468508 chr2:71468508:C:A rs4274620 C A C EBF1_EBF_1 30 0 + 0 0 . chr2 71468509 71468510 chr2:71468510:C:G rs4274621 C G G EBF1_EBF_1 32 0 + 0 0 . chr2 71470214 71470215 chr2:71470215:G:A rs79718395 G A G EBF1_EBF_1 4 1 - 5.964386916144203 1.5949999711992795 ACCCCCAGGAGATC chr2 71477356 71477357 chr2:71477357:G:T rs6753959 G T G EBF1_EBF_1 0 1 - 4.664467825646368 6.919726309342969 CACCCCAGGAGACC chr2 71477360 71477361 chr2:71477361:G:A rs6753962 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 71477370 71477371 chr2:71477371:G:A rs184840833 G A G EBF1_EBF_1 -14 0 - 0 0 . chr2 71500781 71500782 chr2:71500782:C:T rs114131778 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 71502924 71502925 chr2:71502925:G:A rs12713753 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 71503018 71503019 chr2:71503019:C:T rs13389635 C T C EBF1_EBF_1 8 1 - 8.851658652631697 4.625368563745435 GGCCCCTAGGGAAA chr2 71506810 71506811 chr2:71506811:A:G rs9309457 A G G EBF1_EBF_1 14 0 + 0 0 . chr2 71512142 71512143 chr2:71512143:G:A rs59644933 G A g EBF1_EBF_1 1 1 - 3.87347179339789 4.768905233796636 CCGCCCAAGGGAAC chr2 71512163 71512164 chr2:71512164:G:A rs58363669 G A A EBF1_EBF_1 -20 0 - 0 0 . chr2 71516513 71516514 chr2:71516514:G:A rs531285980 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 71535101 71535102 chr2:71535102:C:T rs4852801 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 71535136 71535137 chr2:71535137:G:C rs369063222 G C G EBF1_EBF_1 -4 0 - 0 0 . chr2 71550026 71550027 chr2:71550027:C:T rs534181895 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 71591689 71591690 chr2:71591690:A:C rs9973420 A C A EBF1_EBF_1 17 0 - 0 0 . chr2 71592506 71592507 chr2:71592507:C:A rs540662409 C A C EBF1_EBF_1 -10 0 + 0 0 . chr2 71601624 71601625 chr2:71601625:C:T rs75726258 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 71606648 71606649 chr2:71606649:G:A rs62145897 G A G EBF1_EBF_1 9 1 + 6.120782954043375 3.465843688595771 GATCCCTTAGGACC chr2 71608435 71608436 chr2:71608436:C:A chr2:71608436:C:A C A C EBF1_EBF_1 4 1 + 7.2483200170002435 1.4237603935467749 GACCCCTGGGGACA chr2 71608725 71608726 chr2:71608726:C:T rs1529405 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 71611721 71611722 chr2:71611722:C:T rs2016605 C T C EBF1_EBF_1 5 1 - 5.461676590400626 5.461676590400626 ATCCCGCAGGGAAT chr2 71611744 71611745 chr2:71611745:A:G rs1814333 A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 71664230 71664231 chr2:71664231:C:T rs2303599 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 71674366 71674367 chr2:71674367:C:T rs72904668 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 71697600 71697601 chr2:71697601:T:C rs116081174 T C T EBF1_EBF_1 14 0 + 0 0 . chr2 71700662 71700663 chr2:71700663:C:T rs184904161 C T C EBF1_EBF_1 8 1 - 4.861755431436231 0.6354653425499697 CCTCCCCCGGGGTT chr2 71700669 71700670 chr2:71700670:G:A rs190649306 G A G EBF1_EBF_1 1 1 - 4.861755431436231 5.757188871834977 CCTCCCCCGGGGTT chr2 71731306 71731307 chr2:71731307:G:A rs2419759 G A G EBF1_EBF_1 -14 0 - 0 0 . chr2 71776428 71776429 chr2:71776429:G:A rs58951681 G A G EBF1_EBF_1 10 1 + 4.559813595484948 -0.7582148637820185 AGCCCCCAGAGCCT chr2 71790019 71790020 chr2:71790020:C:T rs184879375 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 71791331 71791332 chr2:71791332:G:A rs6728086 G A A EBF1_EBF_1 10 1 + 6.493701829078306 1.1756733698113409 CCTCCCAAGGGTCC chr2 71794956 71794957 chr2:71794957:T:C rs2419777 T C C EBF1_EBF_1 1 1 - 9.785341090737692 10.046039922658995 CATCCCCAGGGACA chr2 71795749 71795750 chr2:71795750:G:A rs12623153 G A A EBF1_EBF_1 12 1 + 5.407191286999203 6.826008629098681 AACCCCCTGGGTGT chr2 71796426 71796427 chr2:71796427:C:T rs143297053 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 71796454 71796455 chr2:71796455:G:A rs184954722 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 71800121 71800122 chr2:71800122:G:A rs10205629 G A A EBF1_EBF_1 13 1 + 5.853170471087829 6.967496043157268 AAACCCCAGGGAGG chr2 71810356 71810357 chr2:71810357:T:C rs11684170 T C T EBF1_EBF_1 15 0 + 0 0 . chr2 71810999 71811000 chr2:71811000:G:A rs74977546 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 71827706 71827707 chr2:71827707:C:T rs35668054 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 71831504 71831505 chr2:71831505:A:G rs141712191 A G G EBF1_EBF_1 7 1 - 6.848889552199488 5.031384805916864 CCTCCCATGGGGCA chr2 71843393 71843394 chr2:71843394:G:T rs72841458 G T G EBF1_EBF_1 -5 0 + 0 0 . chr2 71849188 71849189 chr2:71849189:C:T rs189059281 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 71856386 71856387 chr2:71856387:A:G rs10186241 A G A EBF1_EBF_1 26 0 + 0 0 . chr2 71865597 71865598 chr2:71865598:G:A rs114418679 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 71871852 71871853 chr2:71871853:G:A rs112342325 G A G EBF1_EBF_1 13 1 - 6.786241611250903 7.630735725836421 CTTCTCCAGGGAAC chr2 71884938 71884939 chr2:71884939:C:T rs11685502 C T C EBF1_EBF_1 31 0 + 0 0 . chr2 71925416 71925417 chr2:71925417:C:T chr2:71925417:C:T C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 71925444 71925445 chr2:71925445:T:C rs908613 T C C EBF1_EBF_1 20 0 + 0 0 . chr2 71929156 71929157 chr2:71929157:C:G rs73944714 C G C EBF1_EBF_1 27 0 + 0 0 . chr2 72021791 72021792 chr2:72021792:C:A rs7601439 C A C EBF1_EBF_1 -11 0 - 0 0 . chr2 72085382 72085383 chr2:72085383:G:A rs77151932 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 72090864 72090865 chr2:72090865:T:C rs188832016 T C T EBF1_EBF_1 19 0 + 0 0 . chr2 72092320 72092321 chr2:72092321:A:C rs148951410 A C A EBF1_EBF_1 26 0 - 0 0 . chr2 72092356 72092357 chr2:72092357:G:A rs72904482 G A G EBF1_EBF_1 -10 0 - 0 0 . chr2 72103203 72103204 chr2:72103204:A:C rs11696009 A C C EBF1_EBF_1 24 0 + 0 0 . chr2 72109905 72109906 chr2:72109906:C:A rs74650786 C A C EBF1_EBF_1 -8 0 - 0 0 . chr2 72113271 72113272 chr2:72113272:G:A rs10203930 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 72118513 72118514 chr2:72118514:G:A rs1861023 G A A EBF1_EBF_1 31 0 - 0 0 . chr2 72118544 72118545 chr2:72118545:G:T rs6546738 G T G EBF1_EBF_1 0 1 - 6.440244619723844 8.695503103420444 CGTCCCAGGAGACA chr2 72129050 72129051 chr2:72129051:A:G rs4600690 A G A EBF1_EBF_1 25 0 + 0 0 . chr2 72141994 72141995 chr2:72141995:C:A rs542040495 C A C EBF1_EBF_1 3 1 + 6.881627184903368 -0.024546844562438094 CACCCCCAGGGAGC chr2 72143654 72143655 chr2:72143655:G:A rs375354034 G A G EBF1_EBF_1 31 0 + 0 0 . chr2 72144444 72144445 chr2:72144445:G:A rs114212598 G A G EBF1_EBF_1 7 1 + 4.94244075767656 6.254899162965385 ACCCCCAGGAGGCT chr2 72144462 72144463 chr2:72144463:A:G rs1011196406 A G A EBF1_EBF_1 25 0 + 0 0 . chr2 72145202 72145203 chr2:72145203:C:G rs148835714 C G C EBF1_EBF_1 5 1 + 5.928731280974322 -0.9761498716608467 ACCCCCGAGGGGAC chr2 72182667 72182668 chr2:72182668:C:T rs142375515 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 72427890 72427891 chr2:72427891:C:A rs4508623 C A C EBF1_EBF_1 14 0 + 0 0 . chr2 72548327 72548328 chr2:72548328:G:C rs79284103 G C G EBF1_EBF_1 25 0 - 0 0 . chr2 72638611 72638612 chr2:72638612:G:T rs1201818143 G T G EBF1_EBF_1 29 0 + 0 0 . chr2 72714116 72714117 chr2:72714117:A:C rs17008360 A C C EBF1_EBF_1 25 0 + 0 0 . chr2 72724117 72724118 chr2:72724118:A:G rs148269825 A G A EBF1_EBF_1 -8 0 + 0 0 . chr2 72826084 72826085 chr2:72826085:C:G rs190398861 C G C EBF1_EBF_1 21 0 - 0 0 . chr2 72855133 72855134 chr2:72855134:G:A rs55802296 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 72886394 72886395 chr2:72886395:G:A rs2421095 G A A EBF1_EBF_1 29 0 - 0 0 . chr2 72892234 72892235 chr2:72892235:G:A rs141263888 G A G EBF1_EBF_1 4 1 - 7.357195154738183 2.9878082097932595 ACTGCCTTGGGAAT chr2 72894812 72894813 chr2:72894813:A:G rs1161265 A G G EBF1_EBF_1 -3 0 - 0 0 . chr2 72895291 72895292 chr2:72895292:G:A rs145533452 G A G EBF1_EBF_1 1 1 - 7.665755268029823 8.561188708428569 GCCCCCAAGGGATA chr2 72914941 72914942 chr2:72914942:C:G rs145793503 C G C EBF1_EBF_1 1 1 + 6.478345108071486 6.668116762640703 CCTCCCCCGGGAAG chr2 72923946 72923947 chr2:72923947:T:A rs547732468 T A T EBF1_EBF_1 -20 0 - 0 0 . chr2 72924818 72924819 chr2:72924819:G:C rs13385701 G C G EBF1_EBF_1 -19 0 - 0 0 . chr2 72963232 72963233 chr2:72963233:C:T rs56216262 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 72973870 72973871 chr2:72973871:G:T rs549827360 G T G EBF1_EBF_1 24 0 + 0 0 . chr2 72994030 72994031 chr2:72994031:G:A rs62147721 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 73029057 73029058 chr2:73029058:G:A rs189296321 G A G EBF1_EBF_1 12 1 - 4.284257839537576 3.2579912689867254 GGTCCCAGGAGGCC chr2 73036690 73036691 chr2:73036691:A:T rs17511570 A T A EBF1_EBF_1 27 0 + 0 0 . chr2 73059084 73059085 chr2:73059085:T:C rs7566904 T C T EBF1_EBF_1 31 0 + 0 0 . chr2 73059253 73059254 chr2:73059254:C:G rs73945740 C G C EBF1_EBF_1 30 0 - 0 0 . chr2 73070883 73070884 chr2:73070884:C:T rs561994238 C T C EBF1_EBF_1 33 0 - 0 0 . chr2 73074844 73074845 chr2:73074845:A:T rs147959637 A T A EBF1_EBF_1 23 0 + 0 0 . chr2 73075532 73075533 chr2:73075533:G:A rs149355459 G A G EBF1_EBF_1 11 1 - 4.78214154580638 5.100188987560044 ATCCCCCCGGGCCC chr2 73087180 73087181 chr2:73087181:T:C rs7559340 T C C EBF1_EBF_1 29 0 - 0 0 . chr2 73093175 73093176 chr2:73093176:G:A rs55894650 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 73103825 73103826 chr2:73103826:C:T rs1430351 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 73107865 73107866 chr2:73107866:G:A rs56992198 G A G EBF1_EBF_1 7 1 - 6.477107112860327 8.29461185914295 TGCCCCTCGGGAAA chr2 73163267 73163268 chr2:73163268:G:T rs62151837 G T G EBF1_EBF_1 -9 0 - 0 0 . chr2 73178143 73178144 chr2:73178144:G:T rs10198381 G T G EBF1_EBF_1 13 1 - 6.079939409850363 5.706193960564387 CTTCCCCAGCGAAC chr2 73187695 73187696 chr2:73187696:C:T rs72905310 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 73214588 73214589 chr2:73214589:C:A chr2:73214589:C:A C A C EBF1_EBF_1 8 1 + 4.835174331980365 5.92754310400879 CCTCCCAGCGGAAT chr2 73214588 73214589 chr2:73214589:C:T rs112202863 C T C EBF1_EBF_1 8 1 + 4.835174331980365 3.262400403917857 CCTCCCAGCGGAAT chr2 73214599 73214600 chr2:73214600:C:T rs1560393 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 73255544 73255545 chr2:73255545:G:A rs55693343 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 73256624 73256625 chr2:73256625:C:T rs10193579 C T C EBF1_EBF_1 3 1 + 7.157315940901024 0.751917199347707 AAACCCATGGGAAG chr2 73268715 73268716 chr2:73268716:G:A rs374406782 G A G EBF1_EBF_1 3 1 - 4.1053765669302615 -2.300022174623057 AGACCCTCGGGGCC chr2 73344952 73344953 chr2:73344953:A:C rs10196402 A C A EBF1_EBF_1 23 0 + 0 0 . chr2 73619896 73619897 chr2:73619897:G:A rs2015477 G A a EBF1_EBF_1 29 0 + 0 0 . chr2 73680142 73680143 chr2:73680143:T:C rs72915419 T C t EBF1_EBF_1 32 0 + 0 0 . chr2 73701238 73701239 chr2:73701239:G:C rs2012574 G C g EBF1_EBF_1 8 1 + 6.1029261606893614 0.7842672997746751 CATCCCCCGGGAGA chr2 73728412 73728413 chr2:73728413:G:A rs2421672 G A A EBF1_EBF_1 9 1 + 6.1021332669105535 3.4471940014629485 GACCCCAAGGGGCC chr2 73737189 73737190 chr2:73737190:C:G chr2:73737190:C:G C G C EBF1_EBF_1 16 0 + 0 0 . chr2 73882893 73882894 chr2:73882894:A:G rs10519327 A G G EBF1_EBF_1 23 0 - 0 0 . chr2 73981674 73981675 chr2:73981675:G:C rs538495188 G C G EBF1_EBF_1 22 0 + 0 0 . chr2 74002847 74002848 chr2:74002848:G:T rs113023108 G T G EBF1_EBF_1 28 0 - 0 0 . chr2 74003528 74003529 chr2:74003529:C:T rs10166357 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 74020221 74020222 chr2:74020222:T:G rs80286777 T G T EBF1_EBF_1 27 0 + 0 0 . chr2 74030921 74030922 chr2:74030922:G:C rs4853005 G C C EBF1_EBF_1 24 0 - 0 0 . chr2 74120180 74120181 chr2:74120181:A:C rs1723290 A C A EBF1_EBF_1 12 1 + 7.1425446470350575 7.112363248613732 CCTCCCGGGGGAAC chr2 74148139 74148140 chr2:74148140:A:G chr2:74148140:A:G A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 74164430 74164431 chr2:74164431:C:T rs828893 C T T EBF1_EBF_1 -13 0 - 0 0 . chr2 74178243 74178244 chr2:74178244:T:C rs967286897 T C T EBF1_EBF_1 6 1 - 5.7998421705745145 3.63304773930004 CGCCCCAGGAGAAT chr2 74198804 74198805 chr2:74198805:G:A rs3821321 G A G EBF1_EBF_1 -5 0 + 0 0 . chr2 74198810 74198811 chr2:74198811:C:T rs545566623 C T C EBF1_EBF_1 1 1 + 6.525227407306198 7.420660847704943 GCCCCCGAGGGACA chr2 74198819 74198820 chr2:74198820:G:T rs13001449 G T G EBF1_EBF_1 10 1 + 6.525227407306198 2.342475221078933 GCCCCCGAGGGACA chr2 74222930 74222931 chr2:74222931:C:T rs36081793 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 74239677 74239678 chr2:74239678:C:T rs12464182 C T C EBF1_EBF_1 1 1 - 4.728011463392031 4.467312631470728 CGTCCCCAGGAACA chr2 74304292 74304293 chr2:74304293:T:C rs9309483 T C C EBF1_EBF_1 21 0 + 0 0 . chr2 74417005 74417006 chr2:74417006:C:T rs114666384 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 74425915 74425916 chr2:74425916:G:A rs80280553 G A G EBF1_EBF_1 12 1 - 4.062530312646663 3.036263742095813 CCTCCCTTGTGACT chr2 74462911 74462912 chr2:74462912:G:A rs2268416 G A A EBF1_EBF_1 13 1 - 3.3373471847254326 4.1818412993109515 GCCCCCAGGGGCCC chr2 74499098 74499099 chr2:74499099:C:A rs13414573 C A C EBF1_EBF_1 27 0 - 0 0 . chr2 74505287 74505288 chr2:74505288:T:G rs2240443 T G T EBF1_EBF_1 24 0 + 0 0 . chr2 74507372 74507373 chr2:74507373:C:G chr2:74507373:C:G C G C EBF1_EBF_1 8 1 - 9.692377487154126 4.373718626239439 TCTCCCCTGGGAAA chr2 74507372 74507373 chr2:74507373:C:T rs549441945 C T C EBF1_EBF_1 8 1 - 9.692377487154126 5.466087398267864 TCTCCCCTGGGAAA chr2 74515453 74515454 chr2:74515454:G:C rs143495032 G C G EBF1_EBF_1 27 0 - 0 0 . chr2 74529114 74529115 chr2:74529115:G:A rs73949680 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 74648462 74648463 chr2:74648463:A:G rs567988402 A G A EBF1_EBF_1 20 0 + 0 0 . chr2 74674474 74674475 chr2:74674475:C:T rs363608 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 74741293 74741294 chr2:74741294:A:G rs205619 A G A EBF1_EBF_1 33 0 - 0 0 . chr2 74778016 74778017 chr2:74778017:C:T rs143056926 C T C EBF1_EBF_1 3 1 + 5.13077458955247 -1.2746241520008472 ACCCTCAAGGGAAG chr2 74821842 74821843 chr2:74821843:T:C rs58643606 T C T EBF1_EBF_1 -11 0 + 0 0 . chr2 74836636 74836637 chr2:74836637:T:C rs114608915 T C T EBF1_EBF_1 -20 0 - 0 0 . chr2 74841977 74841978 chr2:74841978:C:T rs73951442 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 74843594 74843595 chr2:74843595:C:A rs148834861 C A C EBF1_EBF_1 10 1 - 4.657804788618145 0.4750526023908799 GGCCCCAAGGGCTT chr2 74866340 74866341 chr2:74866341:A:G rs17010467 A G A EBF1_EBF_1 -14 0 + 0 0 . chr2 74866342 74866343 chr2:74866343:G:A rs148783167 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 74880239 74880240 chr2:74880240:T:G rs1807090 T G T EBF1_EBF_1 19 0 - 0 0 . chr2 74884358 74884359 chr2:74884359:A:G rs3771751 A G a EBF1_EBF_1 -5 0 - 0 0 . chr2 74915849 74915850 chr2:74915850:G:A rs55821464 G A G EBF1_EBF_1 8 1 + 6.543499475296222 2.3172093864099614 AGCCCCTTGGGTAC chr2 75055950 75055951 chr2:75055951:G:A rs2422094 G A g EBF1_EBF_1 -15 0 + 0 0 . chr2 75134737 75134738 chr2:75134738:T:C rs3771827 T C C EBF1_EBF_1 -18 0 + 0 0 . chr2 75142319 75142320 chr2:75142320:T:A rs73935570 T A A EBF1_EBF_1 -16 0 + 0 0 . chr2 75154185 75154186 chr2:75154186:G:A rs3729565 G A A EBF1_EBF_1 8 1 + 7.574228903448248 3.3479388145619855 GCTCCCTCGGGATC chr2 75200117 75200118 chr2:75200118:G:A rs13384011 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 75200751 75200752 chr2:75200752:G:A rs2111375 G A G EBF1_EBF_1 27 0 + 0 0 . chr2 75219032 75219033 chr2:75219033:G:T rs4852363 G T T EBF1_EBF_1 -4 0 + 0 0 . chr2 75290958 75290959 chr2:75290959:C:T rs139466346 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 75311843 75311844 chr2:75311844:G:A rs2058698 G A A EBF1_EBF_1 19 0 + 0 0 . chr2 75317030 75317031 chr2:75317031:G:A rs2901662 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 75317050 75317051 chr2:75317051:C:T rs2422157 C T C EBF1_EBF_1 2 1 + 5.616176581519531 7.50499999296168 AGCCCCAAGAGAGG chr2 75430690 75430691 chr2:75430691:G:C rs34400101 G C G EBF1_EBF_1 2 1 - 6.035680378973341 1.1761781002150233 ACCCCCTTGGGCAC chr2 75430704 75430705 chr2:75430705:T:G rs11889201 T G T EBF1_EBF_1 -12 0 - 0 0 . chr2 75433033 75433034 chr2:75433034:G:A rs72814715 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 75474286 75474287 chr2:75474287:G:A rs2588496 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 75474291 75474292 chr2:75474292:T:C rs2539994 T C C EBF1_EBF_1 -11 0 + 0 0 . chr2 75474323 75474324 chr2:75474324:A:G rs12151731 A G A EBF1_EBF_1 21 0 + 0 0 . chr2 75491524 75491525 chr2:75491525:C:T rs76580538 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 75528157 75528158 chr2:75528158:C:T rs142439650 C T c EBF1_EBF_1 -3 0 - 0 0 . chr2 75540971 75540972 chr2:75540972:A:G rs10489977 A G A EBF1_EBF_1 19 0 - 0 0 . chr2 75572305 75572306 chr2:75572306:G:A rs1008799392 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 75677452 75677453 chr2:75677453:C:T rs574551946 C T C EBF1_EBF_1 20 0 + 0 0 . chr2 75792549 75792550 chr2:75792550:G:A rs76399931 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 76050470 76050471 chr2:76050471:A:T rs12617896 A T A EBF1_EBF_1 -1 0 - 0 0 . chr2 76050479 76050480 chr2:76050480:T:C rs12614847 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 76194518 76194519 chr2:76194519:C:T rs34515885 C T C EBF1_EBF_1 5 1 + 4.375295028304618 -0.946492187236053 ACCCCCGAGGGCCC chr2 76255289 76255290 chr2:76255290:C:T rs12468296 C T T EBF1_EBF_1 33 0 + 0 0 . chr2 76570044 76570045 chr2:76570045:G:A rs11887907 G A A EBF1_EBF_1 -18 0 + 0 0 . chr2 76570066 76570067 chr2:76570067:C:T rs11887831 C T T EBF1_EBF_1 4 1 + 9.030408485490671 4.661021540545748 TTCCCCATGGGACA chr2 76570079 76570080 chr2:76570080:G:C rs11887917 G C C EBF1_EBF_1 17 0 + 0 0 . chr2 76711639 76711640 chr2:76711640:C:T rs4852409 C T C EBF1_EBF_1 32 0 - 0 0 . chr2 76716023 76716024 chr2:76716024:A:G rs7568302 A G A EBF1_EBF_1 28 0 + 0 0 . chr2 76766856 76766857 chr2:76766857:C:G rs62170451 C G C EBF1_EBF_1 -6 0 + 0 0 . chr2 76826474 76826475 chr2:76826475:A:G rs72819212 A G A EBF1_EBF_1 11 1 + 8.37849578508252 5.485788941478509 ACTCCCTAAGGATT chr2 76846241 76846242 chr2:76846242:T:G rs75439949 T G T EBF1_EBF_1 -15 0 + 0 0 . chr2 76894325 76894326 chr2:76894326:G:T rs74962272 G T G EBF1_EBF_1 1 1 + 3.4150529570119863 4.120714742841515 GGTCCCCTTGGACC chr2 76971553 76971554 chr2:76971554:C:G rs62170358 C G C EBF1_EBF_1 -19 0 + 0 0 . chr2 77088273 77088274 chr2:77088274:C:G rs28666378 C G C EBF1_EBF_1 18 0 + 0 0 . chr2 77297001 77297002 chr2:77297002:T:C rs141773758 T C T EBF1_EBF_1 18 0 - 0 0 . chr2 77385408 77385409 chr2:77385409:G:A rs415838 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 77590700 77590701 chr2:77590701:A:G rs6729197 A G G EBF1_EBF_1 -2 0 + 0 0 . chr2 77590711 77590712 chr2:77590712:G:T rs118043437 G T G EBF1_EBF_1 9 1 + 6.33177382124364 -0.5052155868451702 CTCCCCAAGGGGCA chr2 77590714 77590715 chr2:77590715:C:G rs112120415 C G C EBF1_EBF_1 12 1 + 6.33177382124364 4.943137877565489 CTCCCCAAGGGGCA chr2 77741136 77741137 chr2:77741137:C:T rs12622173 C T C EBF1_EBF_1 7 1 + 6.817657766573302 8.635162512855926 TCTCCCACGGGAGA chr2 77767744 77767745 chr2:77767745:C:A rs75306509 C A C EBF1_EBF_1 10 1 - 9.565954755630647 5.383202569403382 GCTCCCAAGGGAGC chr2 77768034 77768035 chr2:77768035:T:C rs115921614 T C T EBF1_EBF_1 7 1 - 4.5195616034055375 3.207103198116712 CGTGCCTAGGGACA chr2 77779019 77779020 chr2:77779020:A:G rs2861212 A G A EBF1_EBF_1 24 0 - 0 0 . chr2 77779678 77779679 chr2:77779679:G:A rs2087144 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 78069018 78069019 chr2:78069019:A:C rs4853368 A C A EBF1_EBF_1 29 0 - 0 0 . chr2 78145508 78145509 chr2:78145509:G:A rs10189236 G A G EBF1_EBF_1 24 0 + 0 0 . chr2 78145996 78145997 chr2:78145997:T:C rs13020550 T C C EBF1_EBF_1 15 0 + 0 0 . chr2 78154735 78154736 chr2:78154736:T:C rs12991296 T C C EBF1_EBF_1 -5 0 - 0 0 . chr2 78701805 78701806 chr2:78701806:G:T rs957048611 G T G EBF1_EBF_1 -14 0 + 0 0 . chr2 78701807 78701808 chr2:78701808:G:A rs538651288 G A - EBF1_EBF_1 -12 0 + 0 0 . chr2 78718628 78718629 chr2:78718629:A:G rs1452044 A G G EBF1_EBF_1 17 0 - 0 0 . chr2 78928726 78928727 chr2:78928727:C:T rs17650114 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 78941918 78941919 chr2:78941919:T:G rs6711658 T G G EBF1_EBF_1 -4 0 + 0 0 . chr2 78992717 78992718 chr2:78992718:C:A rs143375449 C A C EBF1_EBF_1 2 1 + 6.444670817534603 4.649026641377876 AGCCCCAAGAGGCT chr2 78999870 78999871 chr2:78999871:C:T rs2685275 C T c EBF1_EBF_1 -10 0 - 0 0 . chr2 79099088 79099089 chr2:79099089:T:C rs283895 T C . EBF1_EBF_1 14 0 + 0 0 . chr2 79158631 79158632 chr2:79158632:A:C rs80188986 A C A EBF1_EBF_1 27 0 + 0 0 . chr2 79166444 79166445 chr2:79166445:G:C rs150317685 G C G EBF1_EBF_1 -8 0 + 0 0 . chr2 79253911 79253912 chr2:79253912:T:C rs1434207 T C C EBF1_EBF_1 30 0 - 0 0 . chr2 79341606 79341607 chr2:79341607:C:T rs7569369 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 79482815 79482816 chr2:79482816:G:A rs112383486 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 79590112 79590113 chr2:79590113:A:G rs1549764 A G A EBF1_EBF_1 18 0 + 0 0 . chr2 79679612 79679613 chr2:79679613:C:T rs4852508 C T T EBF1_EBF_1 27 0 - 0 0 . chr2 79679627 79679628 chr2:79679628:C:T rs142208433 C T C EBF1_EBF_1 12 1 - 4.716329298098841 6.135146640198318 ACTCCCCTGGGCGG chr2 79703123 79703124 chr2:79703124:C:G rs60681373 C G C EBF1_EBF_1 8 1 - 8.512248545647722 3.1935896847330363 GTCCCCTAGGGAGC chr2 79703142 79703143 chr2:79703143:T:C rs13028762 T C T EBF1_EBF_1 -11 0 - 0 0 . chr2 79784302 79784303 chr2:79784303:T:C rs972218 T C C EBF1_EBF_1 -13 0 + 0 0 . chr2 79798813 79798814 chr2:79798814:C:T rs4284854 C T T EBF1_EBF_1 -19 0 + 0 0 . chr2 79799061 79799062 chr2:79799062:T:C rs11886038 T C T EBF1_EBF_1 23 0 - 0 0 . chr2 79799062 79799063 chr2:79799063:T:C rs11886039 T C T EBF1_EBF_1 22 0 - 0 0 . chr2 79848757 79848758 chr2:79848758:G:C rs1434114 G C C EBF1_EBF_1 12 1 - 7.2127539507931155 5.824118007114964 TTTCCCAAGGTACA chr2 79915894 79915895 chr2:79915895:A:G rs2916516 A G A EBF1_EBF_1 -14 0 + 0 0 . chr2 79915912 79915913 chr2:79915913:C:T rs10193155 C T T EBF1_EBF_1 4 1 + 5.426662487526127 1.0572755425812037 CTTCCGAAGGGACT chr2 79965308 79965309 chr2:79965309:A:G rs2861913 A G A EBF1_EBF_1 16 0 - 0 0 . chr2 79998830 79998831 chr2:79998831:G:A rs62139634 G A G EBF1_EBF_1 10 1 + 6.647089435830403 1.3290609765634374 ACCCCCAGGAGAAA chr2 80054209 80054210 chr2:80054210:G:A rs4331529 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 80146266 80146267 chr2:80146267:A:G rs11686939 A G G EBF1_EBF_1 1 1 - 5.4889112214707465 4.593477781072001 GTTCGCCAGGGACA chr2 80303749 80303750 chr2:80303750:G:A rs776178703 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 80337745 80337746 chr2:80337746:G:A rs34236255 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 80489952 80489953 chr2:80489953:A:G rs72915000 A G A EBF1_EBF_1 17 0 - 0 0 . chr2 80815321 80815322 chr2:80815322:C:A rs62153582 C A C EBF1_EBF_1 32 0 + 0 0 . chr2 80852387 80852388 chr2:80852388:G:A rs10084478 G A G EBF1_EBF_1 2 1 - 6.305838488778613 8.19466190022076 ATCCCCAAGAGGCC chr2 81007372 81007373 chr2:81007373:T:C rs79689551 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 81025659 81025660 chr2:81025660:T:C rs4852602 T C C EBF1_EBF_1 -6 0 - 0 0 . chr2 81050936 81050937 chr2:81050937:G:T rs112394124 G T G EBF1_EBF_1 3 1 - 7.165502743730095 0.2593287142642877 TCCCCCCAGGGAAG chr2 81127885 81127886 chr2:81127886:C:T rs116505915 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 81194105 81194106 chr2:81194106:C:G rs13030022 C G G EBF1_EBF_1 31 0 - 0 0 . chr2 81194149 81194150 chr2:81194150:C:T rs79576973 C T C EBF1_EBF_1 -13 0 - 0 0 . chr2 81206343 81206344 chr2:81206344:C:T rs62154364 C T c EBF1_EBF_1 -15 0 + 0 0 . chr2 81211891 81211892 chr2:81211892:G:A rs6744962 G A g EBF1_EBF_1 17 0 - 0 0 . chr2 81482310 81482311 chr2:81482311:T:C rs116158028 T C T EBF1_EBF_1 -5 0 + 0 0 . chr2 81523431 81523432 chr2:81523432:C:T rs79326881 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 81590126 81590127 chr2:81590127:C:A rs75774782 C A C EBF1_EBF_1 27 0 + 0 0 . chr2 81752695 81752696 chr2:81752696:G:A rs78624503 G A G EBF1_EBF_1 19 0 - 0 0 . chr2 81881352 81881353 chr2:81881353:G:C rs1368047 G C c EBF1_EBF_1 14 0 - 0 0 . chr2 82028765 82028766 chr2:82028766:G:C rs2685209 G C C EBF1_EBF_1 10 1 + 5.6240208105164875 -1.2667815768129873 ACTCCCCATGGAAC chr2 82028780 82028781 chr2:82028781:G:A rs67870173 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 82270075 82270076 chr2:82270076:C:T rs769068245 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 83046193 83046194 chr2:83046194:G:A rs34578930 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 83365725 83365726 chr2:83365726:G:A rs6749875 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 83432097 83432098 chr2:83432098:A:G rs111886741 A G G EBF1_EBF_1 13 1 - 6.312455995475296 5.467961880889778 ATCCCCCTGAGGCT chr2 83568047 83568048 chr2:83568048:A:G rs10454136 A G A EBF1_EBF_1 26 0 - 0 0 . chr2 83870903 83870904 chr2:83870904:C:G chr2:83870904:C:G C G C EBF1_EBF_1 4 1 + 4.765223883392305 -0.8605412177572387 GCTGCCCAGGGACC chr2 84015664 84015665 chr2:84015665:C:T rs6750235 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 84314496 84314497 chr2:84314497:G:A rs7591394 G A G EBF1_EBF_1 19 0 - 0 0 . chr2 84459056 84459057 chr2:84459057:C:T rs111718812 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 84459307 84459308 chr2:84459308:G:T rs144946502 G T G EBF1_EBF_1 20 0 + 0 0 . chr2 84482690 84482691 chr2:84482691:T:C rs62154545 T C T EBF1_EBF_1 21 0 + 0 0 . chr2 84631677 84631678 chr2:84631678:T:C rs10189002 T C T EBF1_EBF_1 11 1 + 6.163695543516558 5.845648101762895 AATCCCAAGGGTTG chr2 84732019 84732020 chr2:84732020:C:A rs78528804 C A c EBF1_EBF_1 5 1 + 5.055659714730184 -1.849221437904985 CATCCCAGGGTAAC chr2 84741671 84741672 chr2:84741672:G:A rs371851619 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 84817823 84817824 chr2:84817824:G:T rs141299789 G T G EBF1_EBF_1 -16 0 - 0 0 . chr2 84828077 84828078 chr2:84828078:A:G rs1077440 A G G EBF1_EBF_1 -1 0 - 0 0 . chr2 84828517 84828518 chr2:84828518:G:C rs1192278 G C G EBF1_EBF_1 -8 0 + 0 0 . chr2 84843475 84843476 chr2:84843476:C:A rs1478784 C A C EBF1_EBF_1 26 0 + 0 0 . chr2 84849618 84849619 chr2:84849619:C:T rs1369882660 C T C EBF1_EBF_1 2 1 + 3.6530974586393654 5.5419208700815155 ACCCCCAGGTGACT chr2 84878908 84878909 chr2:84878909:C:T rs537218377 C T C EBF1_EBF_1 -18 0 - 0 0 . chr2 84882001 84882002 chr2:84882002:G:A rs6726087 G A A EBF1_EBF_1 21 0 + 0 0 . chr2 84895423 84895424 chr2:84895424:G:A rs12465497 G A G EBF1_EBF_1 7 1 - 6.537423351799846 8.35492809808247 TGCCCCACGGGAAA chr2 84907539 84907540 chr2:84907540:G:C rs115246109 G C g EBF1_EBF_1 0 1 + 4.552386947969769 4.1894123338380025 GGCCCCAAGAGGCT chr2 84985534 84985535 chr2:84985535:A:G rs17025770 A G A EBF1_EBF_1 0 1 + 4.983421741628722 3.0911378720638885 AAACCCTTGGGCCT chr2 85063499 85063500 chr2:85063500:C:A rs2583544 C A C EBF1_EBF_1 -1 0 + 0 0 . chr2 85133524 85133525 chr2:85133525:G:A rs913604176 G A g EBF1_EBF_1 -12 0 + 0 0 . chr2 85133530 85133531 chr2:85133531:G:A rs201286255 G A g EBF1_EBF_1 -6 0 + 0 0 . chr2 85133942 85133943 chr2:85133943:C:T rs373167094 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 85133977 85133978 chr2:85133978:T:C chr2:85133978:T:C T C T EBF1_EBF_1 25 0 + 0 0 . chr2 85133977 85133978 chr2:85133978:T:G rs116356120 T G T EBF1_EBF_1 25 0 + 0 0 . chr2 85162581 85162582 chr2:85162582:C:T rs1560585 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 85162590 85162591 chr2:85162591:T:A rs1560586 T A T EBF1_EBF_1 -6 0 + 0 0 . chr2 85196473 85196474 chr2:85196474:G:A rs72840130 G A G EBF1_EBF_1 5 1 - 7.2849116582871005 1.963124442746431 GCTCCCCAGGTAAT chr2 85243863 85243864 chr2:85243864:A:G rs6725799 A G G EBF1_EBF_1 25 0 - 0 0 . chr2 85243903 85243904 chr2:85243904:C:T rs918280503 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 85257694 85257695 chr2:85257695:G:A rs11904127 G A G EBF1_EBF_1 8 1 + 9.09207400992373 4.865783921037469 ATCCCCTGGGGAGC chr2 85280210 85280211 chr2:85280211:T:C rs11126990 T C C EBF1_EBF_1 32 0 + 0 0 . chr2 85315562 85315563 chr2:85315563:G:C rs7608881 G C G EBF1_EBF_1 0 1 - 6.934399515379693 7.29737412951146 CCCCCCGTGGGAAT chr2 85394186 85394187 chr2:85394187:G:A rs142246466 G A G EBF1_EBF_1 8 1 + 6.304520246266719 2.0782301573804585 TTACCCAAGAGAAT chr2 85395246 85395247 chr2:85395247:A:G rs560164374 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 85398660 85398661 chr2:85398661:G:A rs60543339 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 85401859 85401860 chr2:85401860:C:T rs2229668 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 85408501 85408502 chr2:85408502:T:C rs551371148 T C T EBF1_EBF_1 21 0 + 0 0 . chr2 85408505 85408506 chr2:85408506:C:T rs56047935 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 85411513 85411514 chr2:85411514:G:A rs183033416 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 85420497 85420498 chr2:85420498:C:T rs75770276 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 85447486 85447487 chr2:85447487:G:A rs7595080 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 85449602 85449603 chr2:85449603:A:T rs11126992 A T T EBF1_EBF_1 2 1 - 6.069419592254735 2.3849520046558577 AATCCCCACGGATC chr2 85456447 85456448 chr2:85456448:A:G rs2121395 A G G EBF1_EBF_1 -10 0 + 0 0 . chr2 85474142 85474143 chr2:85474143:C:T rs3903356 C T c EBF1_EBF_1 9 1 + 5.405020807256418 3.6437205455130712 ATCCCCTGGCGACC chr2 85503234 85503235 chr2:85503235:A:C rs68055751 A C C EBF1_EBF_1 -17 0 + 0 0 . chr2 85511754 85511755 chr2:85511755:G:T rs2044476 G T G EBF1_EBF_1 14 0 + 0 0 . chr2 85550509 85550510 chr2:85550510:C:G rs11676382 C G C EBF1_EBF_1 23 0 + 0 0 . chr2 85580486 85580487 chr2:85580487:C:A rs13421434 C A C EBF1_EBF_1 10 1 - 5.094674123597357 0.9119219373700923 ACACCCAAGGGTAC chr2 85595057 85595058 chr2:85595058:G:A rs530857748 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 85595492 85595493 chr2:85595493:G:A rs2232738 G A G EBF1_EBF_1 9 1 + 5.602085703199148 2.9471464377515444 AATCCCCGGGAATT chr2 85595494 85595495 chr2:85595495:A:G rs557123327 A G A EBF1_EBF_1 11 1 + 5.602085703199148 2.7093788595951365 AATCCCCGGGAATT chr2 85656061 85656062 chr2:85656062:C:T rs114569138 C T T EBF1_EBF_1 -19 0 - 0 0 . chr2 85693705 85693706 chr2:85693706:C:A rs189128781 C A C EBF1_EBF_1 -10 0 - 0 0 . chr2 85694511 85694512 chr2:85694512:G:A rs60054012 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 85700280 85700281 chr2:85700281:C:T rs34702373 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 85729032 85729033 chr2:85729033:C:G rs189536388 C G C EBF1_EBF_1 10 1 + 5.101267310463474 11.992069697792948 ATTCCCCAGGCAGC chr2 85735186 85735187 chr2:85735187:A:G rs4832188 A G G EBF1_EBF_1 -13 0 + 0 0 . chr2 85754723 85754724 chr2:85754724:G:A rs986693648 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 85754735 85754736 chr2:85754736:C:G rs181176366 C G C EBF1_EBF_1 21 0 - 0 0 . chr2 85755497 85755498 chr2:85755498:T:C rs112810556 T C T EBF1_EBF_1 20 0 - 0 0 . chr2 85759110 85759111 chr2:85759111:C:T rs56084808 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 85768911 85768912 chr2:85768912:C:T rs1409782213 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 85771005 85771006 chr2:85771006:G:A rs6719105 G A A EBF1_EBF_1 10 1 + 6.782795079300575 1.4647666200336094 AACCCCCTGGGGCA chr2 85780945 85780946 chr2:85780946:G:T chr2:85780946:G:T G T G EBF1_EBF_1 31 0 - 0 0 . chr2 85790262 85790263 chr2:85790263:A:G rs10190632 A G G EBF1_EBF_1 11 1 + 5.860626772430831 2.967919928826819 CCTCTCCAGGGACC chr2 85792515 85792516 chr2:85792516:C:T rs1056902430 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 85799537 85799538 chr2:85799538:C:T rs1387825102 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 85804746 85804747 chr2:85804747:C:T rs7581596 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 85810791 85810792 chr2:85810792:C:T rs78862122 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 85822605 85822606 chr2:85822606:C:A rs7609098 C A A EBF1_EBF_1 -17 0 + 0 0 . chr2 85822650 85822651 chr2:85822651:G:A rs7609429 G A A EBF1_EBF_1 28 0 + 0 0 . chr2 85827246 85827247 chr2:85827247:T:A rs60082172 T A T EBF1_EBF_1 7 1 - 5.604142892341579 5.127868652254022 AGTCCCCAGAGCCC chr2 85879504 85879505 chr2:85879505:C:A rs78622110 C A C EBF1_EBF_1 -6 0 + 0 0 . chr2 85893854 85893855 chr2:85893855:C:G rs60337023 C G C EBF1_EBF_1 12 1 + 4.980313833573541 3.591677889895389 GTCCCCCTGAGACG chr2 85903595 85903596 chr2:85903596:A:G rs1878899 A G G EBF1_EBF_1 -13 0 + 0 0 . chr2 85905397 85905398 chr2:85905398:T:C rs893444 T C C EBF1_EBF_1 14 0 + 0 0 . chr2 85906041 85906042 chr2:85906042:T:A rs139694518 T A T EBF1_EBF_1 27 0 + 0 0 . chr2 85912535 85912536 chr2:85912536:A:G rs115217126 A G A EBF1_EBF_1 -1 0 - 0 0 . chr2 85956468 85956469 chr2:85956469:G:A rs58926604 G A A EBF1_EBF_1 -9 0 + 0 0 . chr2 85957075 85957076 chr2:85957076:C:T rs6708525 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 85979358 85979359 chr2:85979359:G:T rs1561330 G T G EBF1_EBF_1 31 0 + 0 0 . chr2 85989151 85989152 chr2:85989152:T:G rs1020095 T G G EBF1_EBF_1 -2 0 + 0 0 . chr2 85998673 85998674 chr2:85998674:A:C rs184491026 A C A EBF1_EBF_1 33 0 - 0 0 . chr2 86000600 86000601 chr2:86000601:T:C rs10168997 T C G EBF1_EBF_1 22 0 + 0 0 . chr2 86003296 86003297 chr2:86003297:C:T rs78932428 C T C EBF1_EBF_1 11 1 - 5.169970338600122 8.062677182204132 ATTCCCCAGGAGCC chr2 86018021 86018022 chr2:86018022:C:T rs1029503290 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 86021847 86021848 chr2:86021848:T:C rs190740884 T C T EBF1_EBF_1 14 0 - 0 0 . chr2 86045031 86045032 chr2:86045032:A:C rs114098905 A C A EBF1_EBF_1 -3 0 + 0 0 . chr2 86045067 86045068 chr2:86045068:G:A rs7572386 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 86072211 86072212 chr2:86072212:G:A rs72847865 G A G EBF1_EBF_1 6 1 + 5.436181692154906 7.602976123429381 GCTCCCGAAGGAAT chr2 86072760 86072761 chr2:86072761:T:C rs76086363 T C T EBF1_EBF_1 -11 0 - 0 0 . chr2 86078918 86078919 chr2:86078919:C:A rs115986687 C A C EBF1_EBF_1 3 1 + 6.1163780834020995 -0.7897959460637072 CTACCCAGGGGACA chr2 86078948 86078949 chr2:86078949:A:C rs73944203 A C A EBF1_EBF_1 33 0 + 0 0 . chr2 86176977 86176978 chr2:86176978:T:G rs3770061 T G T EBF1_EBF_1 17 0 - 0 0 . chr2 86195895 86195896 chr2:86195896:C:T rs4422155 C T T EBF1_EBF_1 8 1 - 4.5378178719155216 0.31152778302926004 ACTCCCTGGAGGGC chr2 86226029 86226030 chr2:86226030:G:A rs117993656 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 86233816 86233817 chr2:86233817:G:A rs76144982 G A G EBF1_EBF_1 5 1 - 5.133510078856773 -0.18827713668389728 GCACCCGAGGGAAC chr2 86261590 86261591 chr2:86261591:T:C rs13421310 T C T EBF1_EBF_1 -8 0 - 0 0 . chr2 86261826 86261827 chr2:86261827:C:T rs4832268 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 86279393 86279394 chr2:86279394:T:C rs12052607 T C C EBF1_EBF_1 -16 0 - 0 0 . chr2 86284975 86284976 chr2:86284976:A:C rs67903513 A C A EBF1_EBF_1 -16 0 - 0 0 . chr2 86298285 86298286 chr2:86298286:C:G rs10451631 C G G EBF1_EBF_1 -7 0 - 0 0 . chr2 86323533 86323534 chr2:86323534:T:C rs7593440 T C C EBF1_EBF_1 -18 0 - 0 0 . chr2 86331252 86331253 chr2:86331253:G:A rs1863050 G A G EBF1_EBF_1 4 1 - 6.845760445710443 2.4763735007655203 CTTCCCCAGGGTTT chr2 86337234 86337235 chr2:86337235:C:A rs141214514 C A C EBF1_EBF_1 33 0 + 0 0 . chr2 86338343 86338344 chr2:86338344:T:C rs3827551 T C T EBF1_EBF_1 8 1 - 6.216366304448512 10.442656393334772 AGCCCCCAAGGAAA chr2 86368388 86368389 chr2:86368389:C:A rs10192729 C A C EBF1_EBF_1 13 1 + 6.468640568980578 6.094895119694602 TCTCCCCAGAGAGC chr2 86373101 86373102 chr2:86373102:A:G rs186529376 A G A EBF1_EBF_1 33 0 + 0 0 . chr2 86441176 86441177 chr2:86441177:G:A rs1295652930 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 86441177 86441178 chr2:86441178:G:A rs987059447 G A G EBF1_EBF_1 -10 0 - 0 0 . chr2 86622648 86622649 chr2:86622649:C:G rs74389949 C G C EBF1_EBF_1 -2 0 - 0 0 . chr2 86626405 86626406 chr2:86626406:G:A rs433655 G A A EBF1_EBF_1 -10 0 + 0 0 . chr2 86631509 86631510 chr2:86631510:G:A rs186031207 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 86720971 86720972 chr2:86720972:T:C rs377701571 T C T EBF1_EBF_1 28 0 + 0 0 . chr2 86748846 86748847 chr2:86748847:G:A rs9309636 G A G EBF1_EBF_1 16 0 + 0 0 . chr2 86776073 86776074 chr2:86776074:C:G rs1049458 C G C EBF1_EBF_1 -1 0 - 0 0 . chr2 86846728 86846729 chr2:86846729:C:T rs2228022 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 86862548 86862549 chr2:86862549:C:G rs1040384998 C G C EBF1_EBF_1 12 1 + 4.322792438420305 2.9341564947421537 AGTGCCCTGGGGCT chr2 87075755 87075756 chr2:87075756:A:G rs3747651 A G A EBF1_EBF_1 28 0 - 0 0 . chr2 87301561 87301562 chr2:87301562:G:A rs113106997 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 87313586 87313587 chr2:87313587:T:G rs545379846 T G T EBF1_EBF_1 32 0 - 0 0 . chr2 87437923 87437924 chr2:87437924:A:G rs62141034 A G . EBF1_EBF_1 -3 0 + 0 0 . chr2 87454062 87454063 chr2:87454063:G:A rs574215601 G A G EBF1_EBF_1 8 1 + 5.4318235297819095 1.2055334408956473 ACACCCCTGGGGCC chr2 87549671 87549672 chr2:87549672:A:G rs146415909 A G A EBF1_EBF_1 -7 0 + 0 0 . chr2 87549700 87549701 chr2:87549701:G:T rs62138057 G T G EBF1_EBF_1 22 0 + 0 0 . chr2 87633422 87633423 chr2:87633423:C:A rs558649349 C A C EBF1_EBF_1 25 0 + 0 0 . chr2 87634790 87634791 chr2:87634791:G:A rs908893 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 87634811 87634812 chr2:87634812:G:A rs148558909 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 87635236 87635237 chr2:87635237:G:A rs76703122 G A G EBF1_EBF_1 8 1 + 5.736946510871887 1.5106564219856253 ACGCCCCAGGGACC chr2 87637621 87637622 chr2:87637622:C:T rs189396717 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 87637735 87637736 chr2:87637736:A:C rs55792367 A C C EBF1_EBF_1 19 0 + 0 0 . chr2 87638297 87638298 chr2:87638298:G:A rs148282846 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 87638332 87638333 chr2:87638333:C:T rs141151087 C T C EBF1_EBF_1 16 0 + 0 0 . chr2 87638338 87638339 chr2:87638339:G:A rs55654270 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 88016804 88016805 chr2:88016805:G:A rs10169685 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 88078890 88078891 chr2:88078891:C:T rs11685275 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 88110582 88110583 chr2:88110583:C:G rs2289497 C G G EBF1_EBF_1 6 1 - 6.052084449185531 7.857276512658729 TCTCCCGAGAGACC chr2 88111474 88111475 chr2:88111475:A:G rs1441641 A G A EBF1_EBF_1 -14 0 - 0 0 . chr2 88119028 88119029 chr2:88119029:A:G rs894194 A G A EBF1_EBF_1 -12 0 + 0 0 . chr2 88161992 88161993 chr2:88161993:A:T rs6727043 A T T EBF1_EBF_1 -6 0 - 0 0 . chr2 88171073 88171074 chr2:88171074:G:A rs79892776 G A G EBF1_EBF_1 10 1 + 11.089743536946745 5.77171507767978 AATCCCAGGGGACA chr2 88179144 88179145 chr2:88179145:C:T rs3791357 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 88227666 88227667 chr2:88227667:A:T rs116985432 A T A EBF1_EBF_1 0 1 + 8.566298786917493 6.593242363951472 ACTCCCCAGGGGTC chr2 88284323 88284324 chr2:88284324:C:T rs143949703 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 88322257 88322258 chr2:88322258:T:C rs1258400 T C C EBF1_EBF_1 20 0 + 0 0 . chr2 88332043 88332044 chr2:88332044:A:T rs1270564 A T T EBF1_EBF_1 7 1 + 5.131895777332714 4.655621537245156 AATCACCAGGGAAG chr2 88349435 88349436 chr2:88349436:G:C rs1258427 G C C EBF1_EBF_1 25 0 - 0 0 . chr2 88374585 88374586 chr2:88374586:G:A rs115999610 G A G EBF1_EBF_1 28 0 + 0 0 . chr2 88453249 88453250 chr2:88453250:G:A rs72914674 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 88464090 88464091 chr2:88464091:A:G rs13416374 A G A EBF1_EBF_1 6 1 + 6.0666638075891255 3.8998693763146486 TGACCCAGGGGACC chr2 88492224 88492225 chr2:88492225:C:T rs12327953 C T T EBF1_EBF_1 31 0 - 0 0 . chr2 88541276 88541277 chr2:88541277:A:G rs77286159 A G A EBF1_EBF_1 -10 0 + 0 0 . chr2 88582331 88582332 chr2:88582332:C:T rs148219128 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 88691439 88691440 chr2:88691440:T:C rs116690611 T C C EBF1_EBF_1 24 0 + 0 0 . chr2 88812954 88812955 chr2:88812955:C:T rs6547792 C T N EBF1_EBF_1 -16 0 - 0 0 . chr2 88866999 88867000 chr2:88867000:G:A rs189373565 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 88992962 88992963 chr2:88992963:C:T rs1237504870 C T . EBF1_EBF_1 5 1 + 4.428130142894101 -0.8936570726465677 TGTGCCCTGGGAAC chr2 89009926 89009927 chr2:89009927:G:A rs10205742 G A . EBF1_EBF_1 28 0 - 0 0 . chr2 89610363 89610364 chr2:89610364:C:G rs1440214310 C G t EBF1_EBF_1 -2 0 - 0 0 . chr2 89763471 89763472 chr2:89763472:G:A rs1470149007 G A . EBF1_EBF_1 14 0 - 0 0 . chr2 89763487 89763488 chr2:89763488:C:A rs1326607978 C A . EBF1_EBF_1 -2 0 - 0 0 . chr2 90194824 90194825 chr2:90194825:T:G rs842169 T G . EBF1_EBF_1 1 1 - 6.607437268015341 6.678364445367425 AACCCCAAGGGTTT chr2 90268513 90268514 chr2:90268514:T:C rs2929705 T C t EBF1_EBF_1 20 0 + 0 0 . chr2 90384484 90384485 chr2:90384485:G:A rs78351024 G A . EBF1_EBF_1 9 1 + 5.955378121616174 3.30043885616857 AATCCCATGGAATC chr2 90384494 90384495 chr2:90384495:A:T chr2:90384495:A:T A T . EBF1_EBF_1 19 0 + 0 0 . chr2 91658407 91658408 chr2:91658408:T:G rs9752819 T G N EBF1_EBF_1 7 1 - 3.8670255596044365 1.5732465732342549 CCCCCCCACGGACT chr2 91658409 91658410 chr2:91658410:G:T rs114049940 G T g EBF1_EBF_1 5 1 - 3.8670255596044365 -3.0378555930307307 CCCCCCCACGGACT chr2 91749132 91749133 chr2:91749133:T:C rs943867175 T C . EBF1_EBF_1 -17 0 - 0 0 . chr2 91766475 91766476 chr2:91766476:C:T rs72815774 C T . EBF1_EBF_1 -15 0 - 0 0 . chr2 91878676 91878677 chr2:91878677:T:G rs76802801 T G . EBF1_EBF_1 -7 0 - 0 0 . chr2 94240492 94240493 chr2:94240493:A:G rs1273828649 A G . EBF1_EBF_1 -6 0 - 0 0 . chr2 94622307 94622308 chr2:94622308:G:A rs1405219270 G A g EBF1_EBF_1 -17 0 - 0 0 . chr2 94724561 94724562 chr2:94724562:C:T rs74428040 C T N EBF1_EBF_1 -9 0 - 0 0 . chr2 94857533 94857534 chr2:94857534:G:C chr2:94857534:G:C G C - EBF1_EBF_1 26 0 - 0 0 . chr2 94956921 94956922 chr2:94956922:T:G rs760504548 T G T EBF1_EBF_1 32 0 + 0 0 . chr2 94993503 94993504 chr2:94993504:A:G rs4854241 A G G EBF1_EBF_1 -14 0 - 0 0 . chr2 95075141 95075142 chr2:95075142:G:T rs1223720660 G T G EBF1_EBF_1 -6 0 + 0 0 . chr2 95075321 95075322 chr2:95075322:G:A rs114579387 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 95207448 95207449 chr2:95207449:C:T chr2:95207449:C:T C T C EBF1_EBF_1 16 0 - 0 0 . chr2 95207460 95207461 chr2:95207461:G:A rs80316852 G A G EBF1_EBF_1 4 1 - 5.948301031400691 1.5789140864557674 CTTCCCAGAGGACC chr2 95271780 95271781 chr2:95271781:C:A rs558524314 C A C EBF1_EBF_1 -18 0 + 0 0 . chr2 95278254 95278255 chr2:95278255:G:C rs562876412 G C G EBF1_EBF_1 22 0 - 0 0 . chr2 95314591 95314592 chr2:95314592:A:G rs889851 A G G EBF1_EBF_1 27 0 + 0 0 . chr2 95314742 95314743 chr2:95314743:G:A rs184432156 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 95316214 95316215 chr2:95316215:T:C rs539850479 T C T EBF1_EBF_1 14 0 - 0 0 . chr2 95319482 95319483 chr2:95319483:G:A rs3755520 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 95334020 95334021 chr2:95334021:C:T rs112907810 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 95334176 95334177 chr2:95334177:C:A rs144841985 C A C EBF1_EBF_1 4 1 + 5.926604768460135 0.10204514500666668 AGTGCCAGGGGAAC chr2 95336902 95336903 chr2:95336903:A:G rs6741001 A G G EBF1_EBF_1 -8 0 + 0 0 . chr2 95343569 95343570 chr2:95343570:C:A rs9678800 C A C EBF1_EBF_1 10 1 - 4.583451427426973 0.400699241199709 GCTGCCCAGGGATT chr2 95343591 95343592 chr2:95343592:G:A rs76763973 G A G EBF1_EBF_1 -12 0 - 0 0 . chr2 95346372 95346373 chr2:95346373:C:G rs551029960 C G - EBF1_EBF_1 18 0 - 0 0 . chr2 95383113 95383114 chr2:95383114:C:T rs58372613 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 95387634 95387635 chr2:95387635:G:A rs575065178 G A . EBF1_EBF_1 16 0 + 0 0 . chr2 95402524 95402525 chr2:95402525:C:A chr2:95402525:C:A C A . EBF1_EBF_1 33 0 + 0 0 . chr2 95525815 95525816 chr2:95525816:C:A rs149015321 C A c EBF1_EBF_1 19 0 + 0 0 . chr2 95530573 95530574 chr2:95530574:A:G rs2216793 A G g EBF1_EBF_1 -2 0 - 0 0 . chr2 95836841 95836842 chr2:95836842:A:C rs6719831 A C C EBF1_EBF_1 16 0 + 0 0 . chr2 96085015 96085016 chr2:96085016:C:G rs76718269 C G C EBF1_EBF_1 -2 0 + 0 0 . chr2 96085646 96085647 chr2:96085647:T:C rs2140938 T C T EBF1_EBF_1 26 0 - 0 0 . chr2 96109037 96109038 chr2:96109038:T:G rs10183151 T G G EBF1_EBF_1 25 0 - 0 0 . chr2 96117544 96117545 chr2:96117545:G:T rs36217675 G T G EBF1_EBF_1 -2 0 - 0 0 . chr2 96142809 96142810 chr2:96142810:T:C rs1724121 T C C EBF1_EBF_1 -16 0 + 0 0 . chr2 96147740 96147741 chr2:96147741:G:A rs1168976 G A G EBF1_EBF_1 24 0 + 0 0 . chr2 96154408 96154409 chr2:96154409:C:T rs140087919 C T C EBF1_EBF_1 11 1 - 4.37886368465199 7.271570528256001 CCTCCCACGGGGTC chr2 96157241 96157242 chr2:96157242:T:C rs1168969 T C C EBF1_EBF_1 -18 0 - 0 0 . chr2 96157636 96157637 chr2:96157637:A:G chr2:96157637:A:G A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 96159373 96159374 chr2:96159374:G:T rs75062548 G T G EBF1_EBF_1 10 1 + 4.6460310194214065 0.46327883319414215 GTCCCTCTGGGACT chr2 96266079 96266080 chr2:96266080:T:C rs1231630981 T C T EBF1_EBF_1 22 0 - 0 0 . chr2 96266107 96266108 chr2:96266108:T:C rs2301707 T C T EBF1_EBF_1 -6 0 - 0 0 . chr2 96266115 96266116 chr2:96266116:G:T rs1007815122 G T G EBF1_EBF_1 -14 0 - 0 0 . chr2 96321397 96321398 chr2:96321398:G:A rs146758552 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 96321724 96321725 chr2:96321725:A:C rs549513764 A C A EBF1_EBF_1 15 0 - 0 0 . chr2 96325563 96325564 chr2:96325564:C:T rs142503574 C T C EBF1_EBF_1 -20 0 + 0 0 . chr2 96325606 96325607 chr2:96325607:A:G rs77576319 A G G EBF1_EBF_1 23 0 + 0 0 . chr2 96354310 96354311 chr2:96354311:T:C rs3731935 T C T EBF1_EBF_1 -18 0 - 0 0 . chr2 96401335 96401336 chr2:96401336:T:C rs611943 T C C EBF1_EBF_1 27 0 + 0 0 . chr2 96410055 96410056 chr2:96410056:G:C rs370929230 G C G EBF1_EBF_1 -5 0 - 0 0 . chr2 96499344 96499345 chr2:96499345:C:T rs116087310 C T C EBF1_EBF_1 7 1 - 4.828518765965714 6.14097717125454 ACTCCCCGGGAATC chr2 96501005 96501006 chr2:96501006:T:C rs28603323 T C T EBF1_EBF_1 30 0 + 0 0 . chr2 96501060 96501061 chr2:96501061:C:A rs543720130 C A C EBF1_EBF_1 28 0 + 0 0 . chr2 96524715 96524716 chr2:96524716:T:C rs114423961 T C T EBF1_EBF_1 33 0 + 0 0 . chr2 96527469 96527470 chr2:96527470:G:A rs369819139 G A G EBF1_EBF_1 12 1 - 5.980515244225621 4.954248673674771 TTTCCCTGGGGCCC chr2 96542567 96542568 chr2:96542568:C:T rs60326991 C T C EBF1_EBF_1 18 0 - 0 0 . chr2 96547551 96547552 chr2:96547552:T:G rs113045278 T G T EBF1_EBF_1 0 1 - 6.303137578433723 4.047879094737122 AGTGCCCAGGGAGT chr2 96553008 96553009 chr2:96553009:C:T rs149585871 C T C EBF1_EBF_1 8 1 - 7.329996496740218 3.1037064078539562 ACCCCCCAGGGGCA chr2 96553019 96553020 chr2:96553020:G:C rs2579507 G C G EBF1_EBF_1 -3 0 - 0 0 . chr2 96554586 96554587 chr2:96554587:G:T rs78926875 G T G EBF1_EBF_1 13 1 + 7.3559098328583294 9.688474968799262 CTTCCCGTGGGACG chr2 96556614 96556615 chr2:96556615:T:C rs113618802 T C C EBF1_EBF_1 28 0 + 0 0 . chr2 96601946 96601947 chr2:96601947:T:C rs562253792 T C T EBF1_EBF_1 24 0 - 0 0 . chr2 96686402 96686403 chr2:96686403:G:A chr2:96686403:G:A G A G EBF1_EBF_1 9 1 + 6.3646729388996715 3.709733673452068 GCCCCCAGGGGAGC chr2 96693801 96693802 chr2:96693802:C:T rs77374892 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 96788711 96788712 chr2:96788712:G:A rs150671310 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 96792823 96792824 chr2:96792824:A:G rs6756482 A G G EBF1_EBF_1 -8 0 + 0 0 . chr2 96794182 96794183 chr2:96794183:A:G rs7608661 A G G EBF1_EBF_1 31 0 + 0 0 . chr2 96797791 96797792 chr2:96797792:G:A rs7582249 G A A EBF1_EBF_1 -12 0 + 0 0 . chr2 96832919 96832920 chr2:96832920:C:T rs1047634 C T C EBF1_EBF_1 7 1 + 4.951984498846165 6.7694892451287885 TCTCCCCCGGGGCA chr2 96844704 96844705 chr2:96844705:G:A rs6758237 G A G EBF1_EBF_1 13 1 - 5.365037886392149 6.209532000977667 CGTCCCTGGAGAGC chr2 96857593 96857594 chr2:96857594:G:A chr2:96857594:G:A G A G EBF1_EBF_1 24 0 - 0 0 . chr2 96857614 96857615 chr2:96857615:G:A rs967640673 G A G EBF1_EBF_1 3 1 - 7.9913580843240695 1.5859593427707521 CCTCCCCTGGGAGA chr2 96858404 96858405 chr2:96858405:T:A rs114676639 T A T EBF1_EBF_1 -10 0 + 0 0 . chr2 96875709 96875710 chr2:96875710:C:T rs752906678 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 96878198 96878199 chr2:96878199:T:C rs12473528 T C C EBF1_EBF_1 29 0 - 0 0 . chr2 96881562 96881563 chr2:96881563:C:T rs535085290 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 96903462 96903463 chr2:96903463:T:C rs77923832 T C T EBF1_EBF_1 25 0 - 0 0 . chr2 96927787 96927788 chr2:96927788:C:T rs184720868 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 96928578 96928579 chr2:96928579:C:T rs11884381 C T T EBF1_EBF_1 23 0 - 0 0 . chr2 96945273 96945274 chr2:96945274:C:A rs183345273 C A C EBF1_EBF_1 -18 0 + 0 0 . chr2 96945302 96945303 chr2:96945303:A:C rs7593493 A C C EBF1_EBF_1 11 1 + 5.997259718152822 1.5812980203004323 AGTGCCCTGGGACA chr2 96946476 96946477 chr2:96946477:G:C rs6707255 G C C EBF1_EBF_1 -14 0 + 0 0 . chr2 96949198 96949199 chr2:96949199:C:T rs1257022 C T C EBF1_EBF_1 -13 0 - 0 0 . chr2 97050218 97050219 chr2:97050219:C:T rs544095255 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 97067864 97067865 chr2:97067865:T:C rs77981723 T C . EBF1_EBF_1 17 0 + 0 0 . chr2 97095052 97095053 chr2:97095053:G:A rs568067736 G A . EBF1_EBF_1 30 0 - 0 0 . chr2 97113140 97113141 chr2:97113141:C:G rs6719310 C G . EBF1_EBF_1 15 0 + 0 0 . chr2 97661637 97661638 chr2:97661638:G:A rs145482092 G A g EBF1_EBF_1 15 0 + 0 0 . chr2 97663814 97663815 chr2:97663815:C:T rs781763870 C T c EBF1_EBF_1 21 0 + 0 0 . chr2 97664024 97664025 chr2:97664025:C:T rs182297241 C T c EBF1_EBF_1 -2 0 + 0 0 . chr2 97713738 97713739 chr2:97713739:G:T rs56372550 G T G EBF1_EBF_1 17 0 + 0 0 . chr2 97713754 97713755 chr2:97713755:A:G rs2276644 A G G EBF1_EBF_1 33 0 + 0 0 . chr2 97716846 97716847 chr2:97716847:G:A rs35065263 G A G EBF1_EBF_1 -7 0 + 0 0 . chr2 97718452 97718453 chr2:97718453:T:C rs78413771 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 97739526 97739527 chr2:97739527:G:A rs11678558 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 97739786 97739787 chr2:97739787:G:C rs2278699 G C G EBF1_EBF_1 10 1 + 6.233211219834554 -0.6575911674949215 CTTCCCCTGGGCCC chr2 97806992 97806993 chr2:97806993:G:A rs918339662 G A G EBF1_EBF_1 19 0 - 0 0 . chr2 97858643 97858644 chr2:97858644:A:G rs7561104 A G G EBF1_EBF_1 -15 0 + 0 0 . chr2 97908310 97908311 chr2:97908311:C:G rs77064418 C G C EBF1_EBF_1 -13 0 - 0 0 . chr2 97937107 97937108 chr2:97937108:C:A rs59444417 C A C EBF1_EBF_1 -8 0 - 0 0 . chr2 98025321 98025322 chr2:98025322:T:C rs11888110 T C T EBF1_EBF_1 21 0 + 0 0 . chr2 98119761 98119762 chr2:98119762:C:T rs2305355 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 98142350 98142351 chr2:98142351:G:T rs73963241 G T G EBF1_EBF_1 2 1 - 5.142710054054173 3.347065877897447 GGCCCCAAGAGAAG chr2 98199838 98199839 chr2:98199839:T:C chr2:98199839:T:C T C T EBF1_EBF_1 33 0 + 0 0 . chr2 98241137 98241138 chr2:98241138:G:C rs4455212 G C G EBF1_EBF_1 28 0 - 0 0 . chr2 98241724 98241725 chr2:98241725:A:G rs10190336 A G G EBF1_EBF_1 -1 0 + 0 0 . chr2 98277182 98277183 chr2:98277183:C:G rs10202618 C G G EBF1_EBF_1 -2 0 - 0 0 . chr2 98280710 98280711 chr2:98280711:G:A rs62157914 G A A EBF1_EBF_1 16 0 - 0 0 . chr2 98280719 98280720 chr2:98280720:T:C rs62157915 T C C EBF1_EBF_1 7 1 - 6.688727532530209 5.376269127241384 CCTCCCCAGGGCAT chr2 98282208 98282209 chr2:98282209:A:G rs17493788 A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 98295525 98295526 chr2:98295526:A:G rs17428528 A G G EBF1_EBF_1 -4 0 + 0 0 . chr2 98334195 98334196 chr2:98334196:T:C rs10181000 T C t EBF1_EBF_1 19 0 + 0 0 . chr2 98344974 98344975 chr2:98344975:A:C rs62156262 A C A EBF1_EBF_1 -16 0 + 0 0 . chr2 98348514 98348515 chr2:98348515:G:A rs75663144 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 98373492 98373493 chr2:98373493:G:A rs11691306 G A G EBF1_EBF_1 -5 0 + 0 0 . chr2 98444573 98444574 chr2:98444574:C:G rs186059231 C G C EBF1_EBF_1 -5 0 - 0 0 . chr2 98446454 98446455 chr2:98446455:C:T rs115531350 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 98464326 98464327 chr2:98464327:C:T rs142893273 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 98472113 98472114 chr2:98472114:T:G rs75572732 T G T EBF1_EBF_1 30 0 + 0 0 . chr2 98479986 98479987 chr2:98479987:C:T rs764490005 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 98492668 98492669 chr2:98492669:G:A rs55900716 G A G EBF1_EBF_1 0 1 - 8.760349506244792 9.04255156697537 CTCCCCCTGGGACC chr2 98547429 98547430 chr2:98547430:C:T rs189283319 C T C EBF1_EBF_1 3 1 + 5.3791760086891465 -1.026222732864171 AGCCCCCAGGGGTG chr2 98548535 98548536 chr2:98548536:G:T rs35407153 G T G EBF1_EBF_1 19 0 - 0 0 . chr2 98563645 98563646 chr2:98563646:C:T rs770669344 C T C EBF1_EBF_1 -6 0 + 0 0 . chr2 98569256 98569257 chr2:98569257:G:C rs114834608 G C G EBF1_EBF_1 -6 0 + 0 0 . chr2 98570969 98570970 chr2:98570970:A:T rs3769709 A T a EBF1_EBF_1 -15 0 + 0 0 . chr2 98608122 98608123 chr2:98608123:A:G rs116509552 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 98608128 98608129 chr2:98608129:A:G rs191968961 A G A EBF1_EBF_1 5 1 + 3.7217490354163623 3.7217490354163623 ACCCCACAGGGAAC chr2 98608473 98608474 chr2:98608474:G:C rs187846327 G C G EBF1_EBF_1 25 0 - 0 0 . chr2 98608496 98608497 chr2:98608497:A:G rs1252304986 A G A EBF1_EBF_1 2 1 - 8.496040595127097 6.607217183684945 GGTCCCCTGAGACT chr2 98608510 98608511 chr2:98608511:G:C rs543588377 G C G EBF1_EBF_1 -12 0 - 0 0 . chr2 98686865 98686866 chr2:98686866:C:T rs62158008 C T T EBF1_EBF_1 28 0 + 0 0 . chr2 98727661 98727662 chr2:98727662:A:G chr2:98727662:A:G A G A EBF1_EBF_1 1 1 - 6.7591267625978295 5.863693322199085 TTTCCCTTGGAACT chr2 98731605 98731606 chr2:98731606:C:G rs952855843 C G C EBF1_EBF_1 -8 0 + 0 0 . chr2 98770569 98770570 chr2:98770570:A:G rs538430058 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 98800969 98800970 chr2:98800970:T:C rs10182043 T C T EBF1_EBF_1 16 0 - 0 0 . chr2 98804961 98804962 chr2:98804962:G:C rs114160685 G C G EBF1_EBF_1 33 0 - 0 0 . chr2 98806219 98806220 chr2:98806220:G:A rs59083513 G A A EBF1_EBF_1 -18 0 - 0 0 . chr2 98815599 98815600 chr2:98815600:T:A rs1568213 T A A EBF1_EBF_1 19 0 - 0 0 . chr2 98822023 98822024 chr2:98822024:G:A rs138264489 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 98822057 98822058 chr2:98822058:T:C rs61042973 T C C EBF1_EBF_1 32 0 - 0 0 . chr2 98823048 98823049 chr2:98823049:C:G rs186269747 C G C EBF1_EBF_1 -11 0 - 0 0 . chr2 98833072 98833073 chr2:98833073:A:G rs6711022 A G G EBF1_EBF_1 -15 0 - 0 0 . chr2 98880770 98880771 chr2:98880771:G:A rs114285597 G A G EBF1_EBF_1 7 1 - 9.132954300266075 10.950459046548698 CTTCCCTCGGGACC chr2 98893998 98893999 chr2:98893999:G:C rs75036453 G C G EBF1_EBF_1 18 0 + 0 0 . chr2 98902394 98902395 chr2:98902395:G:A rs7588441 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 98913394 98913395 chr2:98913395:C:T rs115350692 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 98913564 98913565 chr2:98913565:T:C rs113964875 T C T EBF1_EBF_1 -14 0 - 0 0 . chr2 98921105 98921106 chr2:98921106:G:A rs908672 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 98921136 98921137 chr2:98921137:C:G rs6542845 C G C EBF1_EBF_1 -11 0 - 0 0 . chr2 98921145 98921146 chr2:98921146:A:G rs550358714 A G A EBF1_EBF_1 -20 0 - 0 0 . chr2 98926980 98926981 chr2:98926981:C:T rs1607256 C T T EBF1_EBF_1 -18 0 - 0 0 . chr2 98937124 98937125 chr2:98937125:T:C rs60982916 T C C EBF1_EBF_1 20 0 - 0 0 . chr2 99139894 99139895 chr2:99139895:A:G rs11677247 A G G EBF1_EBF_1 -6 0 - 0 0 . chr2 99155289 99155290 chr2:99155290:T:G rs11902847 T G T EBF1_EBF_1 -19 0 + 0 0 . chr2 99337044 99337045 chr2:99337045:C:T rs28384454 C T C EBF1_EBF_1 12 1 + 6.263796777841914 5.237530207291064 ACCCCCAGAGGACT chr2 99337055 99337056 chr2:99337056:C:T rs1481239152 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 99489505 99489506 chr2:99489506:A:G rs4851209 A G A EBF1_EBF_1 29 0 - 0 0 . chr2 99601617 99601618 chr2:99601618:G:A rs4851214 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 99619113 99619114 chr2:99619114:T:C rs61564116 T C T EBF1_EBF_1 33 0 + 0 0 . chr2 99629739 99629740 chr2:99629740:C:G rs6758378 C G C EBF1_EBF_1 -7 0 - 0 0 . chr2 99743887 99743888 chr2:99743888:T:C rs7601137 T C T EBF1_EBF_1 -20 0 - 0 0 . chr2 99772306 99772307 chr2:99772307:G:T rs17023087 G T T EBF1_EBF_1 5 1 - 5.255186695287087 -1.6496944573480818 TCACCCATGGGAAG chr2 99772327 99772328 chr2:99772328:C:T rs17023090 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 99783332 99783333 chr2:99783333:C:T rs72817090 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 99808985 99808986 chr2:99808986:G:A rs7609179 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 99864488 99864489 chr2:99864489:C:T rs6715454 C T C EBF1_EBF_1 32 0 - 0 0 . chr2 99931296 99931297 chr2:99931297:T:C rs17023314 T C C EBF1_EBF_1 33 0 + 0 0 . chr2 99936292 99936293 chr2:99936293:G:A rs72953776 G A G EBF1_EBF_1 -5 0 + 0 0 . chr2 99982944 99982945 chr2:99982945:T:C rs13385193 T C T EBF1_EBF_1 6 1 + 5.318240645386117 5.01695451652436 AACCCCTGAGGAAC chr2 100057894 100057895 chr2:100057895:T:C rs140509142 T C T EBF1_EBF_1 32 0 - 0 0 . chr2 100130743 100130744 chr2:100130744:G:A rs75533552 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 100214909 100214910 chr2:100214910:G:A chr2:100214910:G:A G A G EBF1_EBF_1 18 0 - 0 0 . chr2 100228625 100228626 chr2:100228626:A:G rs6542920 A G G EBF1_EBF_1 -16 0 + 0 0 . chr2 100239553 100239554 chr2:100239554:C:T rs144069281 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 100242265 100242266 chr2:100242266:G:A rs116649181 G A G EBF1_EBF_1 25 0 - 0 0 . chr2 100243016 100243017 chr2:100243017:C:T rs192443556 C T C EBF1_EBF_1 12 1 + 6.358015619259245 5.331749048708395 ACCCCCAAAGGACA chr2 100243158 100243159 chr2:100243159:C:T rs532077542 C T C EBF1_EBF_1 18 0 - 0 0 . chr2 100243159 100243160 chr2:100243160:A:G rs6739695 A G G EBF1_EBF_1 17 0 - 0 0 . chr2 100248149 100248150 chr2:100248150:C:T rs187433691 C T C EBF1_EBF_1 5 1 + 6.09599661791286 0.7742094023721907 ACCCCCATGGGCAC chr2 100256571 100256572 chr2:100256572:C:T rs75303102 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 100322599 100322600 chr2:100322600:A:G rs978351733 A G A EBF1_EBF_1 -7 0 + 0 0 . chr2 100359747 100359748 chr2:100359748:A:G rs6734316 A G G EBF1_EBF_1 -2 0 + 0 0 . chr2 100394207 100394208 chr2:100394208:A:G rs78715191 A G A EBF1_EBF_1 -14 0 + 0 0 . chr2 100396621 100396622 chr2:100396622:G:A rs12467104 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 100403674 100403675 chr2:100403675:G:A rs7575422 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 100404161 100404162 chr2:100404162:G:A rs6725672 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 100437826 100437827 chr2:100437827:G:A rs59920449 G A g EBF1_EBF_1 5 1 - 5.067828161870882 -0.253959053669788 CAACCCGTGGGAAT chr2 100480755 100480756 chr2:100480756:C:G rs72964183 C G C EBF1_EBF_1 -15 0 + 0 0 . chr2 100482861 100482862 chr2:100482862:G:A rs148242637 G A G EBF1_EBF_1 25 0 - 0 0 . chr2 100482896 100482897 chr2:100482897:A:G rs184205021 A G A EBF1_EBF_1 6 1 - 6.800318788691984 6.499032659830226 ATTTCCTTGGGACC chr2 100568188 100568189 chr2:100568189:T:C rs7594904 T C C EBF1_EBF_1 -10 0 - 0 0 . chr2 100596575 100596576 chr2:100596576:G:A rs11123838 G A A EBF1_EBF_1 19 0 - 0 0 . chr2 100612729 100612730 chr2:100612730:C:T rs11123839 C T C EBF1_EBF_1 9 1 - 6.7350181627223105 4.080078897274706 GGTCCCCTGGGCCT chr2 100630721 100630722 chr2:100630722:A:G rs138709359 A G A EBF1_EBF_1 -18 0 + 0 0 . chr2 100639974 100639975 chr2:100639975:T:G rs7340449 T G T EBF1_EBF_1 -8 0 + 0 0 . chr2 100640011 100640012 chr2:100640012:C:T rs76530303 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 100674674 100674675 chr2:100674675:C:T rs4851365 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 100681102 100681103 chr2:100681103:C:T rs960386974 C T c EBF1_EBF_1 4 1 + 4.7994269338780216 0.43003998893309736 GATCCCTTGAGGCC chr2 100734041 100734042 chr2:100734042:G:C rs142412672 G C G EBF1_EBF_1 -11 0 - 0 0 . chr2 100766889 100766890 chr2:100766890:C:A rs7591855 C A C EBF1_EBF_1 -2 0 + 0 0 . chr2 100781751 100781752 chr2:100781752:T:C rs6542986 T C C EBF1_EBF_1 11 1 + 5.758354011991053 5.440306570237389 CCTCCCCAGGGTCA chr2 100809621 100809622 chr2:100809622:T:C rs11886530 T C T EBF1_EBF_1 21 0 - 0 0 . chr2 100814993 100814994 chr2:100814994:G:C rs56067158 G C G EBF1_EBF_1 4 1 - 5.440746038047616 -0.1850190631019289 CTCCCCATGAGATC chr2 100886381 100886382 chr2:100886382:A:G rs13407950 A G A EBF1_EBF_1 21 0 - 0 0 . chr2 100894005 100894006 chr2:100894006:G:T rs561310916 G T G EBF1_EBF_1 -11 0 - 0 0 . chr2 100895592 100895593 chr2:100895593:C:G rs897196853 C G C EBF1_EBF_1 8 1 - 8.399762866339808 3.0811040054251215 ACTCCTAAGGGAAT chr2 100895616 100895617 chr2:100895617:C:T rs80106797 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 100909095 100909096 chr2:100909096:T:C rs1622168 T C C EBF1_EBF_1 6 1 - 4.456881645911287 2.2900872146368108 CACCCCAGGGGGAC chr2 100921241 100921242 chr2:100921242:G:A rs6725296 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 100923624 100923625 chr2:100923625:C:T rs17717319 C T C EBF1_EBF_1 5 1 + 7.491956224393686 2.1701690088530157 GCTCCCCTGAGAAC chr2 100963113 100963114 chr2:100963114:G:A rs17025078 G A A EBF1_EBF_1 1 1 - 5.449484061677722 6.344917502076467 ACCCCCAGAGGAAC chr2 100989273 100989274 chr2:100989274:A:T rs17662394 A T A EBF1_EBF_1 27 0 + 0 0 . chr2 100990073 100990074 chr2:100990074:A:G rs72821030 A G A EBF1_EBF_1 6 1 - 8.667889329227597 8.36660320036584 AATCCCTTGGGCCT chr2 100995899 100995900 chr2:100995900:C:T rs371920466 C T C EBF1_EBF_1 7 1 + 4.666226459113251 6.483731205395875 CATCCCCCGAGAGT chr2 101027522 101027523 chr2:101027523:C:G rs145378270 C G C EBF1_EBF_1 27 0 + 0 0 . chr2 101047259 101047260 chr2:101047260:C:T rs73943423 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 101081572 101081573 chr2:101081573:G:A rs56343043 G A G EBF1_EBF_1 8 1 + 8.41766233454703 4.191372245660769 GGCCCCCTGGGACC chr2 101140466 101140467 chr2:101140467:G:A rs11694166 G A G EBF1_EBF_1 5 1 - 4.660234349751874 -0.6615528657887968 ATTGCCCAGGGGCC chr2 101151632 101151633 chr2:101151633:C:T rs62152496 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 101151641 101151642 chr2:101151642:T:C rs13392166 T C C EBF1_EBF_1 20 0 - 0 0 . chr2 101151652 101151653 chr2:101151653:T:C rs12466496 T C C EBF1_EBF_1 9 1 - 4.998976357849615 7.65391562329722 TTCCCCCTGAGAGC chr2 101151676 101151677 chr2:101151677:C:T rs774025760 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 101152311 101152312 chr2:101152312:G:C rs2241872 G C C EBF1_EBF_1 18 0 - 0 0 . chr2 101152336 101152337 chr2:101152337:A:G rs116791966 A G A EBF1_EBF_1 -7 0 - 0 0 . chr2 101161477 101161478 chr2:101161478:T:C rs56380439 T C T EBF1_EBF_1 -3 0 + 0 0 . chr2 101163151 101163152 chr2:101163152:C:T rs34180737 C T C EBF1_EBF_1 22 0 + 0 0 . chr2 101174106 101174107 chr2:101174107:G:A rs77648481 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 101176311 101176312 chr2:101176312:A:G rs1192776 A G A EBF1_EBF_1 -3 0 - 0 0 . chr2 101234243 101234244 chr2:101234244:C:T rs7575233 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 101234820 101234821 chr2:101234821:G:A rs762312457 G A G EBF1_EBF_1 11 1 + 6.700431038966605 9.593137882570616 ATTCCCAGGAGGTT chr2 101307119 101307120 chr2:101307120:G:A chr2:101307120:G:A G A G EBF1_EBF_1 27 0 - 0 0 . chr2 101354452 101354453 chr2:101354453:C:A rs6732377 C A C EBF1_EBF_1 22 0 - 0 0 . chr2 101380553 101380554 chr2:101380554:C:T chr2:101380554:C:T C T C EBF1_EBF_1 4 1 + 5.934066663532119 1.5646797185871941 TCCCCCTTGGGATG chr2 101380581 101380582 chr2:101380582:C:T rs191010205 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 101387961 101387962 chr2:101387962:C:T rs61180415 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 101432911 101432912 chr2:101432912:C:T rs111727168 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 101434828 101434829 chr2:101434829:T:C rs72825080 T C T EBF1_EBF_1 33 0 - 0 0 . chr2 101463161 101463162 chr2:101463162:A:C rs11904192 A C A EBF1_EBF_1 -17 0 + 0 0 . chr2 101463656 101463657 chr2:101463657:A:G rs11674434 A G A EBF1_EBF_1 13 1 - 9.37367092342509 8.529176808839571 GCCCCCTTGGGACT chr2 101464463 101464464 chr2:101464464:G:A rs6720584 G A G EBF1_EBF_1 19 0 - 0 0 . chr2 101467720 101467721 chr2:101467721:G:C rs10172545 G C C EBF1_EBF_1 18 0 - 0 0 . chr2 101556033 101556034 chr2:101556034:C:T rs34071699 C T T EBF1_EBF_1 30 0 + 0 0 . chr2 101560931 101560932 chr2:101560932:T:C rs78220914 T C C EBF1_EBF_1 -9 0 + 0 0 . chr2 101565998 101565999 chr2:101565999:C:A rs6543078 C A C EBF1_EBF_1 -10 0 - 0 0 . chr2 101602963 101602964 chr2:101602964:C:T rs60912647 C T C EBF1_EBF_1 5 1 + 5.576166849926096 0.25437963438542704 GTTGCCATGGGAAC chr2 101686187 101686188 chr2:101686188:T:C rs62155152 T C C EBF1_EBF_1 -14 0 - 0 0 . chr2 101688567 101688568 chr2:101688568:T:G rs1008166426 T G T EBF1_EBF_1 -11 0 - 0 0 . chr2 101735618 101735619 chr2:101735619:G:C rs13019693 G C G EBF1_EBF_1 3 1 - 4.241490524302996 -1.5862739238122208 CCTCCCATGGGCAG chr2 101755409 101755410 chr2:101755410:C:A chr2:101755410:C:A C A C EBF1_EBF_1 -12 0 + 0 0 . chr2 101755413 101755414 chr2:101755414:G:A rs372735053 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 101906387 101906388 chr2:101906388:C:T rs114949785 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 101952570 101952571 chr2:101952571:G:C rs146244842 G C G EBF1_EBF_1 32 0 - 0 0 . chr2 101958353 101958354 chr2:101958354:C:T rs1019032 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 101958375 101958376 chr2:101958376:G:T rs1019031 G T T EBF1_EBF_1 -1 0 - 0 0 . chr2 101961884 101961885 chr2:101961885:C:T rs74899238 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 101974830 101974831 chr2:101974831:A:G rs10179894 A G G EBF1_EBF_1 -5 0 + 0 0 . chr2 101974859 101974860 chr2:101974860:C:A rs183705905 C A C EBF1_EBF_1 24 0 + 0 0 . chr2 101975016 101975017 chr2:101975017:C:T rs192374608 C T C EBF1_EBF_1 9 1 - 4.2745368982013785 1.6195976327537742 ACTCCCCAGGAGCC chr2 101977121 101977122 chr2:101977122:A:G rs6731956 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 101982477 101982478 chr2:101982478:C:T rs13006661 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 101982936 101982937 chr2:101982937:C:T rs740273 C T C EBF1_EBF_1 5 1 + 9.235344237500634 3.9135570219599636 ATCCCCCTGAGAAT chr2 102019110 102019111 chr2:102019111:A:G rs2236923 A G a EBF1_EBF_1 7 1 + 6.365818401016417 5.053359995727592 AGACCCCAGAGAAC chr2 102048641 102048642 chr2:102048642:G:A rs79551535 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 102049233 102049234 chr2:102049234:C:T rs7607735 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 102065563 102065564 chr2:102065564:G:A rs7570465 G A G EBF1_EBF_1 6 1 - 6.764851296535025 7.066137425396783 AGTCCCCGGGGCTT chr2 102093894 102093895 chr2:102093895:C:T rs992153 C T C EBF1_EBF_1 7 1 + 7.392911180185571 9.210415926468194 ATCCCCGCGGGACC chr2 102102643 102102644 chr2:102102644:C:T rs72996520 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 102142880 102142881 chr2:102142881:G:A rs41294844 G A g EBF1_EBF_1 5 1 - 3.7772184135499116 -1.5445688019907575 GCTCCCTGGGGCGC chr2 102147833 102147834 chr2:102147834:G:A rs2110727 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 102188005 102188006 chr2:102188006:C:G rs77543467 C G C EBF1_EBF_1 13 1 + 8.447495468359747 6.959424447004333 AGCCCCCGGGGATC chr2 102188015 102188016 chr2:102188016:C:G rs34547811 C G C EBF1_EBF_1 23 0 + 0 0 . chr2 102200693 102200694 chr2:102200694:G:T rs11678651 G T T EBF1_EBF_1 14 0 - 0 0 . chr2 102233978 102233979 chr2:102233979:G:A rs6709635 G A A EBF1_EBF_1 22 0 - 0 0 . chr2 102245609 102245610 chr2:102245610:T:A rs1558626 T A A EBF1_EBF_1 27 0 + 0 0 . chr2 102245941 102245942 chr2:102245942:T:A rs1558623 T A T EBF1_EBF_1 -10 0 + 0 0 . chr2 102245948 102245949 chr2:102245949:C:T rs74625463 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 102251641 102251642 chr2:102251642:T:C rs926355839 T C T EBF1_EBF_1 7 1 + 7.234764309333945 5.417259563051322 TTACCCATGGGACA chr2 102422402 102422403 chr2:102422403:T:C rs10206291 T C C EBF1_EBF_1 -16 0 - 0 0 . chr2 102479486 102479487 chr2:102479487:G:C rs6753066 G C G EBF1_EBF_1 -19 0 - 0 0 . chr2 102509172 102509173 chr2:102509173:C:T rs4851607 C T T EBF1_EBF_1 26 0 - 0 0 . chr2 102525123 102525124 chr2:102525124:G:A rs61731289 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 102559802 102559803 chr2:102559803:C:G rs80281717 C G C EBF1_EBF_1 22 0 - 0 0 . chr2 102588715 102588716 chr2:102588716:A:G rs17027517 A G G EBF1_EBF_1 32 0 + 0 0 . chr2 102644320 102644321 chr2:102644321:C:G rs2098342 C G C EBF1_EBF_1 24 0 - 0 0 . chr2 102664536 102664537 chr2:102664537:C:T rs17027648 C T C EBF1_EBF_1 -11 0 - 0 0 . chr2 102793167 102793168 chr2:102793168:A:G rs2540283 A G G EBF1_EBF_1 -5 0 - 0 0 . chr2 102848696 102848697 chr2:102848697:T:C rs112623083 T C T EBF1_EBF_1 -2 0 + 0 0 . chr2 102893224 102893225 chr2:102893225:G:A rs10181783 G A G EBF1_EBF_1 -12 0 - 0 0 . chr2 102932611 102932612 chr2:102932612:G:A rs143146506 G A G EBF1_EBF_1 6 1 - 5.031659048911582 5.33294517777334 ATTCACCTGGGGCT chr2 102935849 102935850 chr2:102935850:C:G rs1320110 C G G EBF1_EBF_1 -10 0 - 0 0 . chr2 102950576 102950577 chr2:102950577:G:A rs34190470 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 103288619 103288620 chr2:103288620:C:G rs188640588 C G C EBF1_EBF_1 8 1 + 5.8952897306245164 11.213948591539204 AGTCCCTACGGAGA chr2 103716549 103716550 chr2:103716550:G:C rs12996607 G C C EBF1_EBF_1 33 0 - 0 0 . chr2 103717465 103717466 chr2:103717466:C:T rs1379428 C T T EBF1_EBF_1 10 1 - 4.887192334360115 -0.43083612490685064 GTCCCCATGGGCTT chr2 103781422 103781423 chr2:103781423:G:A rs143481416 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 104078712 104078713 chr2:104078713:G:A rs10209294 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 104120351 104120352 chr2:104120352:C:T rs189344245 C T C EBF1_EBF_1 8 1 - 7.248885505107391 3.0225954162211304 AGTCACCTGGGAAT chr2 104430228 104430229 chr2:104430229:A:G rs528003346 A G A EBF1_EBF_1 32 0 - 0 0 . chr2 104435305 104435306 chr2:104435306:C:T rs56084965 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 104621404 104621405 chr2:104621405:T:G rs1866557 T G T EBF1_EBF_1 22 0 + 0 0 . chr2 104701679 104701680 chr2:104701680:G:T rs139041786 G T G EBF1_EBF_1 9 1 - 4.568307849151893 6.989057730049754 AATCCCCGGCGAAG chr2 104835867 104835868 chr2:104835868:C:T rs6739199 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 104843874 104843875 chr2:104843875:C:T rs745249 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 104880748 104880749 chr2:104880749:T:C rs13393468 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 105039028 105039029 chr2:105039029:A:C rs56836919 A C A EBF1_EBF_1 -12 0 - 0 0 . chr2 105061722 105061723 chr2:105061723:T:C rs56358580 T C C EBF1_EBF_1 -11 0 + 0 0 . chr2 105129988 105129989 chr2:105129989:T:C rs6729109 T C C EBF1_EBF_1 -11 0 + 0 0 . chr2 105181332 105181333 chr2:105181333:A:G rs2679852 A G A EBF1_EBF_1 -16 0 + 0 0 . chr2 105184851 105184852 chr2:105184852:T:G rs2576797 T G C EBF1_EBF_1 14 0 - 0 0 . chr2 105330279 105330280 chr2:105330280:G:A rs1056177 G A A EBF1_EBF_1 22 0 - 0 0 . chr2 105330290 105330291 chr2:105330291:G:T rs112432963 G T g EBF1_EBF_1 11 1 - 8.299669454729637 12.715631152582025 AATCCCTAGGGCCC chr2 105398633 105398634 chr2:105398634:C:G rs114354675 C G C EBF1_EBF_1 -11 0 + 0 0 . chr2 105398736 105398737 chr2:105398737:G:C rs60968240 G C G EBF1_EBF_1 28 0 + 0 0 . chr2 105403338 105403339 chr2:105403339:A:G rs4585050 A G G EBF1_EBF_1 21 0 - 0 0 . chr2 105455944 105455945 chr2:105455945:A:C rs17020877 A C A EBF1_EBF_1 14 0 + 0 0 . chr2 105475596 105475597 chr2:105475597:C:T rs1401208 C T C EBF1_EBF_1 10 1 - 6.033379389945027 0.7153509306780618 AACCCCAGGGGCAT chr2 105494928 105494929 chr2:105494929:G:A rs111971492 G A G EBF1_EBF_1 15 0 + 0 0 . chr2 105496164 105496165 chr2:105496165:C:A rs548579735 C A C EBF1_EBF_1 -10 0 - 0 0 . chr2 105509924 105509925 chr2:105509925:A:G rs13404931 A G G EBF1_EBF_1 19 0 + 0 0 . chr2 105511396 105511397 chr2:105511397:C:T rs76715587 C T C EBF1_EBF_1 22 0 - 0 0 . chr2 105517935 105517936 chr2:105517936:C:T rs17031081 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 105518073 105518074 chr2:105518074:T:C rs10496395 T C C EBF1_EBF_1 -6 0 - 0 0 . chr2 105518078 105518079 chr2:105518079:C:T rs183592413 C T C EBF1_EBF_1 -11 0 - 0 0 . chr2 105519136 105519137 chr2:105519137:C:T rs13387680 C T C EBF1_EBF_1 3 1 + 8.718328560637755 2.312929819084438 ATCCCCTGGGGAGA chr2 105523021 105523022 chr2:105523022:A:G rs1878828 A G A EBF1_EBF_1 11 1 + 7.627467934555176 4.734761090951165 ACTCTCATGGGACA chr2 105542105 105542106 chr2:105542106:A:G rs1349541 A G A EBF1_EBF_1 25 0 + 0 0 . chr2 105548766 105548767 chr2:105548767:C:T rs181224852 C T T EBF1_EBF_1 14 0 - 0 0 . chr2 105556491 105556492 chr2:105556492:C:A rs1518607 C A C EBF1_EBF_1 33 0 - 0 0 . chr2 105556924 105556925 chr2:105556925:G:A rs36044795 G A G EBF1_EBF_1 9 1 + 6.068232430198491 3.413293164750889 TCTCCCCACGGAAT chr2 105563500 105563501 chr2:105563501:A:G rs12479198 A G A EBF1_EBF_1 25 0 - 0 0 . chr2 105568609 105568610 chr2:105568610:G:C rs115070502 G C G EBF1_EBF_1 4 1 - 5.047334572246023 -0.5784305289035212 AGTCCTACGGGACA chr2 105596282 105596283 chr2:105596283:C:T rs112232001 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 105599022 105599023 chr2:105599023:A:G rs115895042 A G A EBF1_EBF_1 -8 0 + 0 0 . chr2 105606298 105606299 chr2:105606299:G:A rs4851071 G A A EBF1_EBF_1 8 1 + 5.256084610330021 1.0297945214437585 CTTCCCAAGGTGCT chr2 105609718 105609719 chr2:105609719:T:A rs4851811 T A - EBF1_EBF_1 12 1 - 3.9011968469858758 2.8447488780137 AGCCCCTGGGGCAG chr2 105633437 105633438 chr2:105633438:A:T rs35100078 A T A EBF1_EBF_1 -11 0 + 0 0 . chr2 105668362 105668363 chr2:105668363:G:A rs13390626 G A A EBF1_EBF_1 10 1 + 7.44606828618176 2.128039826914795 ACACCCTGGGGAAA chr2 105670875 105670876 chr2:105670876:A:G rs11676769 A G A EBF1_EBF_1 6 1 + 5.971081006667786 3.804286575393312 CCTCCCAGGGTAAT chr2 105674918 105674919 chr2:105674919:G:A rs72933722 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 105730307 105730308 chr2:105730308:G:T rs77459681 G T G EBF1_EBF_1 30 0 - 0 0 . chr2 105743962 105743963 chr2:105743963:G:C rs1050353719 G C G EBF1_EBF_1 -9 0 - 0 0 . chr2 105744425 105744426 chr2:105744426:G:A rs565250485 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 105748226 105748227 chr2:105748227:G:T rs146724183 G T G EBF1_EBF_1 -18 0 + 0 0 . chr2 105795611 105795612 chr2:105795612:C:A rs62152202 C A C EBF1_EBF_1 3 1 + 8.48041615502738 1.5742421255615735 TTCCCCAAGGGATA chr2 105816035 105816036 chr2:105816036:C:T rs35034760 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 105840892 105840893 chr2:105840893:G:A rs7573751 G A G EBF1_EBF_1 9 1 + 5.1205370742974035 2.4655978088498007 GGTCCCCACGGAAA chr2 105888794 105888795 chr2:105888795:C:T rs116034741 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 105906135 105906136 chr2:105906136:A:G rs12617249 A G G EBF1_EBF_1 -15 0 - 0 0 . chr2 105955096 105955097 chr2:105955097:T:G rs3849369 T G T EBF1_EBF_1 26 0 + 0 0 . chr2 105982802 105982803 chr2:105982803:G:A rs13421494 G A - EBF1_EBF_1 -12 0 - 0 0 . chr2 105983540 105983541 chr2:105983541:T:G rs7591738 T G - EBF1_EBF_1 26 0 + 0 0 . chr2 106037549 106037550 chr2:106037550:G:A rs6708041 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 106065542 106065543 chr2:106065543:G:A rs763620828 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 106101199 106101200 chr2:106101200:G:A rs10177888 G A g EBF1_EBF_1 -11 0 + 0 0 . chr2 106105793 106105794 chr2:106105794:C:T rs1901470 C T c EBF1_EBF_1 32 0 - 0 0 . chr2 106105815 106105816 chr2:106105816:C:T rs150040002 C T C EBF1_EBF_1 10 1 - 4.405476426725944 -0.9125520325410225 ACCCCCGAGGGCAC chr2 106107652 106107653 chr2:106107653:G:C rs72945671 G C G EBF1_EBF_1 22 0 + 0 0 . chr2 106117807 106117808 chr2:106117808:G:A rs78802685 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 106130430 106130431 chr2:106130431:C:A rs1033631383 C A C EBF1_EBF_1 9 1 - 4.7134430958718045 -2.123546312217005 ATTCCACAGGGAGA chr2 106193776 106193777 chr2:106193777:C:A rs7590731 C A c EBF1_EBF_1 15 0 + 0 0 . chr2 106193793 106193794 chr2:106193794:G:A rs7563463 G A g EBF1_EBF_1 32 0 + 0 0 . chr2 106198351 106198352 chr2:106198352:A:C rs4521067 A C c EBF1_EBF_1 7 1 + 5.890518529134516 3.5967395427643343 CATCCCTAAGGAAA chr2 106296384 106296385 chr2:106296385:G:A rs13395525 G A A EBF1_EBF_1 20 0 - 0 0 . chr2 106323525 106323526 chr2:106323526:G:T rs78968558 G T G EBF1_EBF_1 -8 0 - 0 0 . chr2 106511786 106511787 chr2:106511787:G:A rs561005223 G A g EBF1_EBF_1 14 0 + 0 0 . chr2 106521931 106521932 chr2:106521932:G:A rs12991961 G A g EBF1_EBF_1 -16 0 + 0 0 . chr2 106531720 106531721 chr2:106531721:A:G rs113571333 A G a EBF1_EBF_1 6 1 + 6.856257720974507 4.689463289700033 TCTCCCATGGGCAT chr2 106547587 106547588 chr2:106547588:G:A rs921902351 G A g EBF1_EBF_1 9 1 + 6.242538118806067 3.587598853358463 AATCCTCTGGGACA chr2 106552167 106552168 chr2:106552168:T:G rs7603351 T G N EBF1_EBF_1 2 1 + 12.63276593363868 5.884440243438212 AGTCCCTAGGGAAA chr2 106703304 106703305 chr2:106703305:G:A rs78891269 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 106782844 106782845 chr2:106782845:C:G rs2241991 C G C EBF1_EBF_1 2 1 + 6.78490603011027 1.9254037513519529 ACCCCCTAGAGAAG chr2 106799832 106799833 chr2:106799833:G:A rs114072121 G A G EBF1_EBF_1 6 1 - 5.343444060420276 5.644730189282034 AATCCCCAGAGCCC chr2 106839348 106839349 chr2:106839349:A:G rs76466448 A G A EBF1_EBF_1 7 1 - 5.072715908255032 3.2552111619724076 ACTCCCCTGAGGGC chr2 106840982 106840983 chr2:106840983:T:C rs373136686 T C T EBF1_EBF_1 16 0 + 0 0 . chr2 106843517 106843518 chr2:106843518:C:T rs3796110 C T C EBF1_EBF_1 7 1 - 6.817731458095952 8.130189863384778 TTCCCCCGGGGAGC chr2 106850912 106850913 chr2:106850913:G:A rs111734264 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 106995902 106995903 chr2:106995903:A:G rs1524293 A G G EBF1_EBF_1 -1 0 - 0 0 . chr2 107005589 107005590 chr2:107005590:C:T rs1524295 C T C EBF1_EBF_1 16 0 + 0 0 . chr2 107018624 107018625 chr2:107018625:C:T rs6718608 C T c EBF1_EBF_1 20 0 - 0 0 . chr2 107137876 107137877 chr2:107137877:G:A rs115377720 G A g EBF1_EBF_1 -18 0 + 0 0 . chr2 107304158 107304159 chr2:107304159:A:G rs75372979 A G A EBF1_EBF_1 6 1 + 7.042907272725003 4.876112841450528 AGTCCCATGAGGAC chr2 107304162 107304163 chr2:107304163:G:A rs76447354 G A G EBF1_EBF_1 10 1 + 7.042907272725003 1.7248788134580375 AGTCCCATGAGGAC chr2 107307108 107307109 chr2:107307109:G:A rs76463587 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 107529310 107529311 chr2:107529311:C:T rs62158765 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 107569776 107569777 chr2:107569777:T:C rs17034416 T C T EBF1_EBF_1 28 0 + 0 0 . chr2 107695347 107695348 chr2:107695348:C:T rs56234904 C T T EBF1_EBF_1 -10 0 - 0 0 . chr2 108025714 108025715 chr2:108025715:A:C rs71419398 A C A EBF1_EBF_1 -17 0 - 0 0 . chr2 108032020 108032021 chr2:108032021:A:T rs62148709 A T a EBF1_EBF_1 2 1 - 6.8122431656342535 3.1277755780353766 AGTCCCCACGGAGT chr2 108216023 108216024 chr2:108216024:G:A rs77353213 G A g EBF1_EBF_1 32 0 + 0 0 . chr2 108221099 108221100 chr2:108221100:G:A rs1018526924 G A N EBF1_EBF_1 16 0 - 0 0 . chr2 108271336 108271337 chr2:108271337:T:C rs13016595 T C C EBF1_EBF_1 31 0 - 0 0 . chr2 108297646 108297647 chr2:108297647:C:T rs150323297 C T c EBF1_EBF_1 -10 0 + 0 0 . chr2 108306196 108306197 chr2:108306197:C:G rs114364379 C G C EBF1_EBF_1 -20 0 + 0 0 . chr2 108331113 108331114 chr2:108331114:T:C rs73954109 T C T EBF1_EBF_1 19 0 - 0 0 . chr2 108331126 108331127 chr2:108331127:A:T rs1020610 A T T EBF1_EBF_1 6 1 - 7.642401488658441 7.70271772759796 TCCCCCTGGGGAAC chr2 108347035 108347036 chr2:108347036:T:C rs10514623 T C t EBF1_EBF_1 -2 0 - 0 0 . chr2 108445323 108445324 chr2:108445324:T:A chr2:108445324:T:A T A - EBF1_EBF_1 2 1 + 9.00249623363598 5.318028646037102 GGTCCCCAGAGAAT chr2 108448967 108448968 chr2:108448968:C:T rs574195450 C T C EBF1_EBF_1 -7 0 - 0 0 . chr2 108449433 108449434 chr2:108449434:C:T rs76296501 C T C EBF1_EBF_1 8 1 - 9.125509592441295 4.899219503555034 AACCCCCAGGGATA chr2 108449676 108449677 chr2:108449677:C:T chr2:108449677:C:T C T C EBF1_EBF_1 13 1 + 6.964705330088065 7.809199444673583 CTACCCCTGGGACC chr2 108464166 108464167 chr2:108464167:A:G rs2718726 A G G EBF1_EBF_1 -16 0 + 0 0 . chr2 108534930 108534931 chr2:108534931:C:T rs186347378 C T c EBF1_EBF_1 -7 0 + 0 0 . chr2 108547264 108547265 chr2:108547265:T:C rs10194708 T C C EBF1_EBF_1 -3 0 - 0 0 . chr2 108553738 108553739 chr2:108553739:G:A rs148512217 G A g EBF1_EBF_1 -13 0 - 0 0 . chr2 108896578 108896579 chr2:108896579:C:T rs75703116 C T C EBF1_EBF_1 6 1 - 9.11873082172421 11.285525252998683 AACCCCGTGGGAAT chr2 108897599 108897600 chr2:108897600:T:G rs2169812 T G G EBF1_EBF_1 -15 0 - 0 0 . chr2 108907118 108907119 chr2:108907119:C:G rs12328353 C G C EBF1_EBF_1 -17 0 + 0 0 . chr2 108919059 108919060 chr2:108919060:C:T rs260641 C T C EBF1_EBF_1 15 0 - 0 0 . chr2 108920327 108920328 chr2:108920328:T:C rs260642 T C C EBF1_EBF_1 -16 0 - 0 0 . chr2 108929511 108929512 chr2:108929512:G:C rs28393619 G C C EBF1_EBF_1 -17 0 + 0 0 . chr2 108940210 108940211 chr2:108940211:G:C rs260709 G C C EBF1_EBF_1 -1 0 + 0 0 . chr2 108942501 108942502 chr2:108942502:G:A rs11694748 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 109072884 109072885 chr2:109072885:C:T rs13431490 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 109091927 109091928 chr2:109091928:T:G rs2134372 T G N EBF1_EBF_1 11 1 - 5.257082994111222 0.8411212962588326 CTCCCCAGGAGACA chr2 109100637 109100638 chr2:109100638:T:C rs17269605 T C T EBF1_EBF_1 -13 0 + 0 0 . chr2 109130764 109130765 chr2:109130765:A:T rs4676246 A T T EBF1_EBF_1 -8 0 - 0 0 . chr2 109141739 109141740 chr2:109141740:C:T rs13023759 C T C EBF1_EBF_1 6 1 + 7.708299261175196 8.009585390036953 AGACCCCGGGGAAC chr2 109149262 109149263 chr2:109149263:C:T rs570955965 C T C EBF1_EBF_1 2 1 + 6.600157588264407 8.488980999706557 GGCCCCCCGGGACC chr2 109149963 109149964 chr2:109149964:C:T rs569882766 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 109167042 109167043 chr2:109167043:C:T rs72822655 C T C EBF1_EBF_1 8 1 - 11.074783573616218 6.848493484729959 ATTCCCACGGGACA chr2 109188400 109188401 chr2:109188401:C:T rs36125620 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 109203713 109203714 chr2:109203714:A:G rs1010536114 A G A EBF1_EBF_1 22 0 + 0 0 . chr2 109238868 109238869 chr2:109238869:C:G rs187530205 C G C EBF1_EBF_1 9 1 - 9.681280156863977 4.6055910105185145 CCTCCCTTGGGACA chr2 109241646 109241647 chr2:109241647:C:G rs372232906 C G G EBF1_EBF_1 -12 0 - 0 0 . chr2 109259523 109259524 chr2:109259524:G:A rs10182250 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 109260652 109260653 chr2:109260653:A:C rs145712999 A C A EBF1_EBF_1 24 0 - 0 0 . chr2 109315714 109315715 chr2:109315715:C:T rs17035411 C T C EBF1_EBF_1 9 1 - 5.6392557162469386 2.9843164507993354 AGCCCCAGAGGAAC chr2 109317458 109317459 chr2:109317459:C:T rs58695387 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 109317494 109317495 chr2:109317495:C:T rs17035435 C T T EBF1_EBF_1 27 0 + 0 0 . chr2 109331850 109331851 chr2:109331851:C:T rs79244518 C T C EBF1_EBF_1 9 1 - 5.724123975152708 3.069184709705104 AGACCCCAGGGGCA chr2 109332180 109332181 chr2:109332181:G:A rs4676079 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 109336539 109336540 chr2:109336540:T:C rs62152236 T C T EBF1_EBF_1 24 0 - 0 0 . chr2 109371961 109371962 chr2:109371962:G:A rs570944773 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 109377076 109377077 chr2:109377077:A:G rs113445454 A G G EBF1_EBF_1 22 0 - 0 0 . chr2 109377114 109377115 chr2:109377115:C:T rs10196240 C T T EBF1_EBF_1 -16 0 - 0 0 . chr2 109384837 109384838 chr2:109384838:A:G rs4676083 A G G EBF1_EBF_1 21 0 - 0 0 . chr2 109386222 109386223 chr2:109386223:C:T rs114152420 C T T EBF1_EBF_1 26 0 - 0 0 . chr2 109402591 109402592 chr2:109402592:C:T rs34200716 C T C EBF1_EBF_1 -19 0 + 0 0 . chr2 109415711 109415712 chr2:109415712:A:T rs80121857 A T A EBF1_EBF_1 -1 0 - 0 0 . chr2 109435788 109435789 chr2:109435789:C:T rs116401216 C T C EBF1_EBF_1 7 1 - 5.5253366734653415 6.8377950787541675 TTTCCCCGAGGAAA chr2 109459561 109459562 chr2:109459562:C:T rs367926032 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 109467476 109467477 chr2:109467477:A:C rs72947137 A C A EBF1_EBF_1 -3 0 - 0 0 . chr2 109474497 109474498 chr2:109474498:G:A rs35701215 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 109498187 109498188 chr2:109498188:G:A rs28437391 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 109500418 109500419 chr2:109500419:G:A rs34973135 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 109500421 109500422 chr2:109500422:G:A rs34758765 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 109521382 109521383 chr2:109521383:C:T chr2:109521383:C:T C T C EBF1_EBF_1 4 1 + 5.106438131730645 0.7370511867857207 GCTCCCCAGGGTGC chr2 109528429 109528430 chr2:109528430:A:G rs113497478 A G A EBF1_EBF_1 -16 0 - 0 0 . chr2 109601955 109601956 chr2:109601956:C:T rs113830640 C T C EBF1_EBF_1 0 1 + 8.976691043436654 9.258893104167232 CTTCCCGAGGGAAA chr2 109644689 109644690 chr2:109644690:G:C rs117106499 G C G EBF1_EBF_1 32 0 + 0 0 . chr2 109646193 109646194 chr2:109646194:A:G rs28464899 A G G EBF1_EBF_1 -19 0 - 0 0 . chr2 109690651 109690652 chr2:109690652:G:A rs13384147 G A . EBF1_EBF_1 20 0 + 0 0 . chr2 109707394 109707395 chr2:109707395:G:A rs112261025 G A . EBF1_EBF_1 14 0 - 0 0 . chr2 110024223 110024224 chr2:110024224:T:G rs879668808 T G T EBF1_EBF_1 17 0 + 0 0 . chr2 110024236 110024237 chr2:110024237:G:A rs1171125427 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 110105842 110105843 chr2:110105843:G:T rs737181 G T G EBF1_EBF_1 1 1 - 4.2157439017887635 4.144816724436679 GCCCCCTAGGGCCA chr2 110114929 110114930 chr2:110114930:A:G rs11902091 A G G EBF1_EBF_1 2 1 - 5.022394569716395 3.133571158274246 GTTCCCTCGGAACT chr2 110336342 110336343 chr2:110336343:C:A rs534107271 C A C EBF1_EBF_1 18 0 - 0 0 . chr2 110689921 110689922 chr2:110689922:G:A rs72828831 G A G EBF1_EBF_1 5 1 - 6.478323205127766 1.1565359895870975 ATTCCCTGGAGGAA chr2 110717176 110717177 chr2:110717177:C:T rs66616139 C T C EBF1_EBF_1 22 0 - 0 0 . chr2 110770549 110770550 chr2:110770550:T:C rs182583583 T C T EBF1_EBF_1 17 0 + 0 0 . chr2 110869517 110869518 chr2:110869518:C:T rs72944211 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 110904877 110904878 chr2:110904878:G:C rs4332932 G C G EBF1_EBF_1 -4 0 - 0 0 . chr2 110948417 110948418 chr2:110948418:G:T rs111494013 G T G EBF1_EBF_1 10 1 + 6.78973953624571 2.606987350018445 ACTCCTCAGGGACA chr2 110972474 110972475 chr2:110972475:C:T rs75276092 C T G EBF1_EBF_1 -1 0 + 0 0 . chr2 111017628 111017629 chr2:111017629:G:A rs1038371 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 111018576 111018577 chr2:111018577:C:T rs72836307 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 111042960 111042961 chr2:111042961:T:C rs3789074 T C C EBF1_EBF_1 31 0 - 0 0 . chr2 111044279 111044280 chr2:111044280:A:G rs116092161 A G A EBF1_EBF_1 6 1 + 5.18437317551261 3.0175787442381345 TACCCCATGGGTCT chr2 111044454 111044455 chr2:111044455:G:A rs62163299 G A C EBF1_EBF_1 33 0 + 0 0 . chr2 111068674 111068675 chr2:111068675:G:C rs568023722 G C G EBF1_EBF_1 4 1 - 6.865166771596522 1.2394016704469775 ACTCCCTAGAGGCA chr2 111100395 111100396 chr2:111100396:C:T rs143043340 C T C EBF1_EBF_1 13 1 + 4.221231446498213 5.065725561083731 ACCTCCCAGGGAAC chr2 111139792 111139793 chr2:111139793:A:G rs113353186 A G A EBF1_EBF_1 1 1 - 5.8567576428452925 4.961324202446548 TTTCCCAGAGGACA chr2 111165333 111165334 chr2:111165334:G:A rs77565616 G A G EBF1_EBF_1 13 1 - 6.3297517164550525 7.174245831040571 ATTCCCATGAGGGC chr2 111173772 111173773 chr2:111173773:C:G rs2165107 C G C EBF1_EBF_1 12 1 + 6.424885163022705 5.036249219344554 ACTCCACAGGGACT chr2 111182569 111182570 chr2:111182570:A:G rs650588 A G G EBF1_EBF_1 -19 0 - 0 0 . chr2 111185936 111185937 chr2:111185937:A:G rs9808225 A G A EBF1_EBF_1 33 0 - 0 0 . chr2 111246803 111246804 chr2:111246804:C:A rs72837858 C A C EBF1_EBF_1 4 1 + 6.3261345973446765 0.5015749738912081 CCTCCCTGGGGGCC chr2 111267364 111267365 chr2:111267365:C:T rs2280180 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 111309885 111309886 chr2:111309886:G:A rs62161624 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 111315606 111315607 chr2:111315607:C:T rs3814029 C T C EBF1_EBF_1 8 1 - 6.632379951270632 2.4060898623843707 ATGCCCCAGGGACC chr2 111366233 111366234 chr2:111366234:T:C rs184432675 T C T EBF1_EBF_1 13 1 - 5.889647168969904 4.775321596900465 CTTCCCCTGGGCAA chr2 111410353 111410354 chr2:111410354:T:C rs62160676 T C T EBF1_EBF_1 -14 0 + 0 0 . chr2 111466226 111466227 chr2:111466227:T:C rs867855390 T C T EBF1_EBF_1 20 0 - 0 0 . chr2 111476459 111476460 chr2:111476460:C:T rs6760220 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 111479656 111479657 chr2:111479657:A:G rs6721071 A G G EBF1_EBF_1 -8 0 + 0 0 . chr2 111505461 111505462 chr2:111505462:C:T rs12623013 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 111552523 111552524 chr2:111552524:A:T rs62163384 A T A EBF1_EBF_1 -4 0 - 0 0 . chr2 111618337 111618338 chr2:111618338:C:T rs2166862 C T c EBF1_EBF_1 -1 0 - 0 0 . chr2 111618353 111618354 chr2:111618354:G:A rs1448189 G A g EBF1_EBF_1 -17 0 - 0 0 . chr2 111659577 111659578 chr2:111659578:C:T chr2:111659578:C:T C T C EBF1_EBF_1 22 0 - 0 0 . chr2 111659580 111659581 chr2:111659581:C:T rs568242767 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 111662367 111662368 chr2:111662368:G:T rs55877608 G T G EBF1_EBF_1 16 0 - 0 0 . chr2 111662384 111662385 chr2:111662385:C:T rs11122870 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 111663931 111663932 chr2:111663932:C:T rs10187443 C T C EBF1_EBF_1 1 1 + 8.688644073835386 9.58407751423413 ACTCCCTAGGGTCC chr2 111663957 111663958 chr2:111663958:G:C rs72611903 G C G EBF1_EBF_1 27 0 + 0 0 . chr2 111671580 111671581 chr2:111671581:G:A rs149067413 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 111702294 111702295 chr2:111702295:C:G rs3849311 C G G EBF1_EBF_1 31 0 + 0 0 . chr2 111710848 111710849 chr2:111710849:G:C rs11683033 G C G EBF1_EBF_1 -6 0 - 0 0 . chr2 111720118 111720119 chr2:111720119:A:G rs113029579 A G A EBF1_EBF_1 -16 0 + 0 0 . chr2 111899199 111899200 chr2:111899200:C:G rs6729037 C G c EBF1_EBF_1 -14 0 + 0 0 . chr2 111912607 111912608 chr2:111912608:A:G rs1400322 A G A EBF1_EBF_1 -11 0 + 0 0 . chr2 111923302 111923303 chr2:111923303:A:G rs1400326 A G G EBF1_EBF_1 21 0 + 0 0 . chr2 111966843 111966844 chr2:111966844:G:A rs10084408 G A G EBF1_EBF_1 0 1 + 4.5081562752035245 6.400440144768359 GGCCCCCAGGGCAC chr2 111985807 111985808 chr2:111985808:A:G rs11676037 A G G EBF1_EBF_1 11 1 + 4.069033572345518 1.1763267287415065 CCTCCCATGGCACT chr2 112010363 112010364 chr2:112010364:A:G rs77306155 A G A EBF1_EBF_1 -10 0 + 0 0 . chr2 112011413 112011414 chr2:112011414:G:A rs12469210 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 112020683 112020684 chr2:112020684:G:C rs13416895 G C G EBF1_EBF_1 5 1 - 7.110865109571628 0.2059839569364591 AGCCCCCTGAGAGT chr2 112174358 112174359 chr2:112174359:T:C rs7557904 T C C EBF1_EBF_1 -14 0 - 0 0 . chr2 112290426 112290427 chr2:112290427:C:T rs114991511 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 112343526 112343527 chr2:112343527:A:G rs72833431 A G . EBF1_EBF_1 23 0 + 0 0 . chr2 112435524 112435525 chr2:112435525:G:A rs148742124 G A . EBF1_EBF_1 7 1 + 4.151974823369927 5.464433228658752 AGCCCTCGGGGACC chr2 112476615 112476616 chr2:112476616:G:A rs192942902 G A . EBF1_EBF_1 -8 0 - 0 0 . chr2 112482022 112482023 chr2:112482023:G:A rs192454741 G A G EBF1_EBF_1 13 1 - 3.654891943723411 4.49938605830893 CCTCCCCCGGGGGC chr2 112485743 112485744 chr2:112485744:G:A rs3961919 G A A EBF1_EBF_1 32 0 - 0 0 . chr2 112542078 112542079 chr2:112542079:C:G rs1410465608 C G C EBF1_EBF_1 28 0 + 0 0 . chr2 112627428 112627429 chr2:112627429:C:T rs377634485 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 112630409 112630410 chr2:112630410:T:C rs12613156 T C T EBF1_EBF_1 25 0 + 0 0 . chr2 112644139 112644140 chr2:112644140:G:A rs6737094 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 112646510 112646511 chr2:112646511:C:T rs911804949 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 112715394 112715395 chr2:112715395:T:C rs141310306 T C T EBF1_EBF_1 23 0 - 0 0 . chr2 112715433 112715434 chr2:112715434:C:T rs78950788 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 112718717 112718718 chr2:112718718:A:G rs4849111 A G A EBF1_EBF_1 -13 0 + 0 0 . chr2 112723841 112723842 chr2:112723842:C:T rs140670611 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 112723847 112723848 chr2:112723848:C:G rs572274510 C G C EBF1_EBF_1 3 1 + 7.569335087861063 1.7415706397458477 GGCCCCAGGGGACA chr2 112723861 112723862 chr2:112723862:T:A rs13384583 T A a EBF1_EBF_1 17 0 + 0 0 . chr2 112727969 112727970 chr2:112727970:G:A rs75385559 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 112764440 112764441 chr2:112764441:G:A rs114643067 G A G EBF1_EBF_1 6 1 - 5.971271451472498 6.272557580334254 TTTCCCCTGGGCGT chr2 112764468 112764469 chr2:112764469:G:A rs1219922039 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 112812123 112812124 chr2:112812124:C:T rs144833314 C T C EBF1_EBF_1 4 1 + 7.880137720912777 3.510750775967855 ATTCCCAAGGAAGT chr2 112917364 112917365 chr2:112917365:A:G rs2723184 A G G EBF1_EBF_1 21 0 - 0 0 . chr2 112942403 112942404 chr2:112942404:A:G rs12465526 A G N EBF1_EBF_1 -17 0 + 0 0 . chr2 112958951 112958952 chr2:112958952:G:A rs79890368 G A g EBF1_EBF_1 11 1 + 7.730462886324837 10.623169729928847 GCTCCCCAGGGGAC chr2 112973462 112973463 chr2:112973463:G:T rs114616387 G T g EBF1_EBF_1 2 1 - 8.175001819562357 6.37935764340563 GACCCCATGGGAAA chr2 113104539 113104540 chr2:113104540:C:T rs114970061 C T c EBF1_EBF_1 12 1 + 5.499122007216955 4.472855436666105 GCTCCCAGGAGACG chr2 113128925 113128926 chr2:113128926:G:A rs1794068 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 113134563 113134564 chr2:113134564:T:C rs397211 T C T EBF1_EBF_1 0 1 - 5.2609173147831925 3.368633445218358 ATCCCCCAGGTGCT chr2 113137825 113137826 chr2:113137826:C:A rs315939 C A A EBF1_EBF_1 9 1 - 8.16002309097274 1.3230336828839282 GCCCCCCAGGGAGT chr2 113150219 113150220 chr2:113150220:C:T rs1586815 C T T EBF1_EBF_1 -4 0 + 0 0 . chr2 113165496 113165497 chr2:113165497:C:T rs1867760 C T T EBF1_EBF_1 13 1 + 8.315949107266126 9.160443221851645 CTCCCCAGGGGAAC chr2 113185325 113185326 chr2:113185326:A:C rs1975535 A C C EBF1_EBF_1 18 0 - 0 0 . chr2 113186882 113186883 chr2:113186883:A:C rs4849168 A C C EBF1_EBF_1 13 1 - 6.029918931822344 3.697353795881411 GATCCCAGGGGCAT chr2 113211477 113211478 chr2:113211478:G:A rs1470403365 G A G EBF1_EBF_1 6 1 + 4.975208111863438 7.142002543137912 ATTCCCGGGGAAAC chr2 113211649 113211650 chr2:113211650:A:T rs557661826 A T A EBF1_EBF_1 -7 0 - 0 0 . chr2 113211653 113211654 chr2:113211654:A:G rs77917353 A G A EBF1_EBF_1 -11 0 - 0 0 . chr2 113218328 113218329 chr2:113218329:G:T rs575199444 G T G EBF1_EBF_1 30 0 + 0 0 . chr2 113273347 113273348 chr2:113273348:G:A rs2289896 G A G EBF1_EBF_1 3 1 - 7.247809835759027 0.8424110942057088 ACTCCCTGAGGACC chr2 113306154 113306155 chr2:113306155:C:T rs142545740 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 113306168 113306169 chr2:113306169:C:T rs114663986 C T C EBF1_EBF_1 4 1 + 6.507342609214476 2.137955664269553 ATTTCCCGGGGACT chr2 113314469 113314470 chr2:113314470:C:T rs72611916 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 113502771 113502772 chr2:113502772:G:A rs187500429 G A . EBF1_EBF_1 4 1 - 4.944175482465671 0.5747885375207478 GCACCCCTGGGACG chr2 113515527 113515528 chr2:113515528:T:C rs761647 T C . EBF1_EBF_1 28 0 - 0 0 . chr2 113571748 113571749 chr2:113571749:G:A rs3893136 G A . EBF1_EBF_1 0 1 + 8.728078147741812 10.620362017306645 GCTCCCCTGGGAGC chr2 113589771 113589772 chr2:113589772:T:C rs74930617 T C t EBF1_EBF_1 -14 0 - 0 0 . chr2 113626720 113626721 chr2:113626721:C:T rs554331691 C T c EBF1_EBF_1 27 0 - 0 0 . chr2 113627201 113627202 chr2:113627202:C:T chr2:113627202:C:T C T c EBF1_EBF_1 -8 0 + 0 0 . chr2 113756254 113756255 chr2:113756255:A:C chr2:113756255:A:C A C A EBF1_EBF_1 17 0 + 0 0 . chr2 113816173 113816174 chr2:113816174:G:A rs10206822 G A - EBF1_EBF_1 18 0 - 0 0 . chr2 113839021 113839022 chr2:113839022:G:C rs62171763 G C G EBF1_EBF_1 22 0 - 0 0 . chr2 113846565 113846566 chr2:113846566:T:C rs6542176 T C C EBF1_EBF_1 -11 0 + 0 0 . chr2 113890494 113890495 chr2:113890495:C:T rs1504043 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 113890503 113890504 chr2:113890504:C:T rs6743651 C T C EBF1_EBF_1 1 1 + 4.622225296217219 5.517658736615965 TCCCTCCTGGGACT chr2 113977610 113977611 chr2:113977611:A:G rs13023671 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 113979362 113979363 chr2:113979363:C:G rs10176461 C G A EBF1_EBF_1 15 0 + 0 0 . chr2 113981181 113981182 chr2:113981182:T:A rs10197726 T A T EBF1_EBF_1 -19 0 - 0 0 . chr2 114156885 114156886 chr2:114156886:T:C rs17048175 T C T EBF1_EBF_1 -18 0 - 0 0 . chr2 114234264 114234265 chr2:114234265:G:A rs7593998 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 114384038 114384039 chr2:114384039:G:A rs10864931 G A G EBF1_EBF_1 8 1 + 5.498898071621668 1.2726079827354075 AATGCCACGGGACT chr2 114698435 114698436 chr2:114698436:C:T rs116367556 C T C EBF1_EBF_1 3 1 + 6.998722001295478 0.5933232597421613 ACTCCCCAGGGTGC chr2 114963787 114963788 chr2:114963788:A:G rs6730243 A G A EBF1_EBF_1 -6 0 - 0 0 . chr2 115012307 115012308 chr2:115012308:G:T rs6716454 G T G EBF1_EBF_1 18 0 + 0 0 . chr2 115161277 115161278 chr2:115161278:C:T rs186574338 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 115161279 115161280 chr2:115161280:G:C rs6729043 G C G EBF1_EBF_1 17 0 + 0 0 . chr2 115161287 115161288 chr2:115161288:G:A rs768341703 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 115196079 115196080 chr2:115196080:C:G rs17355553 C G C EBF1_EBF_1 -5 0 + 0 0 . chr2 115558129 115558130 chr2:115558130:C:G rs17044687 C G C EBF1_EBF_1 -10 0 + 0 0 . chr2 115675900 115675901 chr2:115675901:T:G rs6757658 T G T EBF1_EBF_1 22 0 + 0 0 . chr2 115676088 115676089 chr2:115676089:G:C rs6542284 G C G EBF1_EBF_1 25 0 + 0 0 . chr2 115909319 115909320 chr2:115909320:C:T rs12329116 C T C EBF1_EBF_1 2 1 + 9.629779758885443 11.51860317032759 GTCCCCCAGGGAAC chr2 116128812 116128813 chr2:116128813:C:T rs57242485 C T T EBF1_EBF_1 7 1 + 9.011498083240266 10.829002829522889 CTTCCCACGGGATT chr2 116484354 116484355 chr2:116484355:G:A rs35280736 G A G EBF1_EBF_1 8 1 + 7.516785410886074 3.2904953219998134 AGTGCCTTGGGACT chr2 116617635 116617636 chr2:116617636:G:A rs7598642 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 116617674 116617675 chr2:116617675:C:T rs2584980 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 116630176 116630177 chr2:116630177:C:T rs913771677 C T C EBF1_EBF_1 12 1 - 7.288917703326851 8.707735045426329 ATTCCCCAGAGGGT chr2 116654156 116654157 chr2:116654157:A:G rs4580393 A G A EBF1_EBF_1 19 0 - 0 0 . chr2 116820894 116820895 chr2:116820895:C:T rs4848428 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 117572243 117572244 chr2:117572244:T:C rs12328767 T C T EBF1_EBF_1 16 0 + 0 0 . chr2 117572255 117572256 chr2:117572256:C:T rs116209934 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 117572258 117572259 chr2:117572259:C:G rs4849573 C G G EBF1_EBF_1 31 0 + 0 0 . chr2 117613216 117613217 chr2:117613217:T:G rs72957825 T G G EBF1_EBF_1 -12 0 - 0 0 . chr2 117715158 117715159 chr2:117715159:C:A rs73947413 C A C EBF1_EBF_1 10 1 - 6.95405402901125 2.771301842783984 AACCCCCAGGGCCT chr2 117787051 117787052 chr2:117787052:C:G rs973388 C G G EBF1_EBF_1 -13 0 + 0 0 . chr2 117788058 117788059 chr2:117788059:T:C rs6755829 T C C EBF1_EBF_1 -10 0 - 0 0 . chr2 117797570 117797571 chr2:117797571:T:A rs11677382 T A T EBF1_EBF_1 16 0 + 0 0 . chr2 117852215 117852216 chr2:117852216:G:A rs1017389 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 117921589 117921590 chr2:117921590:T:C rs9308761 T C T EBF1_EBF_1 -20 0 - 0 0 . chr2 118005491 118005492 chr2:118005492:G:A rs11684454 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 118013711 118013712 chr2:118013712:T:G rs550915379 T G T EBF1_EBF_1 -2 0 + 0 0 . chr2 118174907 118174908 chr2:118174908:A:G rs4849681 A G A EBF1_EBF_1 33 0 - 0 0 . chr2 118255001 118255002 chr2:118255002:G:A rs11690269 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 118256149 118256150 chr2:118256150:C:G rs115174928 C G C EBF1_EBF_1 19 0 - 0 0 . chr2 118284582 118284583 chr2:118284583:T:C rs6755326 T C T EBF1_EBF_1 17 0 + 0 0 . chr2 118387781 118387782 chr2:118387782:T:C rs75460051 T C T EBF1_EBF_1 -18 0 + 0 0 . chr2 118387793 118387794 chr2:118387794:G:A rs73949282 G A G EBF1_EBF_1 -6 0 + 0 0 . chr2 118492853 118492854 chr2:118492854:C:T rs1344896 C T T EBF1_EBF_1 -16 0 + 0 0 . chr2 118568993 118568994 chr2:118568994:T:C chr2:118568994:T:C T C T EBF1_EBF_1 -4 0 + 0 0 . chr2 118670539 118670540 chr2:118670540:T:G rs144112656 T G T EBF1_EBF_1 -10 0 - 0 0 . chr2 118699635 118699636 chr2:118699636:T:G rs332657 T G G EBF1_EBF_1 31 0 + 0 0 . chr2 118723397 118723398 chr2:118723398:C:T rs11123491 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 118758623 118758624 chr2:118758624:A:G rs332671 A G G EBF1_EBF_1 0 1 - 5.104652263835637 4.822450203105059 TATCCCAGGGGCAC chr2 118794595 118794596 chr2:118794596:C:A rs76253842 C A C EBF1_EBF_1 23 0 + 0 0 . chr2 118814543 118814544 chr2:118814544:G:A rs10496553 G A G EBF1_EBF_1 -14 0 - 0 0 . chr2 118832539 118832540 chr2:118832540:A:C rs6542485 A C C EBF1_EBF_1 17 0 + 0 0 . chr2 118832548 118832549 chr2:118832549:T:C rs56358111 T C T EBF1_EBF_1 26 0 + 0 0 . chr2 118835947 118835948 chr2:118835948:G:A rs79540222 G A G EBF1_EBF_1 8 1 + 5.365037886392149 1.1387477975058873 CGTCCCTGGAGAGC chr2 118835959 118835960 chr2:118835960:G:A rs74690046 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 118855540 118855541 chr2:118855541:A:G rs62159869 A G A EBF1_EBF_1 -6 0 - 0 0 . chr2 118882864 118882865 chr2:118882865:A:C rs1438846 A C C EBF1_EBF_1 23 0 - 0 0 . chr2 118882896 118882897 chr2:118882897:C:A rs10203914 C A C EBF1_EBF_1 -9 0 - 0 0 . chr2 118903235 118903236 chr2:118903236:T:C rs748032 T C C EBF1_EBF_1 1 1 + 8.587032304940237 7.691598864541495 ATCCCCAAGGGGCA chr2 118903239 118903240 chr2:118903240:C:T rs2422427 C T C EBF1_EBF_1 5 1 + 8.587032304940237 3.26524508939957 ATCCCCAAGGGGCA chr2 118914969 118914970 chr2:118914970:T:C rs11694102 T C C EBF1_EBF_1 -20 0 + 0 0 . chr2 118929325 118929326 chr2:118929326:C:A rs146819612 C A C EBF1_EBF_1 -17 0 - 0 0 . chr2 118967883 118967884 chr2:118967884:A:G rs17009726 A G A EBF1_EBF_1 17 0 - 0 0 . chr2 118977722 118977723 chr2:118977723:G:A rs112574078 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 118980678 118980679 chr2:118980679:G:A rs1898996 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 118981486 118981487 chr2:118981487:T:C rs6761637 T C C EBF1_EBF_1 -11 0 - 0 0 . chr2 118988165 118988166 chr2:118988166:A:G rs116330536 A G A EBF1_EBF_1 31 0 - 0 0 . chr2 118988191 118988192 chr2:118988192:G:A rs79753142 G A G EBF1_EBF_1 5 1 - 4.084688125174858 -1.2370990903658134 ACCTCCAGGGGACT chr2 118993195 118993196 chr2:118993196:C:T rs780134774 C T c EBF1_EBF_1 9 1 - 5.416846174236852 2.761906908789247 GTCCCCCAGGTACC chr2 119005156 119005157 chr2:119005157:G:A rs73948265 G A g EBF1_EBF_1 4 1 - 5.060125765799324 0.6907388208543994 GGTCCCTTGAGGCC chr2 119005166 119005167 chr2:119005167:C:G rs118062594 C G C EBF1_EBF_1 -6 0 - 0 0 . chr2 119068430 119068431 chr2:119068431:C:T rs116768339 C T C EBF1_EBF_1 6 1 - 8.441424504547474 10.608218935821949 ACTCCCGTGGGAGA chr2 119109999 119110000 chr2:119110000:G:A rs1947100 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 119117136 119117137 chr2:119117137:G:A rs111404033 G A G EBF1_EBF_1 4 1 - 4.21827547454378 -0.15111147040114337 CGTGCCCAGGGACA chr2 119119391 119119392 chr2:119119392:G:A rs77990273 G A G EBF1_EBF_1 3 1 - 5.458141934761489 -0.9472568067918286 AGTCCCCTAGGATG chr2 119123406 119123407 chr2:119123407:G:T rs116288701 G T G EBF1_EBF_1 19 0 - 0 0 . chr2 119179207 119179208 chr2:119179208:A:G rs147016109 A G A EBF1_EBF_1 -11 0 + 0 0 . chr2 119189388 119189389 chr2:119189389:G:A rs13017664 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 119205349 119205350 chr2:119205350:C:T rs876062 C T G EBF1_EBF_1 -10 0 + 0 0 . chr2 119222147 119222148 chr2:119222148:G:A rs78091564 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 119223715 119223716 chr2:119223716:G:A rs535092188 G A G EBF1_EBF_1 6 1 - 5.043527887401563 5.34481401626332 CCTCCCCCGGGGCC chr2 119223732 119223733 chr2:119223733:G:A rs553205279 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 119224030 119224031 chr2:119224031:C:T rs563284377 C T C EBF1_EBF_1 13 1 + 10.22571319258799 11.07020730717351 TGTCCCCTGGGACC chr2 119247369 119247370 chr2:119247370:G:A rs79425886 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 119278412 119278413 chr2:119278413:T:G rs78250517 T G T EBF1_EBF_1 -12 0 - 0 0 . chr2 119285475 119285476 chr2:119285476:C:T rs73948638 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 119299032 119299033 chr2:119299033:C:T rs55912830 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 119305001 119305002 chr2:119305002:C:T rs75518489 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 119366562 119366563 chr2:119366563:G:C rs1023855963 G C G EBF1_EBF_1 -11 0 - 0 0 . chr2 119368359 119368360 chr2:119368360:T:C rs2244135 T C C EBF1_EBF_1 23 0 - 0 0 . chr2 119368396 119368397 chr2:119368397:G:C rs3091407 G C G EBF1_EBF_1 -14 0 - 0 0 . chr2 119371785 119371786 chr2:119371786:G:A rs77042615 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 119377431 119377432 chr2:119377432:G:C rs150371005 G C G EBF1_EBF_1 -16 0 + 0 0 . chr2 119385234 119385235 chr2:119385235:G:A rs6760826 G A A EBF1_EBF_1 -2 0 + 0 0 . chr2 119404350 119404351 chr2:119404351:T:G rs72833231 T G T EBF1_EBF_1 28 0 + 0 0 . chr2 119407444 119407445 chr2:119407445:T:C rs10427304 T C C EBF1_EBF_1 -19 0 - 0 0 . chr2 119407725 119407726 chr2:119407726:C:T rs61094245 C T C EBF1_EBF_1 1 1 + 5.998634499637905 6.894067940036651 TCCCCCCAGAGAAC chr2 119424593 119424594 chr2:119424594:G:A rs35411557 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 119426421 119426422 chr2:119426422:C:T rs147073288 C T C EBF1_EBF_1 9 1 - 6.901890778097173 4.246951512649569 ACCCCCACGGGAGA chr2 119431482 119431483 chr2:119431483:A:G rs79090030 A G A EBF1_EBF_1 27 0 + 0 0 . chr2 119431602 119431603 chr2:119431603:T:C chr2:119431603:T:C T C T EBF1_EBF_1 -14 0 + 0 0 . chr2 119431619 119431620 chr2:119431620:C:G rs113667417 C G C EBF1_EBF_1 3 1 + 10.93137497841752 5.103610530302304 TTTCCCCTGGGACC chr2 119431645 119431646 chr2:119431646:C:G rs111253622 C G C EBF1_EBF_1 29 0 + 0 0 . chr2 119431668 119431669 chr2:119431669:G:A rs140473792 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 119432380 119432381 chr2:119432381:G:C rs372493345 G C G EBF1_EBF_1 -17 0 - 0 0 . chr2 119440739 119440740 chr2:119440740:T:G chr2:119440740:T:G T G T EBF1_EBF_1 -2 0 - 0 0 . chr2 119482638 119482639 chr2:119482639:G:A rs116740213 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 119482644 119482645 chr2:119482645:A:C rs10207050 A C A EBF1_EBF_1 25 0 + 0 0 . chr2 119482649 119482650 chr2:119482650:G:A rs74393452 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 119483200 119483201 chr2:119483201:G:A rs80169232 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 119486095 119486096 chr2:119486096:A:G rs115723664 A G A EBF1_EBF_1 14 0 + 0 0 . chr2 119486590 119486591 chr2:119486591:G:A rs57872086 G A G EBF1_EBF_1 1 1 - 5.4263183375903035 6.321751777989049 CCTCCCGAGAGAAA chr2 119486858 119486859 chr2:119486859:T:C rs11903066 T C T EBF1_EBF_1 -13 0 - 0 0 . chr2 119487586 119487587 chr2:119487587:G:A rs6542532 G A G EBF1_EBF_1 6 1 - 7.2759448836376714 7.57723101249943 TCTCCCCAGGGGAA chr2 119544251 119544252 chr2:119544252:G:A rs11682382 G A G EBF1_EBF_1 13 1 - 8.712988132815214 9.557482247400733 ATTCCCGAGGGGAC chr2 119585982 119585983 chr2:119585983:G:C rs11684429 G C G EBF1_EBF_1 25 0 - 0 0 . chr2 119644384 119644385 chr2:119644385:C:T rs115208584 C T C EBF1_EBF_1 0 1 - 4.967223230357977 6.859507099922811 GCTCGCCAGGGACC chr2 119655255 119655256 chr2:119655256:C:T rs1521913 C T T EBF1_EBF_1 25 0 + 0 0 . chr2 119678973 119678974 chr2:119678974:C:A rs553629846 C A C EBF1_EBF_1 -5 0 + 0 0 . chr2 119678994 119678995 chr2:119678995:C:T rs11692772 C T - EBF1_EBF_1 16 0 + 0 0 . chr2 119679003 119679004 chr2:119679004:A:G rs2272055 A G G EBF1_EBF_1 25 0 + 0 0 . chr2 119685031 119685032 chr2:119685032:T:C rs4401215 T C T EBF1_EBF_1 15 0 - 0 0 . chr2 119695334 119695335 chr2:119695335:A:T rs144197744 A T A EBF1_EBF_1 29 0 - 0 0 . chr2 119695642 119695643 chr2:119695643:A:G rs115893556 A G G EBF1_EBF_1 32 0 - 0 0 . chr2 119695643 119695644 chr2:119695644:T:C rs187664836 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 119702925 119702926 chr2:119702926:C:G rs542478005 C G C EBF1_EBF_1 -11 0 - 0 0 . chr2 119725040 119725041 chr2:119725041:C:T rs150465727 C T C EBF1_EBF_1 12 1 + 6.886160739911513 5.859894169360664 ATCCCCGGGGGACG chr2 119740226 119740227 chr2:119740227:T:C rs57532182 T C C EBF1_EBF_1 23 0 - 0 0 . chr2 119756849 119756850 chr2:119756850:A:G rs551683803 A G A EBF1_EBF_1 -18 0 + 0 0 . chr2 119756894 119756895 chr2:119756895:C:T rs549312782 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 119760001 119760002 chr2:119760002:C:T rs867439834 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 119760016 119760017 chr2:119760017:C:T rs34265631 C T C EBF1_EBF_1 11 1 + 3.9278712293813856 4.245918671135049 GGTCCCAGGGGCCG chr2 119823935 119823936 chr2:119823936:C:T rs754120155 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 119826477 119826478 chr2:119826478:C:T chr2:119826478:C:T C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 120013064 120013065 chr2:120013065:C:G rs2276587 C G C EBF1_EBF_1 25 0 - 0 0 . chr2 120013085 120013086 chr2:120013086:G:A rs765668724 G A G EBF1_EBF_1 4 1 - 5.584421907801157 1.215034962856232 AATCCCCGGAGGAC chr2 120013105 120013106 chr2:120013106:G:A rs555463118 G A - EBF1_EBF_1 -16 0 - 0 0 . chr2 120013317 120013318 chr2:120013318:C:T chr2:120013318:C:T C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 120155880 120155881 chr2:120155881:G:A rs4849831 G A G EBF1_EBF_1 10 1 + 4.662741542874642 -0.6552869163923238 GGCCCCCAGCGACT chr2 120204976 120204977 chr2:120204977:G:A rs113551833 G A g EBF1_EBF_1 -11 0 + 0 0 . chr2 120208694 120208695 chr2:120208695:C:T rs10199228 C T C EBF1_EBF_1 -18 0 - 0 0 . chr2 120231100 120231101 chr2:120231101:A:G rs934715 A G G EBF1_EBF_1 -10 0 - 0 0 . chr2 120245719 120245720 chr2:120245720:C:T rs927601027 C T C EBF1_EBF_1 7 1 + 4.669782438115587 6.487287184398209 CCTCCCCCGGGGCA chr2 120245723 120245724 chr2:120245724:G:C chr2:120245724:G:C G C G EBF1_EBF_1 11 1 + 4.669782438115587 3.146527583867208 CCTCCCCCGGGGCA chr2 120251098 120251099 chr2:120251099:G:A rs3806491 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 120262377 120262378 chr2:120262378:A:G rs79875735 A G A EBF1_EBF_1 31 0 + 0 0 . chr2 120279656 120279657 chr2:120279657:A:C rs3860373 A C C EBF1_EBF_1 22 0 - 0 0 . chr2 120281889 120281890 chr2:120281890:G:C rs80100726 G C G EBF1_EBF_1 -11 0 + 0 0 . chr2 120344995 120344996 chr2:120344996:T:C rs13419301 T C T EBF1_EBF_1 -14 0 + 0 0 . chr2 120347136 120347137 chr2:120347137:C:T rs146341261 C T C EBF1_EBF_1 0 1 - 4.3716129538801685 6.263896823445002 GGCCCCAGGGGCCT chr2 120352982 120352983 chr2:120352983:C:A rs60130558 C A C EBF1_EBF_1 -2 0 + 0 0 . chr2 120360454 120360455 chr2:120360455:A:G rs7576192 A G G EBF1_EBF_1 -4 0 + 0 0 . chr2 120374106 120374107 chr2:120374107:T:C rs9308779 T C C EBF1_EBF_1 -16 0 + 0 0 . chr2 120377563 120377564 chr2:120377564:C:A rs6737213 C A C EBF1_EBF_1 21 0 - 0 0 . chr2 120377589 120377590 chr2:120377590:C:T rs72827474 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 120381433 120381434 chr2:120381434:G:A rs4241158 G A G EBF1_EBF_1 6 1 - 14.225199756056616 14.526485884918374 ATTCCCCAGGGACT chr2 120384591 120384592 chr2:120384592:A:G rs11680884 A G T EBF1_EBF_1 17 0 - 0 0 . chr2 120384928 120384929 chr2:120384929:G:T rs4848592 G T G EBF1_EBF_1 27 0 + 0 0 . chr2 120395707 120395708 chr2:120395708:C:T rs13389624 C T T EBF1_EBF_1 14 0 - 0 0 . chr2 120395709 120395710 chr2:120395710:G:A rs79783122 G A G EBF1_EBF_1 12 1 - 4.863203630940225 3.8369370603893747 AACCCCATGAGGCC chr2 120396753 120396754 chr2:120396754:C:T rs13393184 C T T EBF1_EBF_1 7 1 + 5.420659143888151 7.2381638901707746 TGCCCCTCGGGATA chr2 120398229 120398230 chr2:120398230:A:T rs6736460 A T T EBF1_EBF_1 -16 0 - 0 0 . chr2 120402568 120402569 chr2:120402569:T:G rs10206874 T G G EBF1_EBF_1 0 1 - 5.298632401744038 3.043373918047439 ATTCCCTGGAGCCC chr2 120406450 120406451 chr2:120406451:G:A rs7608411 G A A EBF1_EBF_1 32 0 - 0 0 . chr2 120435075 120435076 chr2:120435076:A:G rs11890441 A G G EBF1_EBF_1 15 0 + 0 0 . chr2 120439764 120439765 chr2:120439765:C:A rs4300832 C A A EBF1_EBF_1 -15 0 - 0 0 . chr2 120451210 120451211 chr2:120451211:G:A rs144489067 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 120472421 120472422 chr2:120472422:G:A rs6542600 G A g EBF1_EBF_1 14 0 + 0 0 . chr2 120477389 120477390 chr2:120477390:G:A rs62168341 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 120512156 120512157 chr2:120512157:T:A chr2:120512157:T:A T A G EBF1_EBF_1 1 1 + 6.836815526227131 5.870454908476301 CTCCCCTGGGGAGC chr2 120512156 120512157 chr2:120512157:T:G rs11887810 T G G EBF1_EBF_1 1 1 + 6.836815526227131 6.131153740397603 CTCCCCTGGGGAGC chr2 120525088 120525089 chr2:120525089:T:C rs11891468 T C C EBF1_EBF_1 -4 0 + 0 0 . chr2 120527744 120527745 chr2:120527745:G:A rs75701823 G A G EBF1_EBF_1 7 1 + 3.676178989216707 4.988637394505532 AGCGCCCGGGGAAC chr2 120540598 120540599 chr2:120540599:T:C rs60329174 T C T EBF1_EBF_1 11 1 - 10.138470328820356 7.2457634852163455 TCTCCCCAGGGACA chr2 120546790 120546791 chr2:120546791:T:A rs6726987 T A A EBF1_EBF_1 15 0 - 0 0 . chr2 120551911 120551912 chr2:120551912:C:T rs2030746 C T T EBF1_EBF_1 9 1 - 9.598226114133626 6.943286848686023 CTCCCCAAGGGACC chr2 120551931 120551932 chr2:120551932:C:T rs55914566 C T C EBF1_EBF_1 -11 0 - 0 0 . chr2 120552892 120552893 chr2:120552893:T:C rs77533331 T C T EBF1_EBF_1 -8 0 - 0 0 . chr2 120564253 120564254 chr2:120564254:C:T rs10209690 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 120564617 120564618 chr2:120564618:G:A rs78940407 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 120568331 120568332 chr2:120568332:G:A rs9784137 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 120568332 120568333 chr2:120568333:C:T rs13023167 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 120588255 120588256 chr2:120588256:A:C rs74849151 A C t EBF1_EBF_1 -5 0 + 0 0 . chr2 120595411 120595412 chr2:120595412:T:C rs11694368 T C C EBF1_EBF_1 -6 0 - 0 0 . chr2 120610252 120610253 chr2:120610253:C:T rs117797610 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 120623492 120623493 chr2:120623493:G:T rs72833887 G T G EBF1_EBF_1 9 1 + 5.441435253553475 -1.3955541545353347 GATCCCAAGGGCTC chr2 120628383 120628384 chr2:120628384:G:A rs76179396 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 120630173 120630174 chr2:120630174:C:A rs4504001 C A A EBF1_EBF_1 -6 0 + 0 0 . chr2 120669374 120669375 chr2:120669375:C:G chr2:120669375:C:G C G C EBF1_EBF_1 20 0 - 0 0 . chr2 120669386 120669387 chr2:120669387:C:T rs72835504 C T C EBF1_EBF_1 8 1 - 4.700961988824653 0.4746718999383916 AACCACCAGGGACC chr2 120675522 120675523 chr2:120675523:G:A rs138510418 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 120707980 120707981 chr2:120707981:C:T rs34053071 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 120708017 120708018 chr2:120708018:A:T rs72968046 A T A EBF1_EBF_1 -8 0 - 0 0 . chr2 120711169 120711170 chr2:120711170:G:C rs6727081 G C C EBF1_EBF_1 15 0 - 0 0 . chr2 120724464 120724465 chr2:120724465:T:C rs12328211 T C C EBF1_EBF_1 -9 0 + 0 0 . chr2 120738025 120738026 chr2:120738026:A:G rs697697 A G G EBF1_EBF_1 -6 0 + 0 0 . chr2 120740973 120740974 chr2:120740974:C:T rs62150663 C T C EBF1_EBF_1 0 1 - 5.115128587941023 7.007412457505857 GTTCACTTGGGACA chr2 120758730 120758731 chr2:120758731:G:A rs1823264 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 120758764 120758765 chr2:120758765:C:T rs34152229 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 120802183 120802184 chr2:120802184:T:C rs12616149 T C C EBF1_EBF_1 22 0 + 0 0 . chr2 120806534 120806535 chr2:120806535:G:T rs6705732 G T G EBF1_EBF_1 20 0 - 0 0 . chr2 120819781 120819782 chr2:120819782:C:T rs771029434 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 120819782 120819783 chr2:120819783:A:G rs6541740 A G G EBF1_EBF_1 19 0 + 0 0 . chr2 120851418 120851419 chr2:120851419:G:A rs71424363 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 120873634 120873635 chr2:120873635:T:A rs278310 T A A EBF1_EBF_1 2 1 + 5.079832885752198 1.3953652981533204 GATCCCCAGGGCTC chr2 120879081 120879082 chr2:120879082:C:T rs543731475 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 120890760 120890761 chr2:120890761:C:T rs184588475 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 120897059 120897060 chr2:120897060:G:C rs277540 G C G EBF1_EBF_1 26 0 - 0 0 . chr2 120905159 120905160 chr2:120905160:T:C rs112405240 T C T EBF1_EBF_1 6 1 - 7.866902998757714 5.700108567483239 GGCCCCAAGGGAGC chr2 120938179 120938180 chr2:120938180:G:A rs550255654 G A G EBF1_EBF_1 10 1 + 5.665156163065478 0.3471277037985118 CTCCCCCAGGGCCT chr2 120959028 120959029 chr2:120959029:C:G rs753900 C G C EBF1_EBF_1 -18 0 + 0 0 . chr2 120986405 120986406 chr2:120986406:G:A rs139298853 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 120989540 120989541 chr2:120989541:C:G rs757358215 C G C EBF1_EBF_1 -18 0 + 0 0 . chr2 121045872 121045873 chr2:121045873:G:A rs731004 G A G EBF1_EBF_1 24 0 + 0 0 . chr2 121074674 121074675 chr2:121074675:G:A rs564054784 G A G EBF1_EBF_1 12 1 - 4.978349710104263 3.9520831395534133 CTTCCCCTGGTACG chr2 121088872 121088873 chr2:121088873:G:C rs746498335 G C G EBF1_EBF_1 9 1 + 10.798661839855138 5.722972693509676 ACTCCCAAGGGGCT chr2 121105018 121105019 chr2:121105019:C:T rs77145902 C T T EBF1_EBF_1 -3 0 - 0 0 . chr2 121121157 121121158 chr2:121121158:C:T rs10194158 C T C EBF1_EBF_1 7 1 + 10.037764653791115 11.855269400073738 CTTCCCACGGGACT chr2 121149499 121149500 chr2:121149500:T:C rs56336187 T C T EBF1_EBF_1 2 1 + 5.042096755847736 3.153273344405586 GCTCCCAGAGGACA chr2 121153433 121153434 chr2:121153434:G:C rs2677535 G C C EBF1_EBF_1 12 1 - 4.211381914565307 2.8227459708871554 TCTCACCTGGGACC chr2 121174878 121174879 chr2:121174879:C:T rs77690448 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 121186689 121186690 chr2:121186690:C:T rs11890342 C T c EBF1_EBF_1 16 0 + 0 0 . chr2 121187920 121187921 chr2:121187921:T:C rs11688291 T C C EBF1_EBF_1 17 0 + 0 0 . chr2 121199086 121199087 chr2:121199087:G:T rs34527173 G T G EBF1_EBF_1 2 1 - 5.077347500099554 3.2817033239428266 TTCCCCCAGGGTAA chr2 121207872 121207873 chr2:121207873:C:T rs12185549 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 121207873 121207874 chr2:121207874:A:G rs10864870 A G G EBF1_EBF_1 0 1 + 5.4862303018690834 3.59394643230425 AGCCCCAAGGAAAA chr2 121220032 121220033 chr2:121220033:C:A rs34764243 C A C EBF1_EBF_1 -6 0 + 0 0 . chr2 121228048 121228049 chr2:121228049:C:T rs4848149 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 121231494 121231495 chr2:121231495:A:C rs1078441 A C C EBF1_EBF_1 2 1 - 10.912662462677446 4.164336772476977 AGTCCCCAGGGAGA chr2 121238300 121238301 chr2:121238301:A:C rs11678466 A C A EBF1_EBF_1 18 0 - 0 0 . chr2 121238811 121238812 chr2:121238812:C:A rs2713203 C A C EBF1_EBF_1 19 0 - 0 0 . chr2 121240635 121240636 chr2:121240636:C:T rs55995797 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 121248853 121248854 chr2:121248854:G:C rs2252628 G C G EBF1_EBF_1 4 1 - 5.03791461875659 -0.5878504823929546 AACCCCGCGGGAGC chr2 121257317 121257318 chr2:121257318:C:A rs114490952 C A C EBF1_EBF_1 14 0 - 0 0 . chr2 121257323 121257324 chr2:121257324:C:T rs75169900 C T C EBF1_EBF_1 8 1 - 5.059527765972008 0.8332376770857461 CCTCCCCCGGGAGG chr2 121260739 121260740 chr2:121260740:T:G rs184408039 T G T EBF1_EBF_1 7 1 + 4.1677541998340555 3.33157003463279 CCTCCCCTGGGTCG chr2 121293219 121293220 chr2:121293220:G:A rs934939 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 121324209 121324210 chr2:121324210:T:C rs11884839 T C - EBF1_EBF_1 7 1 - 6.825450485071862 5.512992079783036 GAACCCAAGGGACA chr2 121360885 121360886 chr2:121360886:T:C rs12476675 T C T EBF1_EBF_1 -13 0 + 0 0 . chr2 121472007 121472008 chr2:121472008:C:T rs72969332 C T C EBF1_EBF_1 11 1 - 5.075523620877233 7.968230464481244 GCTCCCCAGAGGAC chr2 121526178 121526179 chr2:121526179:C:A rs79363268 C A C EBF1_EBF_1 33 0 + 0 0 . chr2 121573941 121573942 chr2:121573942:G:A rs79031835 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 121649673 121649674 chr2:121649674:A:G rs947008093 A G A EBF1_EBF_1 15 0 - 0 0 . chr2 121649702 121649703 chr2:121649703:A:C rs571987305 A C A EBF1_EBF_1 -14 0 - 0 0 . chr2 121773315 121773316 chr2:121773316:C:T rs1251777056 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 121805377 121805378 chr2:121805378:T:C rs114135148 T C T EBF1_EBF_1 -13 0 + 0 0 . chr2 121810837 121810838 chr2:121810838:C:T rs6739782 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 121821707 121821708 chr2:121821708:A:G rs79652846 A G G EBF1_EBF_1 15 0 + 0 0 . chr2 121823760 121823761 chr2:121823761:T:C rs35390435 T C T EBF1_EBF_1 2 1 + 5.061144334207691 3.1723209227655413 CATGCCATGGGACT chr2 121871635 121871636 chr2:121871636:A:C rs58587384 A C C EBF1_EBF_1 2 1 - 5.689221923191027 -1.059103767009441 ATTCCCAGAGGATG chr2 121887843 121887844 chr2:121887844:T:A rs62152436 T A T EBF1_EBF_1 -3 0 - 0 0 . chr2 121904752 121904753 chr2:121904753:T:C rs4299342 T C C EBF1_EBF_1 18 0 - 0 0 . chr2 121908006 121908007 chr2:121908007:A:G rs72833042 A G A EBF1_EBF_1 -7 0 - 0 0 . chr2 121944287 121944288 chr2:121944288:C:T rs7581093 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 121970809 121970810 chr2:121970810:T:C rs11894285 T C T EBF1_EBF_1 -8 0 + 0 0 . chr2 121975500 121975501 chr2:121975501:G:A rs143803077 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 121982486 121982487 chr2:121982487:T:C rs62166899 T C c EBF1_EBF_1 -18 0 - 0 0 . chr2 122006996 122006997 chr2:122006997:A:G rs60000631 A G A EBF1_EBF_1 32 0 - 0 0 . chr2 122072994 122072995 chr2:122072995:G:T rs1733818 G T G EBF1_EBF_1 30 0 + 0 0 . chr2 122129519 122129520 chr2:122129520:A:T rs4848171 A T A EBF1_EBF_1 8 1 + 6.980150239111083 4.31500753902015 ATTCCCTCAGGATT chr2 122191735 122191736 chr2:122191736:C:T rs9679555 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 122218596 122218597 chr2:122218597:T:C rs934388 T C C EBF1_EBF_1 27 0 - 0 0 . chr2 122358429 122358430 chr2:122358430:C:T rs1453690 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 122461140 122461141 chr2:122461141:C:G rs1453684 C G - EBF1_EBF_1 -8 0 - 0 0 . chr2 122517189 122517190 chr2:122517190:A:G rs893742 A G A EBF1_EBF_1 -10 0 - 0 0 . chr2 122531789 122531790 chr2:122531790:G:A rs9653408 G A A EBF1_EBF_1 -17 0 + 0 0 . chr2 122708249 122708250 chr2:122708250:T:C rs4331511 T C T EBF1_EBF_1 -20 0 + 0 0 . chr2 122886965 122886966 chr2:122886966:A:T rs78774595 A T A EBF1_EBF_1 14 0 + 0 0 . chr2 123005137 123005138 chr2:123005138:G:A rs6728384 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 123068308 123068309 chr2:123068309:G:C rs34256372 G C G EBF1_EBF_1 17 0 - 0 0 . chr2 123074652 123074653 chr2:123074653:C:A rs1515166 C A A EBF1_EBF_1 -8 0 - 0 0 . chr2 123303954 123303955 chr2:123303955:A:G rs1519283 A G a EBF1_EBF_1 8 1 - 4.524268916571053 6.0970428446335605 ATCCCCAGTGGAAT chr2 123334341 123334342 chr2:123334342:G:A rs11688149 G A G EBF1_EBF_1 23 0 + 0 0 . chr2 123380966 123380967 chr2:123380967:T:C rs6541894 T C C EBF1_EBF_1 -7 0 - 0 0 . chr2 123380970 123380971 chr2:123380971:G:T rs6541895 G T T EBF1_EBF_1 -11 0 - 0 0 . chr2 123807477 123807478 chr2:123807478:T:C rs7563072 T C T EBF1_EBF_1 24 0 + 0 0 . chr2 124001992 124001993 chr2:124001993:A:T rs11680902 A T T EBF1_EBF_1 -12 0 - 0 0 . chr2 124046403 124046404 chr2:124046404:C:T rs139273637 C T C EBF1_EBF_1 0 1 + 6.072533641289743 6.354735702020322 CCTCCCCTGAGATC chr2 124064771 124064772 chr2:124064772:T:C rs1213945 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 124064810 124064811 chr2:124064811:T:C rs1213946 T C T EBF1_EBF_1 -8 0 - 0 0 . chr2 124309691 124309692 chr2:124309692:C:T rs6758687 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 124315728 124315729 chr2:124315729:G:A rs114857078 G A G EBF1_EBF_1 23 0 + 0 0 . chr2 124382442 124382443 chr2:124382443:G:A rs2584358 G A G EBF1_EBF_1 3 1 - 5.765140776504641 -0.6402579650486746 CTTCCCTAGGAAAA chr2 124494264 124494265 chr2:124494265:C:T rs72968706 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 124571254 124571255 chr2:124571255:G:A rs10445856 G A G EBF1_EBF_1 3 1 - 6.790558981355663 0.38516023980234626 ATTCCCTATGGACC chr2 124607860 124607861 chr2:124607861:G:C rs12466900 G C G EBF1_EBF_1 8 1 + 5.621120796429211 0.30246193551452516 ACACCCAAGGGCAT chr2 124641971 124641972 chr2:124641972:G:A rs7564755 G A A EBF1_EBF_1 33 0 + 0 0 . chr2 124987541 124987542 chr2:124987542:A:C rs12623135 A C C EBF1_EBF_1 20 0 - 0 0 . chr2 125386209 125386210 chr2:125386210:C:T rs62160132 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 125516328 125516329 chr2:125516329:G:A rs141106369 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 125559195 125559196 chr2:125559196:A:G rs62160769 A G A EBF1_EBF_1 18 0 + 0 0 . chr2 125576731 125576732 chr2:125576732:G:A rs13402931 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 125722024 125722025 chr2:125722025:T:C rs1346316 T C T EBF1_EBF_1 1 1 + 5.397027054633285 4.50159361423454 CTTCCCCGGGGCCC chr2 125746145 125746146 chr2:125746146:A:T rs13016275 A T A EBF1_EBF_1 31 0 - 0 0 . chr2 125746157 125746158 chr2:125746158:A:G rs2421680 A G G EBF1_EBF_1 19 0 - 0 0 . chr2 125890169 125890170 chr2:125890170:T:C rs72969668 T C T EBF1_EBF_1 7 1 - 5.526861722909658 4.214403317620833 CCTCCCCAGAGGCT chr2 125968463 125968464 chr2:125968464:G:A rs6708628 G A g EBF1_EBF_1 3 1 + 4.428130142894101 3.349720561543511 TGTGCCCTGGGAAC chr2 126039281 126039282 chr2:126039282:G:A rs80052544 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 126052205 126052206 chr2:126052206:A:G rs4423600 A G A EBF1_EBF_1 -15 0 + 0 0 . chr2 126367943 126367944 chr2:126367944:G:A rs1036919036 G A G EBF1_EBF_1 6 1 - 4.642202936680286 4.943489065542044 AACCCCCTGGGGTG chr2 126440286 126440287 chr2:126440287:C:T rs60005831 C T C EBF1_EBF_1 0 1 - 5.396966848244626 7.28925071780946 GACCCCCAGGGGAA chr2 126509676 126509677 chr2:126509677:C:A rs138797849 C A C EBF1_EBF_1 -10 0 + 0 0 . chr2 126529600 126529601 chr2:126529601:G:A rs6727953 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 126586531 126586532 chr2:126586532:G:C rs10203999 G C G EBF1_EBF_1 26 0 - 0 0 . chr2 126586569 126586570 chr2:126586570:G:T rs10204012 G T G EBF1_EBF_1 -12 0 - 0 0 . chr2 126615150 126615151 chr2:126615151:G:T rs6747825 G T G EBF1_EBF_1 3 1 - 6.278654673489671 -0.6275193559761345 ATTCCTCAGAGAAT chr2 126638322 126638323 chr2:126638323:G:C rs58137983 G C g EBF1_EBF_1 28 0 + 0 0 . chr2 126640181 126640182 chr2:126640182:C:T rs13431728 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 126670096 126670097 chr2:126670097:C:T rs28387129 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 126670097 126670098 chr2:126670098:G:A rs28387130 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 126690838 126690839 chr2:126690839:C:T rs74499727 C T c EBF1_EBF_1 30 0 + 0 0 . chr2 126707759 126707760 chr2:126707760:C:T rs908642 C T C EBF1_EBF_1 9 1 - 4.61880590277645 1.963866637328846 CCTCCCTGAGGACA chr2 126707773 126707774 chr2:126707774:A:G rs75317841 A G A EBF1_EBF_1 -5 0 - 0 0 . chr2 126714304 126714305 chr2:126714305:G:A rs144972771 G A A EBF1_EBF_1 12 1 - 6.869137245015691 5.842870674464841 GTTCCCCTGAGACG chr2 126723063 126723064 chr2:126723064:C:T rs147933559 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 126734723 126734724 chr2:126734724:G:A rs59949404 G A G EBF1_EBF_1 1 1 - 3.693352439238547 4.5887858796372925 CCTCCGCTGGGACT chr2 126749740 126749741 chr2:126749741:T:C rs180776814 T C T EBF1_EBF_1 22 0 + 0 0 . chr2 126749783 126749784 chr2:126749784:C:A rs115769173 C A C EBF1_EBF_1 10 1 - 6.9787615043371005 2.7960093181098364 ACCCCCTAGGGGTC chr2 126760311 126760312 chr2:126760312:G:A rs140958615 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 126767734 126767735 chr2:126767735:G:A rs141694843 G A g EBF1_EBF_1 26 0 + 0 0 . chr2 126772195 126772196 chr2:126772196:G:C rs4280403 G C G EBF1_EBF_1 14 0 - 0 0 . chr2 126772207 126772208 chr2:126772208:T:G rs4344883 T G G EBF1_EBF_1 2 1 - 6.388505179760618 8.184149355917345 ATACCCGTGGGATC chr2 126783254 126783255 chr2:126783255:G:A rs556382246 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 126788199 126788200 chr2:126788200:G:A rs72977838 G A g EBF1_EBF_1 23 0 - 0 0 . chr2 126789526 126789527 chr2:126789527:T:G rs4328583 T G G EBF1_EBF_1 -8 0 + 0 0 . chr2 126789536 126789537 chr2:126789537:A:T rs4327194 A T A EBF1_EBF_1 2 1 + 4.209854804395289 7.894322391994165 ACACCCTTGGGCCC chr2 126793761 126793762 chr2:126793762:T:C rs79484089 T C C EBF1_EBF_1 -10 0 + 0 0 . chr2 126796872 126796873 chr2:126796873:G:C rs6712292 G C C EBF1_EBF_1 -8 0 + 0 0 . chr2 126798971 126798972 chr2:126798972:A:G rs4322791 A G G EBF1_EBF_1 26 0 - 0 0 . chr2 126799005 126799006 chr2:126799006:C:G rs4413105 C G C EBF1_EBF_1 -8 0 - 0 0 . chr2 126801126 126801127 chr2:126801127:C:T rs6431180 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 126801169 126801170 chr2:126801170:A:G rs6431181 A G G EBF1_EBF_1 -19 0 - 0 0 . chr2 126822288 126822289 chr2:126822289:G:C rs34050218 G C G EBF1_EBF_1 5 1 - 10.689610593553684 3.784729440918518 AGTCCCTTGAGACT chr2 126855662 126855663 chr2:126855663:G:C rs4076517 G C G EBF1_EBF_1 -20 0 + 0 0 . chr2 126855674 126855675 chr2:126855675:A:G rs4076518 A G A EBF1_EBF_1 -8 0 + 0 0 . chr2 126891837 126891838 chr2:126891838:G:A rs74651353 G A T EBF1_EBF_1 2 1 - 6.4762801221678945 8.365103533610045 CCCCCCCTGGGAGC chr2 126891847 126891848 chr2:126891848:C:G rs141533541 C G C EBF1_EBF_1 -8 0 - 0 0 . chr2 126914174 126914175 chr2:126914175:A:C rs2063378 A C C EBF1_EBF_1 -10 0 - 0 0 . chr2 126956468 126956469 chr2:126956469:T:C rs35620035 T C T EBF1_EBF_1 7 1 + 4.726548714597241 2.9090439683146174 ACTCACCTGGGAAG chr2 126971688 126971689 chr2:126971689:A:G rs867019140 A G a EBF1_EBF_1 28 0 - 0 0 . chr2 126971698 126971699 chr2:126971699:G:A rs1441055022 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 126971730 126971731 chr2:126971731:G:A rs56000977 G A A EBF1_EBF_1 -14 0 - 0 0 . chr2 126971762 126971763 chr2:126971763:G:A rs111298538 G A g EBF1_EBF_1 -5 0 + 0 0 . chr2 126971789 126971790 chr2:126971790:A:G rs55962144 A G a EBF1_EBF_1 22 0 + 0 0 . chr2 126976200 126976201 chr2:126976201:T:G rs4663086 T G A EBF1_EBF_1 33 0 + 0 0 . chr2 127016135 127016136 chr2:127016136:A:T rs116724656 A T A EBF1_EBF_1 -14 0 - 0 0 . chr2 127043570 127043571 chr2:127043571:C:T rs74354185 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 127047896 127047897 chr2:127047897:C:T rs7557638 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 127053410 127053411 chr2:127053411:G:A rs78967885 G A G EBF1_EBF_1 29 0 + 0 0 . chr2 127054774 127054775 chr2:127054775:G:T rs187563039 G T G EBF1_EBF_1 16 0 - 0 0 . chr2 127056834 127056835 chr2:127056835:G:A rs141320285 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 127056844 127056845 chr2:127056845:A:G rs3820759 A G G EBF1_EBF_1 -2 0 + 0 0 . chr2 127064559 127064560 chr2:127064560:T:C rs66513437 T C T EBF1_EBF_1 -18 0 + 0 0 . chr2 127064583 127064584 chr2:127064584:C:T rs79706084 C T C EBF1_EBF_1 6 1 + 5.384352532343273 5.685638661205031 CCTCTCCTGGGACC chr2 127064740 127064741 chr2:127064741:C:A rs79110706 C A C EBF1_EBF_1 11 1 + 5.251595836988022 9.667557534840412 CTTCCCCAGGGCAG chr2 127073032 127073033 chr2:127073033:A:G rs753429 A G G EBF1_EBF_1 22 0 - 0 0 . chr2 127073232 127073233 chr2:127073233:C:T rs562641705 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 127086967 127086968 chr2:127086968:T:A chr2:127086968:T:A T A T EBF1_EBF_1 29 0 - 0 0 . chr2 127096510 127096511 chr2:127096511:G:A rs115037567 G A g EBF1_EBF_1 21 0 - 0 0 . chr2 127106404 127106405 chr2:127106405:G:A rs77368171 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 127109530 127109531 chr2:127109531:T:C rs9653202 T C T EBF1_EBF_1 26 0 + 0 0 . chr2 127117557 127117558 chr2:127117558:A:G rs11675682 A G G EBF1_EBF_1 -19 0 + 0 0 . chr2 127122819 127122820 chr2:127122820:A:G rs532939374 A G A EBF1_EBF_1 -10 0 - 0 0 . chr2 127146115 127146116 chr2:127146116:T:C rs2118507 T C T EBF1_EBF_1 33 0 - 0 0 . chr2 127156258 127156259 chr2:127156259:C:T rs729666 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 127170359 127170360 chr2:127170360:T:A rs905657 T A A EBF1_EBF_1 11 1 - 10.115341845089473 6.017427588990749 CCTCCCATGGGACC chr2 127170366 127170367 chr2:127170367:G:A rs6431230 G A G EBF1_EBF_1 4 1 - 10.115341845089473 5.745954900144551 CCTCCCATGGGACC chr2 127174210 127174211 chr2:127174211:T:G rs7605733 T G T EBF1_EBF_1 23 0 + 0 0 . chr2 127176524 127176525 chr2:127176525:C:T rs772611558 C T C EBF1_EBF_1 22 0 - 0 0 . chr2 127176525 127176526 chr2:127176526:G:A rs10198483 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 127176697 127176698 chr2:127176698:A:G rs59700056 A G A EBF1_EBF_1 -5 0 + 0 0 . chr2 127177579 127177580 chr2:127177580:C:T rs34689155 C T - EBF1_EBF_1 26 0 + 0 0 . chr2 127177582 127177583 chr2:127177583:C:T rs190125261 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 127182705 127182706 chr2:127182706:G:A rs77390255 G A G EBF1_EBF_1 24 0 + 0 0 . chr2 127182711 127182712 chr2:127182712:G:C rs180793177 G C G EBF1_EBF_1 30 0 + 0 0 . chr2 127197866 127197867 chr2:127197867:C:T rs74823293 C T C EBF1_EBF_1 5 1 + 5.768267794186233 0.4464805786455632 ATTCCCCCCGGACC chr2 127219452 127219453 chr2:127219453:C:T rs186246239 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 127219541 127219542 chr2:127219542:T:C rs1504136 T C C EBF1_EBF_1 -5 0 + 0 0 . chr2 127219859 127219860 chr2:127219860:G:A rs554232481 G A G EBF1_EBF_1 9 1 + 4.508554431686681 1.8536151662390774 AGTGCCCGGGGATC chr2 127220426 127220427 chr2:127220427:T:C chr2:127220427:T:C T C T EBF1_EBF_1 2 1 + 7.705526748269703 5.816703336827553 CCTCCCCTGGGGCT chr2 127290175 127290176 chr2:127290176:G:A rs146054889 G A g EBF1_EBF_1 -12 0 + 0 0 . chr2 127347964 127347965 chr2:127347965:T:C rs6708102 T C T EBF1_EBF_1 1 1 + 7.433082554647224 6.537649114248478 ATACCCAAGAGAAC chr2 127388051 127388052 chr2:127388052:G:A rs572328302 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 127388058 127388059 chr2:127388059:G:A rs541747225 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 127388060 127388061 chr2:127388061:G:C rs564619007 G C G EBF1_EBF_1 20 0 - 0 0 . chr2 127388449 127388450 chr2:127388450:T:C rs192154491 T C T EBF1_EBF_1 8 1 - 6.112696858382237 10.338986947268499 AGTCCCTCAGGAAA chr2 127401060 127401061 chr2:127401061:C:T rs10209483 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 127401877 127401878 chr2:127401878:C:T rs940101936 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 127404655 127404656 chr2:127404656:A:G rs186084038 A G A EBF1_EBF_1 17 0 - 0 0 . chr2 127408883 127408884 chr2:127408884:C:T chr2:127408884:C:T C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 127422069 127422070 chr2:127422070:T:C rs2069920 T C T EBF1_EBF_1 -12 0 - 0 0 . chr2 127430240 127430241 chr2:127430241:G:T rs4374323 G T T EBF1_EBF_1 -19 0 + 0 0 . chr2 127437173 127437174 chr2:127437174:C:A chr2:127437174:C:A C A C EBF1_EBF_1 -15 0 + 0 0 . chr2 127437173 127437174 chr2:127437174:C:T rs61131370 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 127437187 127437188 chr2:127437188:C:A rs10182429 C A C EBF1_EBF_1 -1 0 + 0 0 . chr2 127449699 127449700 chr2:127449700:G:A rs75068113 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 127485885 127485886 chr2:127485886:T:C rs334159 T C C EBF1_EBF_1 -15 0 - 0 0 . chr2 127542663 127542664 chr2:127542664:T:G rs12619773 T G t EBF1_EBF_1 30 0 - 0 0 . chr2 127542693 127542694 chr2:127542694:C:T rs140713173 C T C EBF1_EBF_1 0 1 - 5.890524048136792 7.782807917701624 GGTCCCCTGGGCCC chr2 127560545 127560546 chr2:127560546:A:G rs6733422 A G G EBF1_EBF_1 21 0 - 0 0 . chr2 127560559 127560560 chr2:127560560:T:C rs187666970 T C T EBF1_EBF_1 7 1 - 8.235001630393059 6.922543225104234 GTTCCCCAGGGTCT chr2 127570051 127570052 chr2:127570052:G:A rs753709148 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 127608338 127608339 chr2:127608339:G:A rs76545545 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 127627764 127627765 chr2:127627765:C:A rs79948094 C A C EBF1_EBF_1 12 1 + 9.117180187272867 9.147361585694194 ACTCCCATGGGTCT chr2 127633673 127633674 chr2:127633674:G:T rs191854336 G T G EBF1_EBF_1 -15 0 - 0 0 . chr2 127638028 127638029 chr2:127638029:C:T rs114584045 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 127638059 127638060 chr2:127638060:G:A rs144451568 G A G EBF1_EBF_1 27 0 + 0 0 . chr2 127641733 127641734 chr2:127641734:C:G rs11679385 C G C EBF1_EBF_1 2 1 + 4.479213339534164 -0.3802889392241544 AACCCCCAGGCACT chr2 127642313 127642314 chr2:127642314:G:T rs568544202 G T G EBF1_EBF_1 31 0 + 0 0 . chr2 127648145 127648146 chr2:127648146:T:C rs3796082 T C T EBF1_EBF_1 11 1 - 7.036410611653284 4.1437037680492725 ATTCCCCAGGAATC chr2 127657037 127657038 chr2:127657038:C:T rs112832142 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 127657104 127657105 chr2:127657105:A:G rs7570106 A G G EBF1_EBF_1 13 1 - 10.063335555534206 9.218841440948687 CCTCCCTGGGGACT chr2 127663670 127663671 chr2:127663671:G:C rs73954691 G C G EBF1_EBF_1 8 1 + 6.132099461277029 0.8134406003623429 CCTCCCCAGGGTCC chr2 127663684 127663685 chr2:127663685:C:T rs112849713 C T C EBF1_EBF_1 22 0 + 0 0 . chr2 127672906 127672907 chr2:127672907:C:T rs55976826 C T C EBF1_EBF_1 5 1 + 6.092111223655961 0.7703240081152921 GATCCCGAGAGAAC chr2 127673230 127673231 chr2:127673231:A:G rs72968910 A G A EBF1_EBF_1 31 0 - 0 0 . chr2 127673516 127673517 chr2:127673517:C:T rs55879135 C T C EBF1_EBF_1 33 0 - 0 0 . chr2 127673523 127673524 chr2:127673524:C:T rs114350691 C T C EBF1_EBF_1 13 1 - 6.253466655274992 7.367792227344431 TTTCCCTGGAGACG chr2 127673818 127673819 chr2:127673819:C:T rs182841553 C T C EBF1_EBF_1 10 1 - 5.773554091842386 0.45552563257542084 ACCCCCTAGGGTTC chr2 127673822 127673823 chr2:127673823:A:G rs7591197 A G G EBF1_EBF_1 6 1 - 5.773554091842386 5.47226796298063 ACCCCCTAGGGTTC chr2 127673868 127673869 chr2:127673869:G:A rs943067808 G A T EBF1_EBF_1 6 1 - 6.980342730199888 7.2816288590616445 ATCCCCCGGAGAGT chr2 127675973 127675974 chr2:127675974:C:T rs181177676 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 127678842 127678843 chr2:127678843:C:T rs34274297 C T C EBF1_EBF_1 -8 0 - 0 0 . chr2 127681613 127681614 chr2:127681614:T:C rs7585525 T C T EBF1_EBF_1 14 0 + 0 0 . chr2 127700901 127700902 chr2:127700902:G:A rs77162475 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 127830168 127830169 chr2:127830169:C:G rs72848809 C G C EBF1_EBF_1 19 0 - 0 0 . chr2 127831199 127831200 chr2:127831200:A:G rs72963792 A G A EBF1_EBF_1 11 1 + 8.083994000960292 5.191287157356283 ACTCCCTTAGGACC chr2 127831210 127831211 chr2:127831211:G:T rs78173570 G T G EBF1_EBF_1 22 0 + 0 0 . chr2 127831476 127831477 chr2:127831477:G:A rs35591811 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 127885935 127885936 chr2:127885936:G:A chr2:127885936:G:A G A G EBF1_EBF_1 33 0 + 0 0 . chr2 127896186 127896187 chr2:127896187:A:G rs9677367 A G G EBF1_EBF_1 11 1 + 5.165899820220452 2.2731929766164414 AATCCACAGGGAAA chr2 127951443 127951444 chr2:127951444:C:T rs2461462 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 128027833 128027834 chr2:128027834:T:C rs1192587065 T C T EBF1_EBF_1 -4 0 + 0 0 . chr2 128065127 128065128 chr2:128065128:A:C rs13022015 A C A EBF1_EBF_1 21 0 + 0 0 . chr2 128156182 128156183 chr2:128156183:G:A rs3738923 G A A EBF1_EBF_1 30 0 + 0 0 . chr2 128232995 128232996 chr2:128232996:C:T rs116091791 C T C EBF1_EBF_1 9 1 - 7.03976474970675 4.384825484259147 AGCCCCTTGGGCCT chr2 128240299 128240300 chr2:128240300:G:A rs56006990 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 128269918 128269919 chr2:128269919:A:G rs734192 A G G EBF1_EBF_1 15 0 - 0 0 . chr2 128270098 128270099 chr2:128270099:G:A rs115566428 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 128279501 128279502 chr2:128279502:G:C rs13415049 G C G EBF1_EBF_1 24 0 + 0 0 . chr2 128301307 128301308 chr2:128301308:G:T rs79963157 G T G EBF1_EBF_1 2 1 - 8.748781597221504 6.9531374210647785 AGCCCCTGGGGATC chr2 128304340 128304341 chr2:128304341:T:C rs840890 T C C EBF1_EBF_1 -19 0 + 0 0 . chr2 128312545 128312546 chr2:128312546:G:A rs840878 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 128314250 128314251 chr2:128314251:C:T rs72972071 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 128315516 128315517 chr2:128315517:G:A rs1213115909 G A G EBF1_EBF_1 16 0 + 0 0 . chr2 128315633 128315634 chr2:128315634:C:T rs13426089 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 128320216 128320217 chr2:128320217:A:G rs840886 A G G EBF1_EBF_1 17 0 + 0 0 . chr2 128322452 128322453 chr2:128322453:G:C rs840882 G C G EBF1_EBF_1 23 0 - 0 0 . chr2 128322454 128322455 chr2:128322455:T:G rs533698112 T G T EBF1_EBF_1 21 0 - 0 0 . chr2 128325888 128325889 chr2:128325889:G:A rs79778960 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 128342708 128342709 chr2:128342709:C:G rs532922081 C G C EBF1_EBF_1 -19 0 + 0 0 . chr2 128360190 128360191 chr2:128360191:G:A rs10165516 G A G EBF1_EBF_1 31 0 + 0 0 . chr2 128377701 128377702 chr2:128377702:C:G rs767839102 C G C EBF1_EBF_1 -6 0 + 0 0 . chr2 128380248 128380249 chr2:128380249:C:T rs62159660 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 128382509 128382510 chr2:128382510:T:C rs9287544 T C T EBF1_EBF_1 1 1 - 6.013789621360253 6.274488453281555 AATCCCTCAGGATT chr2 128389771 128389772 chr2:128389772:G:A rs112726545 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 128402220 128402221 chr2:128402221:C:T rs11680112 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 128409841 128409842 chr2:128409842:T:G rs77684058 T G T EBF1_EBF_1 -11 0 - 0 0 . chr2 128413435 128413436 chr2:128413436:G:A rs894180302 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 128426458 128426459 chr2:128426459:G:A rs936123 G A G EBF1_EBF_1 -5 0 + 0 0 . chr2 128440821 128440822 chr2:128440822:T:C rs6714711 T C T EBF1_EBF_1 23 0 - 0 0 . chr2 128440854 128440855 chr2:128440855:G:T rs114982939 G T G EBF1_EBF_1 -10 0 - 0 0 . chr2 128440864 128440865 chr2:128440865:C:T rs867267390 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 128444127 128444128 chr2:128444128:T:C rs1455933 T C C EBF1_EBF_1 -16 0 + 0 0 . chr2 128450571 128450572 chr2:128450572:A:G rs13030814 A G G EBF1_EBF_1 -5 0 + 0 0 . chr2 128455118 128455119 chr2:128455119:C:G rs115061372 C G C EBF1_EBF_1 28 0 - 0 0 . chr2 128473695 128473696 chr2:128473696:C:T rs114551532 C T C EBF1_EBF_1 -18 0 - 0 0 . chr2 128474535 128474536 chr2:128474536:C:A rs79954010 C A C EBF1_EBF_1 31 0 + 0 0 . chr2 128477088 128477089 chr2:128477089:G:A rs13405129 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 128485140 128485141 chr2:128485141:A:G rs841099 A G G EBF1_EBF_1 15 0 - 0 0 . chr2 128487890 128487891 chr2:128487891:A:G rs935842 A G G EBF1_EBF_1 1 1 + 7.157429598478632 7.418128430399935 AACCCCATGGGTCT chr2 128487972 128487973 chr2:128487973:A:G rs705055 A G G EBF1_EBF_1 30 0 - 0 0 . chr2 128489819 128489820 chr2:128489820:G:A rs114820593 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 128491402 128491403 chr2:128491403:C:T rs906682213 C T C EBF1_EBF_1 8 1 - 4.468263526199455 0.24197343731319348 AGCCCCACGGGCAC chr2 128505177 128505178 chr2:128505178:C:A rs11684250 C A C EBF1_EBF_1 2 1 + 10.120174549542645 8.324530373385919 ACCCCCCTGGGACC chr2 128505306 128505307 chr2:128505307:G:A rs188108354 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 128514620 128514621 chr2:128514621:G:A rs189657945 G A G EBF1_EBF_1 12 1 - 9.143703459722857 8.117436889172009 GCTCCCCCGGGACT chr2 128521444 128521445 chr2:128521445:C:G rs2445235 C G G EBF1_EBF_1 16 0 - 0 0 . chr2 128532966 128532967 chr2:128532967:G:C rs10439217 G C G EBF1_EBF_1 -13 0 - 0 0 . chr2 128537571 128537572 chr2:128537572:G:T rs183197260 G T g EBF1_EBF_1 -14 0 - 0 0 . chr2 128554083 128554084 chr2:128554084:G:A rs61685681 G A G EBF1_EBF_1 28 0 + 0 0 . chr2 128558156 128558157 chr2:128558157:G:C rs2445232 G C C EBF1_EBF_1 -7 0 - 0 0 . chr2 128577671 128577672 chr2:128577672:C:G rs373720686 C G C EBF1_EBF_1 29 0 + 0 0 . chr2 128582028 128582029 chr2:128582029:T:G rs1869046 T G G EBF1_EBF_1 7 1 - 6.004706588862176 3.7109276024919957 GCTCACAAGGGAAT chr2 128582029 128582030 chr2:128582030:T:G rs1869047 T G G EBF1_EBF_1 6 1 - 6.004706588862176 5.643104221060898 GCTCACAAGGGAAT chr2 128590606 128590607 chr2:128590607:C:G rs6713276 C G G EBF1_EBF_1 31 0 - 0 0 . chr2 128593636 128593637 chr2:128593637:G:C rs10186889 G C G EBF1_EBF_1 13 1 - 4.714154322478104 3.2260833011226895 TCTCCTCTGGGACC chr2 128594782 128594783 chr2:128594783:T:C rs841462 T C C EBF1_EBF_1 -7 0 + 0 0 . chr2 128601455 128601456 chr2:128601456:A:G rs73956782 A G A EBF1_EBF_1 23 0 - 0 0 . chr2 128601468 128601469 chr2:128601469:C:A rs79768457 C A C EBF1_EBF_1 10 1 - 4.810010883993949 0.6272586977666842 TCCCCCAGGGGGAC chr2 128601619 128601620 chr2:128601620:G:A rs73956783 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 128601629 128601630 chr2:128601630:G:A rs1007058319 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 128601657 128601658 chr2:128601658:T:C rs73956784 T C C EBF1_EBF_1 25 0 + 0 0 . chr2 128617634 128617635 chr2:128617635:G:A rs705077 G A A EBF1_EBF_1 6 1 + 5.010498513271966 7.1772929445464415 ATTCCCGGAGGATC chr2 128646541 128646542 chr2:128646542:C:A rs78862412 C A C EBF1_EBF_1 -5 0 - 0 0 . chr2 128646850 128646851 chr2:128646851:A:G rs115704824 A G A EBF1_EBF_1 20 0 - 0 0 . chr2 128661608 128661609 chr2:128661609:G:A rs10195698 G A A EBF1_EBF_1 4 1 - 5.373625458841602 1.00423851389668 AATCCCCAGAGCAC chr2 128662100 128662101 chr2:128662101:A:G chr2:128662101:A:G A G A EBF1_EBF_1 -15 0 + 0 0 . chr2 128662113 128662114 chr2:128662114:C:T rs146619967 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 128670524 128670525 chr2:128670525:C:T rs6750954 C T T EBF1_EBF_1 17 0 - 0 0 . chr2 128672289 128672290 chr2:128672290:C:T rs7586878 C T C EBF1_EBF_1 -13 0 - 0 0 . chr2 128689176 128689177 chr2:128689177:C:A rs75583151 C A C EBF1_EBF_1 10 1 - 5.78447930649982 1.6017271202725554 TGCCCCCAGAGACA chr2 128694595 128694596 chr2:128694596:G:A rs148295970 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 128710198 128710199 chr2:128710199:G:A rs7570769 G A A EBF1_EBF_1 -8 0 + 0 0 . chr2 128714069 128714070 chr2:128714070:G:A rs115085577 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 128714080 128714081 chr2:128714081:T:C rs3845655 T C C EBF1_EBF_1 -4 0 + 0 0 . chr2 128715624 128715625 chr2:128715625:C:T rs72847788 C T C EBF1_EBF_1 -14 0 + 0 0 . chr2 128731439 128731440 chr2:128731440:A:G rs12616669 A G G EBF1_EBF_1 -6 0 - 0 0 . chr2 128733311 128733312 chr2:128733312:T:C rs17048721 T C T EBF1_EBF_1 -16 0 + 0 0 . chr2 128736280 128736281 chr2:128736281:C:T rs72847800 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 128736540 128736541 chr2:128736541:G:A chr2:128736541:G:A G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 128769280 128769281 chr2:128769281:A:G rs13431487 A G G EBF1_EBF_1 -4 0 - 0 0 . chr2 128779990 128779991 chr2:128779991:G:A rs12619008 G A G EBF1_EBF_1 31 0 + 0 0 . chr2 128780359 128780360 chr2:128780360:A:T rs12328477 A T T EBF1_EBF_1 -19 0 + 0 0 . chr2 128785760 128785761 chr2:128785761:A:G rs1184285630 A G A EBF1_EBF_1 -13 0 + 0 0 . chr2 128794847 128794848 chr2:128794848:T:C rs59017429 T C T EBF1_EBF_1 2 1 + 9.783920875709521 7.895097464267372 CCTCCCCTGGGAAC chr2 128799454 128799455 chr2:128799455:G:C rs2406268 G C G EBF1_EBF_1 9 1 + 8.964743943618709 3.8890547972732454 ATTCCCCAGGGCCC chr2 128874325 128874326 chr2:128874326:G:A rs72841361 G A g EBF1_EBF_1 -6 0 + 0 0 . chr2 129004550 129004551 chr2:129004551:A:T rs6736583 A T A EBF1_EBF_1 -1 0 + 0 0 . chr2 129016029 129016030 chr2:129016030:A:G rs11883895 A G G EBF1_EBF_1 -19 0 + 0 0 . chr2 129087674 129087675 chr2:129087675:G:A rs138829976 G A G EBF1_EBF_1 24 0 + 0 0 . chr2 129129029 129129030 chr2:129129030:C:T rs2090647 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 129144462 129144463 chr2:129144463:G:A rs2139342 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 129144468 129144469 chr2:129144469:G:A rs11675707 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 129193155 129193156 chr2:129193156:A:G rs58940217 A G A EBF1_EBF_1 33 0 - 0 0 . chr2 129253022 129253023 chr2:129253023:T:C rs837830 T C C EBF1_EBF_1 7 1 - 7.847987802654199 6.535529397365373 CTCCCCCAGGGAGC chr2 129429914 129429915 chr2:129429915:A:G rs13431869 A G A EBF1_EBF_1 16 0 - 0 0 . chr2 129460193 129460194 chr2:129460194:T:C rs1869300 T C T EBF1_EBF_1 -11 0 - 0 0 . chr2 129481587 129481588 chr2:129481588:C:T rs62157323 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 129491495 129491496 chr2:129491496:A:G rs572575931 A G A EBF1_EBF_1 32 0 - 0 0 . chr2 129504808 129504809 chr2:129504809:G:A chr2:129504809:G:A G A G EBF1_EBF_1 27 0 - 0 0 . chr2 129606523 129606524 chr2:129606524:C:A rs74438036 C A C EBF1_EBF_1 13 1 + 7.102641472475202 6.728896023189226 GTTCCCCAGGGCAC chr2 129606663 129606664 chr2:129606664:G:C rs79027837 G C G EBF1_EBF_1 25 0 + 0 0 . chr2 129677711 129677712 chr2:129677712:T:C rs4361066 T C T EBF1_EBF_1 32 0 + 0 0 . chr2 129702249 129702250 chr2:129702250:G:C rs55779597 G C G EBF1_EBF_1 -15 0 + 0 0 . chr2 129703460 129703461 chr2:129703461:G:A rs4542795 G A g EBF1_EBF_1 8 1 + 4.661065745382568 0.43477565649630584 TCTCCCACGGGGTC chr2 129712082 129712083 chr2:129712083:G:A rs111769850 G A G EBF1_EBF_1 7 1 + 5.523603018195601 6.836061423484426 GCACCCTGGGGACA chr2 129744774 129744775 chr2:129744775:G:A rs146401209 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 129758157 129758158 chr2:129758158:C:T rs112378205 C T C EBF1_EBF_1 -18 0 - 0 0 . chr2 129762764 129762765 chr2:129762765:C:T rs558056095 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 129778215 129778216 chr2:129778216:G:C rs6745431 G C C EBF1_EBF_1 -8 0 + 0 0 . chr2 129782863 129782864 chr2:129782864:G:C rs142739680 G C C EBF1_EBF_1 -15 0 - 0 0 . chr2 129798292 129798293 chr2:129798293:T:A rs2466392 T A A EBF1_EBF_1 -18 0 + 0 0 . chr2 129865391 129865392 chr2:129865392:G:C rs1955395 G C C EBF1_EBF_1 5 1 - 5.115263202248202 -1.7896179503869665 TCTCCCTGGGGCAC chr2 129878111 129878112 chr2:129878112:G:T rs79697190 G T G EBF1_EBF_1 -8 0 - 0 0 . chr2 129882567 129882568 chr2:129882568:G:A rs117584015 G A G EBF1_EBF_1 0 1 + 5.775164464755144 7.667448334319978 GCTCCCATGAGGCT chr2 129903822 129903823 chr2:129903823:C:A rs532450838 C A C EBF1_EBF_1 16 0 + 0 0 . chr2 129903823 129903824 chr2:129903824:A:G rs547629742 A G A EBF1_EBF_1 17 0 + 0 0 . chr2 129923416 129923417 chr2:129923417:C:G rs141453375 C G C EBF1_EBF_1 19 0 - 0 0 . chr2 129929593 129929594 chr2:129929594:G:A rs1182909159 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 129929594 129929595 chr2:129929595:C:T chr2:129929595:C:T C T C EBF1_EBF_1 -14 0 + 0 0 . chr2 129930886 129930887 chr2:129930887:C:T rs146338549 C T C EBF1_EBF_1 12 1 + 8.347293385356458 7.321026814805609 AGCCCCAGGGGACG chr2 129934442 129934443 chr2:129934443:A:G rs528101275 A G a EBF1_EBF_1 11 1 + 6.436098449751213 3.5433916061472006 AAACCCCCGGGACC chr2 129934457 129934458 chr2:129934458:G:A rs114965686 G A g EBF1_EBF_1 26 0 + 0 0 . chr2 130142068 130142069 chr2:130142069:C:T rs188925101 C T . EBF1_EBF_1 -13 0 + 0 0 . chr2 130143205 130143206 chr2:130143206:T:C rs190452294 T C . EBF1_EBF_1 22 0 - 0 0 . chr2 130150836 130150837 chr2:130150837:G:C rs11691059 G C . EBF1_EBF_1 10 1 + 8.830606938543395 1.9398045512139195 GCTCCCCAGGGAGA chr2 130150845 130150846 chr2:130150846:C:T rs183222732 C T . EBF1_EBF_1 19 0 + 0 0 . chr2 130157780 130157781 chr2:130157781:A:C rs553639828 A C . EBF1_EBF_1 15 0 + 0 0 . chr2 130161652 130161653 chr2:130161653:C:T rs34463896 C T . EBF1_EBF_1 -10 0 + 0 0 . chr2 130171729 130171730 chr2:130171730:G:A rs7563905 G A . EBF1_EBF_1 20 0 - 0 0 . chr2 130181774 130181775 chr2:130181775:G:A rs1817097 G A . EBF1_EBF_1 -14 0 + 0 0 . chr2 130181783 130181784 chr2:130181784:T:C chr2:130181784:T:C T C . EBF1_EBF_1 -5 0 + 0 0 . chr2 130181807 130181808 chr2:130181808:C:T rs192840659 C T . EBF1_EBF_1 19 0 + 0 0 . chr2 130184610 130184611 chr2:130184611:G:A rs1562910 G A . EBF1_EBF_1 13 1 - 5.957111350051228 6.801605464636746 CCTCCCTTGGGTCC chr2 130186213 130186214 chr2:130186214:C:T rs10186401 C T . EBF1_EBF_1 27 0 + 0 0 . chr2 130201426 130201427 chr2:130201427:G:T rs13012976 G T . EBF1_EBF_1 5 1 - 4.078450477738028 -2.82643067489714 CTCCCCGTGGGAGG chr2 130228229 130228230 chr2:130228230:C:G rs6758312 C G . EBF1_EBF_1 13 1 + 4.462133660168853 2.9740626388134377 CCTCCTCTGGGAAC chr2 130265818 130265819 chr2:130265819:G:A rs12991464 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 130288802 130288803 chr2:130288803:A:G rs7601626 A G G EBF1_EBF_1 14 0 + 0 0 . chr2 130308088 130308089 chr2:130308089:T:C rs12991903 T C T EBF1_EBF_1 -2 0 + 0 0 . chr2 130342971 130342972 chr2:130342972:G:T rs61737124 G T G EBF1_EBF_1 32 0 - 0 0 . chr2 130343015 130343016 chr2:130343016:C:T rs61744780 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 130355539 130355540 chr2:130355540:C:A chr2:130355540:C:A C A C EBF1_EBF_1 0 1 + 5.639063908297564 7.894322391994165 CCTCCCTTGGGCCC chr2 130355559 130355560 chr2:130355560:A:G chr2:130355560:A:G A G A EBF1_EBF_1 20 0 + 0 0 . chr2 130355704 130355705 chr2:130355705:G:T rs114272583 G T G EBF1_EBF_1 -14 0 - 0 0 . chr2 130372797 130372798 chr2:130372798:G:C rs10195163 G C . EBF1_EBF_1 -2 0 - 0 0 . chr2 130374958 130374959 chr2:130374959:G:A rs530804845 G A . EBF1_EBF_1 15 0 + 0 0 . chr2 130376937 130376938 chr2:130376938:A:G rs72854965 A G . EBF1_EBF_1 6 1 + 7.967439325437659 5.8006448941631845 ACCCCCATGGGATG chr2 130390846 130390847 chr2:130390847:G:A rs145083112 G A . EBF1_EBF_1 -13 0 + 0 0 . chr2 130454256 130454257 chr2:130454257:G:A rs148905686 G A - EBF1_EBF_1 10 1 + 6.439184206874848 1.121155747607882 CCACCCAGGGGACT chr2 130579328 130579329 chr2:130579329:G:C rs747828003 G C . EBF1_EBF_1 32 0 - 0 0 . chr2 130659346 130659347 chr2:130659347:C:A rs201688280 C A C EBF1_EBF_1 24 0 - 0 0 . chr2 130726958 130726959 chr2:130726959:A:T rs7605062 A T . EBF1_EBF_1 17 0 + 0 0 . chr2 130756435 130756436 chr2:130756436:C:T rs556689160 C T C EBF1_EBF_1 10 1 - 4.998581897932069 -0.31944656133489785 CCTCCCCGGGGGTC chr2 130763089 130763090 chr2:130763090:T:C rs1905235 T C C EBF1_EBF_1 26 0 + 0 0 . chr2 130797907 130797908 chr2:130797908:C:A rs2625044 C A C EBF1_EBF_1 24 0 - 0 0 . chr2 130798090 130798091 chr2:130798091:C:T rs567179639 C T C EBF1_EBF_1 9 1 - 3.9252404703853836 1.27030120493778 CGCCCCGGGGGACG chr2 130798099 130798100 chr2:130798100:G:A rs1051956795 G A G EBF1_EBF_1 0 1 - 3.9252404703853836 4.207442531115961 CGCCCCGGGGGACG chr2 130809184 130809185 chr2:130809185:C:A rs74874901 C A C EBF1_EBF_1 3 1 + 6.422882828800682 -0.4832912006651252 CTTCCCCTAGGACC chr2 130825810 130825811 chr2:130825811:G:A rs35031046 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 130838930 130838931 chr2:130838931:C:G rs12472354 C G C EBF1_EBF_1 -4 0 - 0 0 . chr2 130839317 130839318 chr2:130839318:G:C rs186455716 G C G EBF1_EBF_1 -11 0 - 0 0 . chr2 130844409 130844410 chr2:130844410:A:G rs2248310 A G G EBF1_EBF_1 -20 0 + 0 0 . chr2 130851387 130851388 chr2:130851388:A:G rs2459788 A G G EBF1_EBF_1 -17 0 + 0 0 . chr2 130867721 130867722 chr2:130867722:A:G rs7573895 A G G EBF1_EBF_1 18 0 + 0 0 . chr2 130987280 130987281 chr2:130987281:G:A rs11693003 G A A EBF1_EBF_1 -4 0 + 0 0 . chr2 131032230 131032231 chr2:131032231:C:G rs10199727 C G G EBF1_EBF_1 -15 0 + 0 0 . chr2 131032546 131032547 chr2:131032547:G:A rs10193105 G A G EBF1_EBF_1 31 0 - 0 0 . chr2 131058962 131058963 chr2:131058963:C:T rs550307923 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 131148676 131148677 chr2:131148677:C:G rs16856546 C G C EBF1_EBF_1 15 0 - 0 0 . chr2 131264286 131264287 chr2:131264287:T:C rs766000486 T C T EBF1_EBF_1 31 0 + 0 0 . chr2 131413626 131413627 chr2:131413627:G:A rs74388662 G A A EBF1_EBF_1 -18 0 - 0 0 . chr2 131442733 131442734 chr2:131442734:G:A rs71345554 G A . EBF1_EBF_1 28 0 + 0 0 . chr2 131443189 131443190 chr2:131443190:G:A rs539780201 G A . EBF1_EBF_1 -20 0 + 0 0 . chr2 131488732 131488733 chr2:131488733:C:T rs13386312 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 131515226 131515227 chr2:131515227:A:G rs4380288 A G . EBF1_EBF_1 -2 0 - 0 0 . chr2 131518465 131518466 chr2:131518466:C:T rs2463327 C T . EBF1_EBF_1 7 1 - 3.939137431699196 5.251595836988022 CTTCCCCGGGGCAG chr2 131529995 131529996 chr2:131529996:G:A rs140791204 G A . EBF1_EBF_1 10 1 + 5.987573877183026 0.6695454179160598 GAACCCCTGGGACA chr2 131530890 131530891 chr2:131530891:G:A rs146734821 G A . EBF1_EBF_1 -13 0 - 0 0 . chr2 131586308 131586309 chr2:131586309:C:G rs56221680 C G . EBF1_EBF_1 4 1 + 5.8497310136710245 0.22396591252148107 AGTCCCTAGAGTCA chr2 131755900 131755901 chr2:131755901:C:T rs6739766 C T . EBF1_EBF_1 -9 0 - 0 0 . chr2 131801462 131801463 chr2:131801463:C:T rs548796953 C T . EBF1_EBF_1 33 0 + 0 0 . chr2 131829096 131829097 chr2:131829097:T:C rs2314388 T C . EBF1_EBF_1 14 0 + 0 0 . chr2 131829100 131829101 chr2:131829101:C:G rs9282966 C G . EBF1_EBF_1 18 0 + 0 0 . chr2 131834074 131834075 chr2:131834075:C:T rs6429982 C T . EBF1_EBF_1 33 0 + 0 0 . chr2 132037713 132037714 chr2:132037714:A:T rs79315534 A T - EBF1_EBF_1 26 0 - 0 0 . chr2 132037737 132037738 chr2:132037738:A:C rs35366111 A C - EBF1_EBF_1 2 1 - 4.1396066037686055 -2.6087190864318632 CCTCCCTCGGGTCC chr2 132258096 132258097 chr2:132258097:C:T rs1203275973 C T . EBF1_EBF_1 -18 0 + 0 0 . chr2 132268543 132268544 chr2:132268544:A:C rs59000808 A C . EBF1_EBF_1 26 0 - 0 0 . chr2 132281053 132281054 chr2:132281054:A:T rs1282796013 A T . EBF1_EBF_1 11 1 + 5.187587908365241 1.0896736522665158 GTTCACCTGGGACC chr2 132281054 132281055 chr2:132281055:C:T chr2:132281055:C:T C T . EBF1_EBF_1 12 1 + 5.187587908365241 4.161321337814392 GTTCACCTGGGACC chr2 132288012 132288013 chr2:132288013:C:T rs11694343 C T . EBF1_EBF_1 9 1 - 6.085726342953986 3.430787077506382 AGACCCAAGGGGCA chr2 132347269 132347270 chr2:132347270:G:A rs1043742228 G A . EBF1_EBF_1 -3 0 + 0 0 . chr2 132347304 132347305 chr2:132347305:T:C rs895652224 T C . EBF1_EBF_1 32 0 + 0 0 . chr2 132361043 132361044 chr2:132361044:C:T rs78259675 C T . EBF1_EBF_1 31 0 - 0 0 . chr2 132373655 132373656 chr2:132373656:C:T rs2315374 C T . EBF1_EBF_1 -12 0 - 0 0 . chr2 132400554 132400555 chr2:132400555:G:A rs11694295 G A A EBF1_EBF_1 15 0 + 0 0 . chr2 132418465 132418466 chr2:132418466:G:A rs115483979 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 132420227 132420228 chr2:132420228:G:A rs4425132 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 132430959 132430960 chr2:132430960:A:G rs10197566 A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 132430970 132430971 chr2:132430971:G:A rs76918497 G A G EBF1_EBF_1 9 1 + 5.949040329366934 3.2941010639193293 ATTTCCCAGGGATC chr2 132431069 132431070 chr2:132431070:T:C rs113323305 T C T EBF1_EBF_1 -8 0 + 0 0 . chr2 132435563 132435564 chr2:132435564:T:G rs12469351 T G T EBF1_EBF_1 -19 0 - 0 0 . chr2 132442460 132442461 chr2:132442461:C:T rs11686017 C T T EBF1_EBF_1 30 0 - 0 0 . chr2 132448504 132448505 chr2:132448505:C:G rs141264993 C G C EBF1_EBF_1 8 1 - 6.9918292808480205 1.673170419933335 AATCCCAGGGGTCA chr2 132461126 132461127 chr2:132461127:C:T rs12612609 C T c EBF1_EBF_1 31 0 + 0 0 . chr2 132469127 132469128 chr2:132469128:G:T rs10200811 G T T EBF1_EBF_1 21 0 - 0 0 . chr2 132471070 132471071 chr2:132471071:T:C rs4352271 T C C EBF1_EBF_1 29 0 - 0 0 . chr2 132503645 132503646 chr2:132503646:G:A rs4467331 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 132539086 132539087 chr2:132539087:G:T rs3115036 G T T EBF1_EBF_1 5 1 - 7.17512118277802 0.27024003014285186 ACTCCCGTGAGACA chr2 132545001 132545002 chr2:132545002:C:T rs12468319 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 132548941 132548942 chr2:132548942:T:A rs3109136 T A A EBF1_EBF_1 20 0 - 0 0 . chr2 132651294 132651295 chr2:132651295:G:C rs17396537 G C G EBF1_EBF_1 13 1 - 6.611090807032864 5.123019785677449 ATTCCCTAGAGCCC chr2 132669500 132669501 chr2:132669501:G:C rs73955783 G C G EBF1_EBF_1 22 0 - 0 0 . chr2 132671596 132671597 chr2:132671597:G:A rs73955784 G A G EBF1_EBF_1 5 1 - 4.356952383289809 -0.9648348322508613 CTCCCCGAGGGGTT chr2 132687967 132687968 chr2:132687968:T:C rs193039646 T C T EBF1_EBF_1 23 0 - 0 0 . chr2 132776445 132776446 chr2:132776446:C:T rs16841146 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 132891758 132891759 chr2:132891759:T:C rs56114626 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 132916035 132916036 chr2:132916036:A:T rs13401736 A T A EBF1_EBF_1 -15 0 + 0 0 . chr2 132936579 132936580 chr2:132936580:C:T rs281580 C T T EBF1_EBF_1 -2 0 - 0 0 . chr2 132937856 132937857 chr2:132937857:G:A rs117507411 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 132977648 132977649 chr2:132977649:C:G rs7589684 C G G EBF1_EBF_1 30 0 - 0 0 . chr2 132991723 132991724 chr2:132991724:G:A rs4954018 G A A EBF1_EBF_1 23 0 + 0 0 . chr2 133085968 133085969 chr2:133085969:T:C rs76440481 T C C EBF1_EBF_1 -7 0 + 0 0 . chr2 133502886 133502887 chr2:133502887:T:C rs57934931 T C C EBF1_EBF_1 -5 0 - 0 0 . chr2 133545069 133545070 chr2:133545070:C:T rs35187072 C T C EBF1_EBF_1 22 0 + 0 0 . chr2 133575189 133575190 chr2:133575190:T:C rs7588354 T C C EBF1_EBF_1 33 0 + 0 0 . chr2 133600395 133600396 chr2:133600396:A:G rs55819644 A G A EBF1_EBF_1 -1 0 - 0 0 . chr2 133657885 133657886 chr2:133657886:A:T chr2:133657886:A:T A T A EBF1_EBF_1 -15 0 - 0 0 . chr2 133802130 133802131 chr2:133802131:C:G rs57520550 C G c EBF1_EBF_1 -9 0 - 0 0 . chr2 133802136 133802137 chr2:133802137:A:G rs907548895 A G A EBF1_EBF_1 -15 0 - 0 0 . chr2 133844339 133844340 chr2:133844340:G:A rs114646920 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 133848467 133848468 chr2:133848468:G:A rs12475278 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 133873525 133873526 chr2:133873526:C:T rs116270930 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 133897119 133897120 chr2:133897120:A:G rs6748444 A G A EBF1_EBF_1 -1 0 - 0 0 . chr2 133938003 133938004 chr2:133938004:C:T rs13020244 C T C EBF1_EBF_1 -20 0 + 0 0 . chr2 133987089 133987090 chr2:133987090:C:T rs114881211 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 134012187 134012188 chr2:134012188:C:G rs10928472 C G C EBF1_EBF_1 0 1 + 6.922528921294123 7.285503535425889 CCCCCCAAGAGAAT chr2 134060385 134060386 chr2:134060386:G:A rs12998151 G A G EBF1_EBF_1 5 1 - 4.075673054588636 -1.246114160952034 AGTCCCCAGTGAGA chr2 134107585 134107586 chr2:134107586:A:G rs6711212 A G A EBF1_EBF_1 12 1 - 5.975085496524149 7.001352067074998 GGTCCCAAGAGATG chr2 134118477 134118478 chr2:134118478:A:C rs4953902 A C A EBF1_EBF_1 32 0 - 0 0 . chr2 134118479 134118480 chr2:134118480:A:C rs571339510 A C A EBF1_EBF_1 30 0 - 0 0 . chr2 134119670 134119671 chr2:134119671:A:C rs151066449 A C A EBF1_EBF_1 -12 0 - 0 0 . chr2 134120570 134120571 chr2:134120571:C:A rs6430497 C A c EBF1_EBF_1 -8 0 - 0 0 . chr2 134120807 134120808 chr2:134120808:G:C rs114573328 G C g EBF1_EBF_1 23 0 - 0 0 . chr2 134149326 134149327 chr2:134149327:A:T rs17711730 A T T EBF1_EBF_1 -11 0 + 0 0 . chr2 134151808 134151809 chr2:134151809:G:C rs11902483 G C - EBF1_EBF_1 -7 0 + 0 0 . chr2 134151811 134151812 chr2:134151812:T:G rs11898907 T G - EBF1_EBF_1 -4 0 + 0 0 . chr2 134152190 134152191 chr2:134152191:T:C rs62165683 T C C EBF1_EBF_1 -5 0 + 0 0 . chr2 134153864 134153865 chr2:134153865:A:G rs12470331 A G G EBF1_EBF_1 -20 0 - 0 0 . chr2 134154671 134154672 chr2:134154672:C:G rs2139309 C G C EBF1_EBF_1 26 0 + 0 0 . chr2 134200299 134200300 chr2:134200300:T:C rs4953907 T C C EBF1_EBF_1 18 0 + 0 0 . chr2 134228767 134228768 chr2:134228768:G:A rs73960807 G A G EBF1_EBF_1 -10 0 - 0 0 . chr2 134231853 134231854 chr2:134231854:G:A rs11685986 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 134261944 134261945 chr2:134261945:G:A rs6757621 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 134281766 134281767 chr2:134281767:C:T rs33928790 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 134293050 134293051 chr2:134293051:G:A rs13014122 G A G EBF1_EBF_1 1 1 - 5.552708366307697 6.44814180670644 ACTCCCTGGAGGCA chr2 134402532 134402533 chr2:134402533:G:A rs116583869 G A G EBF1_EBF_1 9 1 + 7.208898736556897 4.553959471109293 ATTCCTCTGGGACA chr2 134446642 134446643 chr2:134446643:C:A rs78405979 C A C EBF1_EBF_1 23 0 - 0 0 . chr2 134450641 134450642 chr2:134450642:A:G rs626540 A G G EBF1_EBF_1 32 0 - 0 0 . chr2 134459112 134459113 chr2:134459113:C:A rs115596642 C A C EBF1_EBF_1 31 0 + 0 0 . chr2 134459167 134459168 chr2:134459168:T:C rs554567 T C C EBF1_EBF_1 -3 0 + 0 0 . chr2 134462128 134462129 chr2:134462129:G:A rs79055556 G A G EBF1_EBF_1 16 0 + 0 0 . chr2 134463359 134463360 chr2:134463360:C:T rs2321981 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 134464252 134464253 chr2:134464253:T:G rs528467322 T G T EBF1_EBF_1 7 1 - 9.054326155172244 6.760547168802064 TCTCCCCAGGGAAG chr2 134464641 134464642 chr2:134464642:T:C rs13018116 T C T EBF1_EBF_1 17 0 + 0 0 . chr2 134464649 134464650 chr2:134464650:T:C rs689399 T C T EBF1_EBF_1 25 0 + 0 0 . chr2 134465925 134465926 chr2:134465926:C:A rs2289471 C A C EBF1_EBF_1 10 1 + 5.165301767368102 6.73807569543061 ATTCCCAGGGCACA chr2 134466958 134466959 chr2:134466959:T:A rs71417512 T A T EBF1_EBF_1 26 0 + 0 0 . chr2 134468745 134468746 chr2:134468746:G:A rs114493301 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 134479718 134479719 chr2:134479719:A:G rs596403 A G A EBF1_EBF_1 -10 0 + 0 0 . chr2 134499507 134499508 chr2:134499508:G:A rs72982258 G A G EBF1_EBF_1 8 1 + 7.444846562780512 3.218556473894251 GTTCCCCAGAGAGC chr2 134499717 134499718 chr2:134499718:C:T rs491200 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 134505507 134505508 chr2:134505508:G:A rs6430519 G A G EBF1_EBF_1 7 1 - 6.195644102060231 8.013148848342853 GGTCCCACGAGACC chr2 134505509 134505510 chr2:134505510:G:A rs56131490 G A G EBF1_EBF_1 5 1 - 6.195644102060231 0.8738568865195613 GGTCCCACGAGACC chr2 134602850 134602851 chr2:134602851:T:C rs2321864 T C C EBF1_EBF_1 17 0 - 0 0 . chr2 134610507 134610508 chr2:134610508:A:C rs78707499 A C A EBF1_EBF_1 -9 0 - 0 0 . chr2 134630668 134630669 chr2:134630669:T:C rs78716278 T C T EBF1_EBF_1 -14 0 + 0 0 . chr2 134630690 134630691 chr2:134630691:G:A rs11682346 G A G EBF1_EBF_1 8 1 + 5.619979840166386 1.393689751280124 TCTCCCCTGGGCCC chr2 134706718 134706719 chr2:134706719:G:A rs116596695 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 134716794 134716795 chr2:134716795:T:C rs6749329 T C T EBF1_EBF_1 18 0 + 0 0 . chr2 134774131 134774132 chr2:134774132:G:C rs187164609 G C G EBF1_EBF_1 1 1 + 4.124813001472662 3.9350413469034438 TGACCCCAGGGGCC chr2 134774132 134774133 chr2:134774133:A:G rs4954174 A G G EBF1_EBF_1 2 1 + 4.124813001472662 1.06095489887107 TGACCCCAGGGGCC chr2 134779092 134779093 chr2:134779093:G:A rs72974315 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 134852661 134852662 chr2:134852662:G:A rs111644921 G A g EBF1_EBF_1 6 1 + 5.72372730493558 7.890521736210056 CCTCCCGGGGGAGC chr2 134892662 134892663 chr2:134892663:T:A rs77978213 T A T EBF1_EBF_1 25 0 - 0 0 . chr2 134919441 134919442 chr2:134919442:G:A rs777216820 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 134919464 134919465 chr2:134919465:T:C rs3769027 T C C EBF1_EBF_1 -2 0 - 0 0 . chr2 134919472 134919473 chr2:134919473:T:G rs3769026 T G G EBF1_EBF_1 -10 0 - 0 0 . chr2 135350268 135350269 chr2:135350269:G:A rs10496734 G A A EBF1_EBF_1 23 0 - 0 0 . chr2 135532040 135532041 chr2:135532041:C:G rs770336313 C G C EBF1_EBF_1 5 1 + 4.25525496440872 -2.649626188226449 CAGCCCCAGGGACT chr2 135532042 135532043 chr2:135532043:A:G chr2:135532043:A:G A G A EBF1_EBF_1 7 1 + 4.25525496440872 2.942796559119895 CAGCCCCAGGGACT chr2 135532062 135532063 chr2:135532063:C:T rs531119489 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 135788539 135788540 chr2:135788540:A:G rs2278544 A G A EBF1_EBF_1 -13 0 - 0 0 . chr2 135822827 135822828 chr2:135822828:G:A rs60376570 G A g EBF1_EBF_1 31 0 - 0 0 . chr2 135961313 135961314 chr2:135961314:T:C rs77707512 T C T EBF1_EBF_1 -16 0 + 0 0 . chr2 135961357 135961358 chr2:135961358:T:C rs116756668 T C T EBF1_EBF_1 28 0 + 0 0 . chr2 135985085 135985086 chr2:135985086:G:A rs76496496 G A g EBF1_EBF_1 -16 0 - 0 0 . chr2 135985141 135985142 chr2:135985142:G:C rs187990862 G C G EBF1_EBF_1 -20 0 + 0 0 . chr2 135985171 135985172 chr2:135985172:G:T rs367821532 G T G EBF1_EBF_1 10 1 + 4.859342275202076 0.6765900889748111 CCACCCCGGGGACA chr2 136099587 136099588 chr2:136099588:A:G rs13008147 A G A EBF1_EBF_1 6 1 - 6.269739230078337 5.968453101216579 TCCCCCTAGAGACC chr2 136107121 136107122 chr2:136107122:C:T rs78918822 C T C EBF1_EBF_1 -19 0 + 0 0 . chr2 136107132 136107133 chr2:136107133:G:A rs16832731 G A A EBF1_EBF_1 -8 0 + 0 0 . chr2 136142288 136142289 chr2:136142289:C:T rs2176717 C T T EBF1_EBF_1 21 0 - 0 0 . chr2 136254944 136254945 chr2:136254945:A:C rs79296040 A C A EBF1_EBF_1 -9 0 - 0 0 . chr2 136282182 136282183 chr2:136282183:T:G rs4954601 T G G EBF1_EBF_1 11 1 - 4.475036911050975 0.059075213198585885 TCTTCCCTGGGACT chr2 136282213 136282214 chr2:136282214:A:G rs4245843 A G A EBF1_EBF_1 -20 0 - 0 0 . chr2 136284271 136284272 chr2:136284272:C:T rs6727273 C T T EBF1_EBF_1 22 0 + 0 0 . chr2 136343895 136343896 chr2:136343896:T:C rs11687526 T C T EBF1_EBF_1 7 1 - 6.400283801226163 5.087825395937337 TCTCCCAAGGAACT chr2 136343900 136343901 chr2:136343901:A:G rs374991144 A G A EBF1_EBF_1 2 1 - 6.400283801226163 4.511460389784013 TCTCCCAAGGAACT chr2 136421064 136421065 chr2:136421065:G:A rs7574755 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 136582926 136582927 chr2:136582927:A:G rs841435 A G G EBF1_EBF_1 18 0 - 0 0 . chr2 136738313 136738314 chr2:136738314:C:T rs62159514 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 136811111 136811112 chr2:136811112:C:A rs1649554 C A C EBF1_EBF_1 21 0 + 0 0 . chr2 136929762 136929763 chr2:136929763:T:C rs487025 T C T EBF1_EBF_1 12 1 - 3.7217490354163623 2.3029316933168844 ACCCCACAGGGAAC chr2 136929766 136929767 chr2:136929767:C:T rs487020 C T C EBF1_EBF_1 8 1 - 3.7217490354163623 -0.5045410534698981 ACCCCACAGGGAAC chr2 137023626 137023627 chr2:137023627:A:G rs13411376 A G A EBF1_EBF_1 -17 0 + 0 0 . chr2 137160351 137160352 chr2:137160352:T:A rs4954474 T A T EBF1_EBF_1 12 1 - 6.25840438237275 5.201956413400574 TTTCCCCTGAGGAT chr2 137172274 137172275 chr2:137172275:G:A rs115008667 G A G EBF1_EBF_1 31 0 + 0 0 . chr2 137237389 137237390 chr2:137237390:G:A rs11904070 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 137237396 137237397 chr2:137237397:G:C rs35648718 G C G EBF1_EBF_1 -7 0 + 0 0 . chr2 137301243 137301244 chr2:137301244:T:C rs1377439 T C C EBF1_EBF_1 4 1 - 6.404391390521873 6.603185912825796 AGTCACCTGGGAAC chr2 137301263 137301264 chr2:137301264:C:T rs1377440 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 137320032 137320033 chr2:137320033:T:C rs13415724 T C T EBF1_EBF_1 8 1 - 8.083994000960292 12.310284089846553 ACTCCCTTAGGACC chr2 137506077 137506078 chr2:137506078:C:T rs10197034 C T T EBF1_EBF_1 -5 0 - 0 0 . chr2 137708241 137708242 chr2:137708242:T:C rs2121233 T C C EBF1_EBF_1 -12 0 + 0 0 . chr2 137708279 137708280 chr2:137708280:G:A rs2121234 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 137897215 137897216 chr2:137897216:G:C rs7557873 G C G EBF1_EBF_1 6 1 - 5.344738596887948 3.5395465334147507 AGTGCCCTGGGATC chr2 137945242 137945243 chr2:137945243:C:T rs3113202 C T T EBF1_EBF_1 -6 0 + 0 0 . chr2 138110451 138110452 chr2:138110452:G:A rs1879969 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 138139178 138139179 chr2:138139179:T:C rs10174379 T C C EBF1_EBF_1 2 1 + 9.016180999278504 7.127357587836354 ATTCCCCAGGTATT chr2 138238860 138238861 chr2:138238861:T:C rs10173048 T C T EBF1_EBF_1 -4 0 + 0 0 . chr2 138268036 138268037 chr2:138268037:C:G rs62164191 C G C EBF1_EBF_1 -17 0 - 0 0 . chr2 138274696 138274697 chr2:138274697:C:T rs12478040 C T T EBF1_EBF_1 30 0 - 0 0 . chr2 138275320 138275321 chr2:138275321:A:C rs6756376 A C A EBF1_EBF_1 6 1 - 8.748233481845597 6.641755289510644 AATCCCTGGAGACC chr2 138495555 138495556 chr2:138495556:A:C rs76638567 A C A EBF1_EBF_1 24 0 - 0 0 . chr2 138501163 138501164 chr2:138501164:T:G rs182759055 T G T EBF1_EBF_1 -11 0 + 0 0 . chr2 138514717 138514718 chr2:138514718:T:C rs77233598 T C T EBF1_EBF_1 13 1 + 7.0884002688575904 6.243906154272072 TTTCCCTGGGTAAT chr2 138724575 138724576 chr2:138724576:C:T rs13431346 C T C EBF1_EBF_1 3 1 + 6.457840633736073 0.05244189218275541 TTTCCCCTGGAACT chr2 138851971 138851972 chr2:138851972:G:A rs1485072 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 139031773 139031774 chr2:139031774:T:A rs4013938 T A T EBF1_EBF_1 -17 0 - 0 0 . chr2 139176208 139176209 chr2:139176209:C:A rs16841796 C A C EBF1_EBF_1 3 1 + 6.626539299999723 -0.27963472946608436 ACACCCTCGGGATT chr2 139344877 139344878 chr2:139344878:G:T rs79249729 G T G EBF1_EBF_1 25 0 + 0 0 . chr2 139921136 139921137 chr2:139921137:G:T rs1401942 G T G EBF1_EBF_1 24 0 - 0 0 . chr2 139970609 139970610 chr2:139970610:T:G rs10206746 T G G EBF1_EBF_1 27 0 - 0 0 . chr2 139990835 139990836 chr2:139990836:G:A rs10199462 G A g EBF1_EBF_1 -4 0 + 0 0 . chr2 140212168 140212169 chr2:140212169:C:T rs7592147 C T C EBF1_EBF_1 10 1 - 6.700431038966605 1.3824025796996398 ATTCCCAGGAGGTT chr2 140224617 140224618 chr2:140224618:T:C rs902844 T C T EBF1_EBF_1 -13 0 - 0 0 . chr2 140735432 140735433 chr2:140735433:T:C rs76997716 T C T EBF1_EBF_1 7 1 + 6.425466749594684 4.60796200331206 ACTCCCATGGTAGA chr2 140842366 140842367 chr2:140842367:G:C rs891273 G C C EBF1_EBF_1 5 1 - 7.581288498868785 0.6764073462336182 GCTCCCTTGAGATT chr2 140944045 140944046 chr2:140944046:G:C rs541288898 G C G EBF1_EBF_1 -20 0 + 0 0 . chr2 140964400 140964401 chr2:140964401:A:G rs13008092 A G - EBF1_EBF_1 7 1 + 8.8930596954677 7.580601290178874 CTCCCCCAGGGAAA chr2 140964413 140964414 chr2:140964414:C:A rs13008288 C A - EBF1_EBF_1 20 0 + 0 0 . chr2 141114491 141114492 chr2:141114492:T:G rs13391141 T G t EBF1_EBF_1 19 0 + 0 0 . chr2 141161828 141161829 chr2:141161829:T:C rs1518801 T C T EBF1_EBF_1 30 0 - 0 0 . chr2 141331681 141331682 chr2:141331682:A:T rs74597700 A T A EBF1_EBF_1 15 0 - 0 0 . chr2 141570121 141570122 chr2:141570122:G:A rs6429907 G A G EBF1_EBF_1 -4 0 + 0 0 . chr2 141570900 141570901 chr2:141570901:A:T rs12992971 A T A EBF1_EBF_1 -15 0 + 0 0 . chr2 141570924 141570925 chr2:141570925:G:C rs62169806 G C G EBF1_EBF_1 9 1 + 6.492734575432561 1.4170454290870973 AGCCCCAAGGGGGA chr2 141590452 141590453 chr2:141590453:A:G rs72855453 A G A EBF1_EBF_1 -16 0 - 0 0 . chr2 141633368 141633369 chr2:141633369:T:C rs12479134 T C T EBF1_EBF_1 -5 0 + 0 0 . chr2 141633377 141633378 chr2:141633378:C:A rs10198378 C A C EBF1_EBF_1 4 1 + 5.5971317875140985 -0.2274278359393691 ACACCCTTGAGACA chr2 141652204 141652205 chr2:141652205:C:T rs2028129 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 141753479 141753480 chr2:141753480:A:T rs540183452 A T A EBF1_EBF_1 -5 0 - 0 0 . chr2 141823194 141823195 chr2:141823195:T:G rs7602631 T G T EBF1_EBF_1 17 0 - 0 0 . chr2 142142897 142142898 chr2:142142898:G:C rs78368144 G C G EBF1_EBF_1 -11 0 + 0 0 . chr2 142165962 142165963 chr2:142165963:G:A rs17776027 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 142165992 142165993 chr2:142165993:T:C rs370003205 T C T EBF1_EBF_1 13 1 + 8.626777264819788 7.78228315023427 AATCCCAGGAGATT chr2 142186213 142186214 chr2:142186214:G:A rs570815303 G A G EBF1_EBF_1 4 1 - 8.41983234899009 4.050445404045166 AGTCCCTAGGTACA chr2 142542827 142542828 chr2:142542828:A:G rs11893472 A G G EBF1_EBF_1 -13 0 - 0 0 . chr2 142543389 142543390 chr2:142543390:A:T rs6720121 A T T EBF1_EBF_1 -11 0 + 0 0 . chr2 142543415 142543416 chr2:142543416:A:T rs16857517 A T A EBF1_EBF_1 15 0 + 0 0 . chr2 142545842 142545843 chr2:142545843:C:T rs34621265 C T T EBF1_EBF_1 -11 0 - 0 0 . chr2 142615860 142615861 chr2:142615861:T:C rs34061183 T C C EBF1_EBF_1 -5 0 + 0 0 . chr2 142690659 142690660 chr2:142690660:C:T rs13030711 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 142796333 142796334 chr2:142796334:G:A rs147650995 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 142874398 142874399 chr2:142874399:G:A rs150938103 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 142898125 142898126 chr2:142898126:C:T rs7600006 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 142954474 142954475 chr2:142954475:G:C rs351675 G C C EBF1_EBF_1 24 0 - 0 0 . chr2 143125024 143125025 chr2:143125025:G:A rs4662313 G A A EBF1_EBF_1 5 1 - 5.192776049807467 -0.12901116573320343 CTCCCCGTGGGAGA chr2 143125035 143125036 chr2:143125036:A:C rs11889348 A C C EBF1_EBF_1 -6 0 - 0 0 . chr2 143162816 143162817 chr2:143162817:A:G rs187133 A G A EBF1_EBF_1 33 0 + 0 0 . chr2 143420830 143420831 chr2:143420831:T:C rs12612084 T C T EBF1_EBF_1 -1 0 - 0 0 . chr2 143474159 143474160 chr2:143474160:A:G rs538243016 A G A EBF1_EBF_1 -6 0 + 0 0 . chr2 143512878 143512879 chr2:143512879:T:C rs10204230 T C T EBF1_EBF_1 -11 0 + 0 0 . chr2 143514806 143514807 chr2:143514807:C:T rs4233567 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 143602700 143602701 chr2:143602701:C:A rs4662209 C A A EBF1_EBF_1 19 0 + 0 0 . chr2 143741123 143741124 chr2:143741124:G:A rs12989517 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 143741137 143741138 chr2:143741138:T:C rs12691691 T C C EBF1_EBF_1 -18 0 - 0 0 . chr2 143877101 143877102 chr2:143877102:G:A rs1868078 G A A EBF1_EBF_1 -19 0 - 0 0 . chr2 144181319 144181320 chr2:144181320:C:T rs145661841 C T C EBF1_EBF_1 1 1 + 6.509443292129947 7.404876732528693 TCCCCCACGGGATT chr2 144349891 144349892 chr2:144349892:T:C rs78574499 T C T EBF1_EBF_1 32 0 - 0 0 . chr2 144429181 144429182 chr2:144429182:C:T rs12614546 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 144521364 144521365 chr2:144521365:T:C rs77027441 T C T EBF1_EBF_1 -5 0 - 0 0 . chr2 144836760 144836761 chr2:144836761:A:T rs3856365 A T A EBF1_EBF_1 2 1 - 5.495926478402976 1.8114588908040985 GTTCCCCAGTGACT chr2 144951438 144951439 chr2:144951439:A:G chr2:144951439:A:G A G A EBF1_EBF_1 -9 0 - 0 0 . chr2 144965716 144965717 chr2:144965717:A:T rs2579020 A T T EBF1_EBF_1 22 0 + 0 0 . chr2 144965718 144965719 chr2:144965719:A:G rs2697042 A G G EBF1_EBF_1 24 0 + 0 0 . chr2 145067080 145067081 chr2:145067081:G:A rs937379455 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 145480960 145480961 chr2:145480961:G:A rs983115 G A G EBF1_EBF_1 1 1 - 6.287185669876175 7.182619110274921 TCTCCCTAGGTAAA chr2 145538023 145538024 chr2:145538024:A:G chr2:145538024:A:G A G A EBF1_EBF_1 -10 0 - 0 0 . chr2 145761331 145761332 chr2:145761332:A:C rs918694055 A C A EBF1_EBF_1 -19 0 + 0 0 . chr2 145792941 145792942 chr2:145792942:G:T rs35164012 G T T EBF1_EBF_1 -12 0 - 0 0 . chr2 145797088 145797089 chr2:145797089:G:A rs11690567 G A G EBF1_EBF_1 10 1 + 4.697857404601859 -0.6201710546651078 AGACCCCAGGGGTA chr2 146447070 146447071 chr2:146447071:C:T rs114707208 C T C EBF1_EBF_1 4 1 + 6.426233754920707 2.0568468099757835 ACTCCCCTGGTATA chr2 146917604 146917605 chr2:146917605:A:G rs10496997 A G A EBF1_EBF_1 -19 0 - 0 0 . chr2 146969944 146969945 chr2:146969945:T:C rs7568844 T C T EBF1_EBF_1 -9 0 + 0 0 . chr2 147006833 147006834 chr2:147006834:C:T rs115777844 C T T EBF1_EBF_1 -10 0 + 0 0 . chr2 147061643 147061644 chr2:147061644:C:T rs35963536 C T C EBF1_EBF_1 13 1 - 5.34217191542644 6.456497487495879 TCTCCCAAGAGAGG chr2 147468786 147468787 chr2:147468787:A:G rs1550322 A G G EBF1_EBF_1 -9 0 + 0 0 . chr2 147542659 147542660 chr2:147542660:C:T rs934880 C T C EBF1_EBF_1 4 1 + 6.3042223932115915 1.9348354482666685 TTTCCCAGGGTAAC chr2 147650290 147650291 chr2:147650291:G:T rs6430224 G T T EBF1_EBF_1 15 0 - 0 0 . chr2 148044332 148044333 chr2:148044333:A:G rs1234423 A G A EBF1_EBF_1 16 0 - 0 0 . chr2 148108133 148108134 chr2:148108134:G:A rs73007190 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 148259589 148259590 chr2:148259590:A:G rs7573171 A G G EBF1_EBF_1 -16 0 - 0 0 . chr2 148375456 148375457 chr2:148375457:A:G rs34342202 A G A EBF1_EBF_1 0 1 + 6.53545613856326 4.643172268998429 ATCCCCAAGGAACC chr2 148509501 148509502 chr2:148509502:G:T chr2:148509502:G:T G T G EBF1_EBF_1 -2 0 - 0 0 . chr2 148527030 148527031 chr2:148527031:G:A rs145881628 G A G EBF1_EBF_1 17 0 - 0 0 . chr2 148527154 148527155 chr2:148527155:G:A rs1446554 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 148527166 148527167 chr2:148527167:A:C rs77606845 A C A EBF1_EBF_1 -3 0 + 0 0 . chr2 148534605 148534606 chr2:148534606:G:A rs75376698 G A G EBF1_EBF_1 5 1 - 5.593800927293332 0.27201371175266065 CTTCCCCAGAGAGG chr2 148554177 148554178 chr2:148554178:A:T rs10928404 A T A EBF1_EBF_1 -1 0 - 0 0 . chr2 148554568 148554569 chr2:148554569:T:C chr2:148554569:T:C T C T EBF1_EBF_1 -17 0 + 0 0 . chr2 148558238 148558239 chr2:148558239:A:G rs116099190 A G A EBF1_EBF_1 22 0 + 0 0 . chr2 148565485 148565486 chr2:148565486:C:T rs1414993925 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 148577778 148577779 chr2:148577779:G:C rs7571333 G C C EBF1_EBF_1 19 0 + 0 0 . chr2 148607006 148607007 chr2:148607007:C:A rs6716704 C A C EBF1_EBF_1 16 0 + 0 0 . chr2 148720281 148720282 chr2:148720282:C:T rs114221959 C T C EBF1_EBF_1 6 1 + 4.862465114614224 5.163751243475982 ACTCTCCAGGGTCT chr2 148874961 148874962 chr2:148874962:A:G rs28459389 A G A EBF1_EBF_1 -14 0 - 0 0 . chr2 148875835 148875836 chr2:148875836:C:T rs545262085 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 148877155 148877156 chr2:148877156:C:A rs1251420689 C A C EBF1_EBF_1 -18 0 - 0 0 . chr2 148889635 148889636 chr2:148889636:G:A rs12616265 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 149022243 149022244 chr2:149022244:C:T rs148147646 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 149037888 149037889 chr2:149037889:C:A rs62182716 C A C EBF1_EBF_1 -6 0 - 0 0 . chr2 149038139 149038140 chr2:149038140:G:T rs72866083 G T G EBF1_EBF_1 20 0 - 0 0 . chr2 149060641 149060642 chr2:149060642:A:G rs6712345 A G G EBF1_EBF_1 20 0 - 0 0 . chr2 149144082 149144083 chr2:149144083:T:C rs964595 T C C EBF1_EBF_1 28 0 - 0 0 . chr2 149148985 149148986 chr2:149148986:G:A rs442245 G A g EBF1_EBF_1 20 0 - 0 0 . chr2 149161974 149161975 chr2:149161975:T:A rs55904209 T A T EBF1_EBF_1 13 1 + 6.553904628370707 5.335665064499213 TTTCCCCGGGGCAT chr2 149161980 149161981 chr2:149161981:G:A rs146225102 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 149169585 149169586 chr2:149169586:C:G rs28396953 C G C EBF1_EBF_1 18 0 - 0 0 . chr2 149180412 149180413 chr2:149180413:C:T rs13004145 C T C EBF1_EBF_1 3 1 + 6.739666858343437 0.33426811679011964 CTTCCCCAGGGCAC chr2 149313646 149313647 chr2:149313647:G:A rs62190571 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 149313654 149313655 chr2:149313655:G:C rs1409803605 G C G EBF1_EBF_1 -17 0 - 0 0 . chr2 149330066 149330067 chr2:149330067:G:C rs113569814 G C G EBF1_EBF_1 14 0 - 0 0 . chr2 149330851 149330852 chr2:149330852:G:A chr2:149330852:G:A G A G EBF1_EBF_1 1 1 + 9.30606277195 9.045363940028698 AGTCCCCTGGGGCC chr2 149348202 149348203 chr2:149348203:A:G rs4667438 A G A EBF1_EBF_1 27 0 - 0 0 . chr2 149359260 149359261 chr2:149359261:A:G rs549488154 A G A EBF1_EBF_1 7 1 - 7.130162341464507 5.312657595181883 ACCCCCATAGGAAT chr2 149483124 149483125 chr2:149483125:A:G rs62190616 A G G EBF1_EBF_1 1 1 + 7.682381705225739 7.943080537147039 GACCCCAGGGGACC chr2 149728458 149728459 chr2:149728459:C:A rs16827400 C A C EBF1_EBF_1 -13 0 - 0 0 . chr2 149734064 149734065 chr2:149734065:G:T rs112758632 G T G EBF1_EBF_1 4 1 - 7.8204743622109305 1.9959147387574645 ATTCCCCCGGGGCA chr2 149856642 149856643 chr2:149856643:C:T rs6437111 C T T EBF1_EBF_1 -15 0 - 0 0 . chr2 149972995 149972996 chr2:149972996:A:G rs1020087 A G G EBF1_EBF_1 19 0 - 0 0 . chr2 149989998 149989999 chr2:149989999:A:G rs13397786 A G A EBF1_EBF_1 31 0 + 0 0 . chr2 150013935 150013936 chr2:150013936:T:C rs331125 T C T EBF1_EBF_1 18 0 - 0 0 . chr2 150048156 150048157 chr2:150048157:A:G rs330648 A G G EBF1_EBF_1 -8 0 - 0 0 . chr2 150120596 150120597 chr2:150120597:G:A rs532345296 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 150120640 150120641 chr2:150120641:C:T rs973104 C T T EBF1_EBF_1 26 0 + 0 0 . chr2 150171236 150171237 chr2:150171237:G:A rs187660987 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 150171257 150171258 chr2:150171258:G:A rs553169508 G A G EBF1_EBF_1 3 1 - 4.037994834873459 -2.367403906679859 CTCCCCACGGGGCA chr2 150188774 150188775 chr2:150188775:A:T rs1458359899 A T A EBF1_EBF_1 -18 0 - 0 0 . chr2 150253074 150253075 chr2:150253075:C:T rs34894007 C T C EBF1_EBF_1 8 1 - 7.3750537133112335 3.148763624424974 AGTCCCAAGGAAAA chr2 150309759 150309760 chr2:150309760:G:A rs116619613 G A A EBF1_EBF_1 3 1 - 8.467632324411628 2.06223358285831 CCTCCCCAGGGAGA chr2 150321246 150321247 chr2:150321247:G:A rs74749038 G A A EBF1_EBF_1 9 1 + 6.327023317903116 3.6720840524555123 TTTCCCAAGGGCTC chr2 150376804 150376805 chr2:150376805:C:T rs17434743 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 150388648 150388649 chr2:150388649:A:G rs2334308 A G G EBF1_EBF_1 -17 0 - 0 0 . chr2 150485373 150485374 chr2:150485374:G:T rs72995734 G T G EBF1_EBF_1 -7 0 - 0 0 . chr2 150485386 150485387 chr2:150485387:C:T rs1007532669 C T G EBF1_EBF_1 -20 0 - 0 0 . chr2 150486606 150486607 chr2:150486607:T:A rs369682047 T A T EBF1_EBF_1 -14 0 - 0 0 . chr2 150486661 150486662 chr2:150486662:C:T rs372349858 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 150486668 150486669 chr2:150486669:C:A rs756143357 C A C EBF1_EBF_1 30 0 + 0 0 . chr2 150529973 150529974 chr2:150529974:A:T rs115117122 A T A EBF1_EBF_1 33 0 - 0 0 . chr2 150625486 150625487 chr2:150625487:A:G rs80223372 A G A EBF1_EBF_1 28 0 - 0 0 . chr2 150631406 150631407 chr2:150631407:G:T rs2341070 G T G EBF1_EBF_1 -1 0 - 0 0 . chr2 150680866 150680867 chr2:150680867:C:A chr2:150680867:C:A C A C EBF1_EBF_1 16 0 + 0 0 . chr2 150918231 150918232 chr2:150918232:G:A rs79487451 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 150931691 150931692 chr2:150931692:T:C rs7592542 T C T EBF1_EBF_1 18 0 + 0 0 . chr2 150986021 150986022 chr2:150986022:G:C rs62169396 G C G EBF1_EBF_1 26 0 + 0 0 . chr2 150994225 150994226 chr2:150994226:C:A rs16829166 C A C EBF1_EBF_1 -13 0 - 0 0 . chr2 151069873 151069874 chr2:151069874:G:A rs12996606 G A G EBF1_EBF_1 5 1 - 4.322792438420305 -0.9989947771203651 AGTGCCCTGGGGCT chr2 151084040 151084041 chr2:151084041:A:C rs12471318 A C A EBF1_EBF_1 -5 0 - 0 0 . chr2 151084048 151084049 chr2:151084049:G:A rs10198544 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 151097747 151097748 chr2:151097748:A:G rs13009048 A G A EBF1_EBF_1 0 1 + 5.6304741770802655 3.738190307515431 ACTGCCAAGGGAGC chr2 151127439 151127440 chr2:151127440:G:T rs12478577 G T G EBF1_EBF_1 -8 0 + 0 0 . chr2 151261551 151261552 chr2:151261552:G:C rs901137113 G C G EBF1_EBF_1 -17 0 + 0 0 . chr2 151261574 151261575 chr2:151261575:C:T rs567154165 C T C EBF1_EBF_1 6 1 + 3.483563913247037 3.7848500421087947 GGCCCCCGGGGTCC chr2 151290214 151290215 chr2:151290215:C:T rs190604565 C T C EBF1_EBF_1 6 1 + 5.3897166776017595 5.691002806463516 ATCCCCCGGGGCCA chr2 151544190 151544191 chr2:151544191:A:G rs7563568 A G G EBF1_EBF_1 -14 0 + 0 0 . chr2 151544217 151544218 chr2:151544218:C:T rs7602828 C T T EBF1_EBF_1 13 1 + 5.724002172822049 6.568496287407567 ATCCCTCTGGGAAC chr2 151576296 151576297 chr2:151576297:A:T rs35227368 A T A EBF1_EBF_1 11 1 - 5.921346247521471 10.019260503620195 TGCCCCAAGGGTCT chr2 151721499 151721500 chr2:151721500:T:C rs6741567 T C C EBF1_EBF_1 6 1 - 7.60307616903247 5.436281737757996 GGTCCCAAGGGCAT chr2 151948722 151948723 chr2:151948723:C:T rs74535921 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 151971250 151971251 chr2:151971251:T:A rs6719642 T A A EBF1_EBF_1 13 1 - 5.225223389235066 6.44346295310656 CTTGCCTAGGGACA chr2 152002118 152002119 chr2:152002119:G:A rs11686447 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 152127796 152127797 chr2:152127797:A:T rs17398253 A T A EBF1_EBF_1 21 0 - 0 0 . chr2 152200437 152200438 chr2:152200438:C:T rs2345645 C T T EBF1_EBF_1 8 1 - 4.8503613655088325 0.6240712766225719 GGCCCCCAGAGAGC chr2 152200458 152200459 chr2:152200459:A:G rs2345644 A G A EBF1_EBF_1 -13 0 - 0 0 . chr2 152213044 152213045 chr2:152213045:G:A rs12622797 G A G EBF1_EBF_1 -7 0 + 0 0 . chr2 152216500 152216501 chr2:152216501:G:C rs8179814 G C G EBF1_EBF_1 -20 0 + 0 0 . chr2 152303851 152303852 chr2:152303852:G:A rs139608771 G A G EBF1_EBF_1 6 1 - 6.625463117604567 6.926749246466325 ACCCTCCTGGGAAT chr2 152335809 152335810 chr2:152335810:C:T rs2003332 C T . EBF1_EBF_1 28 0 - 0 0 . chr2 152335912 152335913 chr2:152335913:C:T rs13408985 C T . EBF1_EBF_1 6 1 - 5.017600528378003 7.1843949596524785 GACCCCGAGAGACT chr2 152335918 152335919 chr2:152335919:C:T rs185128986 C T . EBF1_EBF_1 0 1 - 5.017600528378003 6.909884397942837 GACCCCGAGAGACT chr2 152336121 152336122 chr2:152336122:C:A rs4035882 C A . EBF1_EBF_1 -7 0 + 0 0 . chr2 152336123 152336124 chr2:152336124:C:T rs148253891 C T . EBF1_EBF_1 -5 0 + 0 0 . chr2 152341787 152341788 chr2:152341788:A:C rs4311022 A C A EBF1_EBF_1 0 1 + 8.909045936086397 6.653787452389799 ATTCCCCAGGGTCA chr2 152350317 152350318 chr2:152350318:T:G rs4664569 T G G EBF1_EBF_1 13 1 - 5.82778981167223 6.201535260958206 TGTCCCATGGGCAA chr2 152438408 152438409 chr2:152438409:G:C rs34968716 G C C EBF1_EBF_1 28 0 - 0 0 . chr2 152594979 152594980 chr2:152594980:C:T rs6731407 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 152598192 152598193 chr2:152598193:G:T rs16831418 G T G EBF1_EBF_1 -3 0 + 0 0 . chr2 152755239 152755240 chr2:152755240:T:C rs145380481 T C T EBF1_EBF_1 -18 0 + 0 0 . chr2 152767139 152767140 chr2:152767140:C:G rs140978322 C G C EBF1_EBF_1 7 1 + 7.070237590332429 8.051558171413784 AATCCCTCAGGAAT chr2 152769189 152769190 chr2:152769190:G:A rs11677855 G A G EBF1_EBF_1 6 1 - 5.890524048136792 6.1918101769985485 GGTCCCCTGGGCCC chr2 152813772 152813773 chr2:152813773:G:A rs62181176 G A G EBF1_EBF_1 10 1 + 7.4360469517005 2.1180184924335337 GGCCCCCAGGGAAG chr2 152870510 152870511 chr2:152870511:C:G rs17329489 C G C EBF1_EBF_1 19 0 - 0 0 . chr2 153059862 153059863 chr2:153059863:T:C rs571996017 T C T EBF1_EBF_1 19 0 + 0 0 . chr2 153090136 153090137 chr2:153090137:T:C rs62179565 T C T EBF1_EBF_1 6 1 - 4.971311136460361 2.8045167051858857 CAACCCATGGGAGC chr2 153133326 153133327 chr2:153133327:A:G rs1435018 A G G EBF1_EBF_1 18 0 + 0 0 . chr2 153287210 153287211 chr2:153287211:G:T rs4408680 G T T EBF1_EBF_1 16 0 - 0 0 . chr2 153287215 153287216 chr2:153287216:C:G rs147712542 C G C EBF1_EBF_1 11 1 - 5.2451910117532705 3.721936157504892 CTCCCCTAGGGGTA chr2 153287218 153287219 chr2:153287219:C:G rs4564732 C G C EBF1_EBF_1 8 1 - 5.2451910117532705 -0.07346784916141552 CTCCCCTAGGGGTA chr2 153360722 153360723 chr2:153360723:G:A rs141167862 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 153369734 153369735 chr2:153369735:C:T rs10167225 C T C EBF1_EBF_1 8 1 - 6.949409598649795 2.7231195097635355 TTTCCCTAGGGCAA chr2 153557942 153557943 chr2:153557943:A:G rs10931682 A G G EBF1_EBF_1 -9 0 + 0 0 . chr2 153575464 153575465 chr2:153575465:A:T rs4381741 A T T EBF1_EBF_1 20 0 - 0 0 . chr2 153666016 153666017 chr2:153666017:G:T rs13392367 G T G EBF1_EBF_1 11 1 - 5.284888919020349 9.700850616872739 CATCCCATGGGCAA chr2 153784880 153784881 chr2:153784881:A:G rs1596110 A G G EBF1_EBF_1 25 0 - 0 0 . chr2 153797671 153797672 chr2:153797672:T:C rs1528084 T C t EBF1_EBF_1 28 0 - 0 0 . chr2 153871131 153871132 chr2:153871132:T:C rs11682287 T C C EBF1_EBF_1 -2 0 - 0 0 . chr2 153872432 153872433 chr2:153872433:G:A rs118051347 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 153915016 153915017 chr2:153915017:A:G rs967825351 A G A EBF1_EBF_1 6 1 + 7.222635001485464 5.0558405702109885 CCTCCCATGGGGCC chr2 153991022 153991023 chr2:153991023:G:A rs28420351 G A G EBF1_EBF_1 4 1 - 7.865275711262615 3.495888766317691 TGTCCCCAGAGATT chr2 153991030 153991031 chr2:153991031:G:A rs1187238854 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 154362037 154362038 chr2:154362038:T:A chr2:154362038:T:A T A G EBF1_EBF_1 17 0 + 0 0 . chr2 154362037 154362038 chr2:154362038:T:G rs6435165 T G G EBF1_EBF_1 17 0 + 0 0 . chr2 154426120 154426121 chr2:154426121:C:G rs10168613 C G G EBF1_EBF_1 14 0 - 0 0 . chr2 154464707 154464708 chr2:154464708:T:C rs707102 T C T EBF1_EBF_1 11 1 - 4.71791307875181 1.8252062351477976 TCTCCCCTGGAACC chr2 154698577 154698578 chr2:154698578:G:A rs3111034 G A A EBF1_EBF_1 19 0 - 0 0 . chr2 154739574 154739575 chr2:154739575:A:G rs12471186 A G A EBF1_EBF_1 7 1 + 10.674827050210268 9.362368644921444 ACTCCCCAGAGACT chr2 154924799 154924800 chr2:154924800:G:T rs555176289 G T G EBF1_EBF_1 4 1 - 9.439845295557873 3.615285672104406 ACCCCCTAGGGAAG chr2 154980727 154980728 chr2:154980728:C:T rs7426307 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 155080612 155080613 chr2:155080613:C:A chr2:155080613:C:A C A C EBF1_EBF_1 -19 0 + 0 0 . chr2 155144282 155144283 chr2:155144283:T:G rs58321391 T G T EBF1_EBF_1 29 0 - 0 0 . chr2 155542350 155542351 chr2:155542351:T:A rs1524913 T A A EBF1_EBF_1 16 0 - 0 0 . chr2 155583892 155583893 chr2:155583893:G:T rs72876556 G T G EBF1_EBF_1 -14 0 + 0 0 . chr2 155583935 155583936 chr2:155583936:G:A rs6706687 G A G EBF1_EBF_1 29 0 + 0 0 . chr2 155748324 155748325 chr2:155748325:A:G rs13035923 A G G EBF1_EBF_1 14 0 + 0 0 . chr2 156004812 156004813 chr2:156004813:T:G chr2:156004813:T:G T G T EBF1_EBF_1 15 0 - 0 0 . chr2 156047792 156047793 chr2:156047793:G:A rs529704099 G A G EBF1_EBF_1 0 1 - 4.669782438115587 4.951984498846165 CCTCCCCCGGGGCA chr2 156291216 156291217 chr2:156291217:G:C rs7566609 G C G EBF1_EBF_1 1 1 - 5.2733164078208565 5.4630880623900735 ACTCCCTGGGTGAT chr2 156292446 156292447 chr2:156292447:C:T rs78167613 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 156328234 156328235 chr2:156328235:C:A rs568780353 C A C EBF1_EBF_1 17 0 - 0 0 . chr2 156329240 156329241 chr2:156329241:G:C rs73016502 G C G EBF1_EBF_1 29 0 + 0 0 . chr2 156335152 156335153 chr2:156335153:T:C rs143861663 T C T EBF1_EBF_1 32 0 + 0 0 . chr2 156346747 156346748 chr2:156346748:G:A rs834830 G A A EBF1_EBF_1 6 1 + 6.7134590384792805 8.880253469753756 ATTCCCGTGGGCAC chr2 156346857 156346858 chr2:156346858:C:G rs834831 C G G EBF1_EBF_1 -13 0 + 0 0 . chr2 156400580 156400581 chr2:156400581:G:C chr2:156400581:G:C G C G EBF1_EBF_1 -6 0 - 0 0 . chr2 156400593 156400594 chr2:156400594:T:G rs945744031 T G T EBF1_EBF_1 -19 0 - 0 0 . chr2 156467639 156467640 chr2:156467640:C:A rs298258 C A C EBF1_EBF_1 19 0 - 0 0 . chr2 156537930 156537931 chr2:156537931:G:T rs3769359 G T G EBF1_EBF_1 11 1 + 5.554985831688346 4.3497784191936315 ACCCCCGAGGGGAA chr2 157107733 157107734 chr2:157107734:C:T rs4664220 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 157152848 157152849 chr2:157152849:C:G rs16841348 C G C EBF1_EBF_1 9 1 - 6.743579520929555 1.667890374584092 GTTCCCTTAGGAAA chr2 157179110 157179111 chr2:157179111:C:T rs16841366 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 157246116 157246117 chr2:157246117:G:A chr2:157246117:G:A G A G EBF1_EBF_1 32 0 + 0 0 . chr2 157320976 157320977 chr2:157320977:G:A rs16841611 G A A EBF1_EBF_1 -14 0 + 0 0 . chr2 157432145 157432146 chr2:157432146:T:C rs12472939 T C T EBF1_EBF_1 8 1 - 6.998819668327461 11.225109757213723 TTTCCCAAAGGAGT chr2 157473606 157473607 chr2:157473607:A:G rs111893069 A G A EBF1_EBF_1 0 1 - 5.737292174311383 5.455090113580805 TGTCCCTTGGGCCA chr2 157597525 157597526 chr2:157597526:G:A rs17180418 G A G EBF1_EBF_1 7 1 - 5.298613450677892 7.116118196960516 AGCCCCGCGGGAGC chr2 157627946 157627947 chr2:157627947:G:A rs1053487475 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 157674442 157674443 chr2:157674443:G:A rs76577162 G A G EBF1_EBF_1 5 1 - 7.824843966704941 2.5030567511642716 GATCCCTAGAGAAA chr2 157698969 157698970 chr2:157698970:G:A rs151151493 G A G EBF1_EBF_1 5 1 - 12.853492075570314 7.531704860029646 ACTCCCCTGGGACT chr2 157749975 157749976 chr2:157749976:T:C rs13001245 T C C EBF1_EBF_1 -13 0 - 0 0 . chr2 157858003 157858004 chr2:157858004:C:T rs141392534 C T C EBF1_EBF_1 13 1 + 5.357787425347873 6.202281539933391 ATTCCCTAAGGTCC chr2 157930356 157930357 chr2:157930357:G:A rs6737672 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 157933279 157933280 chr2:157933280:A:G rs72929187 A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 157960830 157960831 chr2:157960831:A:G rs12694946 A G a EBF1_EBF_1 6 1 + 9.010837720155013 6.844043288880539 AACCCCATGGGATA chr2 158002581 158002582 chr2:158002582:G:C rs73968529 G C G EBF1_EBF_1 26 0 - 0 0 . chr2 158034217 158034218 chr2:158034218:T:A rs114630857 T A T EBF1_EBF_1 33 0 - 0 0 . chr2 158034249 158034250 chr2:158034250:C:T rs6731028 C T T EBF1_EBF_1 1 1 - 4.395605524633947 4.134906692712644 AGTCCCCAGGCAGC chr2 158038928 158038929 chr2:158038929:G:A rs13019560 G A A EBF1_EBF_1 -10 0 + 0 0 . chr2 158043788 158043789 chr2:158043789:T:A rs35914373 T A T EBF1_EBF_1 11 1 - 6.7506982080620626 2.652783951963338 CGCCCCCAGAGAAT chr2 158205022 158205023 chr2:158205023:C:T rs7579360 C T C EBF1_EBF_1 14 0 + 0 0 . chr2 158231881 158231882 chr2:158231882:G:T rs2030487 G T T EBF1_EBF_1 26 0 + 0 0 . chr2 158391976 158391977 chr2:158391977:C:T rs77925214 C T C EBF1_EBF_1 -19 0 + 0 0 . chr2 158404795 158404796 chr2:158404796:C:T rs9711224 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 158551368 158551369 chr2:158551369:G:A rs2051944 G A A EBF1_EBF_1 -19 0 + 0 0 . chr2 158559449 158559450 chr2:158559450:G:A rs3771605 G A G EBF1_EBF_1 1 1 - 8.830001697538929 9.725435137937675 CCTCCCCAGGGATA chr2 158688793 158688794 chr2:158688794:T:C rs757926 T C C EBF1_EBF_1 -2 0 + 0 0 . chr2 158688812 158688813 chr2:158688813:C:G rs547438306 C G C EBF1_EBF_1 17 0 + 0 0 . chr2 158691084 158691085 chr2:158691085:C:A rs59643222 C A C EBF1_EBF_1 24 0 - 0 0 . chr2 158722859 158722860 chr2:158722860:G:A rs16843276 G A G EBF1_EBF_1 3 1 - 5.35619928395838 -1.049199457594938 ACTCGCATGGGAGC chr2 158730657 158730658 chr2:158730658:T:C rs2711048 T C T EBF1_EBF_1 -12 0 - 0 0 . chr2 158740970 158740971 chr2:158740971:C:T rs908406 C T C EBF1_EBF_1 7 1 - 9.643996995497357 10.95645540078618 AATCCCCGGGGAAG chr2 158757124 158757125 chr2:158757125:G:A rs17203501 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 158757148 158757149 chr2:158757149:G:C rs10451596 G C G EBF1_EBF_1 5 1 - 3.551483158795238 -3.3533979938399296 CCCCCCCAGGGCCA chr2 158757149 158757150 chr2:158757150:G:A rs914215264 G A G EBF1_EBF_1 4 1 - 3.551483158795238 -0.8179037861496854 CCCCCCCAGGGCCA chr2 158769020 158769021 chr2:158769021:G:A rs1913901 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 158867777 158867778 chr2:158867778:C:T rs60070245 C T - EBF1_EBF_1 16 0 + 0 0 . chr2 158929091 158929092 chr2:158929092:G:A rs72948198 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 158970501 158970502 chr2:158970502:G:A rs72953163 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 159031046 159031047 chr2:159031047:G:A rs7557147 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 159042642 159042643 chr2:159042643:G:C rs7581722 G C G EBF1_EBF_1 30 0 - 0 0 . chr2 159042675 159042676 chr2:159042676:T:C rs264608 T C C EBF1_EBF_1 -3 0 - 0 0 . chr2 159055933 159055934 chr2:159055934:A:T rs264662 A T A EBF1_EBF_1 -7 0 + 0 0 . chr2 159149088 159149089 chr2:159149089:G:A rs72951453 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 159185761 159185762 chr2:159185762:G:A rs78921157 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 159285068 159285069 chr2:159285069:G:A rs11675075 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 159286090 159286091 chr2:159286091:G:C rs10173105 G C G EBF1_EBF_1 -16 0 + 0 0 . chr2 159287222 159287223 chr2:159287223:C:T rs58855492 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 159362664 159362665 chr2:159362665:G:A rs73006766 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 159441988 159441989 chr2:159441989:A:G rs2216543 A G A EBF1_EBF_1 14 0 - 0 0 . chr2 159675846 159675847 chr2:159675847:C:T rs4665104 C T C EBF1_EBF_1 -12 0 + 0 0 . chr2 159712320 159712321 chr2:159712321:G:C rs550674667 G C G EBF1_EBF_1 -9 0 + 0 0 . chr2 159712322 159712323 chr2:159712323:C:T rs188274436 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 159863872 159863873 chr2:159863873:G:A rs1828480 G A G EBF1_EBF_1 8 1 + 6.719533431924427 2.4932433430381664 GTTCCCACGAGATT chr2 159904409 159904410 chr2:159904410:G:A rs76270079 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 159921787 159921788 chr2:159921788:G:A rs76132754 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 159946063 159946064 chr2:159946064:T:C rs77809659 T C T EBF1_EBF_1 13 1 - 5.864963042891163 4.750637470821724 ACCCCCTTGGTACA chr2 160007464 160007465 chr2:160007465:T:C rs2667006 T C C EBF1_EBF_1 14 0 + 0 0 . chr2 160007469 160007470 chr2:160007470:T:C rs2667007 T C C EBF1_EBF_1 19 0 + 0 0 . chr2 160034198 160034199 chr2:160034199:T:A rs4665140 T A T EBF1_EBF_1 -13 0 + 0 0 . chr2 160034225 160034226 chr2:160034226:T:C rs149709256 T C T EBF1_EBF_1 14 0 + 0 0 . chr2 160055427 160055428 chr2:160055428:C:G rs34099722 C G C EBF1_EBF_1 30 0 + 0 0 . chr2 160213566 160213567 chr2:160213567:T:G rs4665164 T G G EBF1_EBF_1 12 1 - 5.86846789714849 5.838286498727164 AGCCCCCTGGGTAA chr2 160223721 160223722 chr2:160223722:C:T rs13032491 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 160330544 160330545 chr2:160330545:T:C rs72972869 T C T EBF1_EBF_1 25 0 + 0 0 . chr2 160376333 160376334 chr2:160376334:C:T rs76352441 C T C EBF1_EBF_1 5 1 + 8.089003256748926 2.7672160412082563 AGCCCCTAGAGAAA chr2 160409055 160409056 chr2:160409056:T:C rs137979083 T C T EBF1_EBF_1 18 0 + 0 0 . chr2 160411250 160411251 chr2:160411251:T:C rs6752024 T C C EBF1_EBF_1 -16 0 - 0 0 . chr2 160492806 160492807 chr2:160492807:G:C rs539845485 G C G EBF1_EBF_1 33 0 - 0 0 . chr2 160492817 160492818 chr2:160492818:G:A rs148647653 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 160492833 160492834 chr2:160492834:G:T rs190006692 G T G EBF1_EBF_1 6 1 - 7.216093898709956 7.577696266511233 GCCCCCCAGGGACG chr2 160576416 160576417 chr2:160576417:A:G rs138353689 A G A EBF1_EBF_1 -15 0 - 0 0 . chr2 160631286 160631287 chr2:160631287:C:G rs143435848 C G C EBF1_EBF_1 24 0 - 0 0 . chr2 160631299 160631300 chr2:160631300:A:G rs6432639 A G G EBF1_EBF_1 11 1 - 6.9918292808480205 6.673781839094357 AATCCCAGGGGTCA chr2 160748914 160748915 chr2:160748915:C:T rs1026236 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 160815742 160815743 chr2:160815743:T:A rs61571936 T A T EBF1_EBF_1 25 0 - 0 0 . chr2 160893774 160893775 chr2:160893775:T:C rs17287470 T C T EBF1_EBF_1 13 1 + 7.059113850538174 6.214619735952656 ACTCACCTGGGAAT chr2 160893791 160893792 chr2:160893792:A:G rs80146716 A G A EBF1_EBF_1 30 0 + 0 0 . chr2 160902168 160902169 chr2:160902169:A:G rs62194726 A G A EBF1_EBF_1 -19 0 + 0 0 . chr2 160907666 160907667 chr2:160907667:A:G rs11685809 A G G EBF1_EBF_1 27 0 + 0 0 . chr2 160914401 160914402 chr2:160914402:C:T rs1524630 C T T EBF1_EBF_1 -6 0 - 0 0 . chr2 160940817 160940818 chr2:160940818:C:T rs1524626 C T C EBF1_EBF_1 6 1 + 5.246743383846056 5.548029512707814 CCTGCCCAGGGACT chr2 160955017 160955018 chr2:160955018:G:T rs59608024 G T G EBF1_EBF_1 14 0 + 0 0 . chr2 160956641 160956642 chr2:160956642:G:C rs559065103 G C G EBF1_EBF_1 30 0 - 0 0 . chr2 161010444 161010445 chr2:161010445:C:T rs4538221 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 161088152 161088153 chr2:161088153:C:G rs13426915 C G G EBF1_EBF_1 -3 0 - 0 0 . chr2 161093613 161093614 chr2:161093614:C:T rs1009322165 C T C EBF1_EBF_1 26 0 - 0 0 . chr2 161119673 161119674 chr2:161119674:G:A rs115134008 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 161124384 161124385 chr2:161124385:A:C rs1308917790 A C A EBF1_EBF_1 11 1 + 7.212086599989383 2.7961249021369925 AGTCACAAGGGACC chr2 161242081 161242082 chr2:161242082:T:G rs80071824 T G T EBF1_EBF_1 18 0 - 0 0 . chr2 161341357 161341358 chr2:161341358:C:G rs1309757994 C G - EBF1_EBF_1 -1 0 + 0 0 . chr2 161425525 161425526 chr2:161425526:A:G chr2:161425526:A:G A G A EBF1_EBF_1 -11 0 + 0 0 . chr2 161919933 161919934 chr2:161919934:G:A rs554303264 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 162057068 162057069 chr2:162057069:T:C rs75062246 T C T EBF1_EBF_1 1 1 + 5.083277886253204 4.18784444585446 ATTCCCTAGGTCCC chr2 162060826 162060827 chr2:162060827:A:T rs73009181 A T T EBF1_EBF_1 -6 0 - 0 0 . chr2 162093238 162093239 chr2:162093239:G:C rs188256917 G C G EBF1_EBF_1 14 0 - 0 0 . chr2 162196713 162196714 chr2:162196714:C:A rs76063384 C A C EBF1_EBF_1 7 1 + 6.404212289551118 8.6979912759213 CATCCCTCGGGAGA chr2 162221112 162221113 chr2:162221113:T:C rs187240430 T C T EBF1_EBF_1 -11 0 + 0 0 . chr2 162233355 162233356 chr2:162233356:C:T rs77335736 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 162490136 162490137 chr2:162490137:C:G chr2:162490137:C:G C G C EBF1_EBF_1 -13 0 - 0 0 . chr2 162529266 162529267 chr2:162529267:C:A rs139258260 C A C EBF1_EBF_1 8 1 - 8.647305594340624 1.7558728053634292 TCTCCCCTGGGAGC chr2 162746452 162746453 chr2:162746453:T:C rs10201189 T C T EBF1_EBF_1 13 1 - 6.083675346908978 4.969349774839539 AACCTCTAGGGACA chr2 162865411 162865412 chr2:162865412:G:A rs10168652 G A - EBF1_EBF_1 19 0 + 0 0 . chr2 162889690 162889691 chr2:162889691:T:C rs6729457 T C T EBF1_EBF_1 19 0 - 0 0 . chr2 163085347 163085348 chr2:163085348:A:G rs73972471 A G A EBF1_EBF_1 26 0 + 0 0 . chr2 163520135 163520136 chr2:163520136:T:C rs13007129 T C C EBF1_EBF_1 -6 0 + 0 0 . chr2 163558878 163558879 chr2:163558879:T:G rs995711 T G G EBF1_EBF_1 26 0 + 0 0 . chr2 164109060 164109061 chr2:164109061:G:T rs1545358 G T T EBF1_EBF_1 -19 0 - 0 0 . chr2 164362629 164362630 chr2:164362630:T:G rs10171285 T G G EBF1_EBF_1 12 1 - 6.110054857940364 6.079873459519038 ACTTCCCAGGGAAC chr2 164466034 164466035 chr2:164466035:T:G rs925013448 T G t EBF1_EBF_1 2 1 + 5.742553574815908 -1.0057721153845605 CTTCCCTAAGGAAG chr2 164478327 164478328 chr2:164478328:G:A rs76662109 G A G EBF1_EBF_1 -12 0 - 0 0 . chr2 164621237 164621238 chr2:164621238:G:A rs369583928 G A - EBF1_EBF_1 27 0 + 0 0 . chr2 164656580 164656581 chr2:164656581:T:C rs10195252 T C C EBF1_EBF_1 0 1 - 6.3719642683171145 4.479680398752282 ATTCCCTAGAGGGA chr2 164734994 164734995 chr2:164734995:T:C rs2219120 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 164821297 164821298 chr2:164821298:C:T rs1509103 C T C EBF1_EBF_1 -14 0 + 0 0 . chr2 164841464 164841465 chr2:164841465:T:G chr2:164841465:T:G T G C EBF1_EBF_1 28 0 - 0 0 . chr2 164842097 164842098 chr2:164842098:G:A rs543256836 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 164880400 164880401 chr2:164880401:A:G rs79058287 A G A EBF1_EBF_1 18 0 + 0 0 . chr2 164991516 164991517 chr2:164991517:A:G rs6749034 A G A EBF1_EBF_1 -16 0 - 0 0 . chr2 165045315 165045316 chr2:165045316:A:G rs56280050 A G A EBF1_EBF_1 -14 0 + 0 0 . chr2 165453666 165453667 chr2:165453667:G:A rs670663 G A G EBF1_EBF_1 28 0 + 0 0 . chr2 165635180 165635181 chr2:165635181:T:G rs183980294 T G T EBF1_EBF_1 15 0 - 0 0 . chr2 165832831 165832832 chr2:165832832:T:C rs2060167 T C T EBF1_EBF_1 33 0 + 0 0 . chr2 165847146 165847147 chr2:165847147:G:T rs1432269 G T G EBF1_EBF_1 18 0 - 0 0 . chr2 165903177 165903178 chr2:165903178:T:C rs73969722 T C T EBF1_EBF_1 6 1 - 5.509979339877927 3.343184908603451 ACTCCCATGGCAAC chr2 165903202 165903203 chr2:165903203:C:G rs73969723 C G C EBF1_EBF_1 -19 0 - 0 0 . chr2 165953721 165953722 chr2:165953722:G:A rs572065013 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 165957214 165957215 chr2:165957215:C:G rs74338693 C G c EBF1_EBF_1 -14 0 + 0 0 . chr2 166147697 166147698 chr2:166147698:C:T rs186718722 C T C EBF1_EBF_1 5 1 + 7.088744511161391 1.7669572956207198 GGCCCCGAGGGACC chr2 166234992 166234993 chr2:166234993:C:T rs79373856 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 166308352 166308353 chr2:166308353:T:G rs6715214 T G G EBF1_EBF_1 -11 0 - 0 0 . chr2 166314454 166314455 chr2:166314455:C:G rs575323599 C G C EBF1_EBF_1 21 0 - 0 0 . chr2 166343728 166343729 chr2:166343729:G:A rs6720769 G A A EBF1_EBF_1 0 1 - 8.110873811005176 8.393075871735755 CATCCCCAGGGGCT chr2 166366053 166366054 chr2:166366054:C:G rs78863850 C G C EBF1_EBF_1 15 0 - 0 0 . chr2 166374082 166374083 chr2:166374083:T:A rs16852054 T A T EBF1_EBF_1 21 0 - 0 0 . chr2 166374085 166374086 chr2:166374086:T:C rs114319629 T C T EBF1_EBF_1 18 0 - 0 0 . chr2 166610644 166610645 chr2:166610645:G:A rs79092946 G A G EBF1_EBF_1 6 1 - 6.634677181771896 6.935963310633652 GTTCCCCGGGGCAT chr2 166610651 166610652 chr2:166610652:T:G rs111307229 T G T EBF1_EBF_1 -1 0 - 0 0 . chr2 166610653 166610654 chr2:166610654:G:A rs933235082 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 166610659 166610660 chr2:166610660:C:T rs111231099 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 166765324 166765325 chr2:166765325:T:C rs371533444 T C T EBF1_EBF_1 -20 0 + 0 0 . chr2 166795952 166795953 chr2:166795953:G:A rs2390485 G A A EBF1_EBF_1 -8 0 + 0 0 . chr2 167188068 167188069 chr2:167188069:C:T rs16853181 C T T EBF1_EBF_1 -16 0 - 0 0 . chr2 167396228 167396229 chr2:167396229:G:A rs2617383 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 167891599 167891600 chr2:167891600:G:A rs12470912 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 167960923 167960924 chr2:167960924:G:A rs78961230 G A C EBF1_EBF_1 0 1 + 6.229055566453713 8.121339436018546 GCCCCCAAGAGATT chr2 168025865 168025866 chr2:168025866:C:T rs57473443 C T T EBF1_EBF_1 29 0 - 0 0 . chr2 168044679 168044680 chr2:168044680:A:G rs10191775 A G A EBF1_EBF_1 -13 0 + 0 0 . chr2 168066674 168066675 chr2:168066675:A:G rs34982070 A G G EBF1_EBF_1 2 1 - 9.831027069422301 7.942203657980151 CTTCCCAGGGGAAA chr2 168087616 168087617 chr2:168087617:A:T rs75094419 A T A EBF1_EBF_1 -4 0 - 0 0 . chr2 168171044 168171045 chr2:168171045:G:A rs6740826 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 168192173 168192174 chr2:168192174:A:G rs73031025 A G A EBF1_EBF_1 -11 0 + 0 0 . chr2 168210665 168210666 chr2:168210666:C:A rs13033632 C A C EBF1_EBF_1 27 0 + 0 0 . chr2 168240254 168240255 chr2:168240255:C:T rs1517323 C T C EBF1_EBF_1 13 1 + 6.861078654938512 7.70557276952403 ATTCCCAAAAGACC chr2 168246517 168246518 chr2:168246518:G:A rs115292050 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 168247934 168247935 chr2:168247935:T:A chr2:168247935:T:A T A T EBF1_EBF_1 30 0 + 0 0 . chr2 168268951 168268952 chr2:168268952:C:G rs16855212 C G G EBF1_EBF_1 -1 0 - 0 0 . chr2 168290449 168290450 chr2:168290450:T:C rs2390644 T C T EBF1_EBF_1 6 1 - 6.355111401246583 4.188316969972107 GTACCCAAGAGACT chr2 168358392 168358393 chr2:168358393:G:A rs7561814 G A A EBF1_EBF_1 2 1 - 7.708380656200843 9.597204067642993 TTCCCCCAGAGACT chr2 168422799 168422800 chr2:168422800:G:C rs12623187 G C G EBF1_EBF_1 31 0 + 0 0 . chr2 168484857 168484858 chr2:168484858:G:A rs6730809 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 168495947 168495948 chr2:168495948:G:C rs73969228 G C G EBF1_EBF_1 18 0 - 0 0 . chr2 168500691 168500692 chr2:168500692:A:G rs75100304 A G G EBF1_EBF_1 -13 0 + 0 0 . chr2 168530359 168530360 chr2:168530360:T:C rs13398999 T C T EBF1_EBF_1 2 1 + 6.679306198973181 4.790482787531031 ATTCCCAAAAGATT chr2 168608023 168608024 chr2:168608024:G:A rs76577290 G A G EBF1_EBF_1 -14 0 - 0 0 . chr2 168661723 168661724 chr2:168661724:T:C rs114231019 T C T EBF1_EBF_1 16 0 - 0 0 . chr2 168672376 168672377 chr2:168672377:A:G rs9287898 A G G EBF1_EBF_1 12 1 + 8.63058598755108 7.211768645451603 AACCCCATGAGAAT chr2 168699101 168699102 chr2:168699102:C:G rs1287540414 C G C EBF1_EBF_1 -18 0 + 0 0 . chr2 168752597 168752598 chr2:168752598:C:T rs11893877 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 168787306 168787307 chr2:168787307:C:G rs3845725 C G c EBF1_EBF_1 4 1 + 4.736171574615006 -0.8895935265345386 CTCCCCATGGGCAC chr2 168796680 168796681 chr2:168796681:A:T rs549386635 A T A EBF1_EBF_1 17 0 - 0 0 . chr2 168849747 168849748 chr2:168849748:G:A rs183826942 G A G EBF1_EBF_1 9 1 + 7.81298931612295 5.158050050675348 AGTCCCCTGGGCAC chr2 168849755 168849756 chr2:168849756:C:T chr2:168849756:C:T C T C EBF1_EBF_1 17 0 + 0 0 . chr2 168935677 168935678 chr2:168935678:C:G rs3755158 C G C EBF1_EBF_1 5 1 + 5.996413251457968 -0.9084679011772003 ACCCCCCAAGGACA chr2 169092569 169092570 chr2:169092570:C:T rs146733579 C T C EBF1_EBF_1 6 1 + 14.255381154477943 14.556667283339701 ATTCCCCAGGGAAT chr2 169144011 169144012 chr2:169144012:C:A rs2284681 C A A EBF1_EBF_1 14 0 - 0 0 . chr2 169180559 169180560 chr2:169180560:T:C rs9789747 T C T EBF1_EBF_1 -20 0 + 0 0 . chr2 169189401 169189402 chr2:169189402:T:C rs12466068 T C C EBF1_EBF_1 19 0 - 0 0 . chr2 169225984 169225985 chr2:169225985:A:G rs72876251 A G A EBF1_EBF_1 15 0 - 0 0 . chr2 169226011 169226012 chr2:169226012:A:G rs982810 A G G EBF1_EBF_1 -12 0 - 0 0 . chr2 169362021 169362022 chr2:169362022:C:T rs12692895 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 169396038 169396039 chr2:169396039:G:A rs77207253 G A G EBF1_EBF_1 9 1 + 10.14831570486946 7.493376439421858 GATCCCCAGGGACA chr2 169447680 169447681 chr2:169447681:A:G rs12622631 A G A EBF1_EBF_1 28 0 - 0 0 . chr2 169447727 169447728 chr2:169447728:C:T rs10803834 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 169448272 169448273 chr2:169448273:A:T rs13029332 A T A EBF1_EBF_1 30 0 + 0 0 . chr2 169479836 169479837 chr2:169479837:G:A rs535323092 G A G EBF1_EBF_1 0 1 + 5.5923989674449945 7.484682837009829 GGTCCCATGCGACC chr2 169573830 169573831 chr2:169573831:A:T rs182573226 A T A EBF1_EBF_1 -6 0 + 0 0 . chr2 169573857 169573858 chr2:169573858:C:A rs562568875 C A C EBF1_EBF_1 21 0 + 0 0 . chr2 169694694 169694695 chr2:169694695:C:G rs1446900 C G C EBF1_EBF_1 14 0 + 0 0 . chr2 169694698 169694699 chr2:169694699:C:T rs192556306 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 169716602 169716603 chr2:169716603:C:T rs58721362 C T C EBF1_EBF_1 6 1 + 6.116968123863915 6.418254252725671 TCTCCCCTGGGGTC chr2 169736723 169736724 chr2:169736724:G:A rs73020379 G A G EBF1_EBF_1 15 0 + 0 0 . chr2 169748434 169748435 chr2:169748435:G:C rs80320994 G C G EBF1_EBF_1 -19 0 - 0 0 . chr2 169799744 169799745 chr2:169799745:C:T rs73016449 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 169820374 169820375 chr2:169820375:G:A rs73016484 G A G EBF1_EBF_1 10 1 + 5.301469183382387 -0.01655927588458006 AAACCCTTGGGTCT chr2 169978215 169978216 chr2:169978216:A:T rs6721453 A T A EBF1_EBF_1 1 1 - 6.875415811839773 5.909055194088944 ATCCCCTTGGGTAA chr2 170057240 170057241 chr2:170057241:C:T rs2169440 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 170078028 170078029 chr2:170078029:C:A rs74346596 C A C EBF1_EBF_1 33 0 - 0 0 . chr2 170110274 170110275 chr2:170110275:G:C rs12623337 G C G EBF1_EBF_1 13 1 - 5.45746829255351 3.969397271198095 TCTCCCTGGAGAGC chr2 170161971 170161972 chr2:170161972:C:T rs66971360 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 170170793 170170794 chr2:170170794:G:A rs79659275 G A A EBF1_EBF_1 10 1 + 6.052441090013654 0.7344126307466884 GTTGCCAAGGGAAC chr2 170209084 170209085 chr2:170209085:G:T rs11692087 G T T EBF1_EBF_1 -5 0 - 0 0 . chr2 170212182 170212183 chr2:170212183:T:C rs57443925 T C C EBF1_EBF_1 -8 0 - 0 0 . chr2 170212888 170212889 chr2:170212889:C:T rs4668221 C T T EBF1_EBF_1 28 0 - 0 0 . chr2 170222639 170222640 chr2:170222640:G:A rs112218522 G A G EBF1_EBF_1 3 1 - 4.421781367101716 -1.9836173744516012 AATCCGACGGGACT chr2 170236065 170236066 chr2:170236066:A:G rs1324885953 A G A EBF1_EBF_1 -7 0 - 0 0 . chr2 170236354 170236355 chr2:170236355:A:C rs17578787 A C A EBF1_EBF_1 33 0 + 0 0 . chr2 170396968 170396969 chr2:170396969:C:G chr2:170396969:C:G C G C EBF1_EBF_1 4 1 + 7.04992437867369 1.4241592775241452 TACCCCCTGGGATC chr2 170459947 170459948 chr2:170459948:C:T rs35456883 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 170501533 170501534 chr2:170501534:G:A rs10191689 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 170525172 170525173 chr2:170525173:G:A rs115424633 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 170582713 170582714 chr2:170582714:A:G rs13405453 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 170778882 170778883 chr2:170778883:C:T rs9973711 C T C EBF1_EBF_1 -14 0 + 0 0 . chr2 170778903 170778904 chr2:170778904:G:A rs4668315 G A G EBF1_EBF_1 7 1 + 6.0174070109957425 7.329865416284569 AAACCCCGGGGATA chr2 170812896 170812897 chr2:170812897:T:C rs764903290 T C T EBF1_EBF_1 -3 0 - 0 0 . chr2 170816470 170816471 chr2:170816471:A:G rs4668324 A G G EBF1_EBF_1 28 0 + 0 0 . chr2 170818870 170818871 chr2:170818871:A:G rs2270334 A G G EBF1_EBF_1 17 0 + 0 0 . chr2 170822372 170822373 chr2:170822373:A:G rs1548902 A G A EBF1_EBF_1 -20 0 - 0 0 . chr2 170862472 170862473 chr2:170862473:G:C rs45609237 G C C EBF1_EBF_1 12 1 - 6.405442579239996 5.016806635561845 AGCCCCCAGGGGCG chr2 170922981 170922982 chr2:170922982:A:C rs3886463 A C a EBF1_EBF_1 -20 0 - 0 0 . chr2 170926353 170926354 chr2:170926354:A:G rs3755125 A G A EBF1_EBF_1 28 0 - 0 0 . chr2 171043281 171043282 chr2:171043282:G:A rs2676134 G A G EBF1_EBF_1 17 0 - 0 0 . chr2 171043302 171043303 chr2:171043303:C:A rs7584937 C A A EBF1_EBF_1 -4 0 - 0 0 . chr2 171230576 171230577 chr2:171230577:C:T rs10203448 C T T EBF1_EBF_1 -19 0 + 0 0 . chr2 171239011 171239012 chr2:171239012:C:T rs10930461 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 171522378 171522379 chr2:171522379:C:G rs2356782 C G C EBF1_EBF_1 4 1 + 5.410405048379867 -0.2153600527696774 ACCCCCAAGAGGCC chr2 171522382 171522383 chr2:171522383:G:A rs3731976 G A G EBF1_EBF_1 8 1 + 5.410405048379867 1.1841149594936053 ACCCCCAAGAGGCC chr2 171555497 171555498 chr2:171555498:C:G rs1047255 C G C EBF1_EBF_1 31 0 - 0 0 . chr2 171616073 171616074 chr2:171616074:C:A rs10930486 C A A EBF1_EBF_1 32 0 + 0 0 . chr2 171688147 171688148 chr2:171688148:C:T rs80198483 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 171787718 171787719 chr2:171787719:T:C rs2292813 T C C EBF1_EBF_1 22 0 - 0 0 . chr2 171837032 171837033 chr2:171837033:G:C rs10183489 G C G EBF1_EBF_1 -8 0 + 0 0 . chr2 171894446 171894447 chr2:171894447:G:C rs184595435 G C G EBF1_EBF_1 8 1 + 5.06156620433819 -0.2570926565764962 CCTCCCACGGGGAA chr2 172095580 172095581 chr2:172095581:C:G rs117597893 C G C EBF1_EBF_1 29 0 - 0 0 . chr2 172095581 172095582 chr2:172095582:G:T rs2631807 G T G EBF1_EBF_1 28 0 - 0 0 . chr2 172097186 172097187 chr2:172097187:C:A chr2:172097187:C:A C A C EBF1_EBF_1 28 0 - 0 0 . chr2 172097218 172097219 chr2:172097219:A:G rs1531999 A G G EBF1_EBF_1 -4 0 - 0 0 . chr2 172097222 172097223 chr2:172097223:C:G rs10168377 C G C EBF1_EBF_1 -8 0 - 0 0 . chr2 172108242 172108243 chr2:172108243:G:A rs2016394 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 172148424 172148425 chr2:172148425:G:A rs75116133 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 172199202 172199203 chr2:172199203:G:C rs6723670 G C G EBF1_EBF_1 23 0 + 0 0 . chr2 172204754 172204755 chr2:172204755:T:C rs10803867 T C c EBF1_EBF_1 -16 0 + 0 0 . chr2 172247559 172247560 chr2:172247560:C:T rs4972461 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 172298046 172298047 chr2:172298047:C:A rs72882640 C A C EBF1_EBF_1 30 0 + 0 0 . chr2 172298340 172298341 chr2:172298341:C:G rs56239652 C G C EBF1_EBF_1 -16 0 - 0 0 . chr2 172312047 172312048 chr2:172312048:G:T chr2:172312048:G:T G T G EBF1_EBF_1 19 0 - 0 0 . chr2 172323584 172323585 chr2:172323585:C:T rs4496307 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 172323603 172323604 chr2:172323604:G:T rs188952165 G T G EBF1_EBF_1 1 1 + 7.42452807755525 8.130189863384778 TGCCCCCAGGGAGC chr2 172323612 172323613 chr2:172323613:G:A rs78273862 G A G EBF1_EBF_1 10 1 + 7.42452807755525 2.1064996182882827 TGCCCCCAGGGAGC chr2 172428060 172428061 chr2:172428061:G:T rs114295497 G T G EBF1_EBF_1 3 1 - 5.268781076575725 -1.637392952890082 ACTCCCCGGGGCCG chr2 172428797 172428798 chr2:172428798:A:G rs3115743 A G A EBF1_EBF_1 6 1 + 6.280654363575953 4.113859932301478 CCTCCCAGGAGAAA chr2 172462190 172462191 chr2:172462191:A:G rs2357411 A G A EBF1_EBF_1 6 1 + 7.176964683141587 5.0101702518671125 GGTCCCAGGAGACC chr2 172462202 172462203 chr2:172462203:G:A rs149786911 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 172462280 172462281 chr2:172462281:G:A rs185219884 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 172480549 172480550 chr2:172480550:G:T rs143776900 G T G EBF1_EBF_1 5 1 - 10.789284926728843 3.884403774093678 ATTCCCTAGGGGCC chr2 172480560 172480561 chr2:172480561:A:G rs6433361 A G G EBF1_EBF_1 -6 0 - 0 0 . chr2 172525739 172525740 chr2:172525740:G:A rs113135404 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 172525742 172525743 chr2:172525743:C:A rs111818535 C A C EBF1_EBF_1 -9 0 - 0 0 . chr2 172697912 172697913 chr2:172697913:A:T rs17754047 A T A EBF1_EBF_1 17 0 - 0 0 . chr2 172783838 172783839 chr2:172783839:A:T rs6723229 A T A EBF1_EBF_1 22 0 - 0 0 . chr2 172793042 172793043 chr2:172793043:A:T rs10188641 A T T EBF1_EBF_1 23 0 - 0 0 . chr2 172823245 172823246 chr2:172823246:T:C rs2258087 T C C EBF1_EBF_1 10 1 - 4.990777089580207 10.308805548847172 AGTCCCTCGGAACA chr2 172847532 172847533 chr2:172847533:G:A rs79004963 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 172861530 172861531 chr2:172861531:G:A rs3769292 G A G EBF1_EBF_1 3 1 - 4.593477781072001 -1.8119209604813165 GCTCGCCAGGGACA chr2 173031405 173031406 chr2:173031406:T:A rs1420462922 T A T EBF1_EBF_1 23 0 - 0 0 . chr2 173049271 173049272 chr2:173049272:G:T rs80013719 G T G EBF1_EBF_1 8 1 - 5.717770557096862 6.8101393291252865 ACTCCCAACAGACT chr2 173049295 173049296 chr2:173049296:C:T rs751947447 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 173229282 173229283 chr2:173229283:T:C rs76708925 T C T EBF1_EBF_1 19 0 + 0 0 . chr2 173231591 173231592 chr2:173231592:A:C rs1321305845 A C A EBF1_EBF_1 7 1 + 8.812724579066762 6.51894559269658 GCTCCCCAGAGAAT chr2 173414351 173414352 chr2:173414352:A:T rs11687005 A T - EBF1_EBF_1 11 1 + 6.666726073087454 2.5688118169887284 GCCCCCTGGGGATC chr2 173435177 173435178 chr2:173435178:G:A rs1836697 G A A EBF1_EBF_1 -15 0 - 0 0 . chr2 173437983 173437984 chr2:173437984:G:A rs60212519 G A G EBF1_EBF_1 9 1 + 5.390891942534728 2.735952677087125 TTTCCCCATGGAAT chr2 173437998 173437999 chr2:173437999:C:G rs10179575 C G G EBF1_EBF_1 24 0 + 0 0 . chr2 173571639 173571640 chr2:173571640:G:A rs7556734 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 173572107 173572108 chr2:173572108:A:T chr2:173572108:A:T A T A EBF1_EBF_1 20 0 - 0 0 . chr2 173572140 173572141 chr2:173572141:T:C rs677905 T C T EBF1_EBF_1 -13 0 - 0 0 . chr2 173573157 173573158 chr2:173573158:G:A rs56037766 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 173965790 173965791 chr2:173965791:G:A rs375992830 G A G EBF1_EBF_1 32 0 + 0 0 . chr2 174012941 174012942 chr2:174012942:G:A rs150375503 G A G EBF1_EBF_1 1 1 + 5.832320999660014 5.571622167738711 GGTCCCCTCGGACT chr2 174034020 174034021 chr2:174034021:C:T rs11690594 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 174084629 174084630 chr2:174084630:A:G rs11694601 A G G EBF1_EBF_1 -5 0 + 0 0 . chr2 174248246 174248247 chr2:174248247:G:T rs10209834 G T G EBF1_EBF_1 9 1 + 6.809915064687357 -0.02707434340145176 TTTCCCCAGGGCTT chr2 174248262 174248263 chr2:174248263:G:A rs1034091605 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 174328876 174328877 chr2:174328877:A:G rs974769941 A G A EBF1_EBF_1 1 1 - 5.518373879675447 4.622940439276701 CTTCCCTAGAGTCT chr2 174340707 174340708 chr2:174340708:C:G rs958583361 C G C EBF1_EBF_1 24 0 - 0 0 . chr2 174486113 174486114 chr2:174486114:T:G rs200285558 T G T EBF1_EBF_1 -5 0 - 0 0 . chr2 174487329 174487330 chr2:174487330:G:T rs4972668 G T T EBF1_EBF_1 8 1 + 5.65261222048461 -1.2388205684925861 AGCCCCAGGGTACC chr2 174634938 174634939 chr2:174634939:G:A rs75423262 G A G EBF1_EBF_1 31 0 - 0 0 . chr2 174634981 174634982 chr2:174634982:G:T rs115396381 G T G EBF1_EBF_1 -12 0 - 0 0 . chr2 174661517 174661518 chr2:174661518:C:T rs10184302 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 174682310 174682311 chr2:174682311:G:C rs144912340 G C G EBF1_EBF_1 31 0 - 0 0 . chr2 174695168 174695169 chr2:174695169:C:T rs11689125 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 174700488 174700489 chr2:174700489:A:G rs73973359 A G A EBF1_EBF_1 -17 0 + 0 0 . chr2 174715163 174715164 chr2:174715164:G:A rs58879150 G A - EBF1_EBF_1 2 1 - 6.314560738814721 8.20338415025687 AGCCCCCAGGGTCA chr2 174717666 174717667 chr2:174717667:A:C rs17270407 A C a EBF1_EBF_1 15 0 - 0 0 . chr2 174718887 174718888 chr2:174718888:T:C rs12989031 T C - EBF1_EBF_1 9 1 - 5.064802559149035 7.71974182459664 ACCCCCCAGAGAGG chr2 174771699 174771700 chr2:174771700:C:T rs115666327 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 174771823 174771824 chr2:174771824:C:T rs76942031 C T C EBF1_EBF_1 3 1 + 5.241696437966529 -1.1637023035867893 TGCCCCCAGGGCCT chr2 174859373 174859374 chr2:174859374:G:A rs2646157 G A A EBF1_EBF_1 5 1 - 5.66924530671889 0.3474580911782211 CCTCCCTTGGGCAC chr2 174869766 174869767 chr2:174869767:G:A rs10930689 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 175028224 175028225 chr2:175028225:C:T rs535391678 C T C EBF1_EBF_1 8 1 - 11.397589697088968 7.171299608202708 ACTCCCACGGGACT chr2 175156694 175156695 chr2:175156695:A:G rs55672103 A G G EBF1_EBF_1 -11 0 + 0 0 . chr2 175233872 175233873 chr2:175233873:T:C rs6742415 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 175270603 175270604 chr2:175270604:T:C rs13016094 T C C EBF1_EBF_1 28 0 + 0 0 . chr2 175308328 175308329 chr2:175308329:C:T rs58126826 C T C EBF1_EBF_1 14 0 + 0 0 . chr2 175668854 175668855 chr2:175668855:G:A rs74173170 G A G EBF1_EBF_1 16 0 + 0 0 . chr2 175878024 175878025 chr2:175878025:A:G rs10930718 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 176036471 176036472 chr2:176036472:A:G rs847138 A G G EBF1_EBF_1 -8 0 + 0 0 . chr2 176067113 176067114 chr2:176067114:C:T rs1374755 C T C EBF1_EBF_1 10 1 - 5.313660558170092 -0.0043679010968750015 TTCCCCCGGGGGCC chr2 176098260 176098261 chr2:176098261:G:A rs847153 G A A EBF1_EBF_1 8 1 + 7.327539521705813 3.1012494328195537 ATTCCCTTGAGTAT chr2 176102936 176102937 chr2:176102937:C:T rs76571245 C T c EBF1_EBF_1 -10 0 + 0 0 . chr2 176105930 176105931 chr2:176105931:G:A rs847147 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 176116199 176116200 chr2:176116200:G:A rs114833305 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 176135887 176135888 chr2:176135888:T:C rs1348808 T C C EBF1_EBF_1 -11 0 + 0 0 . chr2 176135967 176135968 chr2:176135968:C:T rs11674098 C T C EBF1_EBF_1 10 1 - 4.780595874337959 -0.5374325849290074 TGTCCCCAGAGGCA chr2 176136563 176136564 chr2:176136564:C:A rs711829 C A A EBF1_EBF_1 7 1 - 6.098918084168081 6.935102249369348 ATTCGCCGGGGAAA chr2 176140544 176140545 chr2:176140545:A:C rs965092926 A C A EBF1_EBF_1 21 0 + 0 0 . chr2 176140790 176140791 chr2:176140791:G:T rs79120932 G T G EBF1_EBF_1 -13 0 + 0 0 . chr2 176206760 176206761 chr2:176206761:A:G rs530515510 A G A EBF1_EBF_1 16 0 + 0 0 . chr2 176216732 176216733 chr2:176216733:T:G rs6709485 T G G EBF1_EBF_1 -5 0 + 0 0 . chr2 176384243 176384244 chr2:176384244:G:A rs2069172 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 176428636 176428637 chr2:176428637:C:T rs73051126 C T T EBF1_EBF_1 -18 0 - 0 0 . chr2 176491521 176491522 chr2:176491522:G:A rs72922963 G A A EBF1_EBF_1 -2 0 - 0 0 . chr2 176559512 176559513 chr2:176559513:G:C rs11691931 G C G EBF1_EBF_1 -13 0 + 0 0 . chr2 176614255 176614256 chr2:176614256:A:G rs2922307 A G A EBF1_EBF_1 20 0 + 0 0 . chr2 176637596 176637597 chr2:176637597:G:A rs376336558 G A G EBF1_EBF_1 12 1 + 6.197136596790705 7.615953938890183 ATTCCCCTCGGAGC chr2 176637791 176637792 chr2:176637792:G:C rs2969358 G C G EBF1_EBF_1 -16 0 + 0 0 . chr2 176638009 176638010 chr2:176638010:C:T rs2969356 C T t EBF1_EBF_1 15 0 - 0 0 . chr2 176702133 176702134 chr2:176702134:T:C rs71421585 T C T EBF1_EBF_1 2 1 - 9.684094972387525 6.620236869785934 ATACCCAAGGGACA chr2 176714971 176714972 chr2:176714972:G:A rs13426608 G A A EBF1_EBF_1 -5 0 + 0 0 . chr2 176717600 176717601 chr2:176717601:G:A rs2362869 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 176729876 176729877 chr2:176729877:A:T rs150086204 A T A EBF1_EBF_1 2 1 - 9.759405758272663 6.07493817067379 AATCCCCAGAGACC chr2 176748099 176748100 chr2:176748100:A:G rs80056254 A G A EBF1_EBF_1 -10 0 - 0 0 . chr2 176782259 176782260 chr2:176782260:G:A rs1554824 G A A EBF1_EBF_1 -15 0 - 0 0 . chr2 176794002 176794003 chr2:176794003:T:C rs56204139 T C T EBF1_EBF_1 13 1 - 4.611721782538101 3.4973962104686622 AGCCGCTTGGGACA chr2 176794028 176794029 chr2:176794029:G:A rs55681572 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 176794030 176794031 chr2:176794031:G:A rs1251035 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 176824700 176824701 chr2:176824701:G:A rs6719403 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 176885915 176885916 chr2:176885916:G:T rs374185851 G T G EBF1_EBF_1 27 0 + 0 0 . chr2 176889434 176889435 chr2:176889435:G:T rs7602843 G T G EBF1_EBF_1 -1 0 + 0 0 . chr2 176906395 176906396 chr2:176906396:C:T rs1358296 C T T EBF1_EBF_1 -18 0 - 0 0 . chr2 176961010 176961011 chr2:176961011:A:G rs6752162 A G G EBF1_EBF_1 23 0 + 0 0 . chr2 176968870 176968871 chr2:176968871:T:C rs13422461 T C C EBF1_EBF_1 1 1 - 8.591357749256474 8.852056581177777 AACCCCCTGGGAAG chr2 176976965 176976966 chr2:176976966:C:A rs76714736 C A C EBF1_EBF_1 22 0 + 0 0 . chr2 176997004 176997005 chr2:176997005:G:A rs6734318 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 177240415 177240416 chr2:177240416:A:G rs2364720 A G G EBF1_EBF_1 28 0 + 0 0 . chr2 177245107 177245108 chr2:177245108:G:C rs147349290 G C G EBF1_EBF_1 8 1 + 4.89507411966753 -0.42358474124715584 CTCCCCAAGAGGCT chr2 177322571 177322572 chr2:177322572:C:T rs6732113 C T T EBF1_EBF_1 32 0 - 0 0 . chr2 177398158 177398159 chr2:177398159:T:G rs569165013 T G T EBF1_EBF_1 -14 0 - 0 0 . chr2 177466477 177466478 chr2:177466478:G:A rs2084233 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 177536559 177536560 chr2:177536560:T:C rs7606235 T C T EBF1_EBF_1 18 0 - 0 0 . chr2 177619092 177619093 chr2:177619093:G:A rs73036688 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 177671904 177671905 chr2:177671905:C:T rs4893979 C T T EBF1_EBF_1 27 0 + 0 0 . chr2 177758953 177758954 chr2:177758954:C:T rs11883778 C T T EBF1_EBF_1 8 1 - 5.701192721387814 1.4749026325015526 AAACCCCAGAGACA chr2 177796397 177796398 chr2:177796398:T:G rs7584946 T G G EBF1_EBF_1 -13 0 + 0 0 . chr2 177892889 177892890 chr2:177892890:T:C rs17329531 T C C EBF1_EBF_1 30 0 - 0 0 . chr2 177970983 177970984 chr2:177970984:G:A rs2695760 G A A EBF1_EBF_1 -13 0 - 0 0 . chr2 178136787 178136788 chr2:178136788:G:A rs334064 G A G EBF1_EBF_1 -2 0 - 0 0 . chr2 178195044 178195045 chr2:178195045:G:A rs751423070 G A G EBF1_EBF_1 3 1 - 4.134906692712644 -2.2704920488406737 AATCCCCAGGCAGC chr2 178212001 178212002 chr2:178212002:G:T rs62177214 G T G EBF1_EBF_1 -4 0 - 0 0 . chr2 178219679 178219680 chr2:178219680:G:T rs13403689 G T G EBF1_EBF_1 26 0 + 0 0 . chr2 178251459 178251460 chr2:178251460:G:A rs115962478 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 178296618 178296619 chr2:178296619:A:G rs1865325 A G G EBF1_EBF_1 25 0 - 0 0 . chr2 178371320 178371321 chr2:178371321:T:C rs1434094 T C C EBF1_EBF_1 31 0 + 0 0 . chr2 178451877 178451878 chr2:178451878:A:G rs141351246 A G A EBF1_EBF_1 29 0 - 0 0 . chr2 178451881 178451882 chr2:178451882:G:A rs1035706981 G A G EBF1_EBF_1 25 0 - 0 0 . chr2 178477856 178477857 chr2:178477857:T:C rs10173900 T C T EBF1_EBF_1 30 0 + 0 0 . chr2 178480222 178480223 chr2:178480223:C:A rs191451926 C A C EBF1_EBF_1 7 1 - 5.212769002017372 6.04895316721864 GCCCCCGGGGGACA chr2 178513967 178513968 chr2:178513968:G:A rs138628497 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 178708373 178708374 chr2:178708374:G:A rs2742337 G A A EBF1_EBF_1 -11 0 - 0 0 . chr2 178874755 178874756 chr2:178874756:A:G rs13405116 A G A EBF1_EBF_1 -16 0 - 0 0 . chr2 178982630 178982631 chr2:178982631:A:G rs4467310 A G g EBF1_EBF_1 -12 0 + 0 0 . chr2 179057925 179057926 chr2:179057926:G:A rs75308494 G A G EBF1_EBF_1 2 1 - 5.461741471911636 7.350564883353786 AGCCGCTAGGGACC chr2 179438895 179438896 chr2:179438896:G:A rs963853 G A A EBF1_EBF_1 18 0 - 0 0 . chr2 179580239 179580240 chr2:179580240:C:T rs1515309 C T T EBF1_EBF_1 -6 0 + 0 0 . chr2 179719443 179719444 chr2:179719444:T:C rs16866945 T C T EBF1_EBF_1 -13 0 + 0 0 . chr2 179860840 179860841 chr2:179860841:T:C rs146754630 T C T EBF1_EBF_1 31 0 + 0 0 . chr2 179882220 179882221 chr2:179882221:T:C rs6744465 T C C EBF1_EBF_1 11 1 - 4.390962561299868 1.4982557176958562 CTCCTCCTGGGACC chr2 179942543 179942544 chr2:179942544:G:T rs3888251 G T G EBF1_EBF_1 -10 0 - 0 0 . chr2 180017195 180017196 chr2:180017196:A:G rs262272 A G G EBF1_EBF_1 -6 0 + 0 0 . chr2 180042404 180042405 chr2:180042405:A:C rs6727127 A C A EBF1_EBF_1 2 1 - 6.043810412475394 -0.7045152777250744 ATTCCCCTGGCAAC chr2 180144555 180144556 chr2:180144556:C:T rs12990077 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 180202880 180202881 chr2:180202881:C:G rs553973658 C G . EBF1_EBF_1 31 0 - 0 0 . chr2 180461439 180461440 chr2:180461440:C:T rs72888711 C T C EBF1_EBF_1 26 0 - 0 0 . chr2 180467931 180467932 chr2:180467932:G:C rs1438894 G C C EBF1_EBF_1 -14 0 - 0 0 . chr2 181104797 181104798 chr2:181104798:T:C rs142570261 T C T EBF1_EBF_1 6 1 + 5.105288244794035 4.804002115932277 ATACCCTTGGGCCC chr2 181104823 181104824 chr2:181104824:A:T chr2:181104824:A:T A T A EBF1_EBF_1 32 0 + 0 0 . chr2 181152420 181152421 chr2:181152421:A:G rs11893821 A G A EBF1_EBF_1 -8 0 - 0 0 . chr2 181203799 181203800 chr2:181203800:T:C rs13035276 T C T EBF1_EBF_1 -4 0 + 0 0 . chr2 181228473 181228474 chr2:181228474:T:C rs11889566 T C C EBF1_EBF_1 -11 0 + 0 0 . chr2 181231452 181231453 chr2:181231453:A:G rs13008615 A G A EBF1_EBF_1 6 1 - 5.962230303755035 5.660944174893278 ACTCCTTTGGGATC chr2 181281078 181281079 chr2:181281079:G:T rs78216623 G T G EBF1_EBF_1 26 0 - 0 0 . chr2 181411202 181411203 chr2:181411203:G:C chr2:181411203:G:C G C g EBF1_EBF_1 -9 0 + 0 0 . chr2 181411233 181411234 chr2:181411234:C:T rs548293000 C T c EBF1_EBF_1 22 0 + 0 0 . chr2 181457911 181457912 chr2:181457912:A:G rs62191400 A G A EBF1_EBF_1 6 1 - 6.911033511051486 6.609747382189728 AATCCCTAGGGCGC chr2 181541966 181541967 chr2:181541967:A:G rs16867443 A G A EBF1_EBF_1 26 0 - 0 0 . chr2 181541976 181541977 chr2:181541977:A:C rs56073441 A C A EBF1_EBF_1 16 0 - 0 0 . chr2 181619238 181619239 chr2:181619239:T:C rs1837729 T C T EBF1_EBF_1 22 0 + 0 0 . chr2 181632976 181632977 chr2:181632977:A:G rs749855579 A G A EBF1_EBF_1 20 0 - 0 0 . chr2 181685421 181685422 chr2:181685422:C:T rs2696345 C T c EBF1_EBF_1 23 0 - 0 0 . chr2 181780612 181780613 chr2:181780613:G:A rs7600976 G A g EBF1_EBF_1 5 1 - 5.569015148632391 0.24722793309172197 ACCCTCCTGGGATT chr2 181781247 181781248 chr2:181781248:G:A rs72891044 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 181781260 181781261 chr2:181781261:G:A rs141453385 G A G EBF1_EBF_1 10 1 + 5.316448945853108 -0.001579513413858022 TTTCCCCTAGGAGC chr2 181807810 181807811 chr2:181807811:C:G rs78747273 C G G EBF1_EBF_1 2 1 + 6.479061435227805 1.6195591564694878 AACCCCAAAGGATT chr2 181814017 181814018 chr2:181814018:G:A rs11904385 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 181892686 181892687 chr2:181892687:C:T rs1260971419 C T C EBF1_EBF_1 10 1 - 6.730365770380737 1.4123373111137707 TCTCCCCCGGGACG chr2 182424883 182424884 chr2:182424884:C:T rs6750552 C T T EBF1_EBF_1 12 1 - 5.038947765958977 6.457765108058455 TTTCACCAGGGAGT chr2 182442333 182442334 chr2:182442334:A:G rs12468743 A G A EBF1_EBF_1 -16 0 + 0 0 . chr2 182499932 182499933 chr2:182499933:G:T rs77903242 G T G EBF1_EBF_1 -4 0 - 0 0 . chr2 182510348 182510349 chr2:182510349:T:A rs66951643 T A T EBF1_EBF_1 4 1 + 7.08620167337781 5.631028994869268 ATTCTCATGAGACT chr2 182629163 182629164 chr2:182629164:T:C rs7608820 T C T EBF1_EBF_1 32 0 + 0 0 . chr2 182669915 182669916 chr2:182669916:G:A rs13000722 G A G EBF1_EBF_1 -4 0 + 0 0 . chr2 182765600 182765601 chr2:182765601:T:C rs288294 T C C EBF1_EBF_1 -14 0 + 0 0 . chr2 182779161 182779162 chr2:182779162:T:C rs3738945 T C T EBF1_EBF_1 6 1 - 8.641709319980793 6.474914888706321 ACTCCCAGGGGGCC chr2 182779177 182779178 chr2:182779178:A:T rs288241 A T A EBF1_EBF_1 -10 0 - 0 0 . chr2 182946019 182946020 chr2:182946020:G:C rs71427864 G C G EBF1_EBF_1 27 0 - 0 0 . chr2 183024736 183024737 chr2:183024737:T:C rs116053896 T C T EBF1_EBF_1 7 1 - 10.330377194453442 9.017918789164614 ATTCCCTAAGGAAT chr2 183204786 183204787 chr2:183204787:A:G rs987608 A G A EBF1_EBF_1 -14 0 + 0 0 . chr2 183204826 183204827 chr2:183204827:C:T rs539303180 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 183295364 183295365 chr2:183295365:C:G rs72900561 C G G EBF1_EBF_1 7 1 + 6.680904991600114 7.66222557268147 TTCCCCCCGGGAGT chr2 183417258 183417259 chr2:183417259:T:A rs1663647 T A A EBF1_EBF_1 -3 0 + 0 0 . chr2 183669536 183669537 chr2:183669537:G:C rs113090095 G C G EBF1_EBF_1 -13 0 + 0 0 . chr2 184065776 184065777 chr2:184065777:C:G rs114422034 C G C EBF1_EBF_1 6 1 + 5.176834091674254 3.371642028201056 TTCCCCCCGGGGCT chr2 184333728 184333729 chr2:184333729:A:C rs7561599 A C A EBF1_EBF_1 6 1 + 4.484103793304376 4.122501425503098 ACTCCAAAGGGAAG chr2 184532833 184532834 chr2:184532834:T:C rs10177416 T C T EBF1_EBF_1 7 1 - 12.806128789942873 11.493670384654047 AATCCCAAGGGAAC chr2 184665763 184665764 chr2:184665764:G:A rs899847 G A A EBF1_EBF_1 -1 0 - 0 0 . chr2 184679526 184679527 chr2:184679527:C:T rs11892742 C T T EBF1_EBF_1 5 1 + 3.9253934639070924 -1.3963937516335772 ACTCCCGGGGGCTC chr2 184771449 184771450 chr2:184771450:T:C rs6759491 T C C EBF1_EBF_1 18 0 - 0 0 . chr2 184920732 184920733 chr2:184920733:G:A chr2:184920733:G:A G A G EBF1_EBF_1 15 0 + 0 0 . chr2 185709683 185709684 chr2:185709684:T:C rs78239215 T C T EBF1_EBF_1 0 1 - 8.417539343394955 6.525255473830122 ACTCCCCAGGGTAC chr2 185876487 185876488 chr2:185876488:G:A rs7586292 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 185878107 185878108 chr2:185878108:C:T rs4263075 C T C EBF1_EBF_1 16 0 + 0 0 . chr2 186306566 186306567 chr2:186306567:A:T rs12615503 A T A EBF1_EBF_1 33 0 - 0 0 . chr2 186432947 186432948 chr2:186432948:C:T rs2084486 C T c EBF1_EBF_1 -5 0 + 0 0 . chr2 186551827 186551828 chr2:186551828:G:C chr2:186551828:G:C G C G EBF1_EBF_1 16 0 - 0 0 . chr2 186590395 186590396 chr2:186590396:C:T rs767302238 C T C EBF1_EBF_1 10 1 - 6.757022903786683 1.4389944445197167 AGTCCCGAGAGAAG chr2 186762609 186762610 chr2:186762610:G:A rs17855087 G A G EBF1_EBF_1 10 1 + 5.49468282118842 0.17665436192145406 GTTCCCCTGAGGAC chr2 186806593 186806594 chr2:186806594:C:T rs55982967 C T C EBF1_EBF_1 9 1 - 7.892021402965851 5.2370821375182475 AATCCCAGGGGCCT chr2 186883005 186883006 chr2:186883006:T:C rs718196 T C T EBF1_EBF_1 6 1 - 6.565637536984586 4.398843105710113 ATCCCCAAGGAAAC chr2 186889512 186889513 chr2:186889513:C:T rs10175500 C T T EBF1_EBF_1 21 0 - 0 0 . chr2 186889514 186889515 chr2:186889515:T:A rs10188033 T A A EBF1_EBF_1 19 0 - 0 0 . chr2 187116812 187116813 chr2:187116813:T:C rs6753524 T C T EBF1_EBF_1 -19 0 + 0 0 . chr2 187214428 187214429 chr2:187214429:A:G rs72904988 A G A EBF1_EBF_1 -15 0 + 0 0 . chr2 187214443 187214444 chr2:187214444:C:G rs72904990 C G C EBF1_EBF_1 0 1 + 4.99401800951787 5.356992623649636 CTTCCCATTGGAAT chr2 187289629 187289630 chr2:187289630:G:A rs1728670 G A A EBF1_EBF_1 12 1 - 6.142831698900431 5.116565128349581 AGTCCCACAGGACA chr2 187300687 187300688 chr2:187300688:G:A rs9646807 G A G EBF1_EBF_1 4 1 - 6.865317724462984 2.4959307795180607 AGTGCCAAGGGAAA chr2 187520151 187520152 chr2:187520152:G:A rs12473704 G A G EBF1_EBF_1 1 1 - 5.233079878783742 6.128513319182487 ACTCCCCTGGAAAG chr2 187527454 187527455 chr2:187527455:G:C rs147667505 G C G EBF1_EBF_1 19 0 - 0 0 . chr2 187527483 187527484 chr2:187527484:G:A rs77922017 G A G EBF1_EBF_1 -10 0 - 0 0 . chr2 187612609 187612610 chr2:187612610:C:A rs7586437 C A A EBF1_EBF_1 3 1 + 5.346274118393548 -1.5598999110722593 ACTCTCATGAGACC chr2 187814245 187814246 chr2:187814246:G:A rs6742191 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 187865165 187865166 chr2:187865166:T:C rs7590957 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 187986615 187986616 chr2:187986616:T:C rs115416094 T C T EBF1_EBF_1 -14 0 + 0 0 . chr2 187986645 187986646 chr2:187986646:C:G rs77493856 C G C EBF1_EBF_1 16 0 + 0 0 . chr2 188033350 188033351 chr2:188033351:C:A rs72903874 C A A EBF1_EBF_1 -16 0 - 0 0 . chr2 188033937 188033938 chr2:188033938:C:T rs61480706 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 188181710 188181711 chr2:188181711:T:C rs2218148 T C T EBF1_EBF_1 -20 0 - 0 0 . chr2 188196544 188196545 chr2:188196545:A:G rs73041644 A G A EBF1_EBF_1 19 0 - 0 0 . chr2 188220150 188220151 chr2:188220151:A:T rs1036649 A T T EBF1_EBF_1 27 0 + 0 0 . chr2 188292235 188292236 chr2:188292236:T:G rs2004888 T G T EBF1_EBF_1 23 0 - 0 0 . chr2 188292258 188292259 chr2:188292259:G:A rs146004896 G A G EBF1_EBF_1 0 1 - 5.138457083157573 5.420659143888151 CGCCCCTCGGGATA chr2 188375895 188375896 chr2:188375896:T:C rs73038414 T C T EBF1_EBF_1 1 1 - 7.265100187587942 7.525799019509244 AATCCCCTGAGGAT chr2 188464915 188464916 chr2:188464916:C:G rs72911485 C G C EBF1_EBF_1 -2 0 + 0 0 . chr2 188611327 188611328 chr2:188611328:G:A rs13413752 G A A EBF1_EBF_1 25 0 + 0 0 . chr2 188629039 188629040 chr2:188629040:A:G rs62181300 A G A EBF1_EBF_1 12 1 - 6.47204803616532 7.4983146067161695 TGTCCCTTGAGATA chr2 188693857 188693858 chr2:188693858:G:T rs66464205 G T G EBF1_EBF_1 27 0 - 0 0 . chr2 188949386 188949387 chr2:188949387:C:T rs75035796 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 188979940 188979941 chr2:188979941:G:A rs73047630 G A G EBF1_EBF_1 31 0 - 0 0 . chr2 188997370 188997371 chr2:188997371:G:A rs7579903 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 189110297 189110298 chr2:189110298:G:A rs142388534 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 189152603 189152604 chr2:189152604:C:A rs142507351 C A C EBF1_EBF_1 -1 0 + 0 0 . chr2 189286490 189286491 chr2:189286491:A:G rs939158 A G G EBF1_EBF_1 32 0 - 0 0 . chr2 189286494 189286495 chr2:189286495:C:T rs939159 C T C EBF1_EBF_1 28 0 - 0 0 . chr2 189506664 189506665 chr2:189506665:A:G rs142300422 A G A EBF1_EBF_1 -20 0 - 0 0 . chr2 189576559 189576560 chr2:189576560:C:T rs7586757 C T T EBF1_EBF_1 25 0 + 0 0 . chr2 189674748 189674749 chr2:189674749:G:A rs57785091 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 190090124 190090125 chr2:190090125:C:T rs10931441 C T T EBF1_EBF_1 -3 0 - 0 0 . chr2 190115710 190115711 chr2:190115711:A:G rs4853659 A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 190217210 190217211 chr2:190217211:A:T chr2:190217211:A:T A T A EBF1_EBF_1 -7 0 - 0 0 . chr2 190301485 190301486 chr2:190301486:G:A rs906916 G A G EBF1_EBF_1 23 0 + 0 0 . chr2 190314891 190314892 chr2:190314892:T:C rs925296 T C t EBF1_EBF_1 33 0 + 0 0 . chr2 190334803 190334804 chr2:190334804:G:A rs291431 G A G EBF1_EBF_1 13 1 + 8.03644067289143 9.150766244960868 ATTCCCTTGAGATG chr2 190352530 190352531 chr2:190352531:A:G rs2016037 A G A EBF1_EBF_1 12 1 - 6.917027755875261 7.943294326426111 CCTCCCCTGAGATT chr2 190534706 190534707 chr2:190534707:T:C rs12693579 T C c EBF1_EBF_1 22 0 + 0 0 . chr2 190534988 190534989 chr2:190534989:G:A rs150351757 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 190662545 190662546 chr2:190662546:C:T rs3821235 C T c EBF1_EBF_1 24 0 + 0 0 . chr2 190679235 190679236 chr2:190679236:T:C rs3771317 T C T EBF1_EBF_1 -12 0 - 0 0 . chr2 190708775 190708776 chr2:190708776:T:G rs10153559 T G T EBF1_EBF_1 13 1 + 6.119841055626981 3.7872759196860484 AATCTCATGAGACT chr2 190879503 190879504 chr2:190879504:G:C rs541246681 G C G EBF1_EBF_1 16 0 - 0 0 . chr2 190881019 190881020 chr2:190881020:G:A rs181150917 G A G EBF1_EBF_1 9 1 + 5.606109226866531 2.951169961418928 GTTCCCCGAGGAAA chr2 190951139 190951140 chr2:190951140:G:T rs1517354 G T T EBF1_EBF_1 15 0 + 0 0 . chr2 190989212 190989213 chr2:190989213:G:A rs4853537 G A A EBF1_EBF_1 -13 0 - 0 0 . chr2 190993115 190993116 chr2:190993116:A:C rs45483193 A C A EBF1_EBF_1 21 0 - 0 0 . chr2 190997721 190997722 chr2:190997722:C:T rs41429347 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 191150335 191150336 chr2:191150336:C:T rs192216654 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 191179313 191179314 chr2:191179314:G:T rs10176649 G T G EBF1_EBF_1 30 0 - 0 0 . chr2 191222608 191222609 chr2:191222609:T:C rs189570937 T C T EBF1_EBF_1 1 1 - 7.812284181826447 8.072983013747747 AACCCCAGGGGAGA chr2 191243881 191243882 chr2:191243882:C:T rs35092718 C T T EBF1_EBF_1 -16 0 - 0 0 . chr2 191245747 191245748 chr2:191245748:G:T rs959307544 G T g EBF1_EBF_1 33 0 - 0 0 . chr2 191245798 191245799 chr2:191245799:A:G chr2:191245799:A:G A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 191299250 191299251 chr2:191299251:C:T rs2067610 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 191299441 191299442 chr2:191299442:T:C rs10931494 T C C EBF1_EBF_1 9 1 - 4.946670228740071 7.601609494187675 GCTCCCATGAGAGG chr2 191299446 191299447 chr2:191299447:G:A rs10931495 G A A EBF1_EBF_1 4 1 - 4.946670228740071 0.5772832837951478 GCTCCCATGAGAGG chr2 191302140 191302141 chr2:191302141:A:G rs4273251 A G G EBF1_EBF_1 -20 0 + 0 0 . chr2 191378132 191378133 chr2:191378133:G:C rs149354457 G C g EBF1_EBF_1 30 0 - 0 0 . chr2 191485478 191485479 chr2:191485479:T:C rs1517848 T C C EBF1_EBF_1 26 0 + 0 0 . chr2 191486124 191486125 chr2:191486125:C:T rs6729298 C T C EBF1_EBF_1 8 1 - 10.177124856960297 5.950834768074036 CATCCCAAGGGAAA chr2 191507450 191507451 chr2:191507451:C:G rs3856367 C G C EBF1_EBF_1 -17 0 - 0 0 . chr2 191538632 191538633 chr2:191538633:C:T rs11690795 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 191538653 191538654 chr2:191538654:A:C rs191706592 A C A EBF1_EBF_1 12 1 + 8.503311105331306 8.47312970690998 GTCCCCAAGGGAAG chr2 191571540 191571541 chr2:191571541:C:T rs4853614 C T C EBF1_EBF_1 30 0 + 0 0 . chr2 191637112 191637113 chr2:191637113:G:A rs112246953 G A G EBF1_EBF_1 8 1 + 9.988987833865597 5.762697744979335 TTTCCCAAGAGAAT chr2 191677958 191677959 chr2:191677959:G:T rs12992957 G T G EBF1_EBF_1 19 0 - 0 0 . chr2 191739749 191739750 chr2:191739750:C:G rs6720426 C G G EBF1_EBF_1 31 0 - 0 0 . chr2 191746905 191746906 chr2:191746906:C:T rs4405693 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 191837680 191837681 chr2:191837681:A:C rs4076005 A C A EBF1_EBF_1 16 0 - 0 0 . chr2 192128883 192128884 chr2:192128884:C:T rs16834234 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 192194005 192194006 chr2:192194006:A:G rs144654384 A G A EBF1_EBF_1 -18 0 + 0 0 . chr2 192542030 192542031 chr2:192542031:T:C rs34103086 T C T EBF1_EBF_1 32 0 - 0 0 . chr2 192551972 192551973 chr2:192551973:G:T rs116632321 G T G EBF1_EBF_1 25 0 + 0 0 . chr2 192901981 192901982 chr2:192901982:T:C rs112950930 T C T EBF1_EBF_1 -13 0 + 0 0 . chr2 192968114 192968115 chr2:192968115:T:A rs13003202 T A T EBF1_EBF_1 11 1 - 6.246221349402153 2.148307093303428 AATCACCAGGGAAA chr2 193025732 193025733 chr2:193025733:G:T rs4330123 G T G EBF1_EBF_1 4 1 - 8.21620767715216 2.391648053698691 CTCCCCCTGGGAGT chr2 193102316 193102317 chr2:193102317:T:C rs13429621 T C C EBF1_EBF_1 24 0 - 0 0 . chr2 193102358 193102359 chr2:193102359:T:C rs73061562 T C T EBF1_EBF_1 -18 0 - 0 0 . chr2 193142773 193142774 chr2:193142774:T:C rs1315171863 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 193142778 193142779 chr2:193142779:T:C rs10208097 T C T EBF1_EBF_1 26 0 - 0 0 . chr2 193543225 193543226 chr2:193543226:A:C rs758784438 A C A EBF1_EBF_1 13 1 + 7.7024454999385625 8.076190949224538 TACCCCCTGGGACA chr2 193651874 193651875 chr2:193651875:C:T rs60113584 C T C EBF1_EBF_1 2 1 + 8.149319495688447 10.038142907130595 AGCCCCAAGAGAAA chr2 194090619 194090620 chr2:194090620:T:C rs145074602 T C C EBF1_EBF_1 26 0 + 0 0 . chr2 194319386 194319387 chr2:194319387:G:A rs1165665624 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 194321968 194321969 chr2:194321969:C:T chr2:194321969:C:T C T C EBF1_EBF_1 2 1 + 8.398343678687468 10.287167090129614 GTCCCCTTGGGATC chr2 194465220 194465221 chr2:194465221:A:G rs62196257 A G - EBF1_EBF_1 -11 0 + 0 0 . chr2 194483867 194483868 chr2:194483868:C:T rs4328652 C T T EBF1_EBF_1 -13 0 + 0 0 . chr2 194947009 194947010 chr2:194947010:T:G rs13030671 T G t EBF1_EBF_1 14 0 + 0 0 . chr2 195032250 195032251 chr2:195032251:A:G rs4632361 A G A EBF1_EBF_1 -10 0 + 0 0 . chr2 195125678 195125679 chr2:195125679:G:A rs116494043 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 195255436 195255437 chr2:195255437:G:C rs72917682 G C G EBF1_EBF_1 16 0 + 0 0 . chr2 195414660 195414661 chr2:195414661:T:C rs76592173 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 195534242 195534243 chr2:195534243:C:T rs1878086 C T C EBF1_EBF_1 9 1 + 4.987646409188741 3.226346147445396 CCTCCCTGGCGACT chr2 195534257 195534258 chr2:195534258:C:T rs115352051 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 195549006 195549007 chr2:195549007:G:A rs74264396 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 195642420 195642421 chr2:195642421:A:G rs80270283 A G A EBF1_EBF_1 12 1 + 5.318240645386117 3.8994233032866394 AACCCCTGAGGAAC chr2 195739072 195739073 chr2:195739073:T:G rs16839372 T G T EBF1_EBF_1 28 0 + 0 0 . chr2 195760104 195760105 chr2:195760105:C:G rs898935 C G C EBF1_EBF_1 24 0 + 0 0 . chr2 195890696 195890697 chr2:195890697:C:T rs187660167 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 196079009 196079010 chr2:196079010:C:T rs76999632 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 196113404 196113405 chr2:196113405:A:T rs6731673 A T a EBF1_EBF_1 -13 0 + 0 0 . chr2 196171102 196171103 chr2:196171103:C:T rs1401723 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 196171121 196171122 chr2:196171122:G:A rs872734 G A A EBF1_EBF_1 11 1 - 5.0350212270759584 5.353068668829622 GTTCCCTGGGGCTC chr2 196171135 196171136 chr2:196171136:G:A rs571099313 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 196245490 196245491 chr2:196245491:A:C rs7587683 A C C EBF1_EBF_1 -3 0 + 0 0 . chr2 196275999 196276000 chr2:196276000:T:C rs1869795 T C C EBF1_EBF_1 12 1 - 8.731952025665574 7.313134683566096 TCTCCCCAGAGAAT chr2 196486426 196486427 chr2:196486427:C:T rs17244073 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 196516690 196516691 chr2:196516691:T:C rs181762631 T C T EBF1_EBF_1 -12 0 + 0 0 . chr2 196538312 196538313 chr2:196538313:G:A rs77417466 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 196567621 196567622 chr2:196567622:C:T rs12329384 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 196594246 196594247 chr2:196594247:G:A rs115719576 G A G EBF1_EBF_1 -10 0 - 0 0 . chr2 196601685 196601686 chr2:196601686:A:G rs3927269 A G A EBF1_EBF_1 6 1 - 4.778767314063012 4.477481185201254 ATCCGCTAGGGAGC chr2 196601687 196601688 chr2:196601688:C:T rs76710754 C T C EBF1_EBF_1 4 1 - 4.778767314063012 4.579972791759089 ATCCGCTAGGGAGC chr2 196696441 196696442 chr2:196696442:T:C rs139417563 T C T EBF1_EBF_1 7 1 - 6.677709455442675 5.36525105015385 TCTCCCAAAGGAAC chr2 196949144 196949145 chr2:196949145:C:T rs6434889 C T C EBF1_EBF_1 32 0 - 0 0 . chr2 196949148 196949149 chr2:196949149:G:T rs2053259 G T G EBF1_EBF_1 28 0 - 0 0 . chr2 196956109 196956110 chr2:196956110:T:C rs76504837 T C T EBF1_EBF_1 15 0 + 0 0 . chr2 197108956 197108957 chr2:197108957:C:T rs4850762 C T T EBF1_EBF_1 -14 0 + 0 0 . chr2 197210949 197210950 chr2:197210950:G:A rs2697306 G A G EBF1_EBF_1 19 0 - 0 0 . chr2 197211939 197211940 chr2:197211940:C:T rs2697303 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 197255695 197255696 chr2:197255696:C:T rs140720269 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 197270687 197270688 chr2:197270688:T:C rs2712867 T C T EBF1_EBF_1 32 0 + 0 0 . chr2 197280192 197280193 chr2:197280193:C:T rs6760146 C T T EBF1_EBF_1 2 1 + 5.5997346142252304 7.48855802566738 AGCCCCCCGGGGCC chr2 197280198 197280199 chr2:197280199:G:A rs6760318 G A A EBF1_EBF_1 8 1 + 5.5997346142252304 1.3734445253389682 AGCCCCCCGGGGCC chr2 197310041 197310042 chr2:197310042:C:T rs73988430 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 197310200 197310201 chr2:197310201:C:T rs78395883 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 197311843 197311844 chr2:197311844:C:T rs149828981 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 197453138 197453139 chr2:197453139:C:T rs540653672 C T C EBF1_EBF_1 28 0 - 0 0 . chr2 197453213 197453214 chr2:197453214:G:C chr2:197453214:G:C G C G EBF1_EBF_1 16 0 + 0 0 . chr2 197560029 197560030 chr2:197560030:G:A rs77150893 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 197640743 197640744 chr2:197640744:C:T rs144930737 C T c EBF1_EBF_1 -10 0 + 0 0 . chr2 197805078 197805079 chr2:197805079:G:A chr2:197805079:G:A G A g EBF1_EBF_1 10 1 + 6.817731458095952 1.4997029988289856 TTCCCCCGGGGAGC chr2 197847196 197847197 chr2:197847197:G:C rs116775614 G C A EBF1_EBF_1 7 1 - 5.788332211353759 6.769652792435116 ACCCCCCCGGGATG chr2 198018373 198018374 chr2:198018374:G:A rs112922254 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 198296888 198296889 chr2:198296889:G:A rs2058053 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 198296905 198296906 chr2:198296906:A:C rs149348248 A C A EBF1_EBF_1 15 0 - 0 0 . chr2 198337580 198337581 chr2:198337581:G:C rs17382200 G C G EBF1_EBF_1 5 1 - 6.085765435361578 -0.8191157172735908 TGCCCCTAGAGACA chr2 198383029 198383030 chr2:198383030:T:A rs11685877 T A T EBF1_EBF_1 13 1 - 10.007961508709268 11.226201072580762 AGTCCCAAGAGACA chr2 198892107 198892108 chr2:198892108:C:A rs73057427 C A C EBF1_EBF_1 -20 0 - 0 0 . chr2 199130144 199130145 chr2:199130145:T:C rs1451487 T C T EBF1_EBF_1 17 0 + 0 0 . chr2 199215569 199215570 chr2:199215570:G:A chr2:199215570:G:A G A G EBF1_EBF_1 5 1 - 6.9879861217140204 1.6661989061733509 CACCCCCGGGGAAC chr2 199224758 199224759 chr2:199224759:G:T rs7559104 G T G EBF1_EBF_1 -20 0 + 0 0 . chr2 199463395 199463396 chr2:199463396:C:T rs531912079 C T C EBF1_EBF_1 28 0 - 0 0 . chr2 199467139 199467140 chr2:199467140:G:A rs112918645 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 199590456 199590457 chr2:199590457:C:A rs6742907 C A A EBF1_EBF_1 -10 0 + 0 0 . chr2 199695902 199695903 chr2:199695903:G:A rs1077796 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 199695903 199695904 chr2:199695904:G:A rs1077797 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 199760857 199760858 chr2:199760858:T:C rs2346586 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 199791497 199791498 chr2:199791498:T:C rs6759644 T C C EBF1_EBF_1 -6 0 - 0 0 . chr2 199859648 199859649 chr2:199859649:C:T rs812760 C T T EBF1_EBF_1 23 0 - 0 0 . chr2 199950572 199950573 chr2:199950573:C:G rs1704193 C G C EBF1_EBF_1 33 0 + 0 0 . chr2 199955034 199955035 chr2:199955035:C:T rs3811535 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 199985768 199985769 chr2:199985769:G:A rs143296750 G A G EBF1_EBF_1 7 1 - 7.201581052227122 9.019085798509748 AGTCCCACGGGCAT chr2 200021648 200021649 chr2:200021649:C:T rs169428 C T G EBF1_EBF_1 -17 0 - 0 0 . chr2 200055112 200055113 chr2:200055113:C:T rs56285751 C T C EBF1_EBF_1 -12 0 + 0 0 . chr2 200178230 200178231 chr2:200178231:G:A rs62181771 G A G EBF1_EBF_1 -2 0 - 0 0 . chr2 200272307 200272308 chr2:200272308:A:G rs1347552 A G A EBF1_EBF_1 -19 0 - 0 0 . chr2 200280123 200280124 chr2:200280124:C:G rs17630277 C G C EBF1_EBF_1 5 1 + 6.747365792821196 -0.1575153598139709 ATTCCCTAGAGTTT chr2 200468565 200468566 chr2:200468566:C:A chr2:200468566:C:A C A C EBF1_EBF_1 -7 0 - 0 0 . chr2 200470128 200470129 chr2:200470129:A:G rs17532294 A G A EBF1_EBF_1 26 0 + 0 0 . chr2 200576144 200576145 chr2:200576145:A:C rs116691121 A C A EBF1_EBF_1 -10 0 - 0 0 . chr2 200609455 200609456 chr2:200609456:C:T rs17593811 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 200638174 200638175 chr2:200638175:G:A rs2470900 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 200697189 200697190 chr2:200697190:G:A rs2715894 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 200740735 200740736 chr2:200740736:C:A rs10168978 C A A EBF1_EBF_1 33 0 - 0 0 . chr2 200740776 200740777 chr2:200740777:G:T rs10169230 G T T EBF1_EBF_1 -8 0 - 0 0 . chr2 200741333 200741334 chr2:200741334:C:T rs11677204 C T T EBF1_EBF_1 20 0 - 0 0 . chr2 200747562 200747563 chr2:200747563:G:A rs73988401 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 200844705 200844706 chr2:200844706:A:G rs12693924 A G G EBF1_EBF_1 -17 0 + 0 0 . chr2 200865866 200865867 chr2:200865867:C:G rs73059115 C G C EBF1_EBF_1 33 0 + 0 0 . chr2 200888115 200888116 chr2:200888116:G:T rs115170097 G T G EBF1_EBF_1 29 0 + 0 0 . chr2 200888116 200888117 chr2:200888117:A:T rs74783123 A T A EBF1_EBF_1 30 0 + 0 0 . chr2 201119077 201119078 chr2:201119078:T:C rs188738619 T C T EBF1_EBF_1 29 0 - 0 0 . chr2 201120686 201120687 chr2:201120687:A:G rs72931019 A G A EBF1_EBF_1 28 0 + 0 0 . chr2 201153106 201153107 chr2:201153107:C:T rs719126 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 201153136 201153137 chr2:201153137:G:T rs719125 G T G EBF1_EBF_1 20 0 + 0 0 . chr2 201153211 201153212 chr2:201153212:G:A rs113862538 G A G EBF1_EBF_1 9 1 + 4.677946281139407 2.0230070156918036 ACCCCCCTGGGCTC chr2 201158277 201158278 chr2:201158278:C:T rs112640799 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 201182955 201182956 chr2:201182956:T:C rs41429849 T C T EBF1_EBF_1 29 0 - 0 0 . chr2 201233407 201233408 chr2:201233408:G:A rs17860416 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 201313673 201313674 chr2:201313674:C:A rs10931939 C A C EBF1_EBF_1 -8 0 - 0 0 . chr2 201781237 201781238 chr2:201781238:C:T rs16838147 C T T EBF1_EBF_1 3 1 + 6.325745671415302 -0.0796530701380153 GCTCCCATGGTAAC chr2 201781238 201781239 chr2:201781239:C:G chr2:201781239:C:G C G C EBF1_EBF_1 4 1 + 6.325745671415302 0.6999805702657581 GCTCCCATGGTAAC chr2 201781247 201781248 chr2:201781248:C:G rs545596768 C G C EBF1_EBF_1 13 1 + 6.325745671415302 4.8376746500598875 GCTCCCATGGTAAC chr2 201891912 201891913 chr2:201891913:G:A rs139108303 G A G EBF1_EBF_1 9 1 + 6.60312353702008 3.948184271572477 CTTCCCAGGGGCCT chr2 201901923 201901924 chr2:201901924:G:A rs759419 G A A EBF1_EBF_1 25 0 - 0 0 . chr2 201923236 201923237 chr2:201923237:G:C rs6723235 G C G EBF1_EBF_1 -14 0 + 0 0 . chr2 201930969 201930970 chr2:201930970:C:T rs115681447 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 201932085 201932086 chr2:201932086:G:A rs7587430 G A G EBF1_EBF_1 9 1 + 5.897361705587464 3.24242244013986 CCTCCCAGAGGACT chr2 201935219 201935220 chr2:201935220:C:G rs112022217 C G C EBF1_EBF_1 28 0 - 0 0 . chr2 201949686 201949687 chr2:201949687:G:A rs79786002 G A G EBF1_EBF_1 9 1 + 5.388014839805902 2.733075574358299 TGTCCCACAGGACT chr2 201949698 201949699 chr2:201949699:C:G rs16838482 C G C EBF1_EBF_1 21 0 + 0 0 . chr2 201952598 201952599 chr2:201952599:G:A rs76851774 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 201977393 201977394 chr2:201977394:G:A rs13026642 G A A EBF1_EBF_1 -17 0 - 0 0 . chr2 201981666 201981667 chr2:201981667:T:C rs71425909 T C T EBF1_EBF_1 -19 0 + 0 0 . chr2 202016118 202016119 chr2:202016119:T:A rs74747871 T A T EBF1_EBF_1 -14 0 - 0 0 . chr2 202046983 202046984 chr2:202046984:T:C rs72936605 T C T EBF1_EBF_1 14 0 + 0 0 . chr2 202073134 202073135 chr2:202073135:C:T rs188802298 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 202084098 202084099 chr2:202084099:G:A rs13023291 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 202173122 202173123 chr2:202173123:G:A rs76552560 G A G EBF1_EBF_1 8 1 + 8.9233752463373 4.697085157451041 AGTCCCCCGGGAAG chr2 202173124 202173125 chr2:202173125:G:A rs368228830 G A G EBF1_EBF_1 10 1 + 8.9233752463373 3.605346787070337 AGTCCCCCGGGAAG chr2 202276272 202276273 chr2:202276273:G:A rs62194069 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 202336236 202336237 chr2:202336237:G:A rs113188021 G A A EBF1_EBF_1 33 0 - 0 0 . chr2 202405368 202405369 chr2:202405369:A:G rs2056145 A G G EBF1_EBF_1 -3 0 - 0 0 . chr2 202589287 202589288 chr2:202589288:C:G rs11679006 C G C EBF1_EBF_1 5 1 + 8.87416225263507 1.9692810999999024 CTTCCCGTGGGAAC chr2 202635225 202635226 chr2:202635226:G:A rs193178985 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 202635243 202635244 chr2:202635244:T:G chr2:202635244:T:G T G T EBF1_EBF_1 0 1 - 9.56941521375333 7.314156730056732 ACCCCCAAGGGAGC chr2 202932755 202932756 chr2:202932756:C:T rs751277525 C T C EBF1_EBF_1 8 1 + 5.7055180760552995 4.132744147992791 ACTCCCTACGGAGA chr2 203238940 203238941 chr2:203238941:C:T rs575746762 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 203618077 203618078 chr2:203618078:C:T rs12470123 C T C EBF1_EBF_1 -20 0 + 0 0 . chr2 203685657 203685658 chr2:203685658:T:C rs79775176 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 203688931 203688932 chr2:203688932:A:G chr2:203688932:A:G A G A EBF1_EBF_1 0 1 + 5.913048867359343 4.020764997794509 ATTCCCTTGGGCGG chr2 203688980 203688981 chr2:203688981:G:A rs77696360 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 203690601 203690602 chr2:203690602:G:A rs184398503 G A G EBF1_EBF_1 7 1 + 5.001515695029021 6.313974100317846 AGTCCCAGGAGTAC chr2 203738205 203738206 chr2:203738206:G:C rs17533727 G C G EBF1_EBF_1 3 1 - 5.796123064267691 -0.03164138384752557 TAACCCGAGGGACT chr2 203804295 203804296 chr2:203804296:G:A rs140300385 G A G EBF1_EBF_1 6 1 - 6.001404300684244 6.302690429546002 CCACCCCAGGGAGT chr2 203804314 203804315 chr2:203804315:G:A chr2:203804315:G:A G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 203811567 203811568 chr2:203811568:T:C rs231831 T C C EBF1_EBF_1 21 0 - 0 0 . chr2 203811998 203811999 chr2:203811999:C:T rs231833 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 203817549 203817550 chr2:203817550:G:T rs231839 G T G EBF1_EBF_1 -4 0 - 0 0 . chr2 203835965 203835966 chr2:203835966:C:T rs12990970 C T C EBF1_EBF_1 -19 0 + 0 0 . chr2 203891864 203891865 chr2:203891865:C:T rs75574624 C T C EBF1_EBF_1 8 1 - 8.243971447197325 4.017681358311067 AATCCCTAGGGTCA chr2 203894022 203894023 chr2:203894023:A:G rs2352546 A G A EBF1_EBF_1 -9 0 - 0 0 . chr2 203930388 203930389 chr2:203930389:G:A rs11695389 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 203960860 203960861 chr2:203960861:A:G rs10932038 A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 204108420 204108421 chr2:204108421:G:A rs562197671 G A G EBF1_EBF_1 8 1 + 6.451478873467179 2.2251887845809186 AACCCCCCGAGAAT chr2 204131369 204131370 chr2:204131370:C:A rs6731532 C A C EBF1_EBF_1 -13 0 + 0 0 . chr2 204145946 204145947 chr2:204145947:C:T rs191704273 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 204230038 204230039 chr2:204230039:T:C rs78145219 T C T EBF1_EBF_1 -11 0 + 0 0 . chr2 204251666 204251667 chr2:204251667:A:C rs569383781 A C A EBF1_EBF_1 6 1 + 7.755513127256407 7.39391075945513 TGTCCCAAGGTAAT chr2 204262237 204262238 chr2:204262238:A:T rs11894923 A T T EBF1_EBF_1 24 0 + 0 0 . chr2 204325586 204325587 chr2:204325587:C:T rs527296726 C T C EBF1_EBF_1 13 1 + 7.511825571608544 8.356319686194063 ATCCCCTAGGGGGC chr2 204366560 204366561 chr2:204366561:C:T rs7577929 C T T EBF1_EBF_1 -12 0 - 0 0 . chr2 204409173 204409174 chr2:204409174:A:G rs11695187 A G A EBF1_EBF_1 -9 0 + 0 0 . chr2 204452784 204452785 chr2:204452785:C:T chr2:204452785:C:T C T C EBF1_EBF_1 31 0 - 0 0 . chr2 204501663 204501664 chr2:204501664:G:C rs1447484 G C G EBF1_EBF_1 2 1 - 9.067631989344033 4.208129710585713 AACCCCCAGGGAAG chr2 204501678 204501679 chr2:204501679:T:C rs1447483 T C T EBF1_EBF_1 -13 0 - 0 0 . chr2 204545097 204545098 chr2:204545098:C:T rs576885488 C T C EBF1_EBF_1 24 0 - 0 0 . chr2 204546336 204546337 chr2:204546337:A:G rs564245651 A G A EBF1_EBF_1 12 1 - 8.117878977895863 9.144145548446712 GCTCCCAAGAGATT chr2 204579397 204579398 chr2:204579398:C:T rs148783012 C T C EBF1_EBF_1 0 1 - 4.263787595813487 6.1560714653783215 GCTCCCTGGGAACC chr2 204869354 204869355 chr2:204869355:C:T rs10165404 C T C EBF1_EBF_1 -7 0 - 0 0 . chr2 204901191 204901192 chr2:204901192:A:G rs2161853 A G A EBF1_EBF_1 23 0 - 0 0 . chr2 204945234 204945235 chr2:204945235:C:A rs725352 C A C EBF1_EBF_1 28 0 - 0 0 . chr2 204968985 204968986 chr2:204968986:C:G rs236840 C G . EBF1_EBF_1 15 0 - 0 0 . chr2 205148991 205148992 chr2:205148992:G:T rs769869219 G T G EBF1_EBF_1 -5 0 + 0 0 . chr2 205496604 205496605 chr2:205496605:A:T rs75055432 A T A EBF1_EBF_1 4 1 + 4.855298897843925 6.310471576352469 AGCCACAGGGGACT chr2 205627966 205627967 chr2:205627967:A:G rs11682859 A G A EBF1_EBF_1 26 0 - 0 0 . chr2 205630780 205630781 chr2:205630781:C:T rs34773521 C T T EBF1_EBF_1 -8 0 + 0 0 . chr2 205639052 205639053 chr2:205639053:G:A rs12615525 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 205680198 205680199 chr2:205680199:C:G rs1400733 C G C EBF1_EBF_1 19 0 + 0 0 . chr2 205682127 205682128 chr2:205682128:G:C rs369238540 G C G EBF1_EBF_1 3 1 - 5.1447671046140275 -0.6829973435011896 CCACCCAAGGGAGA chr2 205682626 205682627 chr2:205682627:G:A rs925798734 G A G EBF1_EBF_1 8 1 + 5.432460446688493 1.2061703578022323 ACTCCCAGGCGATC chr2 205682641 205682642 chr2:205682642:A:C rs1035114154 A C A EBF1_EBF_1 23 0 + 0 0 . chr2 205687370 205687371 chr2:205687371:C:T rs3755246 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 205698980 205698981 chr2:205698981:T:A rs73983220 T A T EBF1_EBF_1 -18 0 + 0 0 . chr2 205699428 205699429 chr2:205699429:T:C rs76916810 T C T EBF1_EBF_1 -18 0 + 0 0 . chr2 205700987 205700988 chr2:205700988:G:A rs75129272 G A G EBF1_EBF_1 3 1 - 6.455066561444031 0.04966781989071467 ACTCCACAGGGAAT chr2 205707809 205707810 chr2:205707810:C:G rs3771047 C G C EBF1_EBF_1 4 1 + 4.700813823784061 -0.9249512773654832 ATTCCGGAGGGAAC chr2 205714508 205714509 chr2:205714509:G:T rs190453784 G T G EBF1_EBF_1 -9 0 + 0 0 . chr2 205715427 205715428 chr2:205715428:A:G rs849543 A G G EBF1_EBF_1 -4 0 + 0 0 . chr2 205721248 205721249 chr2:205721249:A:G rs12998705 A G A EBF1_EBF_1 11 1 + 5.235328868539637 2.342622024935625 AGCCCCAGAGGACA chr2 205758602 205758603 chr2:205758603:G:A rs3771012 G A G EBF1_EBF_1 5 1 - 7.186981195490012 1.8651939799493433 AATCGCCTGGGAAT chr2 205758604 205758605 chr2:205758605:G:A rs3771011 G A G EBF1_EBF_1 3 1 - 7.186981195490012 0.7815824539366961 AATCGCCTGGGAAT chr2 205780049 205780050 chr2:205780050:G:C rs3771002 G C G EBF1_EBF_1 31 0 + 0 0 . chr2 205786382 205786383 chr2:205786383:C:T rs75454700 C T C EBF1_EBF_1 10 1 - 5.621142592853474 0.30311413358650824 AACCCCATGGGCCA chr2 205834945 205834946 chr2:205834946:A:T rs146568255 A T A EBF1_EBF_1 33 0 + 0 0 . chr2 205885645 205885646 chr2:205885646:G:T rs73983288 G T G EBF1_EBF_1 -8 0 - 0 0 . chr2 205905859 205905860 chr2:205905860:G:A rs7601123 G A A EBF1_EBF_1 -1 0 + 0 0 . chr2 205946436 205946437 chr2:205946437:C:A rs72947029 C A C EBF1_EBF_1 9 1 - 6.73426520265923 -0.10272420542957976 ATCCCCCTGGGGGC chr2 205947538 205947539 chr2:205947539:C:T rs13429746 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 206084526 206084527 chr2:206084527:A:G rs11693920 A G A EBF1_EBF_1 -16 0 + 0 0 . chr2 206085135 206085136 chr2:206085136:G:A rs11893570 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 206085444 206085445 chr2:206085445:C:T rs564528580 C T C EBF1_EBF_1 16 0 + 0 0 . chr2 206085511 206085512 chr2:206085512:G:C rs112747614 G C G EBF1_EBF_1 -4 0 - 0 0 . chr2 206092549 206092550 chr2:206092550:C:A rs139199971 C A C EBF1_EBF_1 15 0 + 0 0 . chr2 206113054 206113055 chr2:206113055:G:A rs2359126 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 206231825 206231826 chr2:206231826:T:C rs6718087 T C T EBF1_EBF_1 1 1 + 5.026370375365045 4.130936934966299 CTCCCCTGGAGAGT chr2 206289723 206289724 chr2:206289724:T:A rs11560126 T A A EBF1_EBF_1 -11 0 - 0 0 . chr2 206502935 206502936 chr2:206502936:C:A rs2059303 C A A EBF1_EBF_1 15 0 + 0 0 . chr2 206614966 206614967 chr2:206614967:G:A rs4675618 G A G EBF1_EBF_1 19 0 - 0 0 . chr2 206614977 206614978 chr2:206614978:C:T rs6732127 C T C EBF1_EBF_1 8 1 - 4.309677239816891 0.08338715093063061 CTTCCCTGGGGCGC chr2 206783860 206783861 chr2:206783861:G:T rs150896562 G T T EBF1_EBF_1 22 0 + 0 0 . chr2 207074441 207074442 chr2:207074442:A:G rs938239689 A G A EBF1_EBF_1 25 0 - 0 0 . chr2 207082908 207082909 chr2:207082909:T:C rs1263595 T C T EBF1_EBF_1 -20 0 + 0 0 . chr2 207132502 207132503 chr2:207132503:C:T rs13424650 C T T EBF1_EBF_1 19 0 - 0 0 . chr2 207151199 207151200 chr2:207151200:G:A rs13403848 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 207153809 207153810 chr2:207153810:C:T rs60818447 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 207172261 207172262 chr2:207172262:G:A rs10172302 G A G EBF1_EBF_1 8 1 + 4.9836537371858975 0.7573636482996358 CCTCCCTAGAGGCC chr2 207196323 207196324 chr2:207196324:A:C rs75564224 A C A EBF1_EBF_1 28 0 + 0 0 . chr2 207202917 207202918 chr2:207202918:A:G chr2:207202918:A:G A G A EBF1_EBF_1 24 0 - 0 0 . chr2 207202959 207202960 chr2:207202960:T:C rs10804156 T C T EBF1_EBF_1 -18 0 - 0 0 . chr2 207237884 207237885 chr2:207237885:C:G rs59443944 C G G EBF1_EBF_1 32 0 + 0 0 . chr2 207250453 207250454 chr2:207250454:G:A rs4675654 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 207258933 207258934 chr2:207258934:C:T rs141726664 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 207283676 207283677 chr2:207283677:G:A rs7592640 G A G EBF1_EBF_1 8 1 + 6.1275982313623585 1.9013081424760974 AACCCCAAGGGCAA chr2 207310509 207310510 chr2:207310510:A:G rs113461012 A G G EBF1_EBF_1 -11 0 - 0 0 . chr2 207310512 207310513 chr2:207310513:G:C rs1009623288 G C G EBF1_EBF_1 -14 0 - 0 0 . chr2 207311044 207311045 chr2:207311045:A:G rs75097916 A G G EBF1_EBF_1 -19 0 + 0 0 . chr2 207329333 207329334 chr2:207329334:T:C rs13020059 T C T EBF1_EBF_1 12 1 - 8.041919254812234 6.623101912712756 ACTCCCCAGGAAAT chr2 207391818 207391819 chr2:207391819:C:T rs13418900 C T T EBF1_EBF_1 -9 0 + 0 0 . chr2 207488685 207488686 chr2:207488686:C:A rs727504 C A A EBF1_EBF_1 21 0 - 0 0 . chr2 207501234 207501235 chr2:207501235:T:C rs34438531 T C T EBF1_EBF_1 -15 0 + 0 0 . chr2 207501254 207501255 chr2:207501255:C:T rs4673372 C T C EBF1_EBF_1 5 1 + 4.890737449857931 -0.43104976568273856 ACCTCCTTGGGAAT chr2 207529378 207529379 chr2:207529379:G:A rs183870787 G A G EBF1_EBF_1 2 1 - 5.452457040886764 7.341280452328915 ACCCCCGTGGGGAC chr2 207530524 207530525 chr2:207530525:G:A rs868152473 G A - EBF1_EBF_1 12 1 - 3.555456866046148 2.5291902954952983 CCTCCCCCGGGGCG chr2 207530551 207530552 chr2:207530552:C:G rs542724270 C G - EBF1_EBF_1 -15 0 - 0 0 . chr2 207625493 207625494 chr2:207625494:G:A rs767130643 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 207630326 207630327 chr2:207630327:A:T rs2248062 A T A EBF1_EBF_1 27 0 + 0 0 . chr2 207712159 207712160 chr2:207712160:C:T rs773210102 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 207721910 207721911 chr2:207721911:T:C rs62189189 T C T EBF1_EBF_1 -14 0 + 0 0 . chr2 207748288 207748289 chr2:207748289:C:T rs72962187 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 207748289 207748290 chr2:207748290:G:A rs112858342 G A G EBF1_EBF_1 18 0 - 0 0 . chr2 207767059 207767060 chr2:207767060:G:A rs58365448 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 207792661 207792662 chr2:207792662:G:A rs66690170 G A G EBF1_EBF_1 29 0 + 0 0 . chr2 207807186 207807187 chr2:207807187:A:C rs10932209 A C C EBF1_EBF_1 -17 0 - 0 0 . chr2 207820351 207820352 chr2:207820352:G:A rs7592600 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 207879661 207879662 chr2:207879662:T:G rs10183224 T G G EBF1_EBF_1 14 0 - 0 0 . chr2 207884844 207884845 chr2:207884845:C:G rs2621477 C G C EBF1_EBF_1 4 1 + 12.877055967294957 7.251290866145415 ACTCCCAAGGGAAC chr2 207900973 207900974 chr2:207900974:T:C rs2621469 T C T EBF1_EBF_1 -6 0 + 0 0 . chr2 207926232 207926233 chr2:207926233:A:G rs73983643 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 207926263 207926264 chr2:207926264:T:C rs2718670 T C T EBF1_EBF_1 30 0 + 0 0 . chr2 208078225 208078226 chr2:208078226:C:T rs72984930 C T C EBF1_EBF_1 30 0 + 0 0 . chr2 208103889 208103890 chr2:208103890:G:C rs10191697 G C G EBF1_EBF_1 5 1 - 6.895348170017848 -0.009532982617319885 ACTCCCTAGAGGAA chr2 208134882 208134883 chr2:208134883:A:T rs7567987 A T T EBF1_EBF_1 -18 0 + 0 0 . chr2 208241185 208241186 chr2:208241186:A:G rs17652540 A G a EBF1_EBF_1 22 0 + 0 0 . chr2 208243592 208243593 chr2:208243593:C:T rs34218846 C T c EBF1_EBF_1 13 1 + 4.516589368834521 5.361083483420039 ATCCCCATGGCAAC chr2 208255176 208255177 chr2:208255177:A:G rs116374598 A G A EBF1_EBF_1 27 0 - 0 0 . chr2 208255185 208255186 chr2:208255186:C:T rs374074633 C T C EBF1_EBF_1 18 0 - 0 0 . chr2 208255193 208255194 chr2:208255194:C:T rs76307533 C T C EBF1_EBF_1 10 1 - 9.10158543446161 3.783556975194645 CATCCCACGGGAAT chr2 208269300 208269301 chr2:208269301:A:G rs7564882 A G G EBF1_EBF_1 29 0 - 0 0 . chr2 208269310 208269311 chr2:208269311:T:C rs7605498 T C t EBF1_EBF_1 19 0 - 0 0 . chr2 208320949 208320950 chr2:208320950:C:T rs34408456 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 208618513 208618514 chr2:208618514:A:G rs1429877 A G G EBF1_EBF_1 -16 0 + 0 0 . chr2 208618533 208618534 chr2:208618534:A:C rs80047362 A C A EBF1_EBF_1 4 1 + 4.907609207643408 10.732168831096875 TGTCACCAGGGAAC chr2 209243393 209243394 chr2:209243394:T:G rs66538718 T G G EBF1_EBF_1 24 0 - 0 0 . chr2 209381751 209381752 chr2:209381752:G:C rs72991898 G C G EBF1_EBF_1 -2 0 + 0 0 . chr2 209390168 209390169 chr2:209390169:T:C rs7580883 T C C EBF1_EBF_1 -14 0 - 0 0 . chr2 209424396 209424397 chr2:209424397:G:A rs181763687 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 209472333 209472334 chr2:209472334:C:G rs12988648 C G C EBF1_EBF_1 10 1 - 7.454160175980878 0.5633577886514025 AATCCTAAGGGACC chr2 209550221 209550222 chr2:209550222:T:C rs185572644 T C T EBF1_EBF_1 0 1 + 4.714154322478104 4.431952261747527 TCTCCTCTGGGACC chr2 209590026 209590027 chr2:209590027:G:A rs17733579 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 209624723 209624724 chr2:209624724:A:G rs60359169 A G A EBF1_EBF_1 -8 0 - 0 0 . chr2 209834060 209834061 chr2:209834061:C:T rs75245711 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 210005443 210005444 chr2:210005444:C:T rs112020963 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 210171585 210171586 chr2:210171586:G:C rs950708280 G C G EBF1_EBF_1 14 0 - 0 0 . chr2 210225433 210225434 chr2:210225434:T:G chr2:210225434:T:G T G T EBF1_EBF_1 11 1 - 6.312523637617212 1.8965619397648221 ATTCCCTCGCGACC chr2 210285095 210285096 chr2:210285096:G:A rs7582804 G A A EBF1_EBF_1 17 0 + 0 0 . chr2 210285291 210285292 chr2:210285292:G:C rs7583039 G C G EBF1_EBF_1 30 0 - 0 0 . chr2 210285312 210285313 chr2:210285313:T:C rs12999760 T C C EBF1_EBF_1 9 1 - 5.478827099713692 8.133766365161296 CACCCCTGGAGAAT chr2 210302407 210302408 chr2:210302408:A:T rs2292300 A T T EBF1_EBF_1 -3 0 + 0 0 . chr2 210325091 210325092 chr2:210325092:A:G rs11887674 A G G EBF1_EBF_1 20 0 + 0 0 . chr2 210422282 210422283 chr2:210422283:G:C rs184154490 G C G EBF1_EBF_1 3 1 - 10.049441902041522 4.221677453926306 TGCCCCAAGGGAAT chr2 210477122 210477123 chr2:210477123:C:A rs562282012 C A C EBF1_EBF_1 1 1 - 7.2465509208027745 7.9522127066323005 AGTCCCTGGAGAGA chr2 210477137 210477138 chr2:210477138:T:C rs76195284 T C T EBF1_EBF_1 -14 0 - 0 0 . chr2 210482169 210482170 chr2:210482170:G:A rs7569252 G A A EBF1_EBF_1 17 0 + 0 0 . chr2 210545075 210545076 chr2:210545076:G:T rs10932342 G T T EBF1_EBF_1 3 1 - 6.317086752757205 -0.5890872767086017 ACTCCCTTGATACT chr2 210683633 210683634 chr2:210683634:G:C rs16844800 G C G EBF1_EBF_1 5 1 - 6.221643310811265 -0.6832378418239033 AGTCCCTGGGGCTC chr2 210708156 210708157 chr2:210708157:G:A rs184023919 G A G EBF1_EBF_1 -6 0 + 0 0 . chr2 210924445 210924446 chr2:210924446:G:A rs72947237 G A G EBF1_EBF_1 13 1 - 5.931111345077247 6.775605459662765 GATCCCTTGGGCCC chr2 210924456 210924457 chr2:210924457:A:T rs13035746 A T A EBF1_EBF_1 2 1 - 5.931111345077247 2.2466437574783695 GATCCCTTGGGCCC chr2 211212550 211212551 chr2:211212551:A:G rs12478428 A G A EBF1_EBF_1 6 1 - 9.663344023002836 9.36205789414108 AGTCCCTTGAGATT chr2 211352128 211352129 chr2:211352129:A:C rs150416243 A C A EBF1_EBF_1 -12 0 + 0 0 . chr2 211465838 211465839 chr2:211465839:C:T rs4569408 C T T EBF1_EBF_1 -12 0 + 0 0 . chr2 211494874 211494875 chr2:211494875:C:T rs35145864 C T T EBF1_EBF_1 30 0 + 0 0 . chr2 211730222 211730223 chr2:211730223:T:C rs4130781 T C T EBF1_EBF_1 17 0 + 0 0 . chr2 211807313 211807314 chr2:211807314:C:T rs13030764 C T C EBF1_EBF_1 10 1 - 4.278480992719059 -1.0395474665479072 ACACCCAGGGGCCT chr2 211976247 211976248 chr2:211976248:C:T rs957698 C T T EBF1_EBF_1 15 0 - 0 0 . chr2 212146526 212146527 chr2:212146527:G:A rs113383142 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 212240421 212240422 chr2:212240422:G:C rs7592673 G C G EBF1_EBF_1 -12 0 - 0 0 . chr2 212385336 212385337 chr2:212385337:G:C rs12477300 G C G EBF1_EBF_1 -2 0 + 0 0 . chr2 212494569 212494570 chr2:212494570:A:T rs77407292 A T A EBF1_EBF_1 11 1 + 7.9709920774601475 3.8730778213614228 AATCCCCAGGAAAT chr2 212605442 212605443 chr2:212605443:T:C rs72937324 T C T EBF1_EBF_1 29 0 + 0 0 . chr2 212827798 212827799 chr2:212827799:G:T rs10189812 G T T EBF1_EBF_1 25 0 + 0 0 . chr2 212872536 212872537 chr2:212872537:T:C rs7606842 T C C EBF1_EBF_1 -9 0 - 0 0 . chr2 212919412 212919413 chr2:212919413:T:C rs1486041719 T C T EBF1_EBF_1 -18 0 - 0 0 . chr2 213164439 213164440 chr2:213164440:G:A rs13029384 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 213216879 213216880 chr2:213216880:G:C rs10208066 G C G EBF1_EBF_1 17 0 + 0 0 . chr2 213226781 213226782 chr2:213226782:C:A rs7573905 C A A EBF1_EBF_1 -13 0 + 0 0 . chr2 213233111 213233112 chr2:213233112:C:T rs55748623 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 213309794 213309795 chr2:213309795:C:T rs7425349 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 213421555 213421556 chr2:213421556:G:A rs56373102 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 213444075 213444076 chr2:213444076:A:G rs57290289 A G G EBF1_EBF_1 22 0 + 0 0 . chr2 213609928 213609929 chr2:213609929:C:T rs192339741 C T C EBF1_EBF_1 4 1 + 9.14789273083028 4.7785057858853595 AATCCCCAGGGGCA chr2 213872241 213872242 chr2:213872242:A:C rs10209608 A C C EBF1_EBF_1 15 0 + 0 0 . chr2 213922941 213922942 chr2:213922942:C:T rs7573228 C T T EBF1_EBF_1 10 1 - 10.019013274826927 4.700984815559961 TTTCCCCAGGGAGC chr2 213924203 213924204 chr2:213924204:G:A rs4672695 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 213924336 213924337 chr2:213924337:A:G rs4672696 A G G EBF1_EBF_1 23 0 - 0 0 . chr2 214075633 214075634 chr2:214075634:C:T rs6738653 C T C EBF1_EBF_1 10 1 - 8.231460805338772 2.913432346071806 ATTCCCCTGAGGAT chr2 214537065 214537066 chr2:214537066:A:C rs78121988 A C A EBF1_EBF_1 -12 0 - 0 0 . chr2 214646099 214646100 chr2:214646100:C:A rs1356438 C A A EBF1_EBF_1 -7 0 - 0 0 . chr2 214671511 214671512 chr2:214671512:C:T rs6714662 C T C EBF1_EBF_1 12 1 + 6.253466655274992 5.227200084724142 TTTCCCTGGAGACG chr2 214731347 214731348 chr2:214731348:T:G rs57193437 T G G EBF1_EBF_1 0 1 - 7.651766564871973 5.396508081175372 AATCCCAAGGGTTC chr2 214737349 214737350 chr2:214737350:G:T rs10183009 G T G EBF1_EBF_1 1 1 + 10.199934502029913 10.90559628785944 AGTCCCAAGAGATT chr2 214761024 214761025 chr2:214761025:T:C rs6708693 T C C EBF1_EBF_1 -9 0 + 0 0 . chr2 214807821 214807822 chr2:214807822:G:T rs6435862 G T T EBF1_EBF_1 31 0 - 0 0 . chr2 214892052 214892053 chr2:214892053:A:G rs11902136 A G A EBF1_EBF_1 -9 0 + 0 0 . chr2 215058190 215058191 chr2:215058191:A:T rs1403830 A T T EBF1_EBF_1 -5 0 + 0 0 . chr2 215243086 215243087 chr2:215243087:C:T rs10172973 C T T EBF1_EBF_1 20 0 - 0 0 . chr2 215245872 215245873 chr2:215245873:C:T rs6751193 C T C EBF1_EBF_1 8 1 - 4.037994834873459 -0.18829525401280311 CTCCCCACGGGGCA chr2 215291136 215291137 chr2:215291137:C:T rs10197653 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 215315264 215315265 chr2:215315265:T:C rs113342748 T C C EBF1_EBF_1 27 0 - 0 0 . chr2 215340760 215340761 chr2:215340761:C:T rs17514110 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 215354942 215354943 chr2:215354943:T:C rs532167607 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 215355419 215355420 chr2:215355420:A:G rs73987856 A G A EBF1_EBF_1 -7 0 + 0 0 . chr2 215358380 215358381 chr2:215358381:G:A rs111898045 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 215395154 215395155 chr2:215395155:C:T rs2692228 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 215409641 215409642 chr2:215409642:C:A chr2:215409642:C:A C A C EBF1_EBF_1 4 1 + 5.384780965107544 -0.43977865834592345 AGACCCAGGAGACC chr2 215424110 215424111 chr2:215424111:T:G rs191927643 T G T EBF1_EBF_1 -16 0 + 0 0 . chr2 215424115 215424116 chr2:215424116:A:C rs77119476 A C A EBF1_EBF_1 -11 0 + 0 0 . chr2 215424117 215424118 chr2:215424118:T:C rs56137176 T C T EBF1_EBF_1 -9 0 + 0 0 . chr2 215435681 215435682 chr2:215435682:A:C rs112946345 A C A EBF1_EBF_1 11 1 + 4.493696530042648 0.07773483219025801 AGCCACCGGGGACT chr2 215435758 215435759 chr2:215435759:T:A rs1250259 T A A EBF1_EBF_1 0 1 - 5.462361681813313 3.4893052588472897 AGTGCCTGGGGACA chr2 215435762 215435763 chr2:215435763:C:G rs115937626 C G C EBF1_EBF_1 -4 0 - 0 0 . chr2 215486819 215486820 chr2:215486820:G:C rs79665267 G C g EBF1_EBF_1 -15 0 + 0 0 . chr2 215566232 215566233 chr2:215566233:T:C rs16854354 T C T EBF1_EBF_1 25 0 - 0 0 . chr2 215711416 215711417 chr2:215711417:G:C rs16854731 G C G EBF1_EBF_1 -17 0 + 0 0 . chr2 215712637 215712638 chr2:215712638:C:T rs1949476 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 215719799 215719800 chr2:215719800:C:T rs1004071 C T C EBF1_EBF_1 9 1 - 6.1648835521704335 3.509944286722829 GCTCCCAAGGGCCA chr2 215745332 215745333 chr2:215745333:T:C rs76455880 T C T EBF1_EBF_1 -13 0 + 0 0 . chr2 215754236 215754237 chr2:215754237:C:T rs6758995 C T T EBF1_EBF_1 -1 0 - 0 0 . chr2 215772070 215772071 chr2:215772071:G:C rs7581615 G C G EBF1_EBF_1 5 1 - 7.884326629113163 0.979445476477995 GCCCCCCTGGGAAA chr2 215773962 215773963 chr2:215773963:T:C rs4674027 T C T EBF1_EBF_1 27 0 + 0 0 . chr2 215861209 215861210 chr2:215861210:G:A rs765739484 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 215861221 215861222 chr2:215861222:G:A rs4453695 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 215869378 215869379 chr2:215869379:T:C rs10432533 T C C EBF1_EBF_1 25 0 - 0 0 . chr2 215900173 215900174 chr2:215900174:G:C rs60497851 G C G EBF1_EBF_1 20 0 - 0 0 . chr2 215900585 215900586 chr2:215900586:C:T rs62181383 C T C EBF1_EBF_1 2 1 + 6.314560738814721 8.20338415025687 AGCCCCCAGGGTCA chr2 216147368 216147369 chr2:216147369:A:G rs2160981 A G . EBF1_EBF_1 16 0 - 0 0 . chr2 216184811 216184812 chr2:216184812:C:T rs6704622 C T c EBF1_EBF_1 33 0 - 0 0 . chr2 216240983 216240984 chr2:216240984:C:T rs7597209 C T T EBF1_EBF_1 16 0 + 0 0 . chr2 216240998 216240999 chr2:216240999:A:G chr2:216240999:A:G A G A EBF1_EBF_1 31 0 + 0 0 . chr2 216245575 216245576 chr2:216245576:G:A rs150270 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 216287291 216287292 chr2:216287292:T:C rs368432 T C C EBF1_EBF_1 7 1 - 6.42605659946711 5.113598194178285 ATACCCTAGGGCCT chr2 216287514 216287515 chr2:216287515:C:T rs62178750 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 216372219 216372220 chr2:216372220:G:T rs1171322254 G T G EBF1_EBF_1 -12 0 - 0 0 . chr2 216417488 216417489 chr2:216417489:C:G rs2449774 C G C EBF1_EBF_1 -2 0 + 0 0 . chr2 216507889 216507890 chr2:216507890:C:T rs57774548 C T T EBF1_EBF_1 28 0 - 0 0 . chr2 216547363 216547364 chr2:216547364:C:T rs2012243 C T C EBF1_EBF_1 6 1 + 6.51405432000579 6.8153404488675475 TTTCCCCTGAGAGA chr2 216578207 216578208 chr2:216578208:T:C rs17495102 T C C EBF1_EBF_1 6 1 - 5.123902462861527 2.957108031587051 AGCCCCATGAGGCC chr2 216586557 216586558 chr2:216586558:T:C rs16856399 T C T EBF1_EBF_1 30 0 - 0 0 . chr2 216589215 216589216 chr2:216589216:C:A rs17561224 C A A EBF1_EBF_1 22 0 + 0 0 . chr2 216590813 216590814 chr2:216590814:C:A rs79465766 C A C EBF1_EBF_1 8 1 - 5.1015051486511975 -1.7899276403259972 TTCCCCCAGAGAGA chr2 216610811 216610812 chr2:216610812:A:G rs17495945 A G A EBF1_EBF_1 -8 0 + 0 0 . chr2 216636503 216636504 chr2:216636504:C:T rs9341107 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 216663239 216663240 chr2:216663240:A:G rs9288522 A G G EBF1_EBF_1 7 1 - 7.180148457837105 5.362643711554481 TTTCCCATGAGAAG chr2 216670662 216670663 chr2:216670663:T:C rs11892289 T C C EBF1_EBF_1 7 1 - 5.526861722909658 4.214403317620833 CCTCCCCAGAGGCT chr2 216694254 216694255 chr2:216694255:G:A chr2:216694255:G:A G A G EBF1_EBF_1 17 0 - 0 0 . chr2 216696830 216696831 chr2:216696831:T:C chr2:216696831:T:C T C T EBF1_EBF_1 -12 0 + 0 0 . chr2 216710562 216710563 chr2:216710563:G:A rs4674110 G A G EBF1_EBF_1 8 1 + 7.993129978739261 3.766839889853001 ACTCCCAGGGGCAT chr2 216829645 216829646 chr2:216829646:G:T rs75118554 G T G EBF1_EBF_1 24 0 - 0 0 . chr2 216829662 216829663 chr2:216829663:T:G rs116023219 T G T EBF1_EBF_1 7 1 - 7.847987802654199 5.554208816284017 CTCCCCCAGGGAGC chr2 216838349 216838350 chr2:216838350:G:A rs371167426 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 216838367 216838368 chr2:216838368:T:C rs1179714 T C C EBF1_EBF_1 -19 0 - 0 0 . chr2 216881146 216881147 chr2:216881147:G:A rs62180553 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 216881150 216881151 chr2:216881151:G:C rs74639303 G C G EBF1_EBF_1 24 0 - 0 0 . chr2 216904882 216904883 chr2:216904883:C:G rs6734031 C G C EBF1_EBF_1 14 0 - 0 0 . chr2 216905180 216905181 chr2:216905181:A:G rs183581601 A G A EBF1_EBF_1 13 1 - 10.029091065591684 9.184596951006165 ATTCCCCAAGGAAT chr2 216950073 216950074 chr2:216950074:G:C rs56659069 G C G EBF1_EBF_1 9 1 + 6.992255630579589 1.9165664842341246 ACTCCCTTGGAACC chr2 217008865 217008866 chr2:217008866:C:G rs2372935 C G G EBF1_EBF_1 12 1 + 9.3955925006013 8.00695655692315 GATCCCAAGGGACG chr2 217036187 217036188 chr2:217036188:G:A rs76989510 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 217036193 217036194 chr2:217036194:C:T rs1221452504 C T C EBF1_EBF_1 -7 0 - 0 0 . chr2 217040347 217040348 chr2:217040348:G:A rs114380560 G A G EBF1_EBF_1 12 1 - 9.443303957062106 8.417037386511257 TTTCCCCTGGGACG chr2 217047274 217047275 chr2:217047275:C:T rs114758926 C T C EBF1_EBF_1 8 1 + 5.671358497181632 4.098584569119125 CCTCCCATCGGAAT chr2 217070392 217070393 chr2:217070393:T:C rs12329133 T C C EBF1_EBF_1 0 1 - 4.609295023938646 2.717011154373812 ACTGCCCAGGGGCT chr2 217075173 217075174 chr2:217075174:G:A rs35607529 G A G EBF1_EBF_1 5 1 - 6.830813812330157 1.5090265967894858 AATCCCAAGGTAGA chr2 217091918 217091919 chr2:217091919:A:G rs2372961 A G A EBF1_EBF_1 -9 0 + 0 0 . chr2 217091927 217091928 chr2:217091928:A:T rs2372962 A T A EBF1_EBF_1 0 1 + 5.007771493874058 3.0347150709080353 AATCCCCTGGGCTG chr2 217099693 217099694 chr2:217099694:G:A rs73989736 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 217103189 217103190 chr2:217103190:T:C rs2372967 T C C EBF1_EBF_1 26 0 + 0 0 . chr2 217120751 217120752 chr2:217120752:C:G rs66969608 C G c EBF1_EBF_1 9 1 - 7.295717241624907 2.220028095279444 TTTCCCAAGGAACT chr2 217128050 217128051 chr2:217128051:G:A rs186733569 G A G EBF1_EBF_1 6 1 - 8.114682297030116 8.415968425891874 TACCCCCGGGGAAT chr2 217223664 217223665 chr2:217223665:G:A rs3856477 G A A EBF1_EBF_1 21 0 - 0 0 . chr2 217250082 217250083 chr2:217250083:G:C rs981529853 G C G EBF1_EBF_1 8 1 + 4.950130686862754 -0.3685281740519315 ACCCCCATGAGAGG chr2 217294737 217294738 chr2:217294738:G:A rs72959531 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 217317394 217317395 chr2:217317395:C:G rs1079339 C G C EBF1_EBF_1 10 1 - 4.970993147601972 -1.9198092397275024 AGTGCCCTGGGATA chr2 217331660 217331661 chr2:217331661:G:A rs146840514 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 217339884 217339885 chr2:217339885:G:A rs10186117 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 217429796 217429797 chr2:217429797:G:A rs73991345 G A G EBF1_EBF_1 23 0 + 0 0 . chr2 217437414 217437415 chr2:217437415:C:A rs2618147 C A A EBF1_EBF_1 -13 0 - 0 0 . chr2 217489613 217489614 chr2:217489614:T:G rs13397624 T G T EBF1_EBF_1 -3 0 - 0 0 . chr2 217520698 217520699 chr2:217520699:T:C rs16857758 T C T EBF1_EBF_1 0 1 - 10.365744057231941 8.473460187667108 ATACCCTTGGGACT chr2 217553409 217553410 chr2:217553410:C:T rs16857849 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 217555518 217555519 chr2:217555519:C:T rs75232680 C T C EBF1_EBF_1 4 1 + 7.360324369096755 2.990937424151832 ACTCCCAAGGGTGC chr2 217563345 217563346 chr2:217563346:A:G rs17809661 A G A EBF1_EBF_1 11 1 - 6.478799341163998 6.160751899410334 CTTCCCTTGGGTCA chr2 217574303 217574304 chr2:217574304:C:A rs6714760 C A C EBF1_EBF_1 13 1 + 5.605613859702929 5.231868410416953 GGTCCCAGAGGACC chr2 217617620 217617621 chr2:217617621:G:A rs62177913 G A G EBF1_EBF_1 10 1 + 5.449872197103576 0.13184373783661063 CTTCCCCCAGGACT chr2 217661570 217661571 chr2:217661571:G:C rs188632050 G C G EBF1_EBF_1 22 0 - 0 0 . chr2 217694621 217694622 chr2:217694622:T:G rs6746890 T G T EBF1_EBF_1 13 1 + 7.4947178373725905 5.1621527014316575 AATCCCCTGGAAAT chr2 217711404 217711405 chr2:217711405:A:T chr2:217711405:A:T A T A EBF1_EBF_1 17 0 + 0 0 . chr2 217729847 217729848 chr2:217729848:G:A rs75013311 G A G EBF1_EBF_1 9 1 + 4.794982658904405 2.1400433934568017 AGCCACTGGGGACT chr2 217747394 217747395 chr2:217747395:G:T rs10932728 G T T EBF1_EBF_1 -6 0 - 0 0 . chr2 217754182 217754183 chr2:217754183:A:C rs7607467 A C C EBF1_EBF_1 0 1 + 4.124175200964198 1.8689167172675967 ATCCCCCTTGGACC chr2 217757113 217757114 chr2:217757114:T:C rs573152357 T C T EBF1_EBF_1 -7 0 - 0 0 . chr2 217789776 217789777 chr2:217789777:C:G rs112132937 C G C EBF1_EBF_1 9 1 - 4.529430841283522 -0.546258305061943 TCCCCCTTGGGGTC chr2 217793527 217793528 chr2:217793528:C:T rs551725718 C T C EBF1_EBF_1 14 0 + 0 0 . chr2 217794167 217794168 chr2:217794168:G:A rs578258821 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 217798445 217798446 chr2:217798446:C:G rs377139847 C G C EBF1_EBF_1 24 0 - 0 0 . chr2 217825763 217825764 chr2:217825764:T:C rs11897815 T C G EBF1_EBF_1 30 0 - 0 0 . chr2 217847014 217847015 chr2:217847015:C:A rs987338 C A C EBF1_EBF_1 -18 0 + 0 0 . chr2 217851466 217851467 chr2:217851467:A:G rs3791973 A G A EBF1_EBF_1 -4 0 + 0 0 . chr2 217851502 217851503 chr2:217851503:C:T rs552483710 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 217855363 217855364 chr2:217855364:A:G rs3791967 A G G EBF1_EBF_1 16 0 - 0 0 . chr2 217856517 217856518 chr2:217856518:T:C rs7581920 T C C EBF1_EBF_1 -9 0 - 0 0 . chr2 217885515 217885516 chr2:217885516:G:A rs6714429 G A g EBF1_EBF_1 24 0 - 0 0 . chr2 217885653 217885654 chr2:217885654:C:T rs12990490 C T C EBF1_EBF_1 -6 0 + 0 0 . chr2 217905279 217905280 chr2:217905280:G:A rs7600493 G A A EBF1_EBF_1 5 1 - 6.052044004873686 0.7302567893330169 GTTCCCCTGGGCGT chr2 217905714 217905715 chr2:217905715:G:C rs772847895 G C G EBF1_EBF_1 -4 0 - 0 0 . chr2 217947049 217947050 chr2:217947050:C:A rs1385102228 C A C EBF1_EBF_1 -12 0 + 0 0 . chr2 217948482 217948483 chr2:217948483:G:A rs76683652 G A G EBF1_EBF_1 4 1 - 4.311296714940649 -0.05809023000427471 GGCCCCTGGGGCCT chr2 217949030 217949031 chr2:217949031:T:C rs11890646 T C T EBF1_EBF_1 17 0 + 0 0 . chr2 217954774 217954775 chr2:217954775:C:T rs3791892 C T T EBF1_EBF_1 4 1 + 4.523807434088848 0.15442048914392448 CACCCCTTGGGCCT chr2 217954803 217954804 chr2:217954804:C:T rs3791891 C T T EBF1_EBF_1 33 0 + 0 0 . chr2 217956554 217956555 chr2:217956555:T:C rs2059738 T C C EBF1_EBF_1 -11 0 - 0 0 . chr2 217959767 217959768 chr2:217959768:T:C rs148847042 T C T EBF1_EBF_1 -5 0 - 0 0 . chr2 217964954 217964955 chr2:217964955:G:A rs13018687 G A G EBF1_EBF_1 -7 0 + 0 0 . chr2 217965868 217965869 chr2:217965869:C:T rs35090855 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 217965902 217965903 chr2:217965903:C:T rs35303461 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 217994704 217994705 chr2:217994705:G:C rs4674233 G C C EBF1_EBF_1 31 0 + 0 0 . chr2 217994754 217994755 chr2:217994755:C:T rs4674234 C T C EBF1_EBF_1 12 1 + 5.2544112829726135 4.228144712421764 TCCCCCCTGGGGCC chr2 217995912 217995913 chr2:217995913:G:A rs77766240 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 218000371 218000372 chr2:218000372:G:C rs112239215 G C G EBF1_EBF_1 1 1 - 7.502001867542657 7.691773522111874 ACTGCCCAGGGACT chr2 218008582 218008583 chr2:218008583:A:G rs76756336 A G A EBF1_EBF_1 1 1 + 6.8031372091209645 7.063836041042268 AATCCCTGAGGACA chr2 218028309 218028310 chr2:218028310:G:A rs58299755 G A G EBF1_EBF_1 6 1 - 5.619979840166386 5.921265969028142 TCTCCCCTGGGCCC chr2 218029122 218029123 chr2:218029123:G:A rs1427666 G A G EBF1_EBF_1 12 1 + 5.614082926693163 7.03290026879264 TGCCCCCAGAGAGT chr2 218030595 218030596 chr2:218030596:G:C rs7606405 G C C EBF1_EBF_1 23 0 - 0 0 . chr2 218033882 218033883 chr2:218033883:C:A rs1476343558 C A C EBF1_EBF_1 -15 0 + 0 0 . chr2 218065946 218065947 chr2:218065947:G:A rs13407486 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 218158186 218158187 chr2:218158187:T:G rs13410318 T G T EBF1_EBF_1 -14 0 + 0 0 . chr2 218168970 218168971 chr2:218168971:A:G rs147479277 A G A EBF1_EBF_1 18 0 + 0 0 . chr2 218217476 218217477 chr2:218217477:C:T rs144937426 C T C EBF1_EBF_1 30 0 + 0 0 . chr2 218261464 218261465 chr2:218261465:G:T rs78291641 G T G EBF1_EBF_1 3 1 - 8.583132401218732 1.6769583717529244 AGTCCCGTGAGACT chr2 218270394 218270395 chr2:218270395:T:C rs911818407 T C T EBF1_EBF_1 -3 0 - 0 0 . chr2 218271206 218271207 chr2:218271207:C:T rs11900801 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 218273075 218273076 chr2:218273076:G:A chr2:218273076:G:A G A G EBF1_EBF_1 31 0 - 0 0 . chr2 218273101 218273102 chr2:218273102:G:A rs150099810 G A G EBF1_EBF_1 5 1 - 4.561606224751152 -0.760180990789519 GGTCCCGAGGGCCC chr2 218276734 218276735 chr2:218276735:C:G rs4674280 C G G EBF1_EBF_1 -17 0 + 0 0 . chr2 218285809 218285810 chr2:218285810:G:C rs116213703 G C G EBF1_EBF_1 10 1 + 5.104375093965673 -1.786427293363802 AGCCTCCGGGGACC chr2 218298282 218298283 chr2:218298283:G:C chr2:218298283:G:C G C G EBF1_EBF_1 13 1 - 11.883665996251551 10.395594974896138 ATCCCCAAGGGAAC chr2 218298764 218298765 chr2:218298765:G:A rs116171250 G A G EBF1_EBF_1 7 1 - 5.756041395157492 7.573546141440117 AATCCCCCGGTATT chr2 218317269 218317270 chr2:218317270:A:G rs78507634 A G A EBF1_EBF_1 20 0 - 0 0 . chr2 218317290 218317291 chr2:218317291:G:A rs80297638 G A G EBF1_EBF_1 -1 0 - 0 0 . chr2 218330448 218330449 chr2:218330449:G:T rs74406249 G T G EBF1_EBF_1 29 0 + 0 0 . chr2 218341921 218341922 chr2:218341922:A:G rs62182086 A G G EBF1_EBF_1 23 0 + 0 0 . chr2 218396452 218396453 chr2:218396453:C:T rs2279014 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 218401128 218401129 chr2:218401129:A:G rs115997322 A G A EBF1_EBF_1 11 1 - 4.2472496283920504 3.9292021866383857 ACCCCCGTGGGTAC chr2 218406669 218406670 chr2:218406670:C:A rs116472115 C A C EBF1_EBF_1 -16 0 + 0 0 . chr2 218424192 218424193 chr2:218424193:C:T rs568381245 C T C EBF1_EBF_1 -6 0 + 0 0 . chr2 218646044 218646045 chr2:218646045:A:G rs4674320 A G A EBF1_EBF_1 -18 0 + 0 0 . chr2 218659417 218659418 chr2:218659418:T:C rs567005931 T C T EBF1_EBF_1 -6 0 + 0 0 . chr2 218659432 218659433 chr2:218659433:G:A rs1461789243 G A G EBF1_EBF_1 9 1 + 3.1655164714933726 0.510577206045769 GGCCCCCGGGGCCC chr2 218668179 218668180 chr2:218668180:C:T rs2556384 C T T EBF1_EBF_1 -4 0 + 0 0 . chr2 218668181 218668182 chr2:218668182:G:A rs2556385 G A A EBF1_EBF_1 -2 0 + 0 0 . chr2 218710629 218710630 chr2:218710630:G:C rs116204487 G C G EBF1_EBF_1 5 1 - 6.739619655542437 -0.1652614970927313 ACTCCCTATGGACT chr2 218718158 218718159 chr2:218718159:C:T rs1035183182 C T C EBF1_EBF_1 26 0 - 0 0 . chr2 218718173 218718174 chr2:218718174:T:C rs7586384 T C C EBF1_EBF_1 11 1 - 4.6146363117586215 1.7219294681546105 GGTCACCAGGGAAA chr2 218745709 218745710 chr2:218745710:A:G rs586194 A G G EBF1_EBF_1 12 1 + 5.633780617852806 4.214963275753329 ACTTCCCTGGGAAC chr2 218795340 218795341 chr2:218795341:T:C rs4674342 T C C EBF1_EBF_1 -12 0 - 0 0 . chr2 218831290 218831291 chr2:218831291:G:A rs650898 G A A EBF1_EBF_1 29 0 - 0 0 . chr2 218831709 218831710 chr2:218831710:G:A rs201507285 G A G EBF1_EBF_1 -7 0 + 0 0 . chr2 218832337 218832338 chr2:218832338:G:C rs9973579 G C C EBF1_EBF_1 1 1 - 5.275911783114072 5.46568343768329 ACACTCCAGGGACT chr2 218857940 218857941 chr2:218857941:T:C rs690877 T C C EBF1_EBF_1 -1 0 + 0 0 . chr2 218858707 218858708 chr2:218858708:C:T rs9808011 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 218865768 218865769 chr2:218865769:A:G rs60148299 A G A EBF1_EBF_1 -1 0 - 0 0 . chr2 218883489 218883490 chr2:218883490:G:A rs143248068 G A g EBF1_EBF_1 18 0 + 0 0 . chr2 218885200 218885201 chr2:218885201:G:A rs11680244 G A G EBF1_EBF_1 6 1 + 8.823222926821844 10.990017358096319 CCTCCCGTGGGAAT chr2 218892556 218892557 chr2:218892557:G:C rs57897012 G C G EBF1_EBF_1 10 1 + 8.194219811496906 1.3034174241674317 ATTCCCCCGGGGCC chr2 218915188 218915189 chr2:218915189:G:A rs77157375 G A g EBF1_EBF_1 32 0 - 0 0 . chr2 218915332 218915333 chr2:218915333:T:C rs143781674 T C T EBF1_EBF_1 25 0 + 0 0 . chr2 218953830 218953831 chr2:218953831:A:C rs7562598 A C A EBF1_EBF_1 25 0 - 0 0 . chr2 218981466 218981467 chr2:218981467:G:A rs149868566 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 219028985 219028986 chr2:219028986:G:A rs75278448 G A G EBF1_EBF_1 9 1 + 4.331322091908453 1.6763828264608496 CATGCCCAGGGACC chr2 219041343 219041344 chr2:219041344:C:A rs11674400 C A C EBF1_EBF_1 10 1 - 11.51604268649866 7.333290500271395 AGCCCCATGGGACT chr2 219042976 219042977 chr2:219042977:C:G rs6741325 C G G EBF1_EBF_1 -1 0 + 0 0 . chr2 219052799 219052800 chr2:219052800:A:G rs72967942 A G T EBF1_EBF_1 29 0 - 0 0 . chr2 219057846 219057847 chr2:219057847:C:A rs72967954 C A C EBF1_EBF_1 13 1 + 6.141118976415597 5.767373527129621 TCTCCCTTAGGAAC chr2 219112310 219112311 chr2:219112311:A:C rs1869802 A C C EBF1_EBF_1 -9 0 - 0 0 . chr2 219160174 219160175 chr2:219160175:C:T chr2:219160175:C:T C T C EBF1_EBF_1 5 1 + 7.983014007824662 2.661226792283991 GGTCCCTTGAGAAC chr2 219160185 219160186 chr2:219160186:T:G rs995954862 T G T EBF1_EBF_1 16 0 + 0 0 . chr2 219160273 219160274 chr2:219160274:T:A rs549301002 T A T EBF1_EBF_1 -20 0 + 0 0 . chr2 219171336 219171337 chr2:219171337:C:A chr2:219171337:C:A C A C EBF1_EBF_1 13 1 + 4.724108261644966 4.35036281235899 GCCCCCTAGGGGGC chr2 219171350 219171351 chr2:219171351:C:G chr2:219171351:C:G C G C EBF1_EBF_1 27 0 + 0 0 . chr2 219171352 219171353 chr2:219171353:G:A rs142989380 G A G EBF1_EBF_1 29 0 + 0 0 . chr2 219179723 219179724 chr2:219179724:T:C rs201225569 T C T EBF1_EBF_1 -13 0 + 0 0 . chr2 219218556 219218557 chr2:219218557:C:T rs1109866 C T c EBF1_EBF_1 6 1 + 5.230397443055603 5.531683571917361 AGTCCCCAGAGCCA chr2 219218932 219218933 chr2:219218933:C:T rs4674369 C T T EBF1_EBF_1 25 0 - 0 0 . chr2 219279324 219279325 chr2:219279325:C:A rs73991454 C A C EBF1_EBF_1 0 1 + 7.016588819160081 9.271847302856681 CCCCCCAGGGGACA chr2 219279326 219279327 chr2:219279327:C:G chr2:219279327:C:G C G C EBF1_EBF_1 2 1 + 7.016588819160081 2.1570865404017616 CCCCCCAGGGGACA chr2 219279329 219279330 chr2:219279330:C:G rs898828795 C G C EBF1_EBF_1 5 1 + 7.016588819160081 0.11170766652491243 CCCCCCAGGGGACA chr2 219282443 219282444 chr2:219282444:T:C rs3770224 T C C EBF1_EBF_1 0 1 - 6.0469869960173055 4.154703126452472 ATTCACTAGAGACT chr2 219290023 219290024 chr2:219290024:C:T rs114325960 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 219290382 219290383 chr2:219290383:G:A rs12472762 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 219298759 219298760 chr2:219298760:G:A chr2:219298760:G:A G A G EBF1_EBF_1 15 0 - 0 0 . chr2 219298784 219298785 chr2:219298785:C:T rs13014450 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 219300704 219300705 chr2:219300705:G:C rs73991462 G C G EBF1_EBF_1 26 0 + 0 0 . chr2 219332484 219332485 chr2:219332485:T:C rs2048737 T C C EBF1_EBF_1 -17 0 + 0 0 . chr2 219361552 219361553 chr2:219361553:T:C rs35648475 T C C EBF1_EBF_1 -16 0 + 0 0 . chr2 219381495 219381496 chr2:219381496:T:C rs6436148 T C C EBF1_EBF_1 33 0 - 0 0 . chr2 219385599 219385600 chr2:219385600:C:A rs878437 C A C EBF1_EBF_1 -5 0 - 0 0 . chr2 219400976 219400977 chr2:219400977:C:T rs73991535 C T T EBF1_EBF_1 27 0 - 0 0 . chr2 219401006 219401007 chr2:219401007:G:A rs73991536 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 219417598 219417599 chr2:219417599:G:A rs1039896 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 219426863 219426864 chr2:219426864:C:T rs1394816228 C T C EBF1_EBF_1 16 0 + 0 0 . chr2 219460436 219460437 chr2:219460437:G:A rs115346809 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 219460805 219460806 chr2:219460806:C:G rs34277449 C G C EBF1_EBF_1 6 1 + 5.665156163065478 3.859964099592281 CTCCCCCAGGGCCT chr2 219461145 219461146 chr2:219461146:G:C rs115556953 G C G EBF1_EBF_1 17 0 - 0 0 . chr2 219477013 219477014 chr2:219477014:A:C rs376725589 A C A EBF1_EBF_1 24 0 - 0 0 . chr2 219477041 219477042 chr2:219477042:G:C rs188068067 G C G EBF1_EBF_1 -4 0 - 0 0 . chr2 219513022 219513023 chr2:219513023:G:A rs79748033 G A G EBF1_EBF_1 27 0 + 0 0 . chr2 219522092 219522093 chr2:219522093:C:T rs56171119 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 219552038 219552039 chr2:219552039:C:A rs189503013 C A C EBF1_EBF_1 26 0 - 0 0 . chr2 219552041 219552042 chr2:219552042:C:T chr2:219552042:C:T C T C EBF1_EBF_1 23 0 - 0 0 . chr2 219552080 219552081 chr2:219552081:A:T rs4672933 A T T EBF1_EBF_1 -16 0 - 0 0 . chr2 219552219 219552220 chr2:219552220:G:C rs34490902 G C G EBF1_EBF_1 5 1 - 6.8123735056474315 -0.09250764698773661 CACCCCCAGGGAAG chr2 219552244 219552245 chr2:219552245:C:T rs4672934 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 219559769 219559770 chr2:219559770:C:T rs116266965 C T c EBF1_EBF_1 32 0 + 0 0 . chr2 219571113 219571114 chr2:219571114:G:C rs191256186 G C G EBF1_EBF_1 -4 0 + 0 0 . chr2 219571136 219571137 chr2:219571137:C:T rs200451309 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 219658314 219658315 chr2:219658315:A:G rs9973867 A G G EBF1_EBF_1 17 0 + 0 0 . chr2 219682201 219682202 chr2:219682202:G:C rs76756619 G C G EBF1_EBF_1 8 1 + 5.569466453263126 0.2508075923484409 TTCCCCAGGAGAAA chr2 219685296 219685297 chr2:219685297:T:A chr2:219685297:T:A T A T EBF1_EBF_1 28 0 - 0 0 . chr2 219685666 219685667 chr2:219685667:A:T rs75636735 A T A EBF1_EBF_1 11 1 + 5.486881323144855 1.3889670670461298 CCTCTCCAGGGACA chr2 219703532 219703533 chr2:219703533:G:A rs79619767 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 219737554 219737555 chr2:219737555:T:G rs12477194 T G T EBF1_EBF_1 7 1 - 6.915651742458695 4.621872756088513 AATCCCAAGGGTGA chr2 219749448 219749449 chr2:219749449:G:A rs10167757 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 219759314 219759315 chr2:219759315:A:G rs551259500 A G A EBF1_EBF_1 -14 0 - 0 0 . chr2 219769504 219769505 chr2:219769505:C:A rs4674433 C A C EBF1_EBF_1 -7 0 + 0 0 . chr2 219778396 219778397 chr2:219778397:T:C rs4674438 T C C EBF1_EBF_1 18 0 + 0 0 . chr2 219822349 219822350 chr2:219822350:G:C rs1549375 G C G EBF1_EBF_1 -10 0 + 0 0 . chr2 219848905 219848906 chr2:219848906:A:G rs4142716 A G A EBF1_EBF_1 11 1 + 7.1993974465554444 4.306690602951433 TTTCCCAAAGGAAA chr2 219853045 219853046 chr2:219853046:T:G rs2664218 T G T EBF1_EBF_1 31 0 - 0 0 . chr2 219918168 219918169 chr2:219918169:G:T rs112963985 G T T EBF1_EBF_1 -7 0 - 0 0 . chr2 219964667 219964668 chr2:219964668:C:T rs6715566 C T C EBF1_EBF_1 -6 0 - 0 0 . chr2 220096545 220096546 chr2:220096546:C:T rs58959569 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 220099872 220099873 chr2:220099873:G:A rs4674504 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 220222182 220222183 chr2:220222183:C:G rs66662578 C G C EBF1_EBF_1 10 1 - 9.69873280014662 2.807930412817146 ATTCCCAAGAGAGC chr2 220222206 220222207 chr2:220222207:C:T rs539448473 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 220225323 220225324 chr2:220225324:A:T rs16860937 A T A EBF1_EBF_1 17 0 + 0 0 . chr2 220321553 220321554 chr2:220321554:C:A rs897144 C A C EBF1_EBF_1 27 0 - 0 0 . chr2 220451107 220451108 chr2:220451108:T:A rs4296415 T A A EBF1_EBF_1 -1 0 - 0 0 . chr2 220698779 220698780 chr2:220698780:C:T rs11694912 C T C EBF1_EBF_1 -13 0 - 0 0 . chr2 220742315 220742316 chr2:220742316:G:A rs6743505 G A G EBF1_EBF_1 7 1 - 7.245018909283031 9.062523655565656 ACTCCCACGGTAAT chr2 220931833 220931834 chr2:220931834:C:A rs527903256 C A C EBF1_EBF_1 26 0 - 0 0 . chr2 220940676 220940677 chr2:220940677:C:T rs34128606 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 221101073 221101074 chr2:221101074:G:A rs10190040 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 221124712 221124713 chr2:221124713:T:A rs539832165 T A T EBF1_EBF_1 22 0 - 0 0 . chr2 221198762 221198763 chr2:221198763:G:A rs1368070 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 221372716 221372717 chr2:221372717:A:T rs76956826 A T A EBF1_EBF_1 -15 0 + 0 0 . chr2 221472403 221472404 chr2:221472404:A:T rs78576369 A T A EBF1_EBF_1 -12 0 - 0 0 . chr2 221488787 221488788 chr2:221488788:G:A rs5002064 G A A EBF1_EBF_1 3 1 - 7.294820330123387 0.8894215885700691 TTTCCCCAGAGAAG chr2 221572472 221572473 chr2:221572473:G:T rs7608696 G T g EBF1_EBF_1 22 0 - 0 0 . chr2 221649873 221649874 chr2:221649874:A:G rs115109368 A G G EBF1_EBF_1 -6 0 + 0 0 . chr2 221679576 221679577 chr2:221679577:G:C rs189423482 G C G EBF1_EBF_1 9 1 + 8.197784003039484 3.1220948566940203 TTTCCCAAGGGCCT chr2 221817227 221817228 chr2:221817228:A:T rs1895574 A T A EBF1_EBF_1 -15 0 - 0 0 . chr2 221908255 221908256 chr2:221908256:G:A rs6739602 G A G EBF1_EBF_1 8 1 + 9.46802050671606 5.241730417829799 AACCCCACGGGAAT chr2 221982494 221982495 chr2:221982495:G:C rs16863257 G C G EBF1_EBF_1 4 1 - 11.25638247100209 5.630617369852547 AGTCCCAAGAGAAT chr2 222241298 222241299 chr2:222241299:G:T rs1367413 G T G EBF1_EBF_1 28 0 - 0 0 . chr2 222243984 222243985 chr2:222243985:C:T rs78074931 C T C EBF1_EBF_1 13 1 + 5.879087177584643 6.723581292170161 CTTCCCTAGAGGCC chr2 222243985 222243986 chr2:222243986:G:A rs1430656 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 222256413 222256414 chr2:222256414:C:T rs45611636 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 222257845 222257846 chr2:222257846:C:T rs7589708 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 222295773 222295774 chr2:222295774:C:T rs45441600 C T C EBF1_EBF_1 19 0 + 0 0 . chr2 222299191 222299192 chr2:222299192:C:T rs28945090 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 222315948 222315949 chr2:222315949:G:A chr2:222315949:G:A G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 222320574 222320575 chr2:222320575:C:A rs6732304 C A C EBF1_EBF_1 22 0 - 0 0 . chr2 222424040 222424041 chr2:222424041:G:T rs117596762 G T G EBF1_EBF_1 2 1 - 6.9417835271012445 5.146139350944517 GCCCCCCAGGGAGA chr2 222448065 222448066 chr2:222448066:A:G rs4257389 A G A EBF1_EBF_1 30 0 + 0 0 . chr2 222478125 222478126 chr2:222478126:G:A rs11692185 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 222522380 222522381 chr2:222522381:G:A rs7587061 G A G EBF1_EBF_1 20 0 + 0 0 . chr2 222671952 222671953 chr2:222671953:C:T rs55654416 C T C EBF1_EBF_1 30 0 + 0 0 . chr2 222802571 222802572 chr2:222802572:C:G rs877302 C G C EBF1_EBF_1 -3 0 + 0 0 . chr2 222835778 222835779 chr2:222835779:G:T rs12994949 G T G EBF1_EBF_1 28 0 - 0 0 . chr2 222860063 222860064 chr2:222860064:A:G rs1386142382 A G A EBF1_EBF_1 1 1 - 9.988987833865597 9.093554393466851 TTTCCCAAGAGAAT chr2 222861332 222861333 chr2:222861333:C:T rs563890581 C T - EBF1_EBF_1 9 1 - 4.377765888838609 1.7228266233910046 AGCCCCTCGGGCCC chr2 223009562 223009563 chr2:223009563:A:G rs11690878 A G G EBF1_EBF_1 22 0 + 0 0 . chr2 223025686 223025687 chr2:223025687:C:T rs11884195 C T C EBF1_EBF_1 0 1 - 4.458656220922634 6.350940090487468 GTCCCCTAGGGCTC chr2 223051884 223051885 chr2:223051885:T:C rs74937131 T C T EBF1_EBF_1 24 0 + 0 0 . chr2 223053361 223053362 chr2:223053362:C:G rs10189762 C G C EBF1_EBF_1 19 0 + 0 0 . chr2 223081279 223081280 chr2:223081280:G:A rs113141930 G A A EBF1_EBF_1 26 0 + 0 0 . chr2 223093617 223093618 chr2:223093618:T:C rs1448297 T C C EBF1_EBF_1 26 0 - 0 0 . chr2 223114639 223114640 chr2:223114640:G:A rs6746694 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 223187969 223187970 chr2:223187970:C:G rs6739418 C G G EBF1_EBF_1 -13 0 - 0 0 . chr2 223188055 223188056 chr2:223188056:C:T rs116776170 C T C EBF1_EBF_1 28 0 - 0 0 . chr2 223196318 223196319 chr2:223196319:G:A rs11688884 G A G EBF1_EBF_1 10 1 + 6.935989513120377 1.6179610538534108 TTTCCCCAGGGTCA chr2 223222604 223222605 chr2:223222605:T:G rs7565354 T G G EBF1_EBF_1 2 1 + 6.36141266159215 -0.38691302860831783 TATCCCAAGGGTAA chr2 223414857 223414858 chr2:223414858:G:A rs546907444 G A G EBF1_EBF_1 9 1 + 6.9989189251729025 4.3439796597253 AACCCCTTAGGAAT chr2 223469537 223469538 chr2:223469538:G:A rs116040461 G A G EBF1_EBF_1 10 1 + 7.68061260902827 2.3625841497613025 AGTCCCAGGAGAGC chr2 223648894 223648895 chr2:223648895:C:A rs7584795 C A C EBF1_EBF_1 10 1 - 5.717723746762158 1.534971560534895 GTTCCCTGGGGCAA chr2 223700207 223700208 chr2:223700208:G:A rs920283 G A A EBF1_EBF_1 5 1 - 5.762098121063652 0.44031090552298213 TTTCCCCTGAGATG chr2 223725545 223725546 chr2:223725546:C:A rs141679042 C A C EBF1_EBF_1 -1 0 - 0 0 . chr2 223773967 223773968 chr2:223773968:C:T rs10933017 C T t EBF1_EBF_1 15 0 - 0 0 . chr2 223782418 223782419 chr2:223782419:T:C rs581815 T C C EBF1_EBF_1 13 1 - 6.8035474952604655 5.689221923191027 ATTCCCAGAGGATA chr2 223787528 223787529 chr2:223787529:T:G rs672741 T G G EBF1_EBF_1 -19 0 + 0 0 . chr2 223811969 223811970 chr2:223811970:C:T rs141483831 C T C EBF1_EBF_1 -5 0 - 0 0 . chr2 223860627 223860628 chr2:223860628:G:A rs6759620 G A G EBF1_EBF_1 8 1 + 5.124397830025128 0.8981077411388667 ATCCCCCTGAGGAA chr2 224001709 224001710 chr2:224001710:A:G rs34078713 A G A EBF1_EBF_1 30 0 - 0 0 . chr2 224008681 224008682 chr2:224008682:C:A chr2:224008682:C:A C A C EBF1_EBF_1 -2 0 + 0 0 . chr2 224039122 224039123 chr2:224039123:C:T rs568932793 C T c EBF1_EBF_1 0 1 - 6.666726073087454 8.559009942652287 GCCCCCTGGGGATC chr2 224039978 224039979 chr2:224039979:C:A rs184364673 C A C EBF1_EBF_1 -1 0 + 0 0 . chr2 224041762 224041763 chr2:224041763:G:C rs282253 G C C EBF1_EBF_1 20 0 + 0 0 . chr2 224058304 224058305 chr2:224058305:C:A rs282273 C A A EBF1_EBF_1 -4 0 + 0 0 . chr2 224060242 224060243 chr2:224060243:T:C rs2894520 T C C EBF1_EBF_1 18 0 + 0 0 . chr2 224101770 224101771 chr2:224101771:A:T rs6758957 A T T EBF1_EBF_1 0 1 + 7.056779266233558 5.083722843267534 ACTCCCTGGAGAGA chr2 224126531 224126532 chr2:224126532:G:A rs12473247 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 224283670 224283671 chr2:224283671:G:A rs16865735 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 224283689 224283690 chr2:224283690:C:T rs144673406 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 224344638 224344639 chr2:224344639:G:A rs1120738 G A A EBF1_EBF_1 18 0 - 0 0 . chr2 224354989 224354990 chr2:224354990:C:T rs530042312 C T C EBF1_EBF_1 26 0 - 0 0 . chr2 224380170 224380171 chr2:224380171:A:G rs3738954 A G G EBF1_EBF_1 -17 0 - 0 0 . chr2 224390311 224390312 chr2:224390312:T:C rs544502572 T C T EBF1_EBF_1 24 0 - 0 0 . chr2 224402063 224402064 chr2:224402064:G:A rs116629876 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 224405413 224405414 chr2:224405414:T:C rs2003075 T C T EBF1_EBF_1 17 0 - 0 0 . chr2 224510990 224510991 chr2:224510991:C:T rs6743228 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 224528404 224528405 chr2:224528405:C:T rs11688390 C T T EBF1_EBF_1 10 1 - 7.440679948551837 2.1226514892848716 GTTCCCCTGGGCCT chr2 224612197 224612198 chr2:224612198:A:G rs11885566 A G G EBF1_EBF_1 -7 0 - 0 0 . chr2 224612205 224612206 chr2:224612206:T:C rs12622558 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 224886575 224886576 chr2:224886576:A:G rs2304334 A G G EBF1_EBF_1 -17 0 + 0 0 . chr2 224927963 224927964 chr2:224927964:C:T rs10166440 C T C EBF1_EBF_1 5 1 + 6.229820008413922 0.9080327928732528 AATGCCTTGGGATT chr2 224938837 224938838 chr2:224938838:G:A rs12469757 G A G EBF1_EBF_1 -4 0 + 0 0 . chr2 224938866 224938867 chr2:224938867:T:C rs147943404 T C T EBF1_EBF_1 25 0 + 0 0 . chr2 224975317 224975318 chr2:224975318:C:A rs56118884 C A A EBF1_EBF_1 17 0 + 0 0 . chr2 224986911 224986912 chr2:224986912:G:A rs600020 G A A EBF1_EBF_1 -13 0 - 0 0 . chr2 224997905 224997906 chr2:224997906:G:C rs10182162 G C G EBF1_EBF_1 13 1 - 4.867717935708626 3.379646914353211 AGCCCCCTGGGCTC chr2 225015658 225015659 chr2:225015659:C:T rs388591 C T T EBF1_EBF_1 -10 0 - 0 0 . chr2 225114992 225114993 chr2:225114993:A:G rs1960099 A G G EBF1_EBF_1 -7 0 + 0 0 . chr2 225177294 225177295 chr2:225177295:A:C rs2120345 A C C EBF1_EBF_1 13 1 - 6.423295762656532 4.090730626715599 ATTCCCAGAAGAAT chr2 225178927 225178928 chr2:225178928:C:T rs6750925 C T C EBF1_EBF_1 12 1 + 6.9112340642941925 5.884967493743343 ATTCCCCTGATACT chr2 225502747 225502748 chr2:225502748:T:C rs13424028 T C T EBF1_EBF_1 -19 0 - 0 0 . chr2 225649731 225649732 chr2:225649732:T:C rs11902730 T C T EBF1_EBF_1 18 0 + 0 0 . chr2 225763913 225763914 chr2:225763914:G:A rs11889724 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 225794869 225794870 chr2:225794870:A:G rs12621711 A G G EBF1_EBF_1 11 1 + 5.384780965107544 2.4920741215035322 AGACCCAGGAGACC chr2 225882089 225882090 chr2:225882090:A:G rs13015257 A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 226002639 226002640 chr2:226002640:C:T chr2:226002640:C:T C T C EBF1_EBF_1 30 0 - 0 0 . chr2 226014300 226014301 chr2:226014301:A:G rs13025268 A G A EBF1_EBF_1 -20 0 + 0 0 . chr2 226078003 226078004 chr2:226078004:G:C rs114966811 G C G EBF1_EBF_1 -3 0 - 0 0 . chr2 226180738 226180739 chr2:226180739:C:T rs79748111 C T C EBF1_EBF_1 18 0 - 0 0 . chr2 226187431 226187432 chr2:226187432:G:A rs16825754 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 226214090 226214091 chr2:226214091:G:A rs16866885 G A G EBF1_EBF_1 8 1 + 5.814723078399389 1.5884329895131282 GTTCCCTCGAGATC chr2 226367467 226367468 chr2:226367468:G:A rs12471184 G A G EBF1_EBF_1 19 0 - 0 0 . chr2 226367475 226367476 chr2:226367476:T:C rs4458207 T C C EBF1_EBF_1 11 1 - 6.644465841052113 3.751758997448101 ATCCCCAAGGTATC chr2 226410514 226410515 chr2:226410515:C:T rs116077538 C T C EBF1_EBF_1 13 1 + 6.877015648366407 7.721509762951925 TTTCCCATGGGCCC chr2 226421310 226421311 chr2:226421311:G:A rs4395242 G A A EBF1_EBF_1 10 1 + 11.522063628450274 6.204035169183309 ATCCCCCAGGGAAC chr2 226434909 226434910 chr2:226434910:C:T rs4305261 C T C EBF1_EBF_1 31 0 + 0 0 . chr2 226442762 226442763 chr2:226442763:G:A rs11901094 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 226490366 226490367 chr2:226490367:G:A rs73077910 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 226515454 226515455 chr2:226515455:A:G rs115367871 A G G EBF1_EBF_1 33 0 - 0 0 . chr2 226659057 226659058 chr2:226659058:C:T rs2707537 C T c EBF1_EBF_1 -16 0 + 0 0 . chr2 226662393 226662394 chr2:226662394:C:T rs2707540 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 226707837 226707838 chr2:226707838:C:T rs6755009 C T C EBF1_EBF_1 10 1 - 6.096228714533177 0.7782002552662104 ATCCTCCAGGGATC chr2 226742522 226742523 chr2:226742523:G:A rs138786055 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 226753048 226753049 chr2:226753049:A:C rs58748445 A C C EBF1_EBF_1 20 0 - 0 0 . chr2 226796059 226796060 chr2:226796060:C:G rs35909627 C G C EBF1_EBF_1 7 1 + 5.644698386697678 6.626018967779036 ATTCCCCCGGGCTC chr2 226796064 226796065 chr2:226796065:T:C rs1801277 T C T EBF1_EBF_1 12 1 + 5.644698386697678 6.670964957248528 ATTCCCCCGGGCTC chr2 226796398 226796399 chr2:226796399:C:T rs151052373 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 226836215 226836216 chr2:226836216:G:A rs571573718 G A G EBF1_EBF_1 4 1 - 5.615734492795676 1.2463475478507506 AGCCCCCCGGGAGG chr2 226908440 226908441 chr2:226908441:C:A rs4675118 C A C EBF1_EBF_1 32 0 + 0 0 . chr2 227127668 227127669 chr2:227127669:C:T rs4074455 C T C EBF1_EBF_1 3 1 + 4.6593235283046095 -1.7460752132487074 TTGCCCCAGGGACC chr2 227154243 227154244 chr2:227154244:T:G rs60896354 T G T EBF1_EBF_1 13 1 + 13.74892551596906 11.416360380028127 ATTCCCCTGGGACT chr2 227192714 227192715 chr2:227192715:G:A rs72975951 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 227198073 227198074 chr2:227198074:T:G rs1950135 T G T EBF1_EBF_1 -15 0 - 0 0 . chr2 227225450 227225451 chr2:227225451:T:G rs34460159 T G T EBF1_EBF_1 -19 0 - 0 0 . chr2 227239737 227239738 chr2:227239738:T:C rs4432445 T C T EBF1_EBF_1 -5 0 + 0 0 . chr2 227320864 227320865 chr2:227320865:G:A rs11688068 G A G EBF1_EBF_1 8 1 + 4.037994834873459 -0.18829525401280311 CTCCCCACGGGGCA chr2 227325650 227325651 chr2:227325651:T:C rs10176715 T C C EBF1_EBF_1 30 0 + 0 0 . chr2 227364863 227364864 chr2:227364864:G:C rs59752778 G C G EBF1_EBF_1 -20 0 - 0 0 . chr2 227377149 227377150 chr2:227377150:G:T rs183255038 G T G EBF1_EBF_1 -5 0 - 0 0 . chr2 227397653 227397654 chr2:227397654:G:C rs55938089 G C G EBF1_EBF_1 -11 0 + 0 0 . chr2 227404790 227404791 chr2:227404791:C:T rs145548184 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 227404794 227404795 chr2:227404795:C:T rs72972897 C T C EBF1_EBF_1 19 0 - 0 0 . chr2 227420412 227420413 chr2:227420413:A:G rs11676141 A G G EBF1_EBF_1 1 1 - 7.664062251111981 6.768628810713236 CTCCCCATGGGAAG chr2 227460236 227460237 chr2:227460237:G:A rs557949130 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 227461880 227461881 chr2:227461881:G:A rs28489364 G A G EBF1_EBF_1 5 1 - 8.647305594340624 3.3255183787999525 TCTCCCCTGGGAGC chr2 227473242 227473243 chr2:227473243:G:T rs73081449 G T G EBF1_EBF_1 19 0 + 0 0 . chr2 227607994 227607995 chr2:227607995:A:G rs57241219 A G A EBF1_EBF_1 14 0 - 0 0 . chr2 227644664 227644665 chr2:227644665:C:A rs997647 C A A EBF1_EBF_1 -20 0 + 0 0 . chr2 227658423 227658424 chr2:227658424:G:A rs12469987 G A G EBF1_EBF_1 8 1 + 4.995138716956671 0.7688486280704098 ATTCCCCCGAGGGT chr2 227742687 227742688 chr2:227742688:T:C rs11679815 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 227744584 227744585 chr2:227744585:C:T rs7589595 C T T EBF1_EBF_1 18 0 + 0 0 . chr2 227755926 227755927 chr2:227755927:T:C rs9288626 T C t EBF1_EBF_1 21 0 - 0 0 . chr2 227760043 227760044 chr2:227760044:G:A rs58295095 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 227767583 227767584 chr2:227767584:G:A rs35143578 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 227771479 227771480 chr2:227771480:C:T rs72960506 C T c EBF1_EBF_1 31 0 - 0 0 . chr2 227781498 227781499 chr2:227781499:C:T rs75082644 C T C EBF1_EBF_1 -20 0 + 0 0 . chr2 227781501 227781502 chr2:227781502:C:T rs4399717 C T T EBF1_EBF_1 -17 0 + 0 0 . chr2 227782150 227782151 chr2:227782151:T:A rs2396496 T A A EBF1_EBF_1 -19 0 + 0 0 . chr2 227819039 227819040 chr2:227819040:G:A rs3138128 G A G EBF1_EBF_1 -12 0 - 0 0 . chr2 227828818 227828819 chr2:227828819:G:A rs11682054 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 227839910 227839911 chr2:227839911:A:C rs753161578 A C A EBF1_EBF_1 24 0 - 0 0 . chr2 227941177 227941178 chr2:227941178:C:T rs2103106 C T T EBF1_EBF_1 10 1 - 10.116808618020777 4.798780158753812 CATCCCTAGGGAAA chr2 228018253 228018254 chr2:228018254:T:C rs3828161 T C T EBF1_EBF_1 25 0 + 0 0 . chr2 228348767 228348768 chr2:228348768:G:A rs10183802 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 228453114 228453115 chr2:228453115:C:T rs11689857 C T C EBF1_EBF_1 5 1 + 11.093986250521455 5.772199034980787 ATTCCCCTGAGACT chr2 228474470 228474471 chr2:228474471:G:A rs10933256 G A G EBF1_EBF_1 5 1 - 5.6720601222424385 0.3502729067017683 ATACCCAAGGGCAC chr2 228799252 228799253 chr2:228799253:T:C rs776975 T C T EBF1_EBF_1 -10 0 - 0 0 . chr2 229105330 229105331 chr2:229105331:C:T rs147498572 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 229105331 229105332 chr2:229105332:G:A rs75018089 G A G EBF1_EBF_1 24 0 + 0 0 . chr2 229138684 229138685 chr2:229138685:A:G rs11900362 A G a EBF1_EBF_1 -5 0 - 0 0 . chr2 229206994 229206995 chr2:229206995:T:C rs55716222 T C T EBF1_EBF_1 -5 0 - 0 0 . chr2 229271953 229271954 chr2:229271954:A:C rs546245426 A C A EBF1_EBF_1 17 0 - 0 0 . chr2 229272165 229272166 chr2:229272166:G:A rs887634 G A A EBF1_EBF_1 28 0 + 0 0 . chr2 229409786 229409787 chr2:229409787:C:G rs7597467 C G G EBF1_EBF_1 -19 0 + 0 0 . chr2 229445694 229445695 chr2:229445695:C:G rs2396664 C G C EBF1_EBF_1 -8 0 + 0 0 . chr2 229482467 229482468 chr2:229482468:C:T rs2193902 C T T EBF1_EBF_1 -14 0 - 0 0 . chr2 229483906 229483907 chr2:229483907:T:C rs2193903 T C C EBF1_EBF_1 0 1 - 7.898940623401373 6.0066567538365385 ACTCCCATGGGTCA chr2 229520720 229520721 chr2:229520721:T:G rs78181333 T G T EBF1_EBF_1 8 1 + 5.108689881804151 12.000122670781344 CTTCCCCATGGAAT chr2 229627878 229627879 chr2:229627879:A:G rs72993219 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 229712617 229712618 chr2:229712618:G:A rs76362200 G A G EBF1_EBF_1 9 1 + 5.165899820220452 2.5109605547728493 AATCCACAGGGAAA chr2 229714566 229714567 chr2:229714567:G:C rs6707837 G C G EBF1_EBF_1 14 0 - 0 0 . chr2 229910993 229910994 chr2:229910994:G:A rs185764089 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 230007459 230007460 chr2:230007460:C:T rs7608112 C T C EBF1_EBF_1 3 1 + 5.389267868341291 -1.0161308732120262 AGCCCCTGGGGCAC chr2 230071037 230071038 chr2:230071038:G:A rs12475755 G A g EBF1_EBF_1 32 0 - 0 0 . chr2 230072774 230072775 chr2:230072775:G:C rs11692766 G C t EBF1_EBF_1 29 0 - 0 0 . chr2 230144500 230144501 chr2:230144501:C:T rs4973268 C T T EBF1_EBF_1 22 0 + 0 0 . chr2 230157989 230157990 chr2:230157990:G:C rs1863673 G C G EBF1_EBF_1 -15 0 + 0 0 . chr2 230168571 230168572 chr2:230168572:A:C rs7583955 A C a EBF1_EBF_1 -15 0 - 0 0 . chr2 230173116 230173117 chr2:230173117:T:C rs10498244 T C T EBF1_EBF_1 -3 0 - 0 0 . chr2 230209605 230209606 chr2:230209606:T:C rs112228854 T C T EBF1_EBF_1 19 0 - 0 0 . chr2 230381147 230381148 chr2:230381148:C:T rs12463918 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 230395957 230395958 chr2:230395958:G:A rs6731825 G A g EBF1_EBF_1 14 0 - 0 0 . chr2 230400554 230400555 chr2:230400555:A:G rs76130153 A G A EBF1_EBF_1 -12 0 - 0 0 . chr2 230408471 230408472 chr2:230408472:C:G rs114172491 C G C EBF1_EBF_1 -16 0 + 0 0 . chr2 230408513 230408514 chr2:230408514:T:C rs7568128 T C C EBF1_EBF_1 26 0 + 0 0 . chr2 230412202 230412203 chr2:230412203:C:A rs78717273 C A c EBF1_EBF_1 -9 0 - 0 0 . chr2 230415769 230415770 chr2:230415770:T:C rs112987209 T C T EBF1_EBF_1 23 0 + 0 0 . chr2 230415992 230415993 chr2:230415993:G:C rs1413097487 G C G EBF1_EBF_1 10 1 + 5.527549434005025 -1.3632529533244502 CGTCCCCTGGGCCC chr2 230501692 230501693 chr2:230501693:A:G rs1678205 A G G EBF1_EBF_1 -17 0 - 0 0 . chr2 230538175 230538176 chr2:230538176:G:C rs699662 G C G EBF1_EBF_1 -9 0 - 0 0 . chr2 230589608 230589609 chr2:230589609:G:A rs13005614 G A G EBF1_EBF_1 3 1 - 6.541280318760598 0.135881577207279 GCCCCCATGGGGCT chr2 230601270 230601271 chr2:230601271:G:A rs80189288 G A g EBF1_EBF_1 15 0 - 0 0 . chr2 230640592 230640593 chr2:230640593:A:G rs11885006 A G G EBF1_EBF_1 -14 0 + 0 0 . chr2 230640601 230640602 chr2:230640602:G:C rs11895952 G C C EBF1_EBF_1 -5 0 + 0 0 . chr2 230660404 230660405 chr2:230660405:C:T rs9973460 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 230674921 230674922 chr2:230674922:G:A rs10207627 G A G EBF1_EBF_1 25 0 - 0 0 . chr2 230681488 230681489 chr2:230681489:G:A rs13431868 G A G EBF1_EBF_1 7 1 - 5.397688797715664 7.2151935439982875 AAACCCACGGGATA chr2 230682034 230682035 chr2:230682035:T:C rs16827514 T C T EBF1_EBF_1 -3 0 + 0 0 . chr2 230716361 230716362 chr2:230716362:T:C rs55639025 T C T EBF1_EBF_1 -9 0 + 0 0 . chr2 230756053 230756054 chr2:230756054:G:A rs73995140 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 230823889 230823890 chr2:230823890:A:T rs2438299 A T T EBF1_EBF_1 26 0 - 0 0 . chr2 230828646 230828647 chr2:230828647:T:C rs2396780 T C C EBF1_EBF_1 15 0 + 0 0 . chr2 230863586 230863587 chr2:230863587:T:G rs7564278 T G G EBF1_EBF_1 0 1 + 10.266710775667946 10.347483329069135 TTTCCCATGGGATC chr2 230863594 230863595 chr2:230863595:G:C rs7603371 G C C EBF1_EBF_1 8 1 + 10.266710775667946 4.948051914753262 TTTCCCATGGGATC chr2 230870083 230870084 chr2:230870084:A:G rs3098331 A G A EBF1_EBF_1 -3 0 + 0 0 . chr2 230872794 230872795 chr2:230872795:C:T rs115188833 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 230873805 230873806 chr2:230873806:C:T rs144920528 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 230876135 230876136 chr2:230876136:T:G rs114829256 T G T EBF1_EBF_1 -14 0 + 0 0 . chr2 230876181 230876182 chr2:230876182:G:A rs73096775 G A G EBF1_EBF_1 32 0 + 0 0 . chr2 230879771 230879772 chr2:230879772:T:C rs954401681 T C T EBF1_EBF_1 7 1 - 4.9089086652902605 3.5964502600014354 GGTCCCCAGGGCAG chr2 230879796 230879797 chr2:230879797:G:C rs17714258 G C G EBF1_EBF_1 -18 0 - 0 0 . chr2 230882023 230882024 chr2:230882024:C:G rs62195291 C G C EBF1_EBF_1 16 0 + 0 0 . chr2 230900806 230900807 chr2:230900807:T:A rs60550201 T A T EBF1_EBF_1 7 1 + 5.0180254645122195 5.4942997045997775 GCCCCCATGGGCCT chr2 230900822 230900823 chr2:230900823:C:T rs6742201 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 230906564 230906565 chr2:230906565:G:A rs73992967 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 230910378 230910379 chr2:230910379:C:A rs3749073 C A C EBF1_EBF_1 10 1 - 7.469489100642675 3.2867369144154104 CTTCCCATGGGCAT chr2 230914806 230914807 chr2:230914807:C:T rs79057044 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 230929227 230929228 chr2:230929228:G:A rs528052305 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 230933383 230933384 chr2:230933384:A:G rs6725053 A G G EBF1_EBF_1 2 1 - 5.688893661786172 3.800070250344022 GGTCCCAGGAGACG chr2 230933627 230933628 chr2:230933628:G:A rs140737679 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 230934127 230934128 chr2:230934128:A:C rs9288671 A C C EBF1_EBF_1 21 0 + 0 0 . chr2 230934587 230934588 chr2:230934588:G:A rs150287736 G A G EBF1_EBF_1 7 1 + 4.50159361423454 5.814052019523365 CCTCCCCGGGGCCC chr2 230940664 230940665 chr2:230940665:C:G rs78292214 C G C EBF1_EBF_1 12 1 + 6.3261345973446765 4.937498653666525 CCTCCCTGGGGGCC chr2 230944596 230944597 chr2:230944597:G:A rs1167406942 G A G EBF1_EBF_1 28 0 + 0 0 . chr2 230969568 230969569 chr2:230969569:A:G rs114405530 A G A EBF1_EBF_1 19 0 - 0 0 . chr2 230987940 230987941 chr2:230987941:G:A rs13036151 G A G EBF1_EBF_1 6 1 - 7.824170286915288 8.125456415777045 CACCCCCTGGGAAC chr2 231000553 231000554 chr2:231000554:G:T rs2271375 G T G EBF1_EBF_1 -20 0 + 0 0 . chr2 231007579 231007580 chr2:231007580:C:G rs13387579 C G G EBF1_EBF_1 -9 0 - 0 0 . chr2 231009410 231009411 chr2:231009411:C:T rs13010621 C T C EBF1_EBF_1 6 1 + 8.503064083870195 8.804350212731952 CATCCCCGGGGAAA chr2 231040273 231040274 chr2:231040274:C:T rs78567546 C T C EBF1_EBF_1 0 1 - 6.055645914675403 7.9479297842402366 GTTCACAAGGGAAC chr2 231040282 231040283 chr2:231040283:G:T rs75689244 G T G EBF1_EBF_1 13 1 - 5.163672932399341 4.789927483113365 ACCCACAAGGGAAC chr2 231057271 231057272 chr2:231057272:A:G rs530207718 A G A EBF1_EBF_1 30 0 - 0 0 . chr2 231187459 231187460 chr2:231187460:C:T rs17619750 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 231187503 231187504 chr2:231187504:T:C rs74890424 T C T EBF1_EBF_1 27 0 + 0 0 . chr2 231190034 231190035 chr2:231190035:T:C rs148235896 T C T EBF1_EBF_1 29 0 - 0 0 . chr2 231191020 231191021 chr2:231191021:A:G rs6437005 A G G EBF1_EBF_1 17 0 - 0 0 . chr2 231227923 231227924 chr2:231227924:C:T rs147813878 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 231270300 231270301 chr2:231270301:G:C rs75445705 G C G EBF1_EBF_1 -5 0 + 0 0 . chr2 231286907 231286908 chr2:231286908:C:T rs12469102 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 231331884 231331885 chr2:231331885:G:A rs1669084 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 231336942 231336943 chr2:231336943:C:A rs76340519 C A A EBF1_EBF_1 3 1 + 6.746363453038775 -0.1598105764270319 AGCCCCTGGAGACA chr2 231345599 231345600 chr2:231345600:G:A rs116819186 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 231345632 231345633 chr2:231345633:C:T rs79247115 C T C EBF1_EBF_1 -7 0 - 0 0 . chr2 231379490 231379491 chr2:231379491:T:C rs1729087 T C T EBF1_EBF_1 -2 0 - 0 0 . chr2 231400592 231400593 chr2:231400593:G:C rs185790313 G C G EBF1_EBF_1 10 1 + 5.532763863760964 -1.3580385235685104 ACCCCCAGGAGAAG chr2 231403928 231403929 chr2:231403929:T:C rs111568983 T C t EBF1_EBF_1 15 0 + 0 0 . chr2 231411841 231411842 chr2:231411842:A:T rs12694890 A T A EBF1_EBF_1 17 0 + 0 0 . chr2 231411939 231411940 chr2:231411940:T:C rs12998237 T C T EBF1_EBF_1 0 1 - 4.985574048422396 3.0932901788575617 AGTCACCTGGGAGC chr2 231412349 231412350 chr2:231412350:G:C rs185509063 G C G EBF1_EBF_1 -6 0 + 0 0 . chr2 231412354 231412355 chr2:231412355:T:A rs549889398 T A T EBF1_EBF_1 -1 0 + 0 0 . chr2 231421840 231421841 chr2:231421841:G:A rs4973392 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 231425928 231425929 chr2:231425929:G:A rs12614599 G A C EBF1_EBF_1 -6 0 + 0 0 . chr2 231425960 231425961 chr2:231425961:G:C rs12614600 G C C EBF1_EBF_1 26 0 + 0 0 . chr2 231458117 231458118 chr2:231458118:T:C rs6712148 T C T EBF1_EBF_1 7 1 + 6.8207127222294295 5.003207975946806 ATCCCCATGGTACA chr2 231490068 231490069 chr2:231490069:C:G chr2:231490069:C:G C G C EBF1_EBF_1 -5 0 + 0 0 . chr2 231497018 231497019 chr2:231497019:A:C rs13000816 A C A EBF1_EBF_1 22 0 - 0 0 . chr2 231527026 231527027 chr2:231527027:T:A rs4973002 T A A EBF1_EBF_1 -9 0 + 0 0 . chr2 231527131 231527132 chr2:231527132:A:T rs13016133 A T A EBF1_EBF_1 -15 0 - 0 0 . chr2 231532355 231532356 chr2:231532356:C:T rs4973443 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 231557504 231557505 chr2:231557505:T:G rs1797391 T G G EBF1_EBF_1 -7 0 + 0 0 . chr2 231557509 231557510 chr2:231557510:A:G rs1667318 A G G EBF1_EBF_1 -2 0 + 0 0 . chr2 231593731 231593732 chr2:231593732:T:C rs10933378 T C C EBF1_EBF_1 -13 0 - 0 0 . chr2 231611886 231611887 chr2:231611887:G:C rs79664058 G C G EBF1_EBF_1 4 1 - 4.374205385112836 -1.251559716036707 GCTCCCTCAGGACC chr2 231613404 231613405 chr2:231613405:G:A rs192649532 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 231627788 231627789 chr2:231627789:T:G rs986438311 T G T EBF1_EBF_1 24 0 - 0 0 . chr2 231641168 231641169 chr2:231641169:G:C rs117877987 G C G EBF1_EBF_1 -9 0 - 0 0 . chr2 231642696 231642697 chr2:231642697:T:A rs148752937 T A T EBF1_EBF_1 25 0 + 0 0 . chr2 231657983 231657984 chr2:231657984:G:T rs541703744 G T G EBF1_EBF_1 14 0 + 0 0 . chr2 231666105 231666106 chr2:231666106:G:A rs753255165 G A G EBF1_EBF_1 33 0 - 0 0 . chr2 231666360 231666361 chr2:231666361:A:T rs7583895 A T T EBF1_EBF_1 0 1 + 5.163052485146985 3.1899960621809624 AGTCCCCTGAGGCG chr2 231666373 231666374 chr2:231666374:G:A rs1478169889 G A G EBF1_EBF_1 13 1 + 5.163052485146985 6.277378057216424 AGTCCCCTGAGGCG chr2 231666383 231666384 chr2:231666384:G:A rs192817085 G A G EBF1_EBF_1 23 0 + 0 0 . chr2 231667622 231667623 chr2:231667623:T:C rs78540111 T C T EBF1_EBF_1 11 1 - 8.181963931985273 5.2892570883812615 CATCCCAGGGGATC chr2 231671645 231671646 chr2:231671646:C:T rs79981685 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 231672739 231672740 chr2:231672740:A:C rs11684144 A C C EBF1_EBF_1 20 0 - 0 0 . chr2 231672743 231672744 chr2:231672744:G:A chr2:231672744:G:A G A G EBF1_EBF_1 16 0 - 0 0 . chr2 231673375 231673376 chr2:231673376:G:A rs80314812 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 231673516 231673517 chr2:231673517:C:T rs12990283 C T C EBF1_EBF_1 3 1 + 11.1483181791643 4.742919437610983 ATCCCCCAGGGAAA chr2 231677985 231677986 chr2:231677986:G:A rs576610257 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 231677997 231677998 chr2:231677998:C:T rs73084864 C T C EBF1_EBF_1 9 1 - 6.974364276573028 4.319425011125425 ATTCCCACCGGACT chr2 231680195 231680196 chr2:231680196:C:T rs3856531 C T C EBF1_EBF_1 9 1 - 5.853974047394708 3.199034781947104 ATCCGCAAGGGACA chr2 231684531 231684532 chr2:231684532:C:T rs140061723 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 231684547 231684548 chr2:231684548:A:G rs11694779 A G A EBF1_EBF_1 14 0 + 0 0 . chr2 231693505 231693506 chr2:231693506:G:C rs6752426 G C G EBF1_EBF_1 0 1 - 7.28188427668294 7.6448588908147075 CTTCCCAGGGGGCC chr2 231696868 231696869 chr2:231696869:C:T rs58212470 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 231710154 231710155 chr2:231710155:C:T rs370522407 C T C EBF1_EBF_1 1 1 + 8.793041528400517 9.688474968799262 CCTCCCGTGGGACT chr2 231726007 231726008 chr2:231726008:G:C rs187223760 G C g EBF1_EBF_1 14 0 + 0 0 . chr2 231762091 231762092 chr2:231762092:G:C rs3912020 G C G EBF1_EBF_1 -13 0 - 0 0 . chr2 231781441 231781442 chr2:231781442:C:G rs562779814 C G C EBF1_EBF_1 12 1 + 7.960336445183055 6.571700501504903 AGTCCCATAGGACA chr2 231811001 231811002 chr2:231811002:G:A rs1302392462 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 231852544 231852545 chr2:231852545:T:C rs79825578 T C G EBF1_EBF_1 11 1 - 8.800804613473478 5.908097769869467 ACACCCCAGGGACC chr2 231901141 231901142 chr2:231901142:T:C rs58039708 T C T EBF1_EBF_1 -4 0 - 0 0 . chr2 231906632 231906633 chr2:231906633:A:G rs11681043 A G G EBF1_EBF_1 -10 0 + 0 0 . chr2 231914414 231914415 chr2:231914415:C:G rs2580854 C G G EBF1_EBF_1 3 1 - 5.807488063583605 11.63525251169882 ACTGCCCTGGGACA chr2 231928909 231928910 chr2:231928910:C:T rs996189528 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 231930851 231930852 chr2:231930852:C:A rs544864844 C A C EBF1_EBF_1 12 1 + 6.358878924918804 6.3890603233401295 TTTCCCAGGGGTCC chr2 231961844 231961845 chr2:231961845:G:T rs182880762 G T G EBF1_EBF_1 33 0 + 0 0 . chr2 231962143 231962144 chr2:231962144:C:A rs139218741 C A C EBF1_EBF_1 -5 0 - 0 0 . chr2 231962149 231962150 chr2:231962150:G:A rs11674743 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 231987363 231987364 chr2:231987364:A:C rs116221186 A C A EBF1_EBF_1 21 0 - 0 0 . chr2 232014960 232014961 chr2:232014961:C:T rs723044 C T C EBF1_EBF_1 11 1 - 7.890053142472728 10.782759986076737 GGTCCCCAGGGGCC chr2 232171018 232171019 chr2:232171019:G:A rs55917598 G A A EBF1_EBF_1 -4 0 + 0 0 . chr2 232171063 232171064 chr2:232171064:G:A rs55713661 G A A EBF1_EBF_1 -16 0 + 0 0 . chr2 232200423 232200424 chr2:232200424:C:T rs138679010 C T C EBF1_EBF_1 -2 0 - 0 0 . chr2 232236593 232236594 chr2:232236594:A:G rs1872920 A G G EBF1_EBF_1 -2 0 + 0 0 . chr2 232240115 232240116 chr2:232240116:A:G rs115046330 A G A EBF1_EBF_1 19 0 + 0 0 . chr2 232267782 232267783 chr2:232267783:G:A rs16828735 G A A EBF1_EBF_1 -18 0 - 0 0 . chr2 232328291 232328292 chr2:232328292:G:A rs6713534 G A A EBF1_EBF_1 4 1 - 9.0699455844908 4.700558639545877 CTCCCCTGGGGACT chr2 232333662 232333663 chr2:232333663:G:A rs3748967 G A g EBF1_EBF_1 11 1 + 5.867642662640781 8.760349506244792 CTCCCCCTGGGGCC chr2 232334762 232334763 chr2:232334763:G:A rs116284581 G A G EBF1_EBF_1 24 0 + 0 0 . chr2 232340889 232340890 chr2:232340890:A:G rs56083600 A G G EBF1_EBF_1 29 0 - 0 0 . chr2 232354183 232354184 chr2:232354184:T:C rs7583232 T C C EBF1_EBF_1 31 0 - 0 0 . chr2 232363260 232363261 chr2:232363261:G:A rs11884081 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 232367589 232367590 chr2:232367590:C:T rs112777033 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 232367600 232367601 chr2:232367601:G:A rs2741304 G A G EBF1_EBF_1 5 1 - 4.509368675341524 -0.812418540199147 AGCCCCGAGGGTCA chr2 232370016 232370017 chr2:232370017:C:A rs115624040 C A C EBF1_EBF_1 10 1 - 4.996468937955445 0.81371675172818 AGCCCTCGGGGACT chr2 232378538 232378539 chr2:232378539:C:G rs114493750 C G C EBF1_EBF_1 19 0 - 0 0 . chr2 232378555 232378556 chr2:232378556:G:T rs539580117 G T G EBF1_EBF_1 2 1 - 8.141708737530028 6.346064561373301 GTCCCCCAGGGAAG chr2 232380219 232380220 chr2:232380220:G:C rs1048988 G C G EBF1_EBF_1 9 1 - 5.954496702409872 11.030185848755336 GTTCCCATGCGAAA chr2 232382316 232382317 chr2:232382317:C:T rs1049109 C T C EBF1_EBF_1 -20 0 + 0 0 . chr2 232388110 232388111 chr2:232388111:C:T rs73001998 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 232421456 232421457 chr2:232421457:G:A rs35421611 G A g EBF1_EBF_1 6 1 - 5.725964815220525 6.027250944082283 ACACCCCGGGGAGA chr2 232422324 232422325 chr2:232422325:G:C rs4973040 G C - EBF1_EBF_1 17 0 - 0 0 . chr2 232423534 232423535 chr2:232423535:A:C rs4973530 A C - EBF1_EBF_1 -11 0 - 0 0 . chr2 232432128 232432129 chr2:232432129:G:A rs2853398 G A g EBF1_EBF_1 5 1 - 4.906944713753101 -0.41484250178756965 CTCCCCGTGGGGCT chr2 232441288 232441289 chr2:232441289:C:T rs2853430 C T C EBF1_EBF_1 -5 0 - 0 0 . chr2 232445712 232445713 chr2:232445713:C:T rs814220 C T c EBF1_EBF_1 23 0 + 0 0 . chr2 232446743 232446744 chr2:232446744:T:C rs56758454 T C T EBF1_EBF_1 -4 0 + 0 0 . chr2 232446778 232446779 chr2:232446779:C:G rs790049 C G C EBF1_EBF_1 31 0 + 0 0 . chr2 232449027 232449028 chr2:232449028:G:A rs1190417 G A g EBF1_EBF_1 33 0 + 0 0 . chr2 232455266 232455267 chr2:232455267:G:C rs6721457 G C A EBF1_EBF_1 30 0 + 0 0 . chr2 232457575 232457576 chr2:232457576:C:T rs139371546 C T C EBF1_EBF_1 32 0 - 0 0 . chr2 232458164 232458165 chr2:232458165:C:T rs2272421 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 232459691 232459692 chr2:232459692:G:C chr2:232459692:G:C G C G EBF1_EBF_1 21 0 - 0 0 . chr2 232476411 232476412 chr2:232476412:G:C rs60147715 G C G EBF1_EBF_1 13 1 - 3.945175646365161 2.4571046250097464 CCCCCCATGGGGGC chr2 232476412 232476413 chr2:232476413:C:T rs59891262 C T C EBF1_EBF_1 12 1 - 3.945175646365161 5.363992988464639 CCCCCCATGGGGGC chr2 232481744 232481745 chr2:232481745:C:G rs68130818 C G C EBF1_EBF_1 -9 0 + 0 0 . chr2 232487317 232487318 chr2:232487318:C:A rs116325059 C A C EBF1_EBF_1 10 1 - 7.374358466430492 3.191606280203227 CGCCCCTAGGGAAG chr2 232519000 232519001 chr2:232519001:C:G rs2573212 C G G EBF1_EBF_1 14 0 - 0 0 . chr2 232524456 232524457 chr2:232524457:G:C rs58091792 G C C EBF1_EBF_1 -4 0 + 0 0 . chr2 232539879 232539880 chr2:232539880:G:C rs12996322 G C C EBF1_EBF_1 -14 0 - 0 0 . chr2 232545677 232545678 chr2:232545678:C:T rs71421651 C T C EBF1_EBF_1 4 1 + 8.688327529045576 4.318940584100655 ATTCCCTGGAGATC chr2 232550956 232550957 chr2:232550957:G:A rs528116835 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 232550971 232550972 chr2:232550972:C:T rs143293892 C T C EBF1_EBF_1 8 1 - 3.5202730331531837 -0.7060170557330774 CCTCCCCCGGGCCC chr2 232588994 232588995 chr2:232588995:G:A rs2880817 G A G EBF1_EBF_1 32 0 + 0 0 . chr2 232624258 232624259 chr2:232624259:C:G rs73995827 C G C EBF1_EBF_1 10 1 - 7.597380023124164 0.7065776357946889 ACCCCCAGGGGGCT chr2 232624620 232624621 chr2:232624621:G:A rs181600924 G A G EBF1_EBF_1 -10 0 - 0 0 . chr2 232628669 232628670 chr2:232628670:A:C rs79385064 A C A EBF1_EBF_1 6 1 - 6.95918939938536 4.8527112070504055 AATCCCTGCGGAAT chr2 232633408 232633409 chr2:232633409:G:T rs544581931 G T G EBF1_EBF_1 -13 0 - 0 0 . chr2 232636953 232636954 chr2:232636954:G:T rs73995831 G T G EBF1_EBF_1 -11 0 + 0 0 . chr2 232651243 232651244 chr2:232651244:G:T rs73995853 G T G EBF1_EBF_1 5 1 - 3.8798881565017176 -3.0249929961334496 CCTCCCCTGGGCAG chr2 232687443 232687444 chr2:232687444:C:G rs12989905 C G C EBF1_EBF_1 -1 0 + 0 0 . chr2 232884854 232884855 chr2:232884855:G:C rs2675953 G C G EBF1_EBF_1 4 1 - 5.890524048136792 0.26475894698724634 GGTCCCCTGGGCCC chr2 232898768 232898769 chr2:232898769:G:A rs574905590 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 232899304 232899305 chr2:232899305:C:T rs1020459728 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 232930723 232930724 chr2:232930724:C:T rs13390935 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 232930762 232930763 chr2:232930763:C:T rs76998336 C T T EBF1_EBF_1 -14 0 - 0 0 . chr2 232942450 232942451 chr2:232942451:C:G rs67389537 C G C EBF1_EBF_1 -14 0 - 0 0 . chr2 232951793 232951794 chr2:232951794:C:T rs72978115 C T C EBF1_EBF_1 5 1 + 5.273363079170477 -0.04842413637019298 TCTCCCATGGGTTC chr2 232958388 232958389 chr2:232958389:C:T rs55870055 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 232977372 232977373 chr2:232977373:A:G rs78249659 A G A EBF1_EBF_1 -17 0 + 0 0 . chr2 232977400 232977401 chr2:232977401:A:C rs6718696 A C C EBF1_EBF_1 11 1 + 5.423711474153653 1.0077497763012637 GCTCCCCAGAGATG chr2 232977605 232977606 chr2:232977606:A:G rs78210025 A G A EBF1_EBF_1 26 0 - 0 0 . chr2 232978016 232978017 chr2:232978017:C:T rs62193963 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 232978022 232978023 chr2:232978023:T:A rs72978195 T A T EBF1_EBF_1 -12 0 + 0 0 . chr2 232978105 232978106 chr2:232978106:C:A rs56294783 C A C EBF1_EBF_1 -15 0 + 0 0 . chr2 232980794 232980795 chr2:232980795:G:T rs6760133 G T T EBF1_EBF_1 -20 0 + 0 0 . chr2 232980842 232980843 chr2:232980843:C:G rs189392281 C G C EBF1_EBF_1 9 1 - 7.630351557517966 2.5546624111725027 GTTCCCCTAGGACT chr2 232983093 232983094 chr2:232983094:C:T rs11674496 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 232998838 232998839 chr2:232998839:C:T rs61148291 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 233029620 233029621 chr2:233029621:G:A rs1033296326 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 233059870 233059871 chr2:233059871:A:G rs12994169 A G A EBF1_EBF_1 33 0 - 0 0 . chr2 233059892 233059893 chr2:233059893:C:T rs35140857 C T C EBF1_EBF_1 11 1 - 4.881064193992594 7.773771037596605 ACACCCAAGGGGGC chr2 233061996 233061997 chr2:233061997:C:T rs895351511 C T C EBF1_EBF_1 30 0 - 0 0 . chr2 233068754 233068755 chr2:233068755:T:C rs72982242 T C c EBF1_EBF_1 7 1 - 5.944236120977336 4.631777715688511 ATCCCCCAGAGGCC chr2 233076240 233076241 chr2:233076241:A:T rs11674113 A T a EBF1_EBF_1 27 0 + 0 0 . chr2 233080863 233080864 chr2:233080864:A:T rs566440666 A T A EBF1_EBF_1 26 0 - 0 0 . chr2 233080904 233080905 chr2:233080905:C:T rs7606583 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 233145590 233145591 chr2:233145591:T:G rs140053128 T G G EBF1_EBF_1 -5 0 + 0 0 . chr2 233184178 233184179 chr2:233184179:C:G rs6431381 C G C EBF1_EBF_1 -1 0 - 0 0 . chr2 233209426 233209427 chr2:233209427:A:G rs567570422 A G A EBF1_EBF_1 32 0 + 0 0 . chr2 233243826 233243827 chr2:233243827:G:A rs75036194 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 233320673 233320674 chr2:233320674:A:G rs7565275 A G A EBF1_EBF_1 -20 0 + 0 0 . chr2 233321279 233321280 chr2:233321280:T:G rs61062196 T G T EBF1_EBF_1 -14 0 - 0 0 . chr2 233339463 233339464 chr2:233339464:C:G rs6742218 C G G EBF1_EBF_1 20 0 + 0 0 . chr2 233352232 233352233 chr2:233352233:T:C rs62195072 T C T EBF1_EBF_1 -9 0 - 0 0 . chr2 233353608 233353609 chr2:233353609:T:G rs75644749 T G T EBF1_EBF_1 25 0 + 0 0 . chr2 233353702 233353703 chr2:233353703:G:A rs190719090 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 233355494 233355495 chr2:233355495:G:C rs560604493 G C G EBF1_EBF_1 0 1 - 4.377121467574032 4.740096081705799 CTGCCCCAGGGACC chr2 233402107 233402108 chr2:233402108:G:A rs28444082 G A G EBF1_EBF_1 5 1 - 5.978298477265683 0.6565112617250128 GACCCCCAGAGACC chr2 233408301 233408302 chr2:233408302:G:C rs10193542 G C G EBF1_EBF_1 5 1 - 6.752027042382375 -0.15285411025279394 AATCCCATGAGGCC chr2 233420493 233420494 chr2:233420494:A:G rs74608404 A G A EBF1_EBF_1 -5 0 - 0 0 . chr2 233449639 233449640 chr2:233449640:C:T rs2242100 C T T EBF1_EBF_1 5 1 + 6.160230688385845 0.8384434728451747 ACTCCCGTGAGAGC chr2 233451360 233451361 chr2:233451361:G:A rs56266844 G A G EBF1_EBF_1 -12 0 + 0 0 . chr2 233461260 233461261 chr2:233461261:T:C rs3768799 T C T EBF1_EBF_1 -11 0 + 0 0 . chr2 233462750 233462751 chr2:233462751:A:G chr2:233462751:A:G A G A EBF1_EBF_1 6 1 - 5.305034856817418 5.003748727955661 TGTCCCTGGGGCAC chr2 233464120 233464121 chr2:233464121:T:C rs2924811 T C C EBF1_EBF_1 29 0 + 0 0 . chr2 233486833 233486834 chr2:233486834:C:G rs78378837 C G C EBF1_EBF_1 -13 0 - 0 0 . chr2 233489770 233489771 chr2:233489771:A:G rs838561 A G A EBF1_EBF_1 30 0 - 0 0 . chr2 233602041 233602042 chr2:233602042:C:G rs2741019 C G C EBF1_EBF_1 -9 0 - 0 0 . chr2 233691294 233691295 chr2:233691295:C:G rs12623271 C G G EBF1_EBF_1 16 0 - 0 0 . chr2 233691317 233691318 chr2:233691318:C:T rs28898575 C T C EBF1_EBF_1 -7 0 - 0 0 . chr2 233711290 233711291 chr2:233711291:G:C rs4233633 G C C EBF1_EBF_1 33 0 + 0 0 . chr2 233711524 233711525 chr2:233711525:A:G rs28898593 A G A EBF1_EBF_1 0 1 + 4.828518765965714 2.936234896400881 ACTCCCCGGGAATC chr2 233711625 233711626 chr2:233711626:G:A rs1104892 G A A EBF1_EBF_1 19 0 - 0 0 . chr2 233718601 233718602 chr2:233718602:C:T rs3732219 C T T EBF1_EBF_1 31 0 + 0 0 . chr2 233785530 233785531 chr2:233785531:T:C rs7596785 T C C EBF1_EBF_1 24 0 + 0 0 . chr2 233786440 233786441 chr2:233786441:A:G rs17868346 A G A EBF1_EBF_1 -11 0 + 0 0 . chr2 233794653 233794654 chr2:233794654:G:T rs150783152 G T G EBF1_EBF_1 -14 0 + 0 0 . chr2 233801184 233801185 chr2:233801185:C:G rs11678741 C G C EBF1_EBF_1 3 1 + 7.705916615013001 1.8781521668977872 ATTCCCTTGGAATT chr2 233812083 233812084 chr2:233812084:T:G rs17862895 T G T EBF1_EBF_1 -6 0 + 0 0 . chr2 233812087 233812088 chr2:233812088:A:C rs988344 A C c EBF1_EBF_1 -2 0 + 0 0 . chr2 233817708 233817709 chr2:233817709:C:T rs11563237 C T T EBF1_EBF_1 13 1 + 5.397880327505862 6.24237444209138 GATCCCCAGGGTTC chr2 233817717 233817718 chr2:233817718:A:T rs6752792 A T A EBF1_EBF_1 22 0 + 0 0 . chr2 233823236 233823237 chr2:233823237:A:G rs7603146 A G G EBF1_EBF_1 -13 0 + 0 0 . chr2 233829825 233829826 chr2:233829826:G:A rs582432 G A A EBF1_EBF_1 7 1 + 5.606109226866531 6.918567632155356 GTTCCCCGAGGAAA chr2 233831223 233831224 chr2:233831224:C:A rs213546 C A A EBF1_EBF_1 28 0 + 0 0 . chr2 233837838 233837839 chr2:233837839:G:C rs6431639 G C C EBF1_EBF_1 -16 0 + 0 0 . chr2 233840707 233840708 chr2:233840708:G:A rs12582 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 233973498 233973499 chr2:233973499:T:C rs7569211 T C T EBF1_EBF_1 0 1 + 9.12729805239329 8.845095991662712 TCTCCCTGGGGACA chr2 234032572 234032573 chr2:234032573:C:A rs373550092 C A C EBF1_EBF_1 -12 0 + 0 0 . chr2 234047361 234047362 chr2:234047362:G:T rs17866612 G T G EBF1_EBF_1 -9 0 + 0 0 . chr2 234076216 234076217 chr2:234076217:A:C rs250970 A C C EBF1_EBF_1 33 0 - 0 0 . chr2 234076234 234076235 chr2:234076235:C:T rs80172542 C T c EBF1_EBF_1 15 0 - 0 0 . chr2 234099599 234099600 chr2:234099600:C:T rs250929 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 234147553 234147554 chr2:234147554:G:A rs543885680 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 234150841 234150842 chr2:234150842:C:T rs16850547 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 234212075 234212076 chr2:234212076:T:C rs1290774163 T C T EBF1_EBF_1 -1 0 + 0 0 . chr2 234267539 234267540 chr2:234267540:C:T rs13027693 C T c EBF1_EBF_1 3 1 + 6.808162331596289 0.4027635900429728 GTCCCCTGGAGAAT chr2 234291086 234291087 chr2:234291087:C:T rs189598632 C T C EBF1_EBF_1 18 0 - 0 0 . chr2 234305861 234305862 chr2:234305862:G:A rs1401502 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 234333325 234333326 chr2:234333326:C:G rs772782 C G C EBF1_EBF_1 28 0 - 0 0 . chr2 234355621 234355622 chr2:234355622:C:T rs73119093 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 234374894 234374895 chr2:234374895:G:A rs6749139 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 234374915 234374916 chr2:234374916:G:A rs6749149 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 234383921 234383922 chr2:234383922:A:C rs4663130 A C C EBF1_EBF_1 -12 0 + 0 0 . chr2 234399653 234399654 chr2:234399654:A:G rs12692134 A G G EBF1_EBF_1 26 0 + 0 0 . chr2 234474190 234474191 chr2:234474191:G:T rs4663419 G T T EBF1_EBF_1 -9 0 - 0 0 . chr2 234475104 234475105 chr2:234475105:G:A rs79499194 G A G EBF1_EBF_1 -5 0 - 0 0 . chr2 234484405 234484406 chr2:234484406:C:T rs146171303 C T C EBF1_EBF_1 -13 0 - 0 0 . chr2 234485149 234485150 chr2:234485150:C:T rs4663422 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 234497670 234497671 chr2:234497671:G:T chr2:234497671:G:T G T G EBF1_EBF_1 25 0 - 0 0 . chr2 234497715 234497716 chr2:234497716:C:G rs34613023 C G C EBF1_EBF_1 -20 0 - 0 0 . chr2 234498501 234498502 chr2:234498502:T:C rs72975516 T C C EBF1_EBF_1 10 1 + 5.27821303576295 2.570162834660739 ATTCCCAAGGTGGC chr2 234501339 234501340 chr2:234501340:T:G rs1220218915 T G T EBF1_EBF_1 -2 0 - 0 0 . chr2 234512600 234512601 chr2:234512601:C:T rs72975543 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 234515807 234515808 chr2:234515808:C:A rs114983468 C A C EBF1_EBF_1 -1 0 - 0 0 . chr2 234558884 234558885 chr2:234558885:A:G rs72977581 A G A EBF1_EBF_1 -18 0 + 0 0 . chr2 234605158 234605159 chr2:234605159:C:T rs72981697 C T C EBF1_EBF_1 6 1 + 4.232486601040518 4.533772729902276 GTACCCCAGGGCCT chr2 234605410 234605411 chr2:234605411:G:A rs72983503 G A G EBF1_EBF_1 4 1 - 5.076607725762221 0.7072207808172988 CTTCCCTTGGGCAG chr2 234609895 234609896 chr2:234609896:C:A rs2386505 C A - EBF1_EBF_1 -16 0 - 0 0 . chr2 234627492 234627493 chr2:234627493:G:C rs12476740 G C C EBF1_EBF_1 -15 0 + 0 0 . chr2 234678895 234678896 chr2:234678896:C:T rs4663470 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 234736723 234736724 chr2:234736724:T:G rs116321393 T G G EBF1_EBF_1 14 0 - 0 0 . chr2 234748109 234748110 chr2:234748110:G:C rs13409419 G C t EBF1_EBF_1 8 1 + 4.74529875690644 -0.573360104008247 ACCCCCACGGGGTC chr2 234769130 234769131 chr2:234769131:G:A rs7590552 G A G EBF1_EBF_1 10 1 + 5.640910588906504 0.3228821296395381 CCTCCCCTGAGAAG chr2 234770687 234770688 chr2:234770688:G:A rs182525155 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 234795604 234795605 chr2:234795605:A:C rs35842636 A C A EBF1_EBF_1 24 0 - 0 0 . chr2 234795605 234795606 chr2:234795606:C:T rs527798544 C T C EBF1_EBF_1 23 0 - 0 0 . chr2 234795630 234795631 chr2:234795631:G:A rs34764430 G A G EBF1_EBF_1 -2 0 - 0 0 . chr2 234825739 234825740 chr2:234825740:C:T rs72979638 C T C EBF1_EBF_1 14 0 - 0 0 . chr2 234829544 234829545 chr2:234829545:G:T rs13429299 G T G EBF1_EBF_1 3 1 - 5.65154285239783 -1.2546311770679763 ATCCCTTTGGGAAA chr2 234898453 234898454 chr2:234898454:G:A rs62187416 G A G EBF1_EBF_1 -7 0 + 0 0 . chr2 234910559 234910560 chr2:234910560:C:G rs67989814 C G C EBF1_EBF_1 21 0 + 0 0 . chr2 234919520 234919521 chr2:234919521:T:C rs73997562 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 234940063 234940064 chr2:234940064:C:T rs12476431 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 234953859 234953860 chr2:234953860:A:G rs56111051 A G A EBF1_EBF_1 -17 0 - 0 0 . chr2 234954825 234954826 chr2:234954826:T:C rs11682146 T C C EBF1_EBF_1 12 1 - 4.931395821375906 3.5125784792764287 GCTCCCCAGGAAAA chr2 234955094 234955095 chr2:234955095:C:T rs78466364 C T C EBF1_EBF_1 8 1 - 6.179663811921129 1.9533737230348684 TGTCCCAGGGGCCT chr2 234970385 234970386 chr2:234970386:A:G rs12329020 A G G EBF1_EBF_1 27 0 + 0 0 . chr2 234970675 234970676 chr2:234970676:C:T rs11684191 C T C EBF1_EBF_1 -15 0 - 0 0 . chr2 234970678 234970679 chr2:234970679:C:T rs78257183 C T C EBF1_EBF_1 -18 0 - 0 0 . chr2 234970679 234970680 chr2:234970680:G:C rs11695168 G C G EBF1_EBF_1 -19 0 - 0 0 . chr2 234985059 234985060 chr2:234985060:C:A rs75985325 C A C EBF1_EBF_1 -13 0 - 0 0 . chr2 234988417 234988418 chr2:234988418:A:C rs10176321 A C A EBF1_EBF_1 -1 0 + 0 0 . chr2 235005086 235005087 chr2:235005087:G:A rs77615418 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 235005979 235005980 chr2:235005980:G:A rs61010485 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 235028052 235028053 chr2:235028053:T:C rs111815742 T C T EBF1_EBF_1 31 0 - 0 0 . chr2 235044515 235044516 chr2:235044516:G:A rs6757025 G A G EBF1_EBF_1 28 0 - 0 0 . chr2 235049308 235049309 chr2:235049309:C:T rs72987446 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 235051609 235051610 chr2:235051610:C:T rs10203697 C T T EBF1_EBF_1 31 0 - 0 0 . chr2 235051649 235051650 chr2:235051650:T:C chr2:235051650:T:C T C T EBF1_EBF_1 -9 0 - 0 0 . chr2 235057136 235057137 chr2:235057137:T:C rs72987470 T C C EBF1_EBF_1 -14 0 + 0 0 . chr2 235083958 235083959 chr2:235083959:T:G rs75094011 T G T EBF1_EBF_1 31 0 + 0 0 . chr2 235107673 235107674 chr2:235107674:T:C rs6739069 T C C EBF1_EBF_1 18 0 - 0 0 . chr2 235136210 235136211 chr2:235136211:G:A rs142014278 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 235136223 235136224 chr2:235136224:A:C rs10199636 A C C EBF1_EBF_1 13 1 - 4.633792621974699 2.3012274860337656 CGTCCCCGGGAACT chr2 235136230 235136231 chr2:235136231:G:A chr2:235136231:G:A G A G EBF1_EBF_1 6 1 - 4.633792621974699 4.935078750836457 CGTCCCCGGGAACT chr2 235153495 235153496 chr2:235153496:A:G rs4629113 A G a EBF1_EBF_1 29 0 + 0 0 . chr2 235158981 235158982 chr2:235158982:T:C rs72975353 T C T EBF1_EBF_1 -8 0 + 0 0 . chr2 235160359 235160360 chr2:235160360:G:A rs12478204 G A G EBF1_EBF_1 8 1 + 8.385929746512279 4.159639657626016 AGTCCCAGGGTACT chr2 235170340 235170341 chr2:235170341:G:A rs114683799 G A G EBF1_EBF_1 9 1 + 8.22796540146081 5.5730261360132065 TTTCCCAAGGGCAT chr2 235170358 235170359 chr2:235170359:C:G rs4274553 C G G EBF1_EBF_1 27 0 + 0 0 . chr2 235191970 235191971 chr2:235191971:C:T rs4663552 C T C EBF1_EBF_1 14 0 + 0 0 . chr2 235295102 235295103 chr2:235295103:T:G rs11901273 T G T EBF1_EBF_1 7 1 + 7.166253381217443 6.330069216016175 AAACCCTTGGGAGC chr2 235358544 235358545 chr2:235358545:T:G rs7597280 T G G EBF1_EBF_1 -2 0 - 0 0 . chr2 235359317 235359318 chr2:235359318:C:T rs7584358 C T C EBF1_EBF_1 1 1 + 4.680635946011168 5.576069386409913 CCACCCCTGGGAGC chr2 235359335 235359336 chr2:235359336:C:T rs11691626 C T T EBF1_EBF_1 19 0 + 0 0 . chr2 235391862 235391863 chr2:235391863:T:C rs2102795 T C C EBF1_EBF_1 7 1 + 10.576713597262723 8.7592088509801 CTTCCCTTGGGACA chr2 235419094 235419095 chr2:235419095:G:A rs538036410 G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 235511711 235511712 chr2:235511712:C:G rs986792988 C G C EBF1_EBF_1 -2 0 - 0 0 . chr2 235515302 235515303 chr2:235515303:T:G rs4663601 T G T EBF1_EBF_1 -16 0 - 0 0 . chr2 235564320 235564321 chr2:235564321:G:C rs9753069 G C C EBF1_EBF_1 -13 0 - 0 0 . chr2 235577750 235577751 chr2:235577751:G:A rs911362119 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 235600090 235600091 chr2:235600091:G:A rs11680217 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 235642059 235642060 chr2:235642060:G:A rs2103279 G A A EBF1_EBF_1 27 0 - 0 0 . chr2 235642090 235642091 chr2:235642091:T:C rs75014909 T C C EBF1_EBF_1 -19 0 + 0 0 . chr2 235642491 235642492 chr2:235642492:C:T rs2103280 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 235660128 235660129 chr2:235660129:G:A rs763224796 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 235692300 235692301 chr2:235692301:A:G rs62189239 A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 235696599 235696600 chr2:235696600:T:G rs7585456 T G T EBF1_EBF_1 17 0 + 0 0 . chr2 235709424 235709425 chr2:235709425:T:C rs13022599 T C T EBF1_EBF_1 6 1 - 5.365351095756937 3.198556664482463 TGTCCCAGGGGCAC chr2 235746300 235746301 chr2:235746301:C:A rs11677477 C A C EBF1_EBF_1 -8 0 - 0 0 . chr2 235746763 235746764 chr2:235746764:A:G rs57341615 A G A EBF1_EBF_1 -16 0 - 0 0 . chr2 235767674 235767675 chr2:235767675:C:G rs2696389 C G C EBF1_EBF_1 20 0 - 0 0 . chr2 235779661 235779662 chr2:235779662:G:T rs79577742 G T G EBF1_EBF_1 -18 0 - 0 0 . chr2 235808356 235808357 chr2:235808357:G:T rs7565206 G T G EBF1_EBF_1 30 0 + 0 0 . chr2 235810221 235810222 chr2:235810222:T:C rs980914089 T C T EBF1_EBF_1 -13 0 - 0 0 . chr2 235852683 235852684 chr2:235852684:A:T rs112444384 A T A EBF1_EBF_1 7 1 + 6.940748194839461 6.464473954751904 TCTCCCCAGGGCCT chr2 235868835 235868836 chr2:235868836:A:G rs114028408 A G A EBF1_EBF_1 15 0 - 0 0 . chr2 235869927 235869928 chr2:235869928:T:C rs12611993 T C C EBF1_EBF_1 2 1 - 6.866640750451832 3.80278264785024 ACACCCCTGGGAAG chr2 235880307 235880308 chr2:235880308:G:A rs12618620 G A A EBF1_EBF_1 17 0 - 0 0 . chr2 235927761 235927762 chr2:235927762:G:A rs141651501 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 235950056 235950057 chr2:235950057:G:A rs11902362 G A A EBF1_EBF_1 -18 0 - 0 0 . chr2 235950550 235950551 chr2:235950551:T:C rs13418964 T C C EBF1_EBF_1 -15 0 + 0 0 . chr2 235952635 235952636 chr2:235952636:T:C rs10187771 T C T EBF1_EBF_1 -13 0 + 0 0 . chr2 235994032 235994033 chr2:235994033:G:C rs13014105 G C G EBF1_EBF_1 -20 0 + 0 0 . chr2 236043715 236043716 chr2:236043716:G:A rs12469505 G A G EBF1_EBF_1 12 1 - 7.089650820476259 6.06338424992541 TTTCCCTTGAGACG chr2 236048104 236048105 chr2:236048105:T:C rs3768948 T C C EBF1_EBF_1 32 0 - 0 0 . chr2 236112734 236112735 chr2:236112735:G:T rs13015700 G T G EBF1_EBF_1 26 0 - 0 0 . chr2 236123962 236123963 chr2:236123963:T:G rs11895915 T G G EBF1_EBF_1 -6 0 - 0 0 . chr2 236127945 236127946 chr2:236127946:A:G rs13016937 A G A EBF1_EBF_1 -9 0 - 0 0 . chr2 236130904 236130905 chr2:236130905:T:C rs6431429 T C C EBF1_EBF_1 -9 0 + 0 0 . chr2 236160910 236160911 chr2:236160911:A:G rs79849247 A G A EBF1_EBF_1 19 0 + 0 0 . chr2 236166785 236166786 chr2:236166786:G:A rs6709943 G A G EBF1_EBF_1 0 1 - 6.171800680490901 6.45400274122148 CCACCCCAGGGACA chr2 236167322 236167323 chr2:236167323:A:T rs143226635 A T A EBF1_EBF_1 17 0 - 0 0 . chr2 236167329 236167330 chr2:236167330:C:A rs10209196 C A C EBF1_EBF_1 10 1 - 3.620770774753259 -0.5619814114740055 ACCCCCGCGGGAGG chr2 236169861 236169862 chr2:236169862:C:A rs76778855 C A C EBF1_EBF_1 2 1 + 4.529181069593861 2.733536893437134 GCCCCCCGGGGGAC chr2 236171320 236171321 chr2:236171321:A:C rs72974747 A C A EBF1_EBF_1 -3 0 - 0 0 . chr2 236173824 236173825 chr2:236173825:C:T rs13382632 C T C EBF1_EBF_1 -8 0 + 0 0 . chr2 236176683 236176684 chr2:236176684:G:A rs72974752 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 236210813 236210814 chr2:236210814:T:C rs7584847 T C C EBF1_EBF_1 31 0 + 0 0 . chr2 236215549 236215550 chr2:236215550:C:T rs6431438 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 236215565 236215566 chr2:236215566:C:T rs6431439 C T T EBF1_EBF_1 12 1 + 6.982436870971482 5.9561703004206334 CTTCCCCAGAGACG chr2 236236837 236236838 chr2:236236838:C:T rs55801537 C T C EBF1_EBF_1 3 1 + 6.490141092329349 0.08474235077603148 TTCCCCCAGAGACA chr2 236237069 236237070 chr2:236237070:A:C rs7569760 A C C EBF1_EBF_1 -13 0 + 0 0 . chr2 236249523 236249524 chr2:236249524:A:G rs6431444 A G G EBF1_EBF_1 7 1 - 5.99488804213945 4.177383295856827 AACCCCATGGGCCC chr2 236265823 236265824 chr2:236265824:T:G rs6752008 T G T EBF1_EBF_1 1 1 - 8.110873811005176 8.181800988357262 CATCCCCAGGGGCT chr2 236338961 236338962 chr2:236338962:C:T rs772385497 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 236338973 236338974 chr2:236338974:C:T rs761025037 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 236352949 236352950 chr2:236352950:G:A rs1251521850 G A g EBF1_EBF_1 -14 0 + 0 0 . chr2 236353434 236353435 chr2:236353435:C:T rs62192378 C T C EBF1_EBF_1 25 0 - 0 0 . chr2 236354625 236354626 chr2:236354626:A:C chr2:236354626:A:C A C A EBF1_EBF_1 32 0 + 0 0 . chr2 236358872 236358873 chr2:236358873:C:G rs531667618 C G c EBF1_EBF_1 -4 0 - 0 0 . chr2 236393558 236393559 chr2:236393559:C:A rs67765089 C A A EBF1_EBF_1 -2 0 + 0 0 . chr2 236393593 236393594 chr2:236393594:G:A rs73125875 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 236393788 236393789 chr2:236393789:T:C rs6742495 T C T EBF1_EBF_1 0 1 - 6.3636391293269945 4.47135525976216 AAACCCTCGGGACA chr2 236398252 236398253 chr2:236398253:G:T rs74413598 G T G EBF1_EBF_1 10 1 + 4.475417533814542 0.2926653475872764 GTCCCCCAGGGTTC chr2 236399743 236399744 chr2:236399744:C:T rs6748813 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 236402359 236402360 chr2:236402360:C:T rs73996895 C T C EBF1_EBF_1 20 0 + 0 0 . chr2 236449515 236449516 chr2:236449516:C:T rs78648349 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 236530714 236530715 chr2:236530715:C:T rs10169073 C T T EBF1_EBF_1 -15 0 + 0 0 . chr2 236545281 236545282 chr2:236545282:C:T rs2873520 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 236550621 236550622 chr2:236550622:C:G rs7582077 C G C EBF1_EBF_1 15 0 - 0 0 . chr2 236556347 236556348 chr2:236556348:T:C rs2317643 T C T EBF1_EBF_1 28 0 + 0 0 . chr2 236618272 236618273 chr2:236618273:T:C rs6431478 T C C EBF1_EBF_1 2 1 + 7.476414944182681 5.587591532740531 AGTCCCACGGGGCA chr2 236622443 236622444 chr2:236622444:G:A rs76172293 G A G EBF1_EBF_1 22 0 + 0 0 . chr2 236629153 236629154 chr2:236629154:C:T rs7594287 C T T EBF1_EBF_1 24 0 + 0 0 . chr2 236630573 236630574 chr2:236630574:G:A chr2:236630574:G:A G A T EBF1_EBF_1 -14 0 - 0 0 . chr2 236630573 236630574 chr2:236630574:G:T rs113962993 G T T EBF1_EBF_1 -14 0 - 0 0 . chr2 236656782 236656783 chr2:236656783:C:T rs80247210 C T C EBF1_EBF_1 5 1 + 10.8417835284999 5.519996312959231 AATCCCATGGGAAG chr2 236661434 236661435 chr2:236661435:C:T rs2720130 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 236672897 236672898 chr2:236672898:G:A rs10181573 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 236672902 236672903 chr2:236672903:C:T rs58888637 C T C EBF1_EBF_1 31 0 + 0 0 . chr2 236678616 236678617 chr2:236678617:A:G rs2600759 A G A EBF1_EBF_1 -14 0 - 0 0 . chr2 236685518 236685519 chr2:236685519:T:C rs2600771 T C C EBF1_EBF_1 -17 0 - 0 0 . chr2 236687730 236687731 chr2:236687731:C:A rs57130412 C A C EBF1_EBF_1 -11 0 - 0 0 . chr2 236687990 236687991 chr2:236687991:A:G rs67998788 A G A EBF1_EBF_1 -18 0 + 0 0 . chr2 236695709 236695710 chr2:236695710:C:T rs2600791 C T C EBF1_EBF_1 5 1 + 7.047974741592463 1.7261875260517918 AGTCCCAAAGGAGA chr2 236705999 236706000 chr2:236706000:A:G rs1037397 A G A EBF1_EBF_1 7 1 - 4.752564929101146 2.935060182818522 CTCCTCATGGGACC chr2 236718478 236718479 chr2:236718479:C:G rs528381237 C G C EBF1_EBF_1 -20 0 - 0 0 . chr2 236719287 236719288 chr2:236719288:G:A rs13388558 G A A EBF1_EBF_1 -17 0 - 0 0 . chr2 236729050 236729051 chr2:236729051:C:T rs76369544 C T C EBF1_EBF_1 9 1 - 4.391478434106329 1.7365391686587253 GCTGCCCAGGGACA chr2 236729311 236729312 chr2:236729312:A:G rs1349586 A G G EBF1_EBF_1 -15 0 + 0 0 . chr2 236732173 236732174 chr2:236732174:C:G rs73997907 C G C EBF1_EBF_1 -17 0 + 0 0 . chr2 236743415 236743416 chr2:236743416:C:T rs61036706 C T C EBF1_EBF_1 -6 0 - 0 0 . chr2 236743422 236743423 chr2:236743423:G:A rs376854518 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 236745992 236745993 chr2:236745993:G:A rs145877368 G A G EBF1_EBF_1 12 1 + 6.531778703083926 7.950596045183404 ATCCCCCAGGGCGT chr2 236769777 236769778 chr2:236769778:T:C rs934399 T C T EBF1_EBF_1 27 0 - 0 0 . chr2 236770186 236770187 chr2:236770187:G:C rs761081886 G C G EBF1_EBF_1 -19 0 - 0 0 . chr2 236782000 236782001 chr2:236782001:T:C rs2720142 T C C EBF1_EBF_1 -12 0 + 0 0 . chr2 236787000 236787001 chr2:236787001:G:A rs10209593 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 236803950 236803951 chr2:236803951:C:G rs964067131 C G C EBF1_EBF_1 -18 0 + 0 0 . chr2 236813551 236813552 chr2:236813552:G:T rs55745181 G T G EBF1_EBF_1 29 0 - 0 0 . chr2 236816987 236816988 chr2:236816988:C:T rs79659179 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 236830700 236830701 chr2:236830701:C:T rs35804165 C T A EBF1_EBF_1 30 0 + 0 0 . chr2 236841066 236841067 chr2:236841067:C:A rs62186677 C A C EBF1_EBF_1 16 0 - 0 0 . chr2 236843246 236843247 chr2:236843247:G:C rs540456128 G C G EBF1_EBF_1 13 1 - 4.407302865995358 2.919231844639943 CTGCCCCAGGGAAC chr2 236885168 236885169 chr2:236885169:G:A rs30104 G A A EBF1_EBF_1 -15 0 + 0 0 . chr2 236902165 236902166 chr2:236902166:G:T rs6431489 G T G EBF1_EBF_1 -7 0 - 0 0 . chr2 236917769 236917770 chr2:236917770:T:G rs13421581 T G T EBF1_EBF_1 14 0 - 0 0 . chr2 236940454 236940455 chr2:236940455:C:T rs79080811 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 236945609 236945610 chr2:236945610:T:C rs73127730 T C C EBF1_EBF_1 -6 0 - 0 0 . chr2 236947014 236947015 chr2:236947015:T:C rs75840379 T C T EBF1_EBF_1 25 0 - 0 0 . chr2 236949284 236949285 chr2:236949285:C:T rs764235474 C T C EBF1_EBF_1 18 0 + 0 0 . chr2 236972694 236972695 chr2:236972695:G:A rs10175916 G A A EBF1_EBF_1 14 0 + 0 0 . chr2 236985797 236985798 chr2:236985798:G:T rs7583498 G T G EBF1_EBF_1 5 1 - 7.461436127654279 0.5565549750191109 ATTCCCATGGGCGC chr2 236985822 236985823 chr2:236985823:T:A rs7574309 T A T EBF1_EBF_1 -20 0 - 0 0 . chr2 237000165 237000166 chr2:237000166:C:T rs62183302 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 237009857 237009858 chr2:237009858:T:C rs12992546 T C T EBF1_EBF_1 -19 0 + 0 0 . chr2 237015860 237015861 chr2:237015861:T:C rs2701326 T C T EBF1_EBF_1 -1 0 - 0 0 . chr2 237030506 237030507 chr2:237030507:G:A rs28675822 G A G EBF1_EBF_1 -14 0 + 0 0 . chr2 237061224 237061225 chr2:237061225:G:T rs73083515 G T G EBF1_EBF_1 -15 0 + 0 0 . chr2 237072208 237072209 chr2:237072209:T:C rs13383938 T C T EBF1_EBF_1 27 0 + 0 0 . chr2 237093416 237093417 chr2:237093417:G:A rs141045496 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 237098167 237098168 chr2:237098168:C:T rs114395681 C T C EBF1_EBF_1 8 1 - 5.395583159666129 1.1692930707798685 AATCCCCAGTGATT chr2 237128240 237128241 chr2:237128241:C:T rs4663701 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 237157723 237157724 chr2:237157724:C:T rs189394438 C T C EBF1_EBF_1 11 1 - 4.775863131833388 7.668569975437399 AGCCCCGGGGGGCC chr2 237157724 237157725 chr2:237157725:C:T rs149683172 C T C EBF1_EBF_1 10 1 - 4.775863131833388 -0.542165327433579 AGCCCCGGGGGGCC chr2 237194250 237194251 chr2:237194251:G:A rs373515383 G A G EBF1_EBF_1 -16 0 + 0 0 . chr2 237194261 237194262 chr2:237194262:C:T rs4663242 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 237201652 237201653 chr2:237201653:C:T rs4078064 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 237203220 237203221 chr2:237203221:G:C rs10199274 G C C EBF1_EBF_1 24 0 - 0 0 . chr2 237204907 237204908 chr2:237204908:A:G rs12692199 A G G EBF1_EBF_1 28 0 + 0 0 . chr2 237214077 237214078 chr2:237214078:G:A rs11676669 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 237222276 237222277 chr2:237222277:G:A rs76896381 G A A EBF1_EBF_1 31 0 - 0 0 . chr2 237230883 237230884 chr2:237230884:G:A rs35167116 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 237260248 237260249 chr2:237260249:G:A rs11902558 G A G EBF1_EBF_1 8 1 + 10.683488454020603 6.457198365134343 ATTCCCTAGAGAAA chr2 237270711 237270712 chr2:237270712:G:A rs10929220 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 237276818 237276819 chr2:237276819:G:C rs11903522 G C C EBF1_EBF_1 20 0 - 0 0 . chr2 237348369 237348370 chr2:237348370:G:A rs2646265 G A G EBF1_EBF_1 3 1 - 5.531841913369163 -0.8735568281841533 ATTCCCTGGATACC chr2 237384179 237384180 chr2:237384180:C:G rs116561235 C G C EBF1_EBF_1 -5 0 - 0 0 . chr2 237413195 237413196 chr2:237413196:G:A rs115727053 G A G EBF1_EBF_1 -17 0 + 0 0 . chr2 237413236 237413237 chr2:237413237:A:C rs533826224 A C A EBF1_EBF_1 24 0 + 0 0 . chr2 237436762 237436763 chr2:237436763:G:C rs13428622 G C G EBF1_EBF_1 24 0 + 0 0 . chr2 237444214 237444215 chr2:237444215:C:T rs79409770 C T C EBF1_EBF_1 -9 0 + 0 0 . chr2 237444254 237444255 chr2:237444255:G:T rs7599658 G T G EBF1_EBF_1 31 0 + 0 0 . chr2 237444417 237444418 chr2:237444418:C:T rs73096953 C T C EBF1_EBF_1 25 0 + 0 0 . chr2 237452402 237452403 chr2:237452403:G:A rs78176419 G A G EBF1_EBF_1 7 1 + 5.621656468534805 6.9341148738236305 TTTCCCCGGGAACT chr2 237456586 237456587 chr2:237456587:T:C rs61212490 T C C EBF1_EBF_1 23 0 - 0 0 . chr2 237471872 237471873 chr2:237471873:T:C rs149088703 T C T EBF1_EBF_1 -6 0 + 0 0 . chr2 237474384 237474385 chr2:237474385:T:C rs7571898 T C C EBF1_EBF_1 28 0 + 0 0 . chr2 237475639 237475640 chr2:237475640:G:C rs948079691 G C G EBF1_EBF_1 12 1 - 5.71124908851777 4.322613144839618 ACCCCCTCGGGGCC chr2 237475652 237475653 chr2:237475653:C:T rs74378166 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 237479987 237479988 chr2:237479988:T:G rs13432426 T G G EBF1_EBF_1 33 0 - 0 0 . chr2 237482101 237482102 chr2:237482102:T:C rs7581882 T C C EBF1_EBF_1 -18 0 + 0 0 . chr2 237482468 237482469 chr2:237482469:G:A rs999663106 G A G EBF1_EBF_1 9 1 + 5.379703943622471 2.724764678174867 GAACCCCAGGGAAG chr2 237486078 237486079 chr2:237486079:A:G rs148339395 A G A EBF1_EBF_1 -5 0 + 0 0 . chr2 237486102 237486103 chr2:237486103:G:T rs78865754 G T G EBF1_EBF_1 19 0 + 0 0 . chr2 237492099 237492100 chr2:237492100:G:C rs12467572 G C G EBF1_EBF_1 5 1 - 9.712993698357437 2.8081125457222695 CATCCCCTGGGAAC chr2 237502597 237502598 chr2:237502598:C:T rs188461468 C T C EBF1_EBF_1 29 0 - 0 0 . chr2 237519542 237519543 chr2:237519543:G:C rs10184904 G C C EBF1_EBF_1 -9 0 + 0 0 . chr2 237519612 237519613 chr2:237519613:T:C rs10176842 T C C EBF1_EBF_1 11 1 - 4.6593235283046095 1.7666166847005977 TTGCCCCAGGGACC chr2 237529986 237529987 chr2:237529987:C:A rs67760028 C A C EBF1_EBF_1 32 0 - 0 0 . chr2 237534898 237534899 chr2:237534899:T:C rs9646713 T C C EBF1_EBF_1 -13 0 + 0 0 . chr2 237540109 237540110 chr2:237540110:A:G rs13429623 A G A EBF1_EBF_1 -20 0 + 0 0 . chr2 237546257 237546258 chr2:237546258:G:C rs73999104 G C g EBF1_EBF_1 16 0 - 0 0 . chr2 237546417 237546418 chr2:237546418:A:T chr2:237546418:A:T A T A EBF1_EBF_1 24 0 + 0 0 . chr2 237564082 237564083 chr2:237564083:G:A rs75004094 G A A EBF1_EBF_1 17 0 + 0 0 . chr2 237574520 237574521 chr2:237574521:T:C rs6766 T C C EBF1_EBF_1 27 0 + 0 0 . chr2 237582521 237582522 chr2:237582522:G:A rs79200469 G A G EBF1_EBF_1 5 1 - 6.809983201522187 1.4881959859815161 ATCCCCGAGGGAGG chr2 237585022 237585023 chr2:237585023:G:A rs12465265 G A G EBF1_EBF_1 8 1 + 9.45444338305103 5.228153294164771 ATCCCCTGGGGATC chr2 237586098 237586099 chr2:237586099:A:G rs3751112 A G A EBF1_EBF_1 24 0 + 0 0 . chr2 237594028 237594029 chr2:237594029:C:T rs75316671 C T C EBF1_EBF_1 7 1 + 8.267702258288656 10.085207004571279 CCTCCCTCGGGAAC chr2 237594227 237594228 chr2:237594228:G:T rs6710722 G T T EBF1_EBF_1 22 0 + 0 0 . chr2 237604676 237604677 chr2:237604677:G:A rs7580258 G A G EBF1_EBF_1 13 1 + 6.139123487588551 7.25344905965799 ATCCCCAAAGGACG chr2 237612917 237612918 chr2:237612918:C:T rs79888585 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 237617299 237617300 chr2:237617300:C:T rs4331456 C T C EBF1_EBF_1 6 1 - 4.611167943572927 6.777962374847402 CATCCCGTGGGGCA chr2 237632206 237632207 chr2:237632207:A:T rs7560003 A T T EBF1_EBF_1 0 1 + 7.40442446989868 5.431368046932657 AGCCCCCAAGGACT chr2 237642041 237642042 chr2:237642042:G:A rs74449699 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 237643622 237643623 chr2:237643623:G:T rs59333370 G T G EBF1_EBF_1 3 1 - 8.273560145054649 1.3673861155888405 TCTCCCCTGGGAGA chr2 237661169 237661170 chr2:237661170:C:T rs6726878 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 237661195 237661196 chr2:237661196:G:A rs6754644 G A A EBF1_EBF_1 -6 0 - 0 0 . chr2 237664101 237664102 chr2:237664102:G:A rs542237797 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 237670799 237670800 chr2:237670800:T:G rs80121146 T G T EBF1_EBF_1 -9 0 + 0 0 . chr2 237670824 237670825 chr2:237670825:T:C rs146807852 T C T EBF1_EBF_1 16 0 + 0 0 . chr2 237677828 237677829 chr2:237677829:C:T rs4459685 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 237692990 237692991 chr2:237692991:C:G rs569176047 C G C EBF1_EBF_1 -9 0 - 0 0 . chr2 237692997 237692998 chr2:237692998:T:C rs192785400 T C C EBF1_EBF_1 -16 0 - 0 0 . chr2 237700624 237700625 chr2:237700625:C:G rs112350081 C G C EBF1_EBF_1 10 1 - 4.550572612915425 -2.34022977441405 CGCCCCACGGGGCT chr2 237700627 237700628 chr2:237700628:G:A rs111940917 G A G EBF1_EBF_1 7 1 - 4.550572612915425 6.368077359198049 CGCCCCACGGGGCT chr2 237700986 237700987 chr2:237700987:G:T rs3754726 G T G EBF1_EBF_1 -10 0 - 0 0 . chr2 237703742 237703743 chr2:237703743:T:G rs3754725 T G G EBF1_EBF_1 6 1 + 8.586031791215925 6.47955359888097 TTTCCCTGGAGACT chr2 237703763 237703764 chr2:237703764:A:G rs3754724 A G G EBF1_EBF_1 27 0 + 0 0 . chr2 237713140 237713141 chr2:237713141:C:T rs984859383 C T C EBF1_EBF_1 22 0 + 0 0 . chr2 237713146 237713147 chr2:237713147:C:T rs3769090 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 237721592 237721593 chr2:237721593:C:T rs1565853 C T C EBF1_EBF_1 -13 0 + 0 0 . chr2 237721909 237721910 chr2:237721910:T:C rs1038986 T C C EBF1_EBF_1 23 0 - 0 0 . chr2 237721933 237721934 chr2:237721934:G:A rs74438868 G A C EBF1_EBF_1 -1 0 - 0 0 . chr2 237725021 237725022 chr2:237725022:C:T rs55955704 C T c EBF1_EBF_1 -9 0 - 0 0 . chr2 237743951 237743952 chr2:237743952:C:G rs59485259 C G G EBF1_EBF_1 11 1 + 5.189738479690348 6.712993333938727 TGTCCCAAGGGCAG chr2 237743958 237743959 chr2:237743959:G:A rs13383118 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 237749555 237749556 chr2:237749556:C:T rs2304434 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 237755481 237755482 chr2:237755482:A:G rs3769057 A G G EBF1_EBF_1 20 0 - 0 0 . chr2 237755496 237755497 chr2:237755497:G:C rs1369887398 G C G EBF1_EBF_1 5 1 - 6.952554362255452 0.04767320962028483 CCCCCCCAGGGAGC chr2 237867787 237867788 chr2:237867788:G:C rs3769037 G C G EBF1_EBF_1 28 0 - 0 0 . chr2 237871499 237871500 chr2:237871500:T:C rs77182426 T C T EBF1_EBF_1 19 0 + 0 0 . chr2 237874018 237874019 chr2:237874019:G:A rs538972046 G A G EBF1_EBF_1 17 0 - 0 0 . chr2 237875115 237875116 chr2:237875116:C:T rs3769033 C T T EBF1_EBF_1 9 1 - 4.199904025616735 1.5449647601691303 GCTCCCAGGGGCTC chr2 237875392 237875393 chr2:237875393:G:A rs3820802 G A G EBF1_EBF_1 -3 0 - 0 0 . chr2 237875394 237875395 chr2:237875395:A:G rs3820801 A G G EBF1_EBF_1 -5 0 - 0 0 . chr2 237878707 237878708 chr2:237878708:C:T rs927285024 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 237878984 237878985 chr2:237878985:G:A rs144010840 G A G EBF1_EBF_1 -15 0 - 0 0 . chr2 237886061 237886062 chr2:237886062:A:G rs302670 A G A EBF1_EBF_1 -6 0 + 0 0 . chr2 237886217 237886218 chr2:237886218:G:A rs148820314 G A G EBF1_EBF_1 5 1 - 3.9342046211100232 -1.3875825944306475 ACCCCCGTGGGGCG chr2 237886655 237886656 chr2:237886656:G:A rs74002603 G A G EBF1_EBF_1 6 1 - 4.729118659924564 5.030404788786321 TTCCCCCAGGGCCA chr2 237895802 237895803 chr2:237895803:C:T rs7590248 C T C EBF1_EBF_1 22 0 - 0 0 . chr2 237895842 237895843 chr2:237895843:T:G rs35144474 T G G EBF1_EBF_1 -18 0 - 0 0 . chr2 237898456 237898457 chr2:237898457:C:A rs907886187 C A C EBF1_EBF_1 14 0 - 0 0 . chr2 237898748 237898749 chr2:237898749:C:G rs748326068 C G T EBF1_EBF_1 0 1 + 5.743124842171279 6.106099456303048 CATCCCCAGGGCCC chr2 237898762 237898763 chr2:237898763:G:A rs1428277397 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 237902590 237902591 chr2:237902591:G:A rs74002610 G A A EBF1_EBF_1 -5 0 - 0 0 . chr2 237923360 237923361 chr2:237923361:C:G rs7582116 C G C EBF1_EBF_1 -5 0 + 0 0 . chr2 237927645 237927646 chr2:237927646:C:G rs72981953 C G C EBF1_EBF_1 5 1 + 11.386891291113177 4.482010138478009 TCTCCCCAGGGAAT chr2 237943350 237943351 chr2:237943351:G:T rs6740881 G T T EBF1_EBF_1 2 1 - 5.427579406462142 3.631935230305415 AACGCCATGGGACT chr2 237956296 237956297 chr2:237956297:G:A rs62194505 G A A EBF1_EBF_1 8 1 + 6.133473142102171 1.9071830532159104 AATCCCCTGGGCGC chr2 237961241 237961242 chr2:237961242:C:T rs6723077 C T T EBF1_EBF_1 15 0 + 0 0 . chr2 237977649 237977650 chr2:237977650:G:A rs143721356 G A G EBF1_EBF_1 -14 0 - 0 0 . chr2 237978385 237978386 chr2:237978386:G:A rs72981226 G A G EBF1_EBF_1 1 1 - 9.856268268089778 10.751701708488524 CCTCCCCAGGGACA chr2 237982488 237982489 chr2:237982489:G:A rs7585649 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 238024502 238024503 chr2:238024503:G:A rs11889966 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 238060508 238060509 chr2:238060509:C:T rs2243545 C T C EBF1_EBF_1 4 1 + 3.8040904380685028 -0.5652965068764211 AGACCCCCGGGGCC chr2 238099835 238099836 chr2:238099836:C:T rs6754733 C T T EBF1_EBF_1 -13 0 + 0 0 . chr2 238099872 238099873 chr2:238099873:T:A rs1562338 T A T EBF1_EBF_1 24 0 + 0 0 . chr2 238099873 238099874 chr2:238099874:C:A rs1562339 C A C EBF1_EBF_1 25 0 + 0 0 . chr2 238116482 238116483 chr2:238116483:A:G rs12692213 A G G EBF1_EBF_1 23 0 - 0 0 . chr2 238120845 238120846 chr2:238120846:C:T rs11902440 C T C EBF1_EBF_1 9 1 - 5.300883421443187 2.6459441559955836 AGCCCCTGCGGACT chr2 238120945 238120946 chr2:238120946:G:C rs746962346 G C G EBF1_EBF_1 8 1 + 8.597802997163342 3.2791441362486555 GCCCCCAGGGGACT chr2 238131199 238131200 chr2:238131200:A:G rs10172220 A G G EBF1_EBF_1 0 1 + 4.63903666533755 2.746752795772716 AGCCCCGCGGGGCT chr2 238132953 238132954 chr2:238132954:A:T rs2278740 A T A EBF1_EBF_1 28 0 - 0 0 . chr2 238134363 238134364 chr2:238134364:G:A rs72989019 G A G EBF1_EBF_1 -13 0 - 0 0 . chr2 238139190 238139191 chr2:238139191:G:A rs73102328 G A G EBF1_EBF_1 4 1 - 6.367830130404781 1.998443185459856 CTTCCCCTGGGGGC chr2 238139268 238139269 chr2:238139269:T:C rs13421970 T C C EBF1_EBF_1 -19 0 - 0 0 . chr2 238140341 238140342 chr2:238140342:C:T rs114574280 C T C EBF1_EBF_1 16 0 - 0 0 . chr2 238145862 238145863 chr2:238145863:G:T rs11674140 G T G EBF1_EBF_1 10 1 + 6.542807298080561 2.3600551118532973 CTTCCCTGGGGCCT chr2 238161892 238161893 chr2:238161893:G:A rs12618914 G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 238166056 238166057 chr2:238166057:C:T rs34989058 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 238169137 238169138 chr2:238169138:G:A rs143691198 G A G EBF1_EBF_1 5 1 - 4.980077713966972 -0.3417095015736977 GTTCCCGAGAGGCT chr2 238176503 238176504 chr2:238176504:G:C rs55866099 G C G EBF1_EBF_1 30 0 + 0 0 . chr2 238202828 238202829 chr2:238202829:G:A rs6742224 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 238210190 238210191 chr2:238210191:G:A rs111276182 G A G EBF1_EBF_1 4 1 - 6.162144446763007 1.792757501818083 CTCCCCCAGGGGTT chr2 238225201 238225202 chr2:238225202:A:G rs35648651 A G A EBF1_EBF_1 26 0 + 0 0 . chr2 238231383 238231384 chr2:238231384:G:C rs114769095 G C G EBF1_EBF_1 -9 0 - 0 0 . chr2 238231420 238231421 chr2:238231421:C:T rs74605005 C T C EBF1_EBF_1 31 0 - 0 0 . chr2 238241956 238241957 chr2:238241957:T:C rs934946 T C C EBF1_EBF_1 21 0 + 0 0 . chr2 238243084 238243085 chr2:238243085:G:A rs1665281 G A G EBF1_EBF_1 -14 0 - 0 0 . chr2 238286475 238286476 chr2:238286476:A:G rs58281597 A G A EBF1_EBF_1 33 0 - 0 0 . chr2 238286508 238286509 chr2:238286509:G:T rs189293317 G T G EBF1_EBF_1 0 1 - 8.64247643479536 10.89773491849196 CCCCCCTAGGGACC chr2 238316007 238316008 chr2:238316008:C:A rs34540622 C A C EBF1_EBF_1 -7 0 - 0 0 . chr2 238326645 238326646 chr2:238326646:G:A rs6737780 G A A EBF1_EBF_1 26 0 + 0 0 . chr2 238330614 238330615 chr2:238330615:C:T rs67034173 C T C EBF1_EBF_1 28 0 - 0 0 . chr2 238380399 238380400 chr2:238380400:T:G rs111233411 T G G EBF1_EBF_1 -3 0 + 0 0 . chr2 238408470 238408471 chr2:238408471:A:G rs60049901 A G A EBF1_EBF_1 -3 0 + 0 0 . chr2 238409042 238409043 chr2:238409043:G:A rs529759 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 238409050 238409051 chr2:238409051:G:T rs55694368 G T G EBF1_EBF_1 19 0 - 0 0 . chr2 238411928 238411929 chr2:238411929:A:G rs10084472 A G G EBF1_EBF_1 28 0 - 0 0 . chr2 238415122 238415123 chr2:238415123:G:A rs7563974 G A G EBF1_EBF_1 15 0 - 0 0 . chr2 238424512 238424513 chr2:238424513:T:C rs559785134 T C T EBF1_EBF_1 -3 0 - 0 0 . chr2 238427497 238427498 chr2:238427498:G:A rs113656277 G A G EBF1_EBF_1 3 1 - 4.7153261285482975 -1.6900726130050199 CCTCCCTAGGGCTA chr2 238427516 238427517 chr2:238427517:C:T rs79987491 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 238439383 238439384 chr2:238439384:A:G rs72987309 A G A EBF1_EBF_1 19 0 - 0 0 . chr2 238444123 238444124 chr2:238444124:T:G rs72987321 T G T EBF1_EBF_1 7 1 + 7.598844470669283 6.762660305468016 CTTCCCTTAGGAAT chr2 238458415 238458416 chr2:238458416:G:A rs512811 G A G EBF1_EBF_1 -20 0 - 0 0 . chr2 238463022 238463023 chr2:238463023:G:C rs35320580 G C G EBF1_EBF_1 29 0 - 0 0 . chr2 238489531 238489532 chr2:238489532:C:T rs541805323 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 238489575 238489576 chr2:238489576:C:T rs71426536 C T C EBF1_EBF_1 29 0 + 0 0 . chr2 238494749 238494750 chr2:238494750:C:T rs73092663 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 238494868 238494869 chr2:238494869:C:G rs114926975 C G c EBF1_EBF_1 31 0 - 0 0 . chr2 238497036 238497037 chr2:238497037:C:T rs60218086 C T C EBF1_EBF_1 27 0 - 0 0 . chr2 238499002 238499003 chr2:238499003:G:A rs11883735 G A A EBF1_EBF_1 12 1 - 6.3692054913033145 5.342938920752465 TCTCCCCAGAGACG chr2 238500124 238500125 chr2:238500125:G:A rs80028129 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 238500158 238500159 chr2:238500159:C:A rs13412821 C A C EBF1_EBF_1 -20 0 - 0 0 . chr2 238519673 238519674 chr2:238519674:C:T rs72994973 C T C EBF1_EBF_1 -4 0 - 0 0 . chr2 238527580 238527581 chr2:238527581:C:G rs1510513 C G C EBF1_EBF_1 8 1 - 6.867247030044561 1.5485881691298757 AGCCCCCAGGGGTC chr2 238531760 238531761 chr2:238531761:A:G rs553056987 A G a EBF1_EBF_1 32 0 - 0 0 . chr2 238544856 238544857 chr2:238544857:G:A rs1553843 G A a EBF1_EBF_1 7 1 + 7.437375979853381 8.749834385142206 TCTCCCCGGGGAGA chr2 238558013 238558014 chr2:238558014:G:A rs145058954 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 238558707 238558708 chr2:238558708:G:A rs13430702 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 238560143 238560144 chr2:238560144:G:A rs6750575 G A g EBF1_EBF_1 -4 0 - 0 0 . chr2 238563457 238563458 chr2:238563458:G:A rs11686506 G A G EBF1_EBF_1 4 1 - 7.063836041042268 2.6944490960973435 AGTCCCTGAGGACA chr2 238570185 238570186 chr2:238570186:G:C rs12992915 G C G EBF1_EBF_1 6 1 - 11.93807078363271 10.132878720159512 AATCCCCTGGGACC chr2 238570211 238570212 chr2:238570212:G:A rs11884933 G A A EBF1_EBF_1 -20 0 - 0 0 . chr2 238571654 238571655 chr2:238571655:C:T rs56296904 C T C EBF1_EBF_1 -14 0 - 0 0 . chr2 238572834 238572835 chr2:238572835:A:G rs75696297 A G A EBF1_EBF_1 11 1 + 8.458364810842198 5.565657967238187 CTTCCCAAGAGACA chr2 238587023 238587024 chr2:238587024:G:A rs62189869 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 238587040 238587041 chr2:238587041:A:G rs12615081 A G A EBF1_EBF_1 7 1 + 9.829488849050978 8.517030443762152 TCCCCCAAGGGACT chr2 238598558 238598559 chr2:238598559:G:C rs6741966 G C C EBF1_EBF_1 -1 0 + 0 0 . chr2 238606284 238606285 chr2:238606285:T:G rs2136770 T G T EBF1_EBF_1 -8 0 - 0 0 . chr2 238616007 238616008 chr2:238616008:A:C rs2175300 A C A EBF1_EBF_1 18 0 + 0 0 . chr2 238636698 238636699 chr2:238636699:G:T rs73106860 G T T EBF1_EBF_1 18 0 + 0 0 . chr2 238654124 238654125 chr2:238654125:C:T rs13001279 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 238684128 238684129 chr2:238684129:A:T rs72996356 A T A EBF1_EBF_1 -16 0 - 0 0 . chr2 238686451 238686452 chr2:238686452:G:A rs13404116 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 238719109 238719110 chr2:238719110:A:G rs114702853 A G A EBF1_EBF_1 27 0 + 0 0 . chr2 238730552 238730553 chr2:238730553:C:T rs555522791 C T C EBF1_EBF_1 -12 0 + 0 0 . chr2 238742748 238742749 chr2:238742749:G:A rs62189899 G A G EBF1_EBF_1 2 1 - 4.624609897521466 6.513433308963616 AGCCCCATTGGACT chr2 238785233 238785234 chr2:238785234:C:T rs59379047 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 238845840 238845841 chr2:238845841:G:T rs192266015 G T G EBF1_EBF_1 6 1 - 3.479392742810839 3.840995110612116 ACCCCCCGGGGCGC chr2 238845847 238845848 chr2:238845848:C:A rs9679378 C A C EBF1_EBF_1 -1 0 - 0 0 . chr2 238868181 238868182 chr2:238868182:A:G rs145908576 A G G EBF1_EBF_1 -16 0 + 0 0 . chr2 238868185 238868186 chr2:238868186:T:C rs36171175 T C T EBF1_EBF_1 -12 0 + 0 0 . chr2 238874841 238874842 chr2:238874842:G:C rs147585237 G C G EBF1_EBF_1 16 0 + 0 0 . chr2 238879976 238879977 chr2:238879977:G:C rs142964403 G C g EBF1_EBF_1 16 0 + 0 0 . chr2 238884828 238884829 chr2:238884829:G:A rs80188131 G A G EBF1_EBF_1 13 1 + 3.6777833358726486 4.7921089079420875 GCTCCCTGGGGCCG chr2 238897548 238897549 chr2:238897549:C:T rs999155747 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 238913146 238913147 chr2:238913147:C:A rs4074298 C A A EBF1_EBF_1 14 0 - 0 0 . chr2 238913614 238913615 chr2:238913615:G:A rs62191095 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 238916805 238916806 chr2:238916806:A:T rs76874154 A T A EBF1_EBF_1 -10 0 + 0 0 . chr2 238925401 238925402 chr2:238925402:T:C rs67287027 T C t EBF1_EBF_1 6 1 - 6.0974168329410325 3.9306224016665574 AACCCCAAGGGCCA chr2 238928270 238928271 chr2:238928271:G:A rs12471035 G A G EBF1_EBF_1 25 0 - 0 0 . chr2 238937997 238937998 chr2:238937998:C:T rs79309246 C T C EBF1_EBF_1 6 1 + 5.193547481968433 5.49483361083019 ATTCCACGGGGAAC chr2 238942971 238942972 chr2:238942972:A:G rs11687184 A G A EBF1_EBF_1 2 1 - 6.233036828804614 4.344213417362464 TATCCCAAAGGAAA chr2 238950009 238950010 chr2:238950010:T:C rs72995084 T C t EBF1_EBF_1 -1 0 + 0 0 . chr2 238966011 238966012 chr2:238966012:C:T rs117280221 C T C EBF1_EBF_1 3 1 + 6.017332104217583 -0.38806663733573354 AGCCCCACGAGATT chr2 238966874 238966875 chr2:238966875:T:C rs7579583 T C T EBF1_EBF_1 32 0 + 0 0 . chr2 238971221 238971222 chr2:238971222:C:T rs6543544 C T C EBF1_EBF_1 33 0 - 0 0 . chr2 238986627 238986628 chr2:238986628:C:G rs10208752 C G C EBF1_EBF_1 9 1 - 8.446728463033722 3.371039316688258 AGCCCCAGGGGAGC chr2 238988283 238988284 chr2:238988284:C:T rs146138090 C T C EBF1_EBF_1 11 1 + 4.639494833519094 4.9575422752727585 GTCCCCCAGGGCGT chr2 238988294 238988295 chr2:238988295:A:C rs62191120 A C C EBF1_EBF_1 22 0 + 0 0 . chr2 238997454 238997455 chr2:238997455:G:A rs34604727 G A G EBF1_EBF_1 -18 0 - 0 0 . chr2 239003329 239003330 chr2:239003330:C:T rs1447065345 C T C EBF1_EBF_1 17 0 - 0 0 . chr2 239007339 239007340 chr2:239007340:C:T rs7591946 C T T EBF1_EBF_1 28 0 + 0 0 . chr2 239009580 239009581 chr2:239009581:G:A rs113682246 G A G EBF1_EBF_1 1 1 + 4.999114013031411 4.73841518111011 GGCCCCTAAGGAAC chr2 239013438 239013439 chr2:239013439:T:G rs57828993 T G G EBF1_EBF_1 -13 0 - 0 0 . chr2 239016760 239016761 chr2:239016761:C:T rs11680582 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 239020694 239020695 chr2:239020695:G:A rs62191145 G A G EBF1_EBF_1 -1 0 + 0 0 . chr2 239021555 239021556 chr2:239021556:C:T rs113452364 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 239023967 239023968 chr2:239023968:A:G rs62191146 A G A EBF1_EBF_1 -2 0 + 0 0 . chr2 239030967 239030968 chr2:239030968:T:G rs11689632 T G T EBF1_EBF_1 20 0 - 0 0 . chr2 239035959 239035960 chr2:239035960:T:G rs10167690 T G t EBF1_EBF_1 33 0 - 0 0 . chr2 239037156 239037157 chr2:239037157:A:G rs62189266 A G A EBF1_EBF_1 7 1 + 6.299920628499663 4.987462223210837 TCCCCCTAGAGAAC chr2 239040441 239040442 chr2:239040442:G:A rs62189268 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 239040459 239040460 chr2:239040460:T:C rs885377 T C C EBF1_EBF_1 14 0 - 0 0 . chr2 239040467 239040468 chr2:239040468:G:A rs532322910 G A G EBF1_EBF_1 6 1 - 6.581055195306586 6.882341324168343 AGCCCCCGGGGGCC chr2 239040857 239040858 chr2:239040858:A:T rs895813 A T A EBF1_EBF_1 33 0 - 0 0 . chr2 239044569 239044570 chr2:239044570:A:G rs13395396 A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 239062962 239062963 chr2:239062963:C:T rs62189528 C T C EBF1_EBF_1 10 1 - 10.61123233297362 5.2932038737066565 AGCCCCTTGGGACC chr2 239063035 239063036 chr2:239063036:G:A rs62189529 G A G EBF1_EBF_1 32 0 - 0 0 . chr2 239076147 239076148 chr2:239076148:C:G chr2:239076148:C:G C G C EBF1_EBF_1 -17 0 + 0 0 . chr2 239091259 239091260 chr2:239091260:A:G rs74738248 A G A EBF1_EBF_1 -12 0 - 0 0 . chr2 239091287 239091288 chr2:239091288:C:G rs3791378 C G C EBF1_EBF_1 21 0 - 0 0 . chr2 239092693 239092694 chr2:239092694:C:A rs6743929 C A C EBF1_EBF_1 -4 0 + 0 0 . chr2 239094791 239094792 chr2:239094792:A:G rs62189551 A G G EBF1_EBF_1 -16 0 + 0 0 . chr2 239098510 239098511 chr2:239098511:G:A rs3791388 G A G EBF1_EBF_1 25 0 + 0 0 . chr2 239116990 239116991 chr2:239116991:G:A rs3791423 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 239122913 239122914 chr2:239122914:G:A rs77814230 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 239155776 239155777 chr2:239155777:C:T rs3791505 C T C EBF1_EBF_1 11 1 - 6.24995705895535 9.142663902559361 CCTCCCTAGGGGGC chr2 239158006 239158007 chr2:239158007:T:C rs146816114 T C T EBF1_EBF_1 20 0 - 0 0 . chr2 239158011 239158012 chr2:239158012:C:T rs573495902 C T C EBF1_EBF_1 15 0 - 0 0 . chr2 239162356 239162357 chr2:239162357:T:A rs3791516 T A T EBF1_EBF_1 -19 0 + 0 0 . chr2 239168592 239168593 chr2:239168593:T:C rs11888915 T C T EBF1_EBF_1 24 0 + 0 0 . chr2 239175319 239175320 chr2:239175320:G:A rs576748596 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 239185526 239185527 chr2:239185527:G:T rs11681283 G T G EBF1_EBF_1 -17 0 - 0 0 . chr2 239190317 239190318 chr2:239190318:G:A rs3791554 G A G EBF1_EBF_1 -4 0 - 0 0 . chr2 239194965 239194966 chr2:239194966:C:T rs3791575 C T T EBF1_EBF_1 8 1 + 5.121097596767428 3.5483236687049193 TCTCCCTACGGACA chr2 239209936 239209937 chr2:239209937:C:A rs675093 C A C EBF1_EBF_1 13 1 + 4.9671262008386154 4.5933807515526395 GACCCCTGGAGACC chr2 239216750 239216751 chr2:239216751:G:A rs3791608 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 239222175 239222176 chr2:239222176:C:T rs3791610 C T C EBF1_EBF_1 32 0 - 0 0 . chr2 239224621 239224622 chr2:239224622:C:T rs143805728 C T C EBF1_EBF_1 -20 0 + 0 0 . chr2 239225762 239225763 chr2:239225763:T:G rs72988624 T G T EBF1_EBF_1 16 0 + 0 0 . chr2 239242970 239242971 chr2:239242971:C:T rs190200535 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 239242993 239242994 chr2:239242994:T:C rs6712996 T C t EBF1_EBF_1 -3 0 - 0 0 . chr2 239250064 239250065 chr2:239250065:G:A rs77220289 G A G EBF1_EBF_1 -18 0 + 0 0 . chr2 239250066 239250067 chr2:239250067:G:T rs576744461 G T G EBF1_EBF_1 -16 0 + 0 0 . chr2 239250076 239250077 chr2:239250077:G:A rs79031064 G A G EBF1_EBF_1 -6 0 + 0 0 . chr2 239250264 239250265 chr2:239250265:G:A rs59460888 G A G EBF1_EBF_1 0 1 + 4.974963160479727 6.867247030044561 GGCCCCCAGGGGTC chr2 239263431 239263432 chr2:239263432:C:A rs58125500 C A C EBF1_EBF_1 -6 0 + 0 0 . chr2 239264619 239264620 chr2:239264620:C:A rs80329546 C A T EBF1_EBF_1 15 0 + 0 0 . chr2 239266857 239266858 chr2:239266858:C:A rs77714977 C A C EBF1_EBF_1 -20 0 + 0 0 . chr2 239280038 239280039 chr2:239280039:A:G rs78197901 A G A EBF1_EBF_1 29 0 - 0 0 . chr2 239280071 239280072 chr2:239280072:G:C rs149243661 G C G EBF1_EBF_1 -4 0 - 0 0 . chr2 239291426 239291427 chr2:239291427:C:A rs146702016 C A C EBF1_EBF_1 -1 0 - 0 0 . chr2 239300926 239300927 chr2:239300927:G:A rs13000297 G A G EBF1_EBF_1 -8 0 + 0 0 . chr2 239306168 239306169 chr2:239306169:A:G rs182275924 A G A EBF1_EBF_1 17 0 - 0 0 . chr2 239308756 239308757 chr2:239308757:C:T rs115324285 C T C EBF1_EBF_1 -14 0 + 0 0 . chr2 239327359 239327360 chr2:239327360:C:G rs6741059 C G C EBF1_EBF_1 -17 0 - 0 0 . chr2 239354331 239354332 chr2:239354332:T:G rs62182147 T G T EBF1_EBF_1 0 1 - 4.214963275753329 1.9597047920567279 ACTTCCCTGGGAGC chr2 239376632 239376633 chr2:239376633:C:T rs57588482 C T C EBF1_EBF_1 -9 0 - 0 0 . chr2 239384371 239384372 chr2:239384372:A:T rs908261 A T A EBF1_EBF_1 -18 0 + 0 0 . chr2 239400496 239400497 chr2:239400497:G:A rs567429273 G A G EBF1_EBF_1 20 0 - 0 0 . chr2 239410069 239410070 chr2:239410070:C:T rs1015110080 C T C EBF1_EBF_1 2 1 + 7.233225722876462 9.122049134318612 GACCCCCAGGGATA chr2 239439248 239439249 chr2:239439249:G:A rs111930562 G A G EBF1_EBF_1 3 1 - 6.253493143266283 -0.15190559828703393 GATCCCTAAGGAAA chr2 239459455 239459456 chr2:239459456:C:A rs13011924 C A C EBF1_EBF_1 -15 0 - 0 0 . chr2 239462322 239462323 chr2:239462323:C:T rs138899622 C T C EBF1_EBF_1 10 1 - 4.7168582716204295 -0.6011701876465361 CATCCCCAGGGCTC chr2 239462348 239462349 chr2:239462349:C:T rs558423723 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 239465880 239465881 chr2:239465881:A:G rs748599 A G A EBF1_EBF_1 33 0 + 0 0 . chr2 239466063 239466064 chr2:239466064:T:C rs75452341 T C T EBF1_EBF_1 20 0 - 0 0 . chr2 239501117 239501118 chr2:239501118:G:A rs76121090 G A G EBF1_EBF_1 19 0 + 0 0 . chr2 239501239 239501240 chr2:239501240:G:A rs1796450 G A A EBF1_EBF_1 4 1 - 6.26637099498611 1.8969840500411854 AGCCCCCTGAGAGC chr2 239501246 239501247 chr2:239501247:C:T rs1684278 C T C EBF1_EBF_1 -3 0 - 0 0 . chr2 239502734 239502735 chr2:239502735:G:A rs62180940 G A G EBF1_EBF_1 18 0 + 0 0 . chr2 239508182 239508183 chr2:239508183:A:T rs141639883 A T A EBF1_EBF_1 15 0 + 0 0 . chr2 239517185 239517186 chr2:239517186:G:A rs12987304 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 239517689 239517690 chr2:239517690:T:A rs6729217 T A A EBF1_EBF_1 25 0 - 0 0 . chr2 239517809 239517810 chr2:239517810:A:G rs879355 A G G EBF1_EBF_1 0 1 + 5.31045775444116 3.4181738848763263 ATACCCCAGGGCAC chr2 239518221 239518222 chr2:239518222:G:C rs6709150 G C C EBF1_EBF_1 -4 0 - 0 0 . chr2 239529174 239529175 chr2:239529175:T:G rs56986149 T G G EBF1_EBF_1 26 0 + 0 0 . chr2 239536031 239536032 chr2:239536032:G:T rs67465429 G T G EBF1_EBF_1 -2 0 + 0 0 . chr2 239536041 239536042 chr2:239536042:C:T rs115498176 C T C EBF1_EBF_1 8 1 + 6.02841665630979 4.455642728247281 ATCCCCTTCGGAAC chr2 239536042 239536043 chr2:239536043:G:A rs56066618 G A G EBF1_EBF_1 9 1 + 6.02841665630979 3.3734773908621865 ATCCCCTTCGGAAC chr2 239545555 239545556 chr2:239545556:T:A rs7571310 T A T EBF1_EBF_1 19 0 + 0 0 . chr2 239560099 239560100 chr2:239560100:C:T rs146132160 C T T EBF1_EBF_1 32 0 - 0 0 . chr2 239561805 239561806 chr2:239561806:C:T rs55652158 C T C EBF1_EBF_1 13 1 - 5.221414438566696 6.335740010636135 CTTCCCCAGGGCCG chr2 239566360 239566361 chr2:239566361:G:T rs56090792 G T G EBF1_EBF_1 -20 0 - 0 0 . chr2 239567973 239567974 chr2:239567974:C:T rs62183093 C T C EBF1_EBF_1 -20 0 - 0 0 . chr2 239574822 239574823 chr2:239574823:A:T rs186067930 A T a EBF1_EBF_1 18 0 + 0 0 . chr2 239577477 239577478 chr2:239577478:C:T rs111496789 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 239578742 239578743 chr2:239578743:C:T rs10180205 C T C EBF1_EBF_1 33 0 - 0 0 . chr2 239578764 239578765 chr2:239578765:T:G rs78138656 T G G EBF1_EBF_1 11 1 - 6.618845610907885 2.202883913055495 ACCCTCAAGGGAAC chr2 239581324 239581325 chr2:239581325:C:T rs111859428 C T C EBF1_EBF_1 -17 0 + 0 0 . chr2 239589307 239589308 chr2:239589308:G:A rs140024065 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 239602081 239602082 chr2:239602082:T:C rs7556863 T C T EBF1_EBF_1 26 0 - 0 0 . chr2 239609286 239609287 chr2:239609287:C:T rs76129283 C T C EBF1_EBF_1 4 1 + 5.614570451119787 1.245183506174865 GTTCCCCAGGGCAG chr2 239619568 239619569 chr2:239619569:A:G rs13034207 A G A EBF1_EBF_1 -1 0 + 0 0 . chr2 239637378 239637379 chr2:239637379:T:C rs6708083 T C C EBF1_EBF_1 -8 0 - 0 0 . chr2 239644280 239644281 chr2:239644281:C:T rs552440101 C T C EBF1_EBF_1 3 1 + 9.313351488997398 2.9079527474440803 TGTCCCCAGGGAGC chr2 239648726 239648727 chr2:239648727:T:C rs4851980 T C C EBF1_EBF_1 32 0 - 0 0 . chr2 239663455 239663456 chr2:239663456:G:A rs138898073 G A G EBF1_EBF_1 9 1 + 8.535093170067935 5.880153904620331 TCTCCCAGGGGATC chr2 239663458 239663459 chr2:239663459:T:G rs71426597 T G t EBF1_EBF_1 12 1 + 8.535093170067935 8.172723796940634 TCTCCCAGGGGATC chr2 239669623 239669624 chr2:239669624:T:G rs73000541 T G T EBF1_EBF_1 29 0 - 0 0 . chr2 239690722 239690723 chr2:239690723:G:C chr2:239690723:G:C G C - EBF1_EBF_1 4 1 - 4.922314912339775 -0.7034501888097691 GCCCCCCCGGGACG chr2 239690722 239690723 chr2:239690723:G:T rs2304562 G T - EBF1_EBF_1 4 1 - 4.922314912339775 -0.9022447111136931 GCCCCCCCGGGACG chr2 239693326 239693327 chr2:239693327:A:G rs80092504 A G G EBF1_EBF_1 1 1 - 5.945011533291809 5.049578092893063 ATACCCAAGAGAAG chr2 239694804 239694805 chr2:239694805:A:G rs4851983 A G G EBF1_EBF_1 10 1 - 5.944533313730628 3.2364831126284175 ATTCCCCAGGTTCT chr2 239696737 239696738 chr2:239696738:G:A rs11897258 G A G EBF1_EBF_1 -20 0 + 0 0 . chr2 239716471 239716472 chr2:239716472:G:A rs73006240 G A G EBF1_EBF_1 -17 0 - 0 0 . chr2 239716494 239716495 chr2:239716495:G:A rs74001073 G A G EBF1_EBF_1 -7 0 - 0 0 . chr2 239729624 239729625 chr2:239729625:G:A rs79251542 G A g EBF1_EBF_1 23 0 + 0 0 . chr2 239736587 239736588 chr2:239736588:C:T rs61533456 C T C EBF1_EBF_1 6 1 - 4.407261228969455 6.574055660243929 CCTCCCGTGGGCAT chr2 239750272 239750273 chr2:239750273:G:A rs111735823 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 239754257 239754258 chr2:239754258:G:T rs727017 G T G EBF1_EBF_1 -6 0 - 0 0 . chr2 239766071 239766072 chr2:239766072:G:A rs2412020 G A G EBF1_EBF_1 13 1 - 6.396979686645675 7.241473801231193 GGTCCCCAGGGCAC chr2 239766643 239766644 chr2:239766644:T:C rs11904223 T C T EBF1_EBF_1 13 1 - 9.431484116907704 8.317158544838264 AGCCCCTGGGGAAA chr2 239766926 239766927 chr2:239766927:C:T rs147173614 C T C EBF1_EBF_1 -3 0 + 0 0 . chr2 239767402 239767403 chr2:239767403:C:T rs7602963 C T C EBF1_EBF_1 -10 0 + 0 0 . chr2 239770986 239770987 chr2:239770987:C:T rs66569922 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 239778653 239778654 chr2:239778654:C:T rs114049418 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 239789446 239789447 chr2:239789447:A:G rs2412033 A G G EBF1_EBF_1 -14 0 + 0 0 . chr2 239806401 239806402 chr2:239806402:C:A rs10171035 C A C EBF1_EBF_1 29 0 - 0 0 . chr2 239809522 239809523 chr2:239809523:G:A rs142030710 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 239816277 239816278 chr2:239816278:A:G rs4851999 A G - EBF1_EBF_1 -10 0 - 0 0 . chr2 239816535 239816536 chr2:239816536:C:T rs10170393 C T - EBF1_EBF_1 -9 0 - 0 0 . chr2 239817275 239817276 chr2:239817276:G:A rs4852001 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 239818801 239818802 chr2:239818802:G:A rs55786253 G A G EBF1_EBF_1 13 1 + 6.768628810713236 7.882954382782675 CCCCCCATGGGAAG chr2 239819074 239819075 chr2:239819075:T:G rs189139009 T G T EBF1_EBF_1 -15 0 - 0 0 . chr2 239822447 239822448 chr2:239822448:G:A rs67533215 G A A EBF1_EBF_1 -7 0 - 0 0 . chr2 239829466 239829467 chr2:239829467:G:A rs2412076 G A G EBF1_EBF_1 -11 0 - 0 0 . chr2 239836716 239836717 chr2:239836717:G:A rs12619037 G A A EBF1_EBF_1 -20 0 - 0 0 . chr2 239847208 239847209 chr2:239847209:C:T chr2:239847209:C:T C T C EBF1_EBF_1 30 0 - 0 0 . chr2 239847591 239847592 chr2:239847592:A:G rs2412028 A G G EBF1_EBF_1 -3 0 + 0 0 . chr2 239847604 239847605 chr2:239847605:G:C rs138916389 G C G EBF1_EBF_1 10 1 + 7.7818458070092635 0.8910434196797885 CACCCCATGGGACA chr2 239853401 239853402 chr2:239853402:C:T rs375938230 C T C EBF1_EBF_1 -20 0 + 0 0 . chr2 239857311 239857312 chr2:239857312:G:T rs62181283 G T T EBF1_EBF_1 19 0 + 0 0 . chr2 239883420 239883421 chr2:239883421:T:A rs138867990 T A t EBF1_EBF_1 -17 0 - 0 0 . chr2 239897881 239897882 chr2:239897882:C:T rs72999704 C T C EBF1_EBF_1 -2 0 + 0 0 . chr2 239897909 239897910 chr2:239897910:C:T rs10204275 C T C EBF1_EBF_1 26 0 + 0 0 . chr2 239898382 239898383 chr2:239898383:C:G rs11676907 C G C EBF1_EBF_1 31 0 - 0 0 . chr2 239904220 239904221 chr2:239904221:G:A rs12618573 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 239906652 239906653 chr2:239906653:T:C rs13384363 T C T EBF1_EBF_1 -15 0 - 0 0 . chr2 239908517 239908518 chr2:239908518:G:C rs560683009 G C G EBF1_EBF_1 27 0 - 0 0 . chr2 239911397 239911398 chr2:239911398:A:T rs62181313 A T A EBF1_EBF_1 11 1 - 5.31991164840006 9.417825904498786 GTCCCCCAGGGTTT chr2 239911421 239911422 chr2:239911422:A:G rs28412675 A G A EBF1_EBF_1 -13 0 - 0 0 . chr2 239920246 239920247 chr2:239920247:T:C rs7572818 T C C EBF1_EBF_1 17 0 + 0 0 . chr2 239922567 239922568 chr2:239922568:T:C rs11900581 T C C EBF1_EBF_1 -10 0 - 0 0 . chr2 239926960 239926961 chr2:239926961:T:C rs6728949 T C C EBF1_EBF_1 -17 0 + 0 0 . chr2 239933379 239933380 chr2:239933380:A:G rs11890367 A G a EBF1_EBF_1 -20 0 - 0 0 . chr2 239939809 239939810 chr2:239939810:G:A rs4853979 G A A EBF1_EBF_1 0 1 - 5.630078224806606 5.912280285537184 CGTCCCCAGGGCCA chr2 239945463 239945464 chr2:239945464:C:T rs993227152 C T C EBF1_EBF_1 -5 0 - 0 0 . chr2 239955452 239955453 chr2:239955453:A:T rs4853977 A T T EBF1_EBF_1 -5 0 + 0 0 . chr2 239955453 239955454 chr2:239955454:G:C rs4854070 G C C EBF1_EBF_1 -4 0 + 0 0 . chr2 239955701 239955702 chr2:239955702:G:A rs78355680 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 239960745 239960746 chr2:239960746:G:A rs143693330 G A G EBF1_EBF_1 8 1 + 7.978186708339179 3.7518966194529195 ATTCCCATGGAAAC chr2 239980782 239980783 chr2:239980783:G:C rs967477 G C G EBF1_EBF_1 13 1 - 4.228813009040711 2.740741987685296 CGTCCCGAGGGCAC chr2 240017564 240017565 chr2:240017565:G:A rs6732752 G A G EBF1_EBF_1 7 1 - 4.244850015086228 6.062354761368851 GCTCCCACGGGCCC chr2 240025338 240025339 chr2:240025339:A:C rs374970309 A C a EBF1_EBF_1 1 1 - 5.326695993139593 4.621034207310064 GTCCCCTTGGGTCC chr2 240041555 240041556 chr2:240041556:C:T rs115117836 C T c EBF1_EBF_1 -19 0 - 0 0 . chr2 240043277 240043278 chr2:240043278:C:T rs115724062 C T c EBF1_EBF_1 0 1 - 5.6948554800943505 7.587139349659186 GGCCCCCAGAGAGT chr2 240043569 240043570 chr2:240043570:A:G rs10168972 A G a EBF1_EBF_1 6 1 + 4.37886368465199 2.2120692533775146 CCTCCCACGGGGTC chr2 240043573 240043574 chr2:240043574:G:C rs13016392 G C g EBF1_EBF_1 10 1 + 4.37886368465199 -2.5119387026774853 CCTCCCACGGGGTC chr2 240048929 240048930 chr2:240048930:A:G rs12464748 A G a EBF1_EBF_1 7 1 - 4.241490524302996 2.423985778020373 CCTCCCATGGGCAG chr2 240056082 240056083 chr2:240056083:G:A rs12478816 G A G EBF1_EBF_1 5 1 - 8.543809862800954 3.222022647260283 CCTCCCCGGGGACA chr2 240075448 240075449 chr2:240075449:C:T rs34128571 C T T EBF1_EBF_1 4 1 + 6.562994837842586 2.1936078928976617 ATTCCCTAAGGGCC chr2 240134423 240134424 chr2:240134424:C:G rs12620440 C G C EBF1_EBF_1 14 0 + 0 0 . chr2 240134438 240134439 chr2:240134439:T:A rs112912960 T A T EBF1_EBF_1 29 0 + 0 0 . chr2 240139847 240139848 chr2:240139848:G:A rs77707727 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 240141374 240141375 chr2:240141375:C:T rs4854021 C T C EBF1_EBF_1 26 0 - 0 0 . chr2 240148487 240148488 chr2:240148488:G:T rs80249456 G T T EBF1_EBF_1 -7 0 + 0 0 . chr2 240158051 240158052 chr2:240158052:G:A rs12994145 G A - EBF1_EBF_1 -5 0 + 0 0 . chr2 240163245 240163246 chr2:240163246:G:T rs114336842 G T G EBF1_EBF_1 3 1 - 5.084199836645004 -1.8219741928208029 ACCCCCAAGGGCAG chr2 240166667 240166668 chr2:240166668:A:G rs4853958 A G G EBF1_EBF_1 28 0 - 0 0 . chr2 240167597 240167598 chr2:240167598:A:G rs4854002 A G a EBF1_EBF_1 6 1 + 5.913458904910965 3.74666447363649 GTCCCCATGGGCCT chr2 240178807 240178808 chr2:240178808:G:A rs56048712 G A G EBF1_EBF_1 19 0 - 0 0 . chr2 240180122 240180123 chr2:240180123:C:T rs59763573 C T C EBF1_EBF_1 4 1 + 6.25886535235788 1.8894784074129563 ACTCCCCAGAGCCT chr2 240180123 240180124 chr2:240180124:C:G rs58463596 C G C EBF1_EBF_1 5 1 + 6.25886535235788 -0.6460158002772878 ACTCCCCAGAGCCT chr2 240185154 240185155 chr2:240185155:C:T chr2:240185155:C:T C T C EBF1_EBF_1 19 0 + 0 0 . chr2 240198908 240198909 chr2:240198909:C:A rs777026497 C A C EBF1_EBF_1 23 0 + 0 0 . chr2 240211928 240211929 chr2:240211929:C:G rs566896586 C G C EBF1_EBF_1 6 1 + 4.356162396589276 2.550970333116079 CCTCCCCAGGGCAG chr2 240213158 240213159 chr2:240213159:G:T rs10199756 G T G EBF1_EBF_1 18 0 + 0 0 . chr2 240244752 240244753 chr2:240244753:G:A rs1078823 G A G EBF1_EBF_1 -6 0 - 0 0 . chr2 240245740 240245741 chr2:240245741:A:G rs903753 A G G EBF1_EBF_1 11 1 + 7.865307553653489 4.972600710049478 CATCCCCCGGGACC chr2 240246339 240246340 chr2:240246340:T:C rs114907876 T C T EBF1_EBF_1 -10 0 + 0 0 . chr2 240247650 240247651 chr2:240247651:A:G rs6749761 A G G EBF1_EBF_1 32 0 + 0 0 . chr2 240248027 240248028 chr2:240248028:A:T rs541627827 A T A EBF1_EBF_1 13 1 + 4.329906497897322 5.548146061768816 TCCCCCAAGGGGGA chr2 240249361 240249362 chr2:240249362:A:G rs7602753 A G A EBF1_EBF_1 6 1 + 7.411526746474968 5.244732315200492 TACCCCATGGGATC chr2 240249385 240249386 chr2:240249386:C:T rs7597582 C T C EBF1_EBF_1 30 0 + 0 0 . chr2 240250169 240250170 chr2:240250170:G:A rs118097748 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 240250790 240250791 chr2:240250791:C:G rs4314022 C G G EBF1_EBF_1 -2 0 - 0 0 . chr2 240252264 240252265 chr2:240252265:C:T rs115855399 C T C EBF1_EBF_1 -18 0 + 0 0 . chr2 240258906 240258907 chr2:240258907:G:A rs367759690 G A G EBF1_EBF_1 10 1 + 6.324997799911579 1.0069693406446134 TTCCCCGAGGGAGC chr2 240259649 240259650 chr2:240259650:A:T rs6437313 A T T EBF1_EBF_1 6 1 + 8.586525044803299 8.526208805863781 CATCCCATGGGAAG chr2 240284993 240284994 chr2:240284994:C:A rs142318742 C A C EBF1_EBF_1 -9 0 + 0 0 . chr2 240303907 240303908 chr2:240303908:A:C rs12474682 A C A EBF1_EBF_1 -11 0 - 0 0 . chr2 240310747 240310748 chr2:240310748:A:T rs73999390 A T A EBF1_EBF_1 20 0 - 0 0 . chr2 240314449 240314450 chr2:240314450:T:G rs73999392 T G G EBF1_EBF_1 18 0 - 0 0 . chr2 240322239 240322240 chr2:240322240:C:T rs2352821 C T C EBF1_EBF_1 -11 0 + 0 0 . chr2 240324380 240324381 chr2:240324381:G:A rs139449778 G A G EBF1_EBF_1 17 0 - 0 0 . chr2 240325032 240325033 chr2:240325033:G:A rs912987003 G A G EBF1_EBF_1 22 0 - 0 0 . chr2 240329471 240329472 chr2:240329472:C:T rs116649978 C T C EBF1_EBF_1 15 0 + 0 0 . chr2 240343369 240343370 chr2:240343370:A:G rs7579238 A G G EBF1_EBF_1 31 0 + 0 0 . chr2 240343371 240343372 chr2:240343372:C:T rs750212858 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 240347697 240347698 chr2:240347698:A:G rs71428434 A G A EBF1_EBF_1 -18 0 - 0 0 . chr2 240359364 240359365 chr2:240359365:C:T rs149137064 C T C EBF1_EBF_1 31 0 + 0 0 . chr2 240359548 240359549 chr2:240359549:G:C rs10169889 G C G EBF1_EBF_1 -17 0 - 0 0 . chr2 240362480 240362481 chr2:240362481:G:A rs77893745 G A G EBF1_EBF_1 32 0 + 0 0 . chr2 240363143 240363144 chr2:240363144:A:G rs74001569 A G G EBF1_EBF_1 13 1 + 5.9930528389383735 4.8787272668689345 GGTCCCCAGGGCCA chr2 240364527 240364528 chr2:240364528:C:T rs115328973 C T C EBF1_EBF_1 -6 0 + 0 0 . chr2 240370570 240370571 chr2:240370571:A:G rs7587893 A G A EBF1_EBF_1 2 1 - 8.889452193360864 7.0006287819187145 AATCTCCAGGGACT chr2 240374446 240374447 chr2:240374447:G:A rs79625320 G A G EBF1_EBF_1 6 1 - 7.880904726238802 8.18219085510056 ATTCCCCAGGAATT chr2 240374451 240374452 chr2:240374452:A:G rs139686670 A G A EBF1_EBF_1 1 1 - 7.880904726238802 6.985471285840058 ATTCCCCAGGAATT chr2 240389616 240389617 chr2:240389617:A:G rs12327996 A G G EBF1_EBF_1 -11 0 - 0 0 . chr2 240390564 240390565 chr2:240390565:G:A rs1440934091 G A G EBF1_EBF_1 -19 0 + 0 0 . chr2 240392996 240392997 chr2:240392997:G:C rs1318524 G C C EBF1_EBF_1 -3 0 + 0 0 . chr2 240393142 240393143 chr2:240393143:G:A rs1318526 G A G EBF1_EBF_1 4 1 - 3.582795963856162 -0.7865909810887619 CGTCCCCAGTGACC chr2 240398076 240398077 chr2:240398077:G:A rs150464499 G A G EBF1_EBF_1 -2 0 - 0 0 . chr2 240403934 240403935 chr2:240403935:G:A rs80202410 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 240409459 240409460 chr2:240409460:C:T rs7577882 C T C EBF1_EBF_1 -16 0 - 0 0 . chr2 240410767 240410768 chr2:240410768:C:T rs112619061 C T C EBF1_EBF_1 3 1 + 4.36379837165952 -2.0416003698937977 GTCCCCCTGGGCAA chr2 240412963 240412964 chr2:240412964:A:G rs6727632 A G G EBF1_EBF_1 1 1 + 6.294398818938686 6.555097650859988 CATCCCAAAGGACC chr2 240420212 240420213 chr2:240420213:C:G rs187795759 C G C EBF1_EBF_1 29 0 - 0 0 . chr2 240424590 240424591 chr2:240424591:T:G rs10933600 T G T EBF1_EBF_1 -8 0 - 0 0 . chr2 240438332 240438333 chr2:240438333:T:C rs4311058 T C T EBF1_EBF_1 -16 0 + 0 0 . chr2 240438368 240438369 chr2:240438369:T:A rs146907179 T A T EBF1_EBF_1 20 0 + 0 0 . chr2 240438552 240438553 chr2:240438553:C:T rs150819126 C T C EBF1_EBF_1 -16 0 + 0 0 . chr2 240439888 240439889 chr2:240439889:G:A rs7570292 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 240446196 240446197 chr2:240446197:C:G rs13419708 C G G EBF1_EBF_1 -7 0 + 0 0 . chr2 240446197 240446198 chr2:240446198:G:A rs13409716 G A G EBF1_EBF_1 -6 0 + 0 0 . chr2 240447530 240447531 chr2:240447531:G:A rs62187175 G A G EBF1_EBF_1 14 0 - 0 0 . chr2 240448027 240448028 chr2:240448028:G:A rs34174647 G A G EBF1_EBF_1 -11 0 + 0 0 . chr2 240448062 240448063 chr2:240448063:G:C rs35066644 G C G EBF1_EBF_1 24 0 + 0 0 . chr2 240450231 240450232 chr2:240450232:G:A rs147152933 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 240450235 240450236 chr2:240450236:A:G rs56781214 A G G EBF1_EBF_1 25 0 - 0 0 . chr2 240451607 240451608 chr2:240451608:G:C rs1320130 G C C EBF1_EBF_1 -17 0 - 0 0 . chr2 240454344 240454345 chr2:240454345:A:G rs11892190 A G A EBF1_EBF_1 32 0 + 0 0 . chr2 240459839 240459840 chr2:240459840:G:A rs6757710 G A G EBF1_EBF_1 21 0 + 0 0 . chr2 240463291 240463292 chr2:240463292:G:A rs6748792 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 240464819 240464820 chr2:240464820:C:T rs13001121 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 240464924 240464925 chr2:240464925:G:A rs192798269 G A G EBF1_EBF_1 21 0 - 0 0 . chr2 240465496 240465497 chr2:240465497:C:T rs2228329 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 240468521 240468522 chr2:240468522:G:A rs150238894 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 240468973 240468974 chr2:240468974:G:T rs76399783 G T G EBF1_EBF_1 -8 0 + 0 0 . chr2 240491593 240491594 chr2:240491594:C:T rs76336166 C T C EBF1_EBF_1 22 0 + 0 0 . chr2 240512437 240512438 chr2:240512438:G:A rs79667746 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 240523038 240523039 chr2:240523039:G:A rs6728549 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 240530183 240530184 chr2:240530184:C:T rs111883294 C T C EBF1_EBF_1 26 0 - 0 0 . chr2 240530206 240530207 chr2:240530207:G:C rs11676650 G C C EBF1_EBF_1 3 1 - 5.3679591778571325 -0.45980527025808365 CCTCCCCTGGGCAC chr2 240537655 240537656 chr2:240537656:T:C rs4676430 T C C EBF1_EBF_1 26 0 + 0 0 . chr2 240538485 240538486 chr2:240538486:A:G rs72998076 A G G EBF1_EBF_1 15 0 - 0 0 . chr2 240558165 240558166 chr2:240558166:C:T rs10173382 C T C EBF1_EBF_1 -19 0 + 0 0 . chr2 240558173 240558174 chr2:240558174:C:A rs13005068 C A C EBF1_EBF_1 -11 0 + 0 0 . chr2 240562059 240562060 chr2:240562060:G:T rs547952555 G T G EBF1_EBF_1 -13 0 - 0 0 . chr2 240566705 240566706 chr2:240566706:T:C rs58730654 T C C EBF1_EBF_1 6 1 + 6.002038522429332 5.700752393567574 GCTCCCTTGGGCCC chr2 240566707 240566708 chr2:240566708:G:A rs537726408 G A G EBF1_EBF_1 8 1 + 6.002038522429332 1.7757484335430695 GCTCCCTTGGGCCC chr2 240566727 240566728 chr2:240566728:C:G rs115602335 C G C EBF1_EBF_1 -6 0 - 0 0 . chr2 240567483 240567484 chr2:240567484:G:A rs114301938 G A G EBF1_EBF_1 -2 0 - 0 0 . chr2 240567609 240567610 chr2:240567610:C:A rs67617520 C A C EBF1_EBF_1 -5 0 - 0 0 . chr2 240568195 240568196 chr2:240568196:G:A rs115219347 G A G EBF1_EBF_1 30 0 + 0 0 . chr2 240571094 240571095 chr2:240571095:C:A rs561676562 C A C EBF1_EBF_1 -1 0 + 0 0 . chr2 240571098 240571099 chr2:240571099:C:G rs148284430 C G C EBF1_EBF_1 3 1 + 4.047704437166744 -1.7800600109484734 CCCCCCAAGGGGGA chr2 240571099 240571100 chr2:240571100:C:G rs73108015 C G C EBF1_EBF_1 4 1 + 4.047704437166744 -1.5780606639828014 CCCCCCAAGGGGGA chr2 240586635 240586636 chr2:240586636:A:T rs56352308 A T A EBF1_EBF_1 17 0 + 0 0 . chr2 240594745 240594746 chr2:240594746:G:A rs17846975 G A G EBF1_EBF_1 -19 0 - 0 0 . chr2 240601673 240601674 chr2:240601674:G:A rs3749168 G A A EBF1_EBF_1 10 1 + 4.944577220834787 -0.3734512384321789 GGCCCCAGGAGAAA chr2 240601856 240601857 chr2:240601857:T:C rs7557192 T C c EBF1_EBF_1 2 1 + 5.334203077850755 3.445379666408604 CATCCCACGGGGCC chr2 240604014 240604015 chr2:240604015:A:C rs7561449 A C C EBF1_EBF_1 -1 0 - 0 0 . chr2 240612928 240612929 chr2:240612929:G:A rs113646810 G A G EBF1_EBF_1 -2 0 + 0 0 . chr2 240612942 240612943 chr2:240612943:G:A rs115490875 G A G EBF1_EBF_1 12 1 + 3.4745600383576654 4.893377380457143 CCTCCCAGGGGCGC chr2 240628598 240628599 chr2:240628599:C:T rs111471799 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 240631063 240631064 chr2:240631064:C:T rs35230312 C T C EBF1_EBF_1 -10 0 - 0 0 . chr2 240631210 240631211 chr2:240631211:C:T rs545096104 C T c EBF1_EBF_1 16 0 - 0 0 . chr2 240635478 240635479 chr2:240635479:G:A rs12470991 G A G EBF1_EBF_1 27 0 + 0 0 . chr2 240645336 240645337 chr2:240645337:G:C rs10933625 G C C EBF1_EBF_1 -11 0 - 0 0 . chr2 240645340 240645341 chr2:240645341:C:A rs57182500 C A C EBF1_EBF_1 -15 0 - 0 0 . chr2 240647278 240647279 chr2:240647279:C:T rs6735694 C T c EBF1_EBF_1 21 0 - 0 0 . chr2 240648786 240648787 chr2:240648787:C:T rs114536172 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 240674720 240674721 chr2:240674721:G:A rs4676336 G A G EBF1_EBF_1 3 1 - 11.925927702148012 5.520528960594697 AATCCCATGGGACA chr2 240684142 240684143 chr2:240684143:C:T rs56075923 C T T EBF1_EBF_1 -1 0 + 0 0 . chr2 240684150 240684151 chr2:240684151:C:T rs6437365 C T C EBF1_EBF_1 7 1 + 4.836640817435408 6.654145563718032 TCTCCCCCAGGACT chr2 240685881 240685882 chr2:240685882:T:C rs116334196 T C - EBF1_EBF_1 19 0 - 0 0 . chr2 240690614 240690615 chr2:240690615:G:A rs62187803 G A g EBF1_EBF_1 -7 0 - 0 0 . chr2 240690621 240690622 chr2:240690622:G:C rs151302874 G C g EBF1_EBF_1 -14 0 - 0 0 . chr2 240712457 240712458 chr2:240712458:C:G rs67845365 C G C EBF1_EBF_1 -18 0 + 0 0 . chr2 240713490 240713491 chr2:240713491:T:C rs755301 T C T EBF1_EBF_1 7 1 - 9.856268268089778 8.543809862800954 CCTCCCCAGGGACA chr2 240715106 240715107 chr2:240715107:G:A rs11547136 G A G EBF1_EBF_1 7 1 - 4.438082127778129 6.255586874060753 AGCCCCACGGGCCC chr2 240718401 240718402 chr2:240718402:G:A rs114108873 G A G EBF1_EBF_1 8 1 + 5.816376106408031 1.5900860175217693 CTCCCCACGGGACG chr2 240724271 240724272 chr2:240724272:G:C rs3772046 G C C EBF1_EBF_1 -6 0 - 0 0 . chr2 240727728 240727729 chr2:240727729:G:A rs3755531 G A A EBF1_EBF_1 1 1 + 6.263896823445002 6.0031979915237015 AGCCCCAGGGGCCT chr2 240727738 240727739 chr2:240727739:C:G rs58955731 C G C EBF1_EBF_1 11 1 + 6.263896823445002 7.78715167769338 AGCCCCAGGGGCCT chr2 240733486 240733487 chr2:240733487:G:A rs3772051 G A A EBF1_EBF_1 0 1 - 9.218841440948687 9.501043501679264 CCTCCCTGGGGACC chr2 240741226 240741227 chr2:240741227:G:C rs1013225 G C C EBF1_EBF_1 24 0 - 0 0 . chr2 240749907 240749908 chr2:240749908:G:A rs11689256 G A G EBF1_EBF_1 9 1 + 4.031813778875078 1.3768745134274747 GCACCCCAGGGAGG chr2 240752477 240752478 chr2:240752478:C:A rs59170506 C A C EBF1_EBF_1 28 0 + 0 0 . chr2 240783451 240783452 chr2:240783452:G:A rs778499442 G A G EBF1_EBF_1 -9 0 + 0 0 . chr2 240785192 240785193 chr2:240785193:G:T rs377243354 G T g EBF1_EBF_1 -16 0 + 0 0 . chr2 240794097 240794098 chr2:240794098:G:A rs10165233 G A G EBF1_EBF_1 -9 0 - 0 0 . chr2 240808767 240808768 chr2:240808768:C:T rs62187839 C T c EBF1_EBF_1 10 1 - 4.899728818531844 -0.41829964073512205 AATCCCAGGCGACG chr2 240810883 240810884 chr2:240810884:C:A rs75196020 C A C EBF1_EBF_1 0 1 + 4.369718068312902 6.624976552009502 CCTCCCCAGGGTGA chr2 240812271 240812272 chr2:240812272:C:T rs74818535 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 240813267 240813268 chr2:240813268:T:C rs4497878 T C C EBF1_EBF_1 -8 0 + 0 0 . chr2 240818954 240818955 chr2:240818955:G:A rs113172850 G A G EBF1_EBF_1 2 1 - 7.708380656200843 9.597204067642993 TTCCCCCAGAGACT chr2 240832595 240832596 chr2:240832596:T:G rs916907865 T G C EBF1_EBF_1 15 0 - 0 0 . chr2 240851162 240851163 chr2:240851163:G:A rs35420538 G A A EBF1_EBF_1 -3 0 - 0 0 . chr2 240857486 240857487 chr2:240857487:C:T rs35918150 C T C EBF1_EBF_1 10 1 + 5.48810789084085 8.196158091943062 ACTCCCAGGGCACT chr2 240857487 240857488 chr2:240857488:A:G rs4401206 A G G EBF1_EBF_1 11 1 + 5.48810789084085 2.595401047236839 ACTCCCAGGGCACT chr2 240868624 240868625 chr2:240868625:G:A rs530121605 G A G EBF1_EBF_1 27 0 - 0 0 . chr2 240868632 240868633 chr2:240868633:G:C rs73106670 G C G EBF1_EBF_1 19 0 - 0 0 . chr2 240873377 240873378 chr2:240873378:C:G rs11691745 C G G EBF1_EBF_1 -14 0 + 0 0 . chr2 240874035 240874036 chr2:240874036:G:A rs33958047 G A G EBF1_EBF_1 16 0 + 0 0 . chr2 240874406 240874407 chr2:240874407:C:A rs111465259 C A C EBF1_EBF_1 12 1 + 8.801020939748701 8.831202338170028 TGCCCCAAGGGACA chr2 240887838 240887839 chr2:240887839:C:T rs4675870 C T T EBF1_EBF_1 20 0 - 0 0 . chr2 240888920 240888921 chr2:240888921:C:A rs11893121 C A C EBF1_EBF_1 20 0 - 0 0 . chr2 240888921 240888922 chr2:240888922:C:A rs56290312 C A C EBF1_EBF_1 19 0 - 0 0 . chr2 240893146 240893147 chr2:240893147:C:G rs12105664 C G C EBF1_EBF_1 5 1 + 8.588309256596576 1.6834281039614063 TTCCCCGAGGGAAT chr2 240896368 240896369 chr2:240896369:G:A rs10169870 G A G EBF1_EBF_1 -8 0 - 0 0 . chr2 240897132 240897133 chr2:240897133:C:T rs12620255 C T C EBF1_EBF_1 4 1 + 7.89535444066323 3.525967495718304 ACCCCCCGGGGAGC chr2 240897156 240897157 chr2:240897157:A:C rs36060932 A C A EBF1_EBF_1 28 0 + 0 0 . chr2 240897711 240897712 chr2:240897712:A:C rs12105931 A C C EBF1_EBF_1 -18 0 + 0 0 . chr2 240898034 240898035 chr2:240898035:A:G rs6748734 A G G EBF1_EBF_1 16 0 + 0 0 . chr2 240902638 240902639 chr2:240902639:A:G rs74002586 A G G EBF1_EBF_1 -11 0 + 0 0 . chr2 240902665 240902666 chr2:240902666:G:A rs74002587 G A G EBF1_EBF_1 16 0 + 0 0 . chr2 240903344 240903345 chr2:240903345:C:G rs10170351 C G C EBF1_EBF_1 20 0 - 0 0 . chr2 240903357 240903358 chr2:240903358:C:T rs10933521 C T G EBF1_EBF_1 7 1 - 7.421887913197872 8.734346318486697 GCCCCCCGGGGAAC chr2 240905832 240905833 chr2:240905833:C:T rs34856596 C T C EBF1_EBF_1 3 1 - 4.851589867993052 3.7731802866424617 CTTGCCCTGGGAAC chr2 240912908 240912909 chr2:240912909:C:G rs12469764 C G C EBF1_EBF_1 30 0 + 0 0 . chr2 240916747 240916748 chr2:240916748:G:C rs73105791 G C G EBF1_EBF_1 -1 0 - 0 0 . chr2 240917119 240917120 chr2:240917120:G:A rs74634191 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 240919422 240919423 chr2:240919423:C:T rs34596631 C T C EBF1_EBF_1 33 0 + 0 0 . chr2 240922040 240922041 chr2:240922041:A:C rs74000120 A C C EBF1_EBF_1 12 1 - 4.856280922225368 4.493911549098066 GCCCCCTGGAGATT chr2 240922450 240922451 chr2:240922451:T:G rs74000124 T G T EBF1_EBF_1 -15 0 - 0 0 . chr2 240925298 240925299 chr2:240925299:A:T rs867653053 A T A EBF1_EBF_1 0 1 + 7.188493655864695 5.2154372328986724 AGTCCCCAGGGTGC chr2 240925321 240925322 chr2:240925322:C:G rs114274715 C G C EBF1_EBF_1 23 0 + 0 0 . chr2 240934215 240934216 chr2:240934216:C:T rs3935136 C T C EBF1_EBF_1 21 0 + 0 0 . chr2 240935745 240935746 chr2:240935746:G:T rs10200156 G T G EBF1_EBF_1 2 1 - 4.313675704523201 2.5180315283664747 ACCCCCACGGGGAG chr2 240949753 240949754 chr2:240949754:G:A rs12479396 G A G EBF1_EBF_1 23 0 - 0 0 . chr2 240954853 240954854 chr2:240954854:G:A rs74000196 G A G EBF1_EBF_1 14 0 + 0 0 . chr2 240954915 240954916 chr2:240954916:G:A rs4430951 G A A EBF1_EBF_1 27 0 + 0 0 . chr2 240956032 240956033 chr2:240956033:G:C rs74000199 G C G EBF1_EBF_1 11 1 + 4.7896748616217275 3.2664200073733483 GACCCCAGGGGGCC chr2 240956595 240956596 chr2:240956596:G:C rs6754171 G C G EBF1_EBF_1 8 1 + 4.481926122535714 -0.8367327383789729 GGTCACCTGGGACC chr2 240957618 240957619 chr2:240957619:T:C rs545876014 T C T EBF1_EBF_1 33 0 + 0 0 . chr2 240958775 240958776 chr2:240958776:C:T rs115506480 C T C EBF1_EBF_1 21 0 - 0 0 . chr2 240958780 240958781 chr2:240958781:T:C rs9973362 T C C EBF1_EBF_1 16 0 - 0 0 . chr2 240958789 240958790 chr2:240958790:G:A rs74001709 G A G EBF1_EBF_1 7 1 - 6.527698267840189 8.345203014122813 GGCCCCTCGGGACA chr2 240959872 240959873 chr2:240959873:C:T rs74001711 C T C EBF1_EBF_1 -17 0 - 0 0 . chr2 240959938 240959939 chr2:240959939:A:C rs10169544 A C C EBF1_EBF_1 -3 0 - 0 0 . chr2 240959953 240959954 chr2:240959954:G:A rs116254819 G A A EBF1_EBF_1 -18 0 - 0 0 . chr2 240960112 240960113 chr2:240960113:C:T rs12477875 C T C EBF1_EBF_1 5 1 + 6.291570379567723 0.9697831640270529 CTTCCCGAGAGACA chr2 240960119 240960120 chr2:240960120:C:T rs12477878 C T C EBF1_EBF_1 12 1 + 6.291570379567723 5.265303809016873 CTTCCCGAGAGACA chr2 240960122 240960123 chr2:240960123:G:T rs912440400 G T G EBF1_EBF_1 15 0 + 0 0 . chr2 240966441 240966442 chr2:240966442:G:A rs143549055 G A G EBF1_EBF_1 -3 0 + 0 0 . chr2 240968560 240968561 chr2:240968561:G:A rs141156965 G A G EBF1_EBF_1 2 1 - 4.023456874095035 5.912280285537184 TGCCCCCAGGGCCA chr2 240976171 240976172 chr2:240976172:A:G rs12990685 A G G EBF1_EBF_1 -10 0 - 0 0 . chr2 240976182 240976183 chr2:240976183:C:G rs13015196 C G C EBF1_EBF_1 24 0 - 0 0 . chr2 240982270 240982271 chr2:240982271:T:C rs4303712 T C T EBF1_EBF_1 4 1 - 5.492334495349683 5.691129017653606 ATCCACTTGGGACC chr2 240986583 240986584 chr2:240986584:C:T rs145903096 C T C EBF1_EBF_1 -1 0 - 0 0 . chr2 240987203 240987204 chr2:240987204:C:T rs73107878 C T C EBF1_EBF_1 6 1 + 3.8569783263128983 4.158264455174656 ACTGCCCGGGGACG chr2 240987210 240987211 chr2:240987211:G:A rs74458189 G A G EBF1_EBF_1 13 1 + 3.8569783263128983 4.971303898382337 ACTGCCCGGGGACG chr2 240987786 240987787 chr2:240987787:T:G rs77943515 T G G EBF1_EBF_1 13 1 - 4.509368675341524 4.8831141246275 AGCCCCGAGGGTCA chr2 240988059 240988060 chr2:240988060:G:A rs4521036 G A G EBF1_EBF_1 4 1 + 8.14672430654416 7.9479297842402366 ATTCGCAAGGGAAC chr2 240989209 240989210 chr2:240989210:A:G rs75515856 A G G EBF1_EBF_1 -10 0 + 0 0 . chr2 240989218 240989219 chr2:240989219:A:G rs7425272 A G G EBF1_EBF_1 -1 0 + 0 0 . chr2 240989875 240989876 chr2:240989876:G:A rs10210734 G A G EBF1_EBF_1 26 0 + 0 0 . chr2 240991338 240991339 chr2:240991339:C:A chr2:240991339:C:A C A C EBF1_EBF_1 17 0 - 0 0 . chr2 240991550 240991551 chr2:240991551:G:A rs143075535 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 240992071 240992072 chr2:240992072:G:T rs143844706 G T G EBF1_EBF_1 13 1 - 6.516577148186407 6.142831698900431 AGTCCCACAGGACC chr2 240994118 240994119 chr2:240994119:C:T chr2:240994119:C:T C T C EBF1_EBF_1 30 0 - 0 0 . chr2 240994122 240994123 chr2:240994123:G:A rs73107898 G A G EBF1_EBF_1 26 0 - 0 0 . chr2 240994456 240994457 chr2:240994457:G:A rs116015514 G A G EBF1_EBF_1 9 1 + 6.738925595614452 4.083986330166849 AATCCCTTCGGATT chr2 240998501 240998502 chr2:240998502:G:A rs146254386 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 241000403 241000404 chr2:241000404:C:T rs543943298 C T C EBF1_EBF_1 13 1 + 3.791118209155953 4.635612323741472 AGTCCCTCTGGACC chr2 241000423 241000424 chr2:241000424:G:A rs7563207 G A G EBF1_EBF_1 33 0 + 0 0 . chr2 241009343 241009344 chr2:241009344:G:A rs73010023 G A G EBF1_EBF_1 -15 0 + 0 0 . chr2 241009573 241009574 chr2:241009574:C:T rs973767702 C T C EBF1_EBF_1 9 1 - 6.5922196445738255 3.9372803791262205 GTCCCCATGGGGCC chr2 241009669 241009670 chr2:241009670:C:T rs145631249 C T C EBF1_EBF_1 -12 0 - 0 0 . chr2 241009818 241009819 chr2:241009819:G:A rs573774821 G A g EBF1_EBF_1 -12 0 + 0 0 . chr2 241009858 241009859 chr2:241009859:C:T rs116380854 C T C EBF1_EBF_1 28 0 + 0 0 . chr2 241009859 241009860 chr2:241009860:G:A rs115192595 G A C EBF1_EBF_1 29 0 + 0 0 . chr2 241011140 241011141 chr2:241011141:T:G rs111893578 T G - EBF1_EBF_1 12 1 - 5.41496236352887 5.384780965107544 AGACCCAGGAGAAC chr2 241011163 241011164 chr2:241011164:G:A rs183119529 G A - EBF1_EBF_1 -2 0 - 0 0 . chr2 241011164 241011165 chr2:241011165:C:A rs186948474 C A - EBF1_EBF_1 -3 0 - 0 0 . chr2 241011234 241011235 chr2:241011235:T:G rs374909756 T G - EBF1_EBF_1 -1 0 - 0 0 . chr2 241036587 241036588 chr2:241036588:C:T rs73110161 C T C EBF1_EBF_1 17 0 + 0 0 . chr2 241038774 241038775 chr2:241038775:A:T rs2108486 A T a EBF1_EBF_1 33 0 + 0 0 . chr2 241047812 241047813 chr2:241047813:T:A rs57463532 T A t EBF1_EBF_1 12 1 - 5.099363261743939 4.042915292771763 AACCACCTGGGAAT chr2 241047817 241047818 chr2:241047818:A:G rs57150731 A G G EBF1_EBF_1 7 1 - 5.099363261743939 3.281858515461317 AACCACCTGGGAAT chr2 241063306 241063307 chr2:241063307:G:A rs74000339 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 241063311 241063312 chr2:241063312:G:A rs550024286 G A G EBF1_EBF_1 24 0 - 0 0 . chr2 241063320 241063321 chr2:241063321:C:T rs1415188730 C T C EBF1_EBF_1 15 0 - 0 0 . chr2 241069639 241069640 chr2:241069640:C:T rs10171729 C T T EBF1_EBF_1 -10 0 - 0 0 . chr2 241070879 241070880 chr2:241070880:C:T rs10175334 C T C EBF1_EBF_1 -4 0 + 0 0 . chr2 241071250 241071251 chr2:241071251:C:T rs758080 C T C EBF1_EBF_1 -15 0 + 0 0 . chr2 241080992 241080993 chr2:241080993:C:G rs146856350 C G C EBF1_EBF_1 30 0 - 0 0 . chr2 241081939 241081940 chr2:241081940:A:C rs116058444 A C A EBF1_EBF_1 0 1 + 8.73800771518093 6.48274923148433 AGCCCCCAGGGGCT chr2 241088665 241088666 chr2:241088666:C:G rs147147623 C G C EBF1_EBF_1 14 0 - 0 0 . chr2 241091865 241091866 chr2:241091866:C:T rs16843242 C T C EBF1_EBF_1 32 0 + 0 0 . chr2 241108892 241108893 chr2:241108893:A:G rs60698130 A G A EBF1_EBF_1 -19 0 + 0 0 . chr2 241111637 241111638 chr2:241111638:G:C rs74000389 G C G EBF1_EBF_1 27 0 + 0 0 . chr2 241119315 241119316 chr2:241119316:G:A rs3771351 G A . EBF1_EBF_1 -17 0 + 0 0 . chr2 241133490 241133491 chr2:241133491:A:C rs6437241 A C C EBF1_EBF_1 2 1 - 4.284257839537576 -2.4640678506628935 GGTCCCAGGAGGCC chr2 241142362 241142363 chr2:241142363:T:A rs2074829 T A A EBF1_EBF_1 11 1 - 5.250069522082055 1.1521552659833292 CACCCCAAAGGACT chr2 241142377 241142378 chr2:241142378:G:C rs2074830 G C G EBF1_EBF_1 -4 0 - 0 0 . chr2 241148980 241148981 chr2:241148981:C:A rs550054208 C A C EBF1_EBF_1 -16 0 + 0 0 . chr2 241150343 241150344 chr2:241150344:A:G rs187779330 A G A EBF1_EBF_1 -3 0 + 0 0 . chr2 241150716 241150717 chr2:241150717:C:T rs373787618 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 241153645 241153646 chr2:241153646:G:A rs374264284 G A G EBF1_EBF_1 -16 0 - 0 0 . chr2 241189127 241189128 chr2:241189128:G:T rs11694323 G T G EBF1_EBF_1 -3 0 + 0 0 . chr2 241190757 241190758 chr2:241190758:A:G rs2074835 A G G EBF1_EBF_1 -20 0 - 0 0 . chr2 241204451 241204452 chr2:241204452:T:C rs11683447 T C C EBF1_EBF_1 31 0 - 0 0 . chr2 241211135 241211136 chr2:241211136:A:G rs4675981 A G G EBF1_EBF_1 13 1 - 5.559743879720194 4.715249765134676 TGCCCCCAGGGTCT chr2 241216483 241216484 chr2:241216484:C:G rs11678844 C G G EBF1_EBF_1 26 0 - 0 0 . chr2 241216569 241216570 chr2:241216570:G:A rs192127563 G A G EBF1_EBF_1 2 1 - 7.214752860932551 9.103576272374701 AGCCCCCAGGGCCT chr2 241224153 241224154 chr2:241224154:C:T rs116160757 C T C EBF1_EBF_1 33 0 - 0 0 . chr2 241224411 241224412 chr2:241224412:C:T rs61744217 C T C EBF1_EBF_1 -5 0 + 0 0 . chr2 241227134 241227135 chr2:241227135:G:A rs1677 G A A EBF1_EBF_1 -5 0 + 0 0 . chr2 241227152 241227153 chr2:241227153:C:T rs6726226 C T C EBF1_EBF_1 13 1 + 5.895089424430313 6.739583539015831 GCTCCCGAGGGGCC chr2 241267438 241267439 chr2:241267439:C:T rs111778771 C T C EBF1_EBF_1 27 0 + 0 0 . chr2 241386564 241386565 chr2:241386565:G:A rs73002170 G A G EBF1_EBF_1 -13 0 + 0 0 . chr2 241447930 241447931 chr2:241447931:G:A rs3771573 G A G EBF1_EBF_1 7 1 + 3.47532704368369 4.787785448972516 CCTCCCCGGGGCTC chr2 241452313 241452314 chr2:241452314:C:T rs115951655 C T C EBF1_EBF_1 24 0 + 0 0 . chr2 241479202 241479203 chr2:241479203:T:C rs740314 T C C EBF1_EBF_1 -9 0 - 0 0 . chr2 241505342 241505343 chr2:241505343:C:T rs905660 C T c EBF1_EBF_1 -6 0 + 0 0 . chr2 241507943 241507944 chr2:241507944:C:T rs28364816 C T C EBF1_EBF_1 5 1 + 7.835147601280571 2.5133603857399014 ACCCCCAGGAGACT chr2 241508935 241508936 chr2:241508936:C:T rs557276234 C T C EBF1_EBF_1 -1 0 + 0 0 . chr2 241539595 241539596 chr2:241539596:T:C rs74428446 T C C EBF1_EBF_1 13 1 + 6.2604363653223185 5.4159422507368005 GGTCCCAGGGGCCT chr2 241542237 241542238 chr2:241542238:T:G rs10190827 T G G EBF1_EBF_1 23 0 + 0 0 . chr2 241542606 241542607 chr2:241542607:G:A rs150139447 G A G EBF1_EBF_1 8 1 + 6.7267482879433125 2.500458199057052 GCACCCCAGGGATT chr2 241550950 241550951 chr2:241550951:G:A rs6725463 G A G EBF1_EBF_1 3 1 - 4.457718473390228 -1.947680268163089 GGTCCCGTGAGAGC chr2 241551705 241551706 chr2:241551706:C:A rs6758757 C A C EBF1_EBF_1 7 1 + 3.5323067223311346 5.826085708701317 CCCCCCACGGGAGG chr2 241559354 241559355 chr2:241559355:C:G chr2:241559355:C:G C G C EBF1_EBF_1 -11 0 + 0 0 . chr2 241559355 241559356 chr2:241559356:G:A rs1255669332 G A G EBF1_EBF_1 -10 0 + 0 0 . chr2 241576321 241576322 chr2:241576322:G:A rs974763523 G A . EBF1_EBF_1 9 1 + 4.906664211379815 2.251724945932211 CTTCCCTCAGGACC chr2 241576335 241576336 chr2:241576336:T:C rs570852105 T C . EBF1_EBF_1 23 0 + 0 0 . chr2 241598275 241598276 chr2:241598276:C:T rs145761295 C T C EBF1_EBF_1 -7 0 + 0 0 . chr2 241598276 241598277 chr2:241598277:C:T rs4072905 C T C EBF1_EBF_1 -6 0 + 0 0 . chr2 241598290 241598291 chr2:241598291:G:A rs4072904 G A G EBF1_EBF_1 8 1 + 7.66038873889944 3.434098650013178 ACTCCCACGGGGCC chr2 241624576 241624577 chr2:241624577:G:A rs148670645 G A G EBF1_EBF_1 3 1 - 5.206645599151211 -1.198753142402106 ACTCCACAGGGACA chr2 241637068 241637069 chr2:241637069:C:T rs767879142 C T - EBF1_EBF_1 24 0 - 0 0 . chr2 241644284 241644285 chr2:241644285:G:A rs35552634 G A A EBF1_EBF_1 31 0 + 0 0 . chr2 241678976 241678977 chr2:241678977:A:G rs7369611 A G G EBF1_EBF_1 1 1 + 6.859410070403448 7.120108902324751 AACCCCTGGAGACC chr2 241701548 241701549 chr2:241701549:C:G rs6715151 C G C EBF1_EBF_1 20 0 - 0 0 . chr2 241701571 241701572 chr2:241701572:G:C rs6742813 G C - EBF1_EBF_1 -3 0 - 0 0 . chr2 241704037 241704038 chr2:241704038:A:G rs4675892 A G G EBF1_EBF_1 -18 0 - 0 0 . chr2 241722129 241722130 chr2:241722130:G:A rs80210742 G A G EBF1_EBF_1 16 0 - 0 0 . chr2 241724523 241724524 chr2:241724524:A:G rs6437286 A G G EBF1_EBF_1 -19 0 + 0 0 . chr2 241732611 241732612 chr2:241732612:G:T rs34151918 G T T EBF1_EBF_1 -9 0 + 0 0 . chr2 241732626 241732627 chr2:241732627:C:T rs35344581 C T C EBF1_EBF_1 6 1 + 7.580601290178874 7.881887419040632 CTCCCCCGGGGAAA chr2 241733439 241733440 chr2:241733440:A:G rs149743764 A G G EBF1_EBF_1 -4 0 + 0 0 . chr2 241733454 241733455 chr2:241733455:A:C rs111759964 A C A EBF1_EBF_1 11 1 + 5.621982154995986 1.2060204571435968 ATTCACCTGGGAAG chr2 241734867 241734868 chr2:241734868:C:T rs139818949 C T C EBF1_EBF_1 20 0 - 0 0 . chr2 241734883 241734884 chr2:241734884:G:T rs550554472 G T G EBF1_EBF_1 4 1 - 4.51796691186324 -1.3065927115902276 CCCCCCGAGGGGAT chr2 241734888 241734889 chr2:241734889:C:A rs562601654 C A C EBF1_EBF_1 -1 0 - 0 0 . chr2 241734897 241734898 chr2:241734898:A:C rs79248835 A C C EBF1_EBF_1 -10 0 - 0 0 . chr2 241755568 241755569 chr2:241755569:C:T rs768249222 C T C EBF1_EBF_1 23 0 + 0 0 . chr2 241761644 241761645 chr2:241761645:G:T rs143993184 G T G EBF1_EBF_1 -7 0 + 0 0 . chr2 241764169 241764170 chr2:241764170:G:A rs4443030 G A G EBF1_EBF_1 8 1 - 4.842047402820622 3.269273474758114 AGTCCCCACGGATG chr2 241765100 241765101 chr2:241765101:G:A rs111943006 G A G EBF1_EBF_1 1 1 - 5.839720832152564 6.73515427255131 ACCCCCATGGGTGT chr2 241771866 241771867 chr2:241771867:G:A rs62192043 G A G EBF1_EBF_1 29 0 - 0 0 . chr2 241772190 241772191 chr2:241772191:C:A rs12466528 C A C EBF1_EBF_1 20 0 - 0 0 . chr2 241796805 241796806 chr2:241796806:A:G rs62193077 A G G EBF1_EBF_1 -14 0 - 0 0 . chr2 241798681 241798682 chr2:241798682:G:A rs74702801 G A G EBF1_EBF_1 8 1 + 7.58800310999787 3.3617130211116084 ATCCCCTGGGGGCC chr2 241802412 241802413 chr2:241802413:C:T rs570299766 C T C EBF1_EBF_1 -19 0 - 0 0 . chr2 241804527 241804528 chr2:241804528:G:T rs741776 G T G EBF1_EBF_1 22 0 + 0 0 . chr2 241812811 241812812 chr2:241812812:C:G rs928874891 C G C EBF1_EBF_1 10 1 - 6.885345402868476 -0.005456984460998626 CACCCCTGGGGACA chr2 241813818 241813819 chr2:241813819:C:G rs374136621 C G g EBF1_EBF_1 -8 0 + 0 0 . chr2 241813902 241813903 chr2:241813903:G:A rs11693567 G A G EBF1_EBF_1 17 0 + 0 0 . chr2 241817773 241817774 chr2:241817774:G:C rs35449025 G C G EBF1_EBF_1 9 1 + 9.949759595570988 4.874070449225526 TTTCCCCAGGGAAG chr2 241818475 241818476 chr2:241818476:G:C rs66492261 G C C EBF1_EBF_1 -15 0 + 0 0 . chr2 241818555 241818556 chr2:241818556:T:C rs76100726 T C t EBF1_EBF_1 20 0 - 0 0 . chr2 241820737 241820738 chr2:241820738:A:G rs1609990 A G G EBF1_EBF_1 22 0 - 0 0 . chr2 241850048 241850049 chr2:241850049:C:T chr2:241850049:C:T C T C EBF1_EBF_1 24 0 - 0 0 . chr2 241851696 241851697 chr2:241851697:G:A rs41386349 G A - EBF1_EBF_1 30 0 + 0 0 . chr2 241851759 241851760 chr2:241851760:C:T rs11568821 C T c EBF1_EBF_1 13 1 + 4.3028654578450904 5.1473595724306085 CACCCCCGGAGACC chr2 241855149 241855150 chr2:241855150:C:T rs80166521 C T C EBF1_EBF_1 5 1 + 3.8444517808099 -1.47733543473077 CCACCCCGGGGAGC chr2 241857785 241857786 chr2:241857786:G:C rs75565781 G C G EBF1_EBF_1 27 0 - 0 0 . chr2 241869536 241869537 chr2:241869537:G:A rs115316747 G A G EBF1_EBF_1 30 0 - 0 0 . chr2 241869570 241869571 chr2:241869571:G:T rs114905114 G T G EBF1_EBF_1 -4 0 - 0 0 . chr2 241874866 241874867 chr2:241874867:A:G rs375268625 A G . EBF1_EBF_1 9 1 + 6.833400632276937 9.48833989772454 GGTCCCAGGAGAAA chr2 241875874 241875875 chr2:241875875:T:C rs7564302 T C . EBF1_EBF_1 30 0 + 0 0 . chr2 241877686 241877687 chr2:241877687:C:A rs111641323 C A . EBF1_EBF_1 -9 0 + 0 0 . chr2 241880864 241880865 chr2:241880865:T:C rs28670476 T C . EBF1_EBF_1 28 0 + 0 0 . chr2 241883175 241883176 chr2:241883176:G:A rs28524756 G A . EBF1_EBF_1 -2 0 + 0 0 . chr2 241892301 241892302 chr2:241892302:G:A rs75915640 G A . EBF1_EBF_1 30 0 - 0 0 . chr2 241898951 241898952 chr2:241898952:C:T rs4973667 C T . EBF1_EBF_1 -1 0 - 0 0 . chr2 241903156 241903157 chr2:241903157:C:T rs78988568 C T . EBF1_EBF_1 28 0 + 0 0 . chr2 241903874 241903875 chr2:241903875:G:A rs112977841 G A . EBF1_EBF_1 -14 0 + 0 0 . chr2 241931634 241931635 chr2:241931635:C:T rs75140804 C T . EBF1_EBF_1 16 0 - 0 0 . chr2 241931648 241931649 chr2:241931649:G:A rs4973657 G A . EBF1_EBF_1 2 1 - 4.7849584276522075 6.673781839094357 AACCCCAGGGGCCA chr2 241932941 241932942 chr2:241932942:A:G rs28529143 A G . EBF1_EBF_1 14 0 + 0 0 . chr2 241937559 241937560 chr2:241937560:G:A rs28628225 G A . EBF1_EBF_1 21 0 + 0 0 . chr2 241947085 241947086 chr2:241947086:C:G rs11561637 C G . EBF1_EBF_1 8 1 - 5.396417253720428 0.07775839280574265 AGTCCACAGGGACA chr2 241958799 241958800 chr2:241958800:A:G rs28734037 A G . EBF1_EBF_1 20 0 - 0 0 . chr2 241978627 241978628 chr2:241978628:T:G rs12623542 T G . EBF1_EBF_1 -20 0 - 0 0 . chr2 241980229 241980230 chr2:241980230:T:C rs7419421 T C . EBF1_EBF_1 -5 0 - 0 0 . chr2 241993219 241993220 chr2:241993220:G:A rs953747384 G A . EBF1_EBF_1 7 1 - 5.323036118878797 7.140540865161421 GCCCCCTCGGGAGC chr2 241994286 241994287 chr2:241994287:C:G rs10169537 C G . EBF1_EBF_1 -20 0 + 0 0 . chr2 241999263 241999264 chr2:241999264:C:T rs10186231 C T . EBF1_EBF_1 -17 0 - 0 0 . chr2 242013606 242013607 chr2:242013607:C:T rs111308487 C T . EBF1_EBF_1 -9 0 + 0 0 . chr2 242038830 242038831 chr2:242038831:A:G rs78636037 A G . EBF1_EBF_1 -6 0 + 0 0 . chr2 242039279 242039280 chr2:242039280:C:T rs57470787 C T . EBF1_EBF_1 31 0 + 0 0 . chr2 242057017 242057018 chr2:242057018:C:T rs58364023 C T . EBF1_EBF_1 30 0 - 0 0 . chr2 242109968 242109969 chr2:242109969:A:G rs6718917 A G . EBF1_EBF_1 1 1 - 4.7134430958718045 3.81800965547306 ATTCCGCAGGGAGA chr20 273371 273372 chr20:273372:C:T rs6046133 C T T EBF1_EBF_1 29 0 - 0 0 . chr20 290436 290437 chr20:290437:G:A rs116072146 G A G EBF1_EBF_1 1 1 - 6.338088422210483 7.233521862609228 ACTCCCGCGGGGCT chr20 327321 327322 chr20:327322:T:C rs139428694 T C T EBF1_EBF_1 16 0 - 0 0 . chr20 328233 328234 chr20:328234:C:T rs6050213 C T C EBF1_EBF_1 -20 0 - 0 0 . chr20 346392 346393 chr20:346393:G:A rs118169114 G A G EBF1_EBF_1 23 0 - 0 0 . chr20 354452 354453 chr20:354453:G:A rs148360773 G A G EBF1_EBF_1 -15 0 - 0 0 . chr20 372465 372466 chr20:372466:C:G rs13433140 C G C EBF1_EBF_1 17 0 + 0 0 . chr20 380069 380070 chr20:380070:G:C rs6076473 G C G EBF1_EBF_1 -20 0 + 0 0 . chr20 380106 380107 chr20:380107:G:A rs73891975 G A A EBF1_EBF_1 17 0 + 0 0 . chr20 381987 381988 chr20:381988:A:C rs574921653 A C A EBF1_EBF_1 33 0 - 0 0 . chr20 389410 389411 chr20:389411:A:G rs6107252 A G A EBF1_EBF_1 11 1 + 7.329098410958545 4.436391567354533 AAACCCAAGGGAGA chr20 419184 419185 chr20:419185:G:A rs57454310 G A G EBF1_EBF_1 2 1 - 4.764964040947649 6.653787452389799 CTCCCCCAGGGTCA chr20 422065 422066 chr20:422066:A:G rs2057251 A G G EBF1_EBF_1 17 0 - 0 0 . chr20 543659 543660 chr20:543660:C:T rs184272721 C T C EBF1_EBF_1 1 1 + 6.711522274142014 7.60695571454076 GCTCCCTAGGTACC chr20 556214 556215 chr20:556215:G:A rs204673 G A A EBF1_EBF_1 -5 0 - 0 0 . chr20 598617 598618 chr20:598618:C:T rs535392403 C T C EBF1_EBF_1 13 1 + 8.921310260433714 9.765804375019233 AGCCCCCTGGGAGC chr20 607632 607633 chr20:607633:C:T rs45565235 C T C EBF1_EBF_1 18 0 - 0 0 . chr20 665586 665587 chr20:665587:G:T rs147581282 G T g EBF1_EBF_1 -20 0 - 0 0 . chr20 673546 673547 chr20:673547:A:C rs6107740 A C C EBF1_EBF_1 11 1 - 6.1507337932634405 7.355941205758155 TTCCCCATGGGTCT chr20 702900 702901 chr20:702901:T:C rs753321 T C C EBF1_EBF_1 7 1 - 8.891542281813464 7.579083876524638 TGTCCCCAGAGACT chr20 707703 707704 chr20:707704:C:G rs6054158 C G C EBF1_EBF_1 15 0 - 0 0 . chr20 707722 707723 chr20:707723:G:A rs147557654 G A G EBF1_EBF_1 -4 0 - 0 0 . chr20 723928 723929 chr20:723929:A:G rs58992253 A G A EBF1_EBF_1 -18 0 + 0 0 . chr20 727294 727295 chr20:727295:A:C rs74470874 A C A EBF1_EBF_1 24 0 - 0 0 . chr20 734649 734650 chr20:734650:A:G rs1040739 A G A EBF1_EBF_1 11 1 + 8.398048571902677 5.505341728298667 CTTCCCTAGAGACA chr20 760741 760742 chr20:760742:C:T rs73069709 C T C EBF1_EBF_1 3 1 + 5.054348918980807 -1.3510498225725114 ACACCCTTGGGCCT chr20 762479 762480 chr20:762480:C:G rs13039544 C G G EBF1_EBF_1 21 0 - 0 0 . chr20 762485 762486 chr20:762486:C:T rs6038643 C T C EBF1_EBF_1 15 0 - 0 0 . chr20 768373 768374 chr20:768374:G:A rs145025595 G A G EBF1_EBF_1 27 0 - 0 0 . chr20 798052 798053 chr20:798053:T:C rs73073503 T C t EBF1_EBF_1 -19 0 - 0 0 . chr20 812428 812429 chr20:812429:G:A rs555209 G A g EBF1_EBF_1 -9 0 + 0 0 . chr20 820250 820251 chr20:820251:A:C rs183761557 A C A EBF1_EBF_1 7 1 - 6.233211219834554 5.397027054633285 CTTCCCCTGGGCCC chr20 824729 824730 chr20:824730:C:A rs111347317 C A C EBF1_EBF_1 0 1 + 6.145381648906551 8.40064013260315 CTTCACCAGGGACT chr20 824762 824763 chr20:824763:T:C rs75414462 T C T EBF1_EBF_1 33 0 + 0 0 . chr20 833724 833725 chr20:833725:C:G rs687797 C G C EBF1_EBF_1 18 0 - 0 0 . chr20 833733 833734 chr20:833734:C:T rs561196939 C T C EBF1_EBF_1 9 1 - 5.859273937683963 3.2043346722363597 AGTCCCTGGGGCGC chr20 833737 833738 chr20:833738:G:C rs576384 G C G EBF1_EBF_1 5 1 - 5.859273937683963 -1.045607214951205 AGTCCCTGGGGCGC chr20 842511 842512 chr20:842512:G:A rs7268898 G A G EBF1_EBF_1 12 1 - 6.990653486695053 5.964386916144203 ACCCCCAGGAGACC chr20 846625 846626 chr20:846626:C:T rs61286999 C T C EBF1_EBF_1 17 0 - 0 0 . chr20 890086 890087 chr20:890087:G:A rs61591126 G A G EBF1_EBF_1 5 1 - 4.394527201730517 -0.9272600138101548 AGCCCCCCGGGTCC chr20 908380 908381 chr20:908381:G:C rs564121 G C C EBF1_EBF_1 30 0 + 0 0 . chr20 921287 921288 chr20:921288:G:C rs549506 G C C EBF1_EBF_1 -14 0 + 0 0 . chr20 932356 932357 chr20:932357:T:C rs35862439 T C T EBF1_EBF_1 15 0 - 0 0 . chr20 932391 932392 chr20:932392:G:T rs6118267 G T G EBF1_EBF_1 -20 0 - 0 0 . chr20 941523 941524 chr20:941524:A:G chr20:941524:A:G A G A EBF1_EBF_1 -4 0 - 0 0 . chr20 941529 941530 chr20:941530:G:A rs78495223 G A A EBF1_EBF_1 -10 0 - 0 0 . chr20 947201 947202 chr20:947202:A:T rs553893 A T C EBF1_EBF_1 -6 0 - 0 0 . chr20 950526 950527 chr20:950527:T:C rs6056115 T C C EBF1_EBF_1 -8 0 + 0 0 . chr20 951755 951756 chr20:951756:A:G rs114674082 A G A EBF1_EBF_1 7 1 - 6.405650618464394 4.58814587218177 TTCCCCATGAGAAA chr20 960787 960788 chr20:960788:T:C rs193199281 T C T EBF1_EBF_1 6 1 - 6.82295421973446 4.656159788459985 ACTCCCATGAGGCC chr20 1027851 1027852 chr20:1027852:C:G rs6140963 C G C EBF1_EBF_1 4 1 + 8.2809960220716 2.655230920922056 ACTCCCAGGGGTCT chr20 1029035 1029036 chr20:1029036:G:A rs879013 G A A EBF1_EBF_1 16 0 + 0 0 . chr20 1163152 1163153 chr20:1163153:T:C rs79465651 T C C EBF1_EBF_1 19 0 + 0 0 . chr20 1168138 1168139 chr20:1168139:A:G rs4813044 A G G EBF1_EBF_1 -3 0 - 0 0 . chr20 1169335 1169336 chr20:1169336:C:T rs77625408 C T C EBF1_EBF_1 29 0 - 0 0 . chr20 1272896 1272897 chr20:1272897:C:G rs62187492 C G C EBF1_EBF_1 -16 0 - 0 0 . chr20 1313786 1313787 chr20:1313787:C:A rs6514277 C A A EBF1_EBF_1 30 0 + 0 0 . chr20 1325802 1325803 chr20:1325803:C:T rs118123385 C T C EBF1_EBF_1 29 0 + 0 0 . chr20 1341949 1341950 chr20:1341950:C:T rs6514318 C T C EBF1_EBF_1 -8 0 + 0 0 . chr20 1341981 1341982 chr20:1341982:C:T rs6033495 C T C EBF1_EBF_1 24 0 + 0 0 . chr20 1393719 1393720 chr20:1393720:T:C rs1998006 T C T EBF1_EBF_1 -1 0 + 0 0 . chr20 1428274 1428275 chr20:1428275:C:T rs6074626 C T C EBF1_EBF_1 -7 0 - 0 0 . chr20 1443263 1443264 chr20:1443264:C:T rs3171292 C T T EBF1_EBF_1 16 0 - 0 0 . chr20 1475164 1475165 chr20:1475165:C:T rs984497 C T c EBF1_EBF_1 21 0 - 0 0 . chr20 1492513 1492514 chr20:1492514:C:A rs7269538 C A C EBF1_EBF_1 3 1 + 6.127798936489267 -0.7783750929765407 TGTCCCCTGGGTCC chr20 1629710 1629711 chr20:1629711:T:C rs55810216 T C T EBF1_EBF_1 21 0 - 0 0 . chr20 1665169 1665170 chr20:1665170:C:G chr20:1665170:C:G C G - EBF1_EBF_1 31 0 + 0 0 . chr20 1690954 1690955 chr20:1690955:G:A rs11697150 G A g EBF1_EBF_1 -12 0 - 0 0 . chr20 1708072 1708073 chr20:1708073:A:C rs59719880 A C N EBF1_EBF_1 2 1 - 6.051438763869222 -0.6968869263312472 CTTCCCCTGGGCTT chr20 1713066 1713067 chr20:1713067:T:C rs202493 T C C EBF1_EBF_1 24 0 - 0 0 . chr20 1761327 1761328 chr20:1761328:T:C rs73077392 T C T EBF1_EBF_1 29 0 + 0 0 . chr20 1826370 1826371 chr20:1826371:G:A rs188094048 G A A EBF1_EBF_1 -10 0 + 0 0 . chr20 1841677 1841678 chr20:1841678:G:C rs149853 G C C EBF1_EBF_1 3 1 - 4.627866723803355 -1.1998977243118614 ACTCCCCTGTGAGT chr20 1843587 1843588 chr20:1843588:C:T rs156327 C T T EBF1_EBF_1 15 0 + 0 0 . chr20 1861686 1861687 chr20:1861687:T:A rs73081390 T A T EBF1_EBF_1 1 1 - 6.3672745308660845 7.333635148616915 AACCCCATGAGAGC chr20 1871642 1871643 chr20:1871643:G:A rs1393866661 G A G EBF1_EBF_1 19 0 + 0 0 . chr20 1890250 1890251 chr20:1890251:T:C rs79760497 T C T EBF1_EBF_1 27 0 - 0 0 . chr20 1890291 1890292 chr20:1890292:G:A rs6045236 G A A EBF1_EBF_1 -14 0 - 0 0 . chr20 1906201 1906202 chr20:1906202:C:T rs77858634 C T C EBF1_EBF_1 -1 0 + 0 0 . chr20 1909211 1909212 chr20:1909212:A:G rs6081121 A G A EBF1_EBF_1 -14 0 - 0 0 . chr20 1950935 1950936 chr20:1950936:A:C rs6045615 A C C EBF1_EBF_1 -18 0 + 0 0 . chr20 1965188 1965189 chr20:1965189:C:T rs910076 C T C EBF1_EBF_1 -14 0 + 0 0 . chr20 1971908 1971909 chr20:1971909:A:G rs80214359 A G A EBF1_EBF_1 16 0 - 0 0 . chr20 1971935 1971936 chr20:1971936:A:G rs67803522 A G a EBF1_EBF_1 -11 0 - 0 0 . chr20 2004446 2004447 chr20:2004447:G:A rs6132193 G A G EBF1_EBF_1 15 0 + 0 0 . chr20 2114196 2114197 chr20:2114197:T:C rs6137028 T C C EBF1_EBF_1 -16 0 - 0 0 . chr20 2144906 2144907 chr20:2144907:C:T rs3748512 C T C EBF1_EBF_1 -3 0 + 0 0 . chr20 2145146 2145147 chr20:2145147:C:G rs73074252 C G C EBF1_EBF_1 3 1 + 7.295028951768852 1.467264503653634 CCTCCCTAGGGGAA chr20 2169582 2169583 chr20:2169583:C:T rs148545468 C T C EBF1_EBF_1 28 0 - 0 0 . chr20 2174490 2174491 chr20:2174491:G:A rs6137223 G A G EBF1_EBF_1 3 1 - 5.886184172699144 -0.5192145688541735 CATCCCTTGGGTCC chr20 2174501 2174502 chr20:2174502:G:C rs16982162 G C C EBF1_EBF_1 -8 0 - 0 0 . chr20 2224491 2224492 chr20:2224492:C:T rs6082442 C T C EBF1_EBF_1 -11 0 + 0 0 . chr20 2224525 2224526 chr20:2224526:T:C rs6082443 T C C EBF1_EBF_1 23 0 + 0 0 . chr20 2279278 2279279 chr20:2279279:G:A rs6047867 G A A EBF1_EBF_1 -20 0 + 0 0 . chr20 2293340 2293341 chr20:2293341:A:T rs214755 A T A EBF1_EBF_1 2 1 + 6.448411132560638 10.132878720159512 AAACCCGTGGGACC chr20 2299308 2299309 chr20:2299309:G:A rs62192643 G A G EBF1_EBF_1 -1 0 + 0 0 . chr20 2306057 2306058 chr20:2306058:T:G rs214799 T G T EBF1_EBF_1 -1 0 + 0 0 . chr20 2334896 2334897 chr20:2334897:A:G rs6132532 A G A EBF1_EBF_1 -7 0 - 0 0 . chr20 2364375 2364376 chr20:2364376:T:A rs2262420 T A A EBF1_EBF_1 -20 0 - 0 0 . chr20 2386319 2386320 chr20:2386320:C:T rs55916333 C T C EBF1_EBF_1 -9 0 + 0 0 . chr20 2387346 2387347 chr20:2387347:G:A rs6048570 G A G EBF1_EBF_1 -11 0 + 0 0 . chr20 2387384 2387385 chr20:2387385:G:A rs190167306 G A g EBF1_EBF_1 27 0 + 0 0 . chr20 2399749 2399750 chr20:2399750:G:A rs2076404 G A G EBF1_EBF_1 1 1 - 5.37860755439152 6.274040994790266 TCTCCCAGGGTACC chr20 2509651 2509652 chr20:2509652:G:A rs79050372 G A G EBF1_EBF_1 32 0 + 0 0 . chr20 2525027 2525028 chr20:2525028:C:T rs755865965 C T C EBF1_EBF_1 5 1 + 5.383218953840659 0.06143173829998827 CTCCCCGAGGGGCT chr20 2560108 2560109 chr20:2560109:G:C rs80216721 G C G EBF1_EBF_1 25 0 + 0 0 . chr20 2579180 2579181 chr20:2579181:C:T rs13039110 C T C EBF1_EBF_1 -12 0 + 0 0 . chr20 2659455 2659456 chr20:2659456:G:A rs2235955 G A A EBF1_EBF_1 11 1 + 5.396633833762019 8.289340677366031 GTTCCCCAGAGGGT chr20 2670311 2670312 chr20:2670312:G:T rs6051076 G T T EBF1_EBF_1 -9 0 + 0 0 . chr20 2675848 2675849 chr20:2675849:A:G rs6051100 A G a EBF1_EBF_1 26 0 + 0 0 . chr20 2692744 2692745 chr20:2692745:C:T rs865884609 C T C EBF1_EBF_1 17 0 + 0 0 . chr20 2692887 2692888 chr20:2692888:C:T rs999397343 C T - EBF1_EBF_1 32 0 - 0 0 . chr20 2694342 2694343 chr20:2694343:T:G rs151113432 T G T EBF1_EBF_1 30 0 - 0 0 . chr20 2705180 2705181 chr20:2705181:C:T rs78709575 C T C EBF1_EBF_1 7 1 - 4.785411019149859 6.097869424438684 AGACCCCGGGGGCC chr20 2707391 2707392 chr20:2707392:A:G rs8125446 A G A EBF1_EBF_1 16 0 - 0 0 . chr20 2730241 2730242 chr20:2730242:G:A rs6107189 G A G EBF1_EBF_1 26 0 - 0 0 . chr20 2736170 2736171 chr20:2736171:C:T rs6051345 C T T EBF1_EBF_1 -19 0 + 0 0 . chr20 2736187 2736188 chr20:2736188:A:C rs6051346 A C C EBF1_EBF_1 -2 0 + 0 0 . chr20 2750223 2750224 chr20:2750224:C:G rs6138883 C G C EBF1_EBF_1 21 0 + 0 0 . chr20 2751845 2751846 chr20:2751846:G:A rs531261301 G A G EBF1_EBF_1 -6 0 + 0 0 . chr20 2751885 2751886 chr20:2751886:G:C rs114139830 G C G EBF1_EBF_1 14 0 + 0 0 . chr20 2754864 2754865 chr20:2754865:G:A rs1003525 G A A EBF1_EBF_1 19 0 + 0 0 . chr20 2754872 2754873 chr20:2754873:G:C rs141710528 G C G EBF1_EBF_1 27 0 + 0 0 . chr20 2763520 2763521 chr20:2763521:C:T rs11699662 C T C EBF1_EBF_1 28 0 + 0 0 . chr20 2803625 2803626 chr20:2803626:T:G rs107130 T G G EBF1_EBF_1 23 0 + 0 0 . chr20 2809870 2809871 chr20:2809871:A:G rs73606175 A G a EBF1_EBF_1 28 0 - 0 0 . chr20 2820484 2820485 chr20:2820485:G:T rs183864934 G T G EBF1_EBF_1 27 0 - 0 0 . chr20 2865750 2865751 chr20:2865751:G:A rs555502 G A G EBF1_EBF_1 -9 0 - 0 0 . chr20 3072987 3072988 chr20:3072988:A:C rs2740208 A C . EBF1_EBF_1 -14 0 - 0 0 . chr20 3106929 3106930 chr20:3106930:G:A rs17255260 G A G EBF1_EBF_1 29 0 - 0 0 . chr20 3112120 3112121 chr20:3112121:C:T rs2422846 C T C EBF1_EBF_1 31 0 + 0 0 . chr20 3172589 3172590 chr20:3172590:C:G rs73075048 C G C EBF1_EBF_1 -13 0 + 0 0 . chr20 3173196 3173197 chr20:3173197:T:C rs1445501517 T C T EBF1_EBF_1 14 0 - 0 0 . chr20 3191599 3191600 chr20:3191600:A:C rs2295547 A C A EBF1_EBF_1 -10 0 + 0 0 . chr20 3192690 3192691 chr20:3192691:C:T rs117351792 C T C EBF1_EBF_1 28 0 + 0 0 . chr20 3203793 3203794 chr20:3203794:C:T rs1214155426 C T C EBF1_EBF_1 -15 0 + 0 0 . chr20 3210558 3210559 chr20:3210559:G:T rs6037501 G T G EBF1_EBF_1 23 0 + 0 0 . chr20 3213331 3213332 chr20:3213332:G:A rs8362 G A G EBF1_EBF_1 6 1 - 5.422091434603046 5.723377563464804 CTCCCCCTGGTACT chr20 3230417 3230418 chr20:3230418:A:C rs3810561 A C A EBF1_EBF_1 16 0 + 0 0 . chr20 3233352 3233353 chr20:3233353:C:T rs968946862 C T C EBF1_EBF_1 25 0 + 0 0 . chr20 3233675 3233676 chr20:3233676:C:A rs7269189 C A C EBF1_EBF_1 5 1 + 5.519884800230493 -1.3849963524046747 GCACCCCAGGGAGC chr20 3233677 3233678 chr20:3233678:A:G rs7262083 A G G EBF1_EBF_1 7 1 + 5.519884800230493 4.207426394941668 GCACCCCAGGGAGC chr20 3234172 3234173 chr20:3234173:T:G rs3827075 T G G EBF1_EBF_1 7 1 - 5.499682056624956 3.2059030702547737 CTTCGCCAGGGACC chr20 3239045 3239046 chr20:3239046:C:T rs6084314 C T C EBF1_EBF_1 31 0 + 0 0 . chr20 3243763 3243764 chr20:3243764:A:G rs6107264 A G A EBF1_EBF_1 27 0 - 0 0 . chr20 3277923 3277924 chr20:3277924:T:C rs755705262 T C T EBF1_EBF_1 13 1 + 5.107651308751286 4.2631571941657675 GCTCCCTGCGGACT chr20 3395917 3395918 chr20:3395918:T:C rs1331215 T C T EBF1_EBF_1 7 1 + 8.794374063800117 6.976869317517493 ATTCCCATGGGTCA chr20 3594102 3594103 chr20:3594103:G:A rs75618531 G A G EBF1_EBF_1 11 1 + 7.264847553347526 10.157554396951536 CCTCCCTAGGGGCA chr20 3616197 3616198 chr20:3616198:A:G rs17710623 A G A EBF1_EBF_1 -13 0 - 0 0 . chr20 3619069 3619070 chr20:3619070:T:C rs2252209 T C C EBF1_EBF_1 1 1 - 8.156963502625727 8.41766233454703 GACCCCCTGGGACC chr20 3630625 3630626 chr20:3630626:A:C rs2595587 A C A EBF1_EBF_1 24 0 - 0 0 . chr20 3658620 3658621 chr20:3658621:A:C rs2595590 A C A EBF1_EBF_1 17 0 + 0 0 . chr20 3660175 3660176 chr20:3660176:C:T rs2853208 C T C EBF1_EBF_1 17 0 - 0 0 . chr20 3660720 3660721 chr20:3660721:C:G rs73608187 C G C EBF1_EBF_1 13 1 + 7.855952086575604 6.367881065220189 ATCCCCTGGAGAAC chr20 3667730 3667731 chr20:3667731:A:G rs512625 A G G EBF1_EBF_1 1 1 - 5.990355519603677 5.094922079204931 CTTCCCTTGGGCGT chr20 3669418 3669419 chr20:3669419:C:A rs630712 C A A EBF1_EBF_1 10 1 - 4.393136138308634 0.21038395208136967 AGCCCCAGGGGCTC chr20 3676913 3676914 chr20:3676914:C:T rs987402775 C T C EBF1_EBF_1 6 1 + 5.028197555576347 5.329483684438105 AGCCTCCAGGGAGC chr20 3687434 3687435 chr20:3687435:G:T rs656635 G T T EBF1_EBF_1 32 0 - 0 0 . chr20 3701363 3701364 chr20:3701364:G:A rs1018493 G A G EBF1_EBF_1 22 0 - 0 0 . chr20 3704081 3704082 chr20:3704082:T:C rs625372 T C T EBF1_EBF_1 33 0 + 0 0 . chr20 3716516 3716517 chr20:3716517:C:T rs7267071 C T C EBF1_EBF_1 -11 0 - 0 0 . chr20 3730011 3730012 chr20:3730012:T:C rs502492 T C C EBF1_EBF_1 0 1 - 6.225452033542558 4.333168163977725 AGCCCCTTGGGCAC chr20 3752299 3752300 chr20:3752300:G:A rs115420858 G A G EBF1_EBF_1 4 1 - 6.170224062717659 1.800837117772734 TCTCCCCCGGGGCT chr20 3752319 3752320 chr20:3752320:G:A rs73080468 G A G EBF1_EBF_1 -16 0 - 0 0 . chr20 3755713 3755714 chr20:3755714:A:G rs2295344 A G A EBF1_EBF_1 7 1 + 7.081871948648747 5.76941354335992 CTTCCCCAGAGAGC chr20 3768127 3768128 chr20:3768128:G:A rs111383129 G A G EBF1_EBF_1 27 0 + 0 0 . chr20 3768893 3768894 chr20:3768894:C:T chr20:3768894:C:T C T C EBF1_EBF_1 3 1 + 5.832653103156712 -0.5727456383966032 AGCCCTCTGGGACT chr20 3808508 3808509 chr20:3808509:T:A rs74182007 T A T EBF1_EBF_1 -8 0 + 0 0 . chr20 3819005 3819006 chr20:3819006:T:C rs3827078 T C C EBF1_EBF_1 22 0 - 0 0 . chr20 3842753 3842754 chr20:3842754:G:A rs148984979 G A G EBF1_EBF_1 28 0 - 0 0 . chr20 3843670 3843671 chr20:3843671:G:A rs141587391 G A G EBF1_EBF_1 -4 0 - 0 0 . chr20 3876226 3876227 chr20:3876227:C:T rs73084505 C T C EBF1_EBF_1 23 0 - 0 0 . chr20 3908839 3908840 chr20:3908840:C:T rs772892832 C T C EBF1_EBF_1 15 0 - 0 0 . chr20 4016507 4016508 chr20:4016508:A:G rs34061452 A G A EBF1_EBF_1 -8 0 + 0 0 . chr20 4029657 4029658 chr20:4029658:C:T rs58304895 C T - EBF1_EBF_1 4 1 + 7.277563159934497 2.908176214989574 CTTCCCTGGAGATT chr20 4038388 4038389 chr20:4038389:G:A rs11699903 G A G EBF1_EBF_1 6 1 - 5.997259718152822 6.29854584701458 AGTGCCCTGGGACA chr20 4038390 4038391 chr20:4038391:G:A rs62212692 G A G EBF1_EBF_1 4 1 - 5.997259718152822 1.6278727732078986 AGTGCCCTGGGACA chr20 4046170 4046171 chr20:4046171:A:G rs6037730 A G a EBF1_EBF_1 -14 0 - 0 0 . chr20 4046187 4046188 chr20:4046188:C:T rs6052265 C T c EBF1_EBF_1 25 0 - 0 0 . chr20 4046678 4046679 chr20:4046679:G:C rs6084570 G C g EBF1_EBF_1 2 1 - 8.074098283682382 3.2145960049240627 GGCCCCCTGGGAAA chr20 4057532 4057533 chr20:4057533:G:C rs945770 G C C EBF1_EBF_1 6 1 - 5.600672070112686 3.7954800066394876 ATCCCCCAGAGGAA chr20 4057548 4057549 chr20:4057549:T:C rs945769 T C C EBF1_EBF_1 -10 0 - 0 0 . chr20 4060624 4060625 chr20:4060625:A:G rs6133072 A G . EBF1_EBF_1 28 0 - 0 0 . chr20 4060628 4060629 chr20:4060629:C:T rs17289430 C T . EBF1_EBF_1 24 0 - 0 0 . chr20 4064966 4064967 chr20:4064967:G:A rs6084591 G A G EBF1_EBF_1 -15 0 + 0 0 . chr20 4071650 4071651 chr20:4071651:G:A rs867722 G A G EBF1_EBF_1 17 0 + 0 0 . chr20 4073497 4073498 chr20:4073498:A:G rs6139289 A G A EBF1_EBF_1 30 0 + 0 0 . chr20 4091642 4091643 chr20:4091643:C:A rs6052324 C A C EBF1_EBF_1 29 0 - 0 0 . chr20 4092114 4092115 chr20:4092115:G:A rs113473720 G A A EBF1_EBF_1 8 1 - 4.825280518924153 3.2525065908616435 AGTCCCAACGGGCC chr20 4111345 4111346 chr20:4111346:A:G rs6107411 A G A EBF1_EBF_1 17 0 + 0 0 . chr20 4149514 4149515 chr20:4149515:T:C chr20:4149515:T:C T C T EBF1_EBF_1 6 1 + 6.531903405634306 6.2306172767725485 GTCCCCTTGGGGCC chr20 4157311 4157312 chr20:4157312:G:A rs1741305 G A G EBF1_EBF_1 4 1 - 6.132099461277029 1.7627125163321056 CCTCCCCAGGGTCC chr20 4162492 4162493 chr20:4162493:C:T rs12626146 C T C EBF1_EBF_1 -10 0 - 0 0 . chr20 4163465 4163466 chr20:4163466:G:T rs6139338 G T G EBF1_EBF_1 17 0 - 0 0 . chr20 4198331 4198332 chr20:4198332:C:G rs6052432 C G G EBF1_EBF_1 10 1 - 4.977875503226506 -1.9129268841029696 AACCCCCAGAGGCC chr20 4326211 4326212 chr20:4326212:C:T rs3848817 C T C EBF1_EBF_1 -14 0 - 0 0 . chr20 4326358 4326359 chr20:4326359:A:T rs78675131 A T A EBF1_EBF_1 15 0 + 0 0 . chr20 4326363 4326364 chr20:4326364:C:T rs61175270 C T C EBF1_EBF_1 20 0 + 0 0 . chr20 4326364 4326365 chr20:4326365:G:C rs6139393 G C G EBF1_EBF_1 21 0 + 0 0 . chr20 4342328 4342329 chr20:4342329:G:A rs605191 G A G EBF1_EBF_1 1 1 - 7.245233531557921 8.140666971956668 CCACCCTTGGGAAT chr20 4413608 4413609 chr20:4413609:G:A rs77582756 G A G EBF1_EBF_1 32 0 - 0 0 . chr20 4427797 4427798 chr20:4427798:C:T rs113470302 C T C EBF1_EBF_1 4 1 + 6.012950063779995 1.643563118835072 AATCCCTTGAGCCT chr20 4437446 4437447 chr20:4437447:C:T rs415196 C T C EBF1_EBF_1 21 0 + 0 0 . chr20 4443252 4443253 chr20:4443253:C:T rs999627579 C T C EBF1_EBF_1 18 0 - 0 0 . chr20 4443633 4443634 chr20:4443634:C:T rs6052567 C T C EBF1_EBF_1 16 0 + 0 0 . chr20 4481557 4481558 chr20:4481558:T:C rs35976088 T C T EBF1_EBF_1 -5 0 + 0 0 . chr20 4484864 4484865 chr20:4484865:A:C rs943895803 A C A EBF1_EBF_1 23 0 - 0 0 . chr20 4590714 4590715 chr20:4590715:G:T rs6139484 G T G EBF1_EBF_1 5 1 + 5.08743577395105 6.670529711045548 AGCCCGAAGGGACT chr20 4614169 4614170 chr20:4614170:G:A rs112940394 G A G EBF1_EBF_1 -9 0 - 0 0 . chr20 4687303 4687304 chr20:4687304:G:C rs1894284 G C G EBF1_EBF_1 4 1 - 4.895031817049476 -0.7307332841000683 CGCCCCTGGAGAAC chr20 4717115 4717116 chr20:4717116:C:G chr20:4717116:C:G C G T EBF1_EBF_1 13 1 + 5.311476863979296 3.823405842623881 CCTCCCCCGAGAAC chr20 4717115 4717116 chr20:4717116:C:T rs874783 C T T EBF1_EBF_1 13 1 + 5.311476863979296 6.155970978564814 CCTCCCCCGAGAAC chr20 4732816 4732817 chr20:4732817:G:A rs7270914 G A T EBF1_EBF_1 -7 0 - 0 0 . chr20 4742615 4742616 chr20:4742616:T:C rs2093389 T C C EBF1_EBF_1 6 1 - 7.697629732741304 5.530835301466829 ACTCCCATGAGGAT chr20 4760399 4760400 chr20:4760400:T:C rs943681298 T C T EBF1_EBF_1 33 0 + 0 0 . chr20 4764087 4764088 chr20:4764088:T:C rs2422950 T C C EBF1_EBF_1 14 0 + 0 0 . chr20 4800300 4800301 chr20:4800301:T:C rs6084895 T C C EBF1_EBF_1 -10 0 + 0 0 . chr20 4814822 4814823 chr20:4814823:G:C rs192502863 G C G EBF1_EBF_1 5 1 - 5.361325856841304 -1.543555295793866 CTTCCCCTGGAAAC chr20 4822793 4822794 chr20:4822794:G:C rs6037977 G C G EBF1_EBF_1 31 0 - 0 0 . chr20 4822798 4822799 chr20:4822799:G:A rs2246728 G A G EBF1_EBF_1 26 0 - 0 0 . chr20 4822805 4822806 chr20:4822806:G:A rs111830170 G A A EBF1_EBF_1 19 0 - 0 0 . chr20 4822808 4822809 chr20:4822809:A:C chr20:4822809:A:C A C A EBF1_EBF_1 16 0 - 0 0 . chr20 4822945 4822946 chr20:4822946:G:T rs2281642 G T G EBF1_EBF_1 -1 0 - 0 0 . chr20 4822961 4822962 chr20:4822962:C:T rs2326552 C T C EBF1_EBF_1 -17 0 - 0 0 . chr20 4823003 4823004 chr20:4823004:G:C rs6052905 G C C EBF1_EBF_1 14 0 + 0 0 . chr20 4828072 4828073 chr20:4828073:G:T rs3803991 G T G EBF1_EBF_1 20 0 - 0 0 . chr20 4828087 4828088 chr20:4828088:G:A rs2423057 G A G EBF1_EBF_1 5 1 - 6.669931097695048 1.348143882154377 GGTCCCCAGAGAAG chr20 4839057 4839058 chr20:4839058:A:G rs6052917 A G G EBF1_EBF_1 26 0 + 0 0 . chr20 4876097 4876098 chr20:4876098:A:G rs6107546 A G A EBF1_EBF_1 0 1 + 6.182632166006047 4.290348296441214 ATTCCTCTGGGATA chr20 4926489 4926490 chr20:4926490:G:A rs1004186831 G A G EBF1_EBF_1 -5 0 - 0 0 . chr20 4987355 4987356 chr20:4987356:G:T rs2681118 G T T EBF1_EBF_1 26 0 - 0 0 . chr20 4987401 4987402 chr20:4987402:C:T rs34508882 C T C EBF1_EBF_1 -20 0 - 0 0 . chr20 5001620 5001621 chr20:5001621:G:C rs1229321051 G C G EBF1_EBF_1 7 1 + 4.434226996341791 3.4529064152604345 TCCCCCCGGGGAGG chr20 5057127 5057128 chr20:5057128:G:A rs261360 G A G EBF1_EBF_1 -8 0 - 0 0 . chr20 5169114 5169115 chr20:5169115:T:C rs183565476 T C T EBF1_EBF_1 -19 0 + 0 0 . chr20 5174265 5174266 chr20:5174266:C:T rs1040758 C T C EBF1_EBF_1 9 1 - 9.984349123690945 7.329409858243343 ACTCCCAAGGGGAC chr20 5265967 5265968 chr20:5265968:A:C rs17777279 A C A EBF1_EBF_1 2 1 - 6.9903285600933485 0.24200286989287884 TTTCCCCAGAGAGA chr20 5373509 5373510 chr20:5373510:T:C rs60016349 T C C EBF1_EBF_1 -4 0 + 0 0 . chr20 5504073 5504074 chr20:5504074:G:T rs6139751 G T A EBF1_EBF_1 -3 0 + 0 0 . chr20 5610439 5610440 chr20:5610440:G:T rs189072962 G T G EBF1_EBF_1 -16 0 + 0 0 . chr20 5621235 5621236 chr20:5621236:C:T rs6085227 C T C EBF1_EBF_1 -15 0 + 0 0 . chr20 5647317 5647318 chr20:5647318:C:G rs115079463 C G C EBF1_EBF_1 8 1 - 5.712116553678433 0.39345769276374637 TTTCCTCAGGGACA chr20 5647327 5647328 chr20:5647328:G:A rs117805766 G A G EBF1_EBF_1 -2 0 - 0 0 . chr20 5659094 5659095 chr20:5659095:G:A rs151188933 G A G EBF1_EBF_1 -10 0 - 0 0 . chr20 5715338 5715339 chr20:5715339:C:A rs6038257 C A C EBF1_EBF_1 1 1 + 6.245100161621909 6.174172984269824 ACCCTCAAGGGAAA chr20 5737946 5737947 chr20:5737947:G:T rs62205315 G T G EBF1_EBF_1 3 1 - 6.192869600489829 -0.7133044289759771 AACCCCAGAGGACT chr20 5790272 5790273 chr20:5790273:T:C rs6139831 T C T EBF1_EBF_1 29 0 + 0 0 . chr20 5835522 5835523 chr20:5835523:G:T rs237103 G T G EBF1_EBF_1 -8 0 - 0 0 . chr20 5835523 5835524 chr20:5835524:G:C rs191792692 G C G EBF1_EBF_1 -9 0 - 0 0 . chr20 5845775 5845776 chr20:5845776:G:A rs1287019 G A G EBF1_EBF_1 -2 0 - 0 0 . chr20 5845790 5845791 chr20:5845791:T:A rs56266823 T A T EBF1_EBF_1 -17 0 - 0 0 . chr20 5845827 5845828 chr20:5845828:A:G rs1287018 A G a EBF1_EBF_1 16 0 - 0 0 . chr20 5846253 5846254 chr20:5846254:G:A rs565632810 G A G EBF1_EBF_1 -14 0 + 0 0 . chr20 5888451 5888452 chr20:5888452:G:T rs6085312 G T G EBF1_EBF_1 18 0 - 0 0 . chr20 5906499 5906500 chr20:5906500:G:T rs1287031 G T T EBF1_EBF_1 32 0 + 0 0 . chr20 5911683 5911684 chr20:5911684:T:G rs1013872063 T G T EBF1_EBF_1 -16 0 - 0 0 . chr20 5933847 5933848 chr20:5933848:C:T rs398550 C T C EBF1_EBF_1 -4 0 - 0 0 . chr20 6104991 6104992 chr20:6104992:G:A rs61527455 G A G EBF1_EBF_1 0 1 + 6.556469897190478 8.448753766755312 GGTCCCCAAGGACC chr20 6116224 6116225 chr20:6116225:A:T rs75911461 A T A EBF1_EBF_1 2 1 - 8.586031791215925 4.901564203617048 TTTCCCTGGAGACT chr20 6238778 6238779 chr20:6238779:T:C rs76624285 T C T EBF1_EBF_1 -17 0 + 0 0 . chr20 6384542 6384543 chr20:6384543:G:A rs2182711 G A g EBF1_EBF_1 -7 0 + 0 0 . chr20 6469078 6469079 chr20:6469079:C:T rs577354159 C T C EBF1_EBF_1 26 0 - 0 0 . chr20 6505892 6505893 chr20:6505893:C:T rs6133324 C T C EBF1_EBF_1 -16 0 - 0 0 . chr20 6525472 6525473 chr20:6525473:G:C rs77305557 G C G EBF1_EBF_1 29 0 - 0 0 . chr20 6636670 6636671 chr20:6636671:G:T rs144505462 G T G EBF1_EBF_1 3 1 - 6.523636743606946 -0.38253728585886093 AGTCCCATAAGACT chr20 6654861 6654862 chr20:6654862:G:A rs4815915 G A A EBF1_EBF_1 8 1 + 6.145460526965756 1.919170438079495 AATCCCAGGGAACC chr20 6704505 6704506 chr20:6704506:C:T rs73082919 C T C EBF1_EBF_1 -14 0 - 0 0 . chr20 6741302 6741303 chr20:6741303:G:A rs11905385 G A G EBF1_EBF_1 12 1 - 10.32238759976758 9.296121029216732 ACTCCCATGGGGCT chr20 6765555 6765556 chr20:6765556:G:A rs77572459 G A G EBF1_EBF_1 31 0 - 0 0 . chr20 6814272 6814273 chr20:6814273:T:G rs6054528 T G T EBF1_EBF_1 15 0 - 0 0 . chr20 6828894 6828895 chr20:6828895:A:G rs435204 A G G EBF1_EBF_1 14 0 + 0 0 . chr20 6921502 6921503 chr20:6921503:G:A rs6085728 G A A EBF1_EBF_1 -14 0 + 0 0 . chr20 6921523 6921524 chr20:6921524:T:C rs6085729 T C T EBF1_EBF_1 7 1 + 6.309196028417006 4.491691282134382 TCACCCTTGGGAAA chr20 7091907 7091908 chr20:7091908:G:A rs1005351 G A G EBF1_EBF_1 16 0 - 0 0 . chr20 7229058 7229059 chr20:7229059:T:C rs1546956 T C T EBF1_EBF_1 -20 0 + 0 0 . chr20 7302534 7302535 chr20:7302535:T:C rs2423186 T C C EBF1_EBF_1 30 0 + 0 0 . chr20 7476513 7476514 chr20:7476514:T:C rs6140294 T C T EBF1_EBF_1 -9 0 - 0 0 . chr20 7484542 7484543 chr20:7484543:T:C rs1569875 T C T EBF1_EBF_1 -3 0 - 0 0 . chr20 7484559 7484560 chr20:7484560:T:C rs1569874 T C C EBF1_EBF_1 -20 0 - 0 0 . chr20 7505862 7505863 chr20:7505863:A:C rs73895138 A C . EBF1_EBF_1 -14 0 + 0 0 . chr20 7580026 7580027 chr20:7580027:C:T rs116425229 C T c EBF1_EBF_1 3 1 + 7.344642210847228 0.939243469293912 ATTCCCATGAGGCA chr20 7622569 7622570 chr20:7622570:T:C rs117393540 T C T EBF1_EBF_1 29 0 - 0 0 . chr20 7622600 7622601 chr20:7622601:C:A rs6086120 C A C EBF1_EBF_1 -2 0 - 0 0 . chr20 7744462 7744463 chr20:7744463:G:A rs183546616 G A A EBF1_EBF_1 8 1 + 9.9680739490137 5.7417838601274385 TCTCCCCAGGGAGT chr20 7803693 7803694 chr20:7803694:G:A rs35165415 G A G EBF1_EBF_1 19 0 - 0 0 . chr20 7938748 7938749 chr20:7938749:G:T rs1883209 G T T EBF1_EBF_1 -9 0 + 0 0 . chr20 7986356 7986357 chr20:7986357:T:C rs6140484 T C T EBF1_EBF_1 7 1 - 5.339477871027783 4.027019465738958 AACCCCAAGAGGCC chr20 8045323 8045324 chr20:8045324:G:A rs59825185 G A G EBF1_EBF_1 19 0 - 0 0 . chr20 8062362 8062363 chr20:8062363:T:A chr20:8062363:T:A T A T EBF1_EBF_1 -9 0 + 0 0 . chr20 8062385 8062386 chr20:8062386:C:T rs6055505 C T C EBF1_EBF_1 14 0 + 0 0 . chr20 8076541 8076542 chr20:8076542:T:C rs186018886 T C T EBF1_EBF_1 19 0 + 0 0 . chr20 8140181 8140182 chr20:8140182:A:G rs1543402 A G A EBF1_EBF_1 -6 0 + 0 0 . chr20 8142374 8142375 chr20:8142375:A:G rs6118085 A G G EBF1_EBF_1 -6 0 - 0 0 . chr20 8190715 8190716 chr20:8190716:A:G rs148373461 A G A EBF1_EBF_1 -13 0 + 0 0 . chr20 8231425 8231426 chr20:8231426:C:G rs190944996 C G C EBF1_EBF_1 -9 0 - 0 0 . chr20 8385369 8385370 chr20:8385370:T:C rs708923 T C C EBF1_EBF_1 28 0 + 0 0 . chr20 8385540 8385541 chr20:8385541:G:A rs574921229 G A G EBF1_EBF_1 -8 0 + 0 0 . chr20 8391283 8391284 chr20:8391284:C:A rs1232777 C A A EBF1_EBF_1 -19 0 + 0 0 . chr20 8587364 8587365 chr20:8587365:T:C rs189723604 T C T EBF1_EBF_1 15 0 + 0 0 . chr20 8590627 8590628 chr20:8590628:T:C rs67607767 T C T EBF1_EBF_1 7 1 - 5.947358223796058 4.634899818507232 TTCCCCCAGGGCCT chr20 8661439 8661440 chr20:8661440:G:A rs6055979 G A A EBF1_EBF_1 -20 0 + 0 0 . chr20 8761977 8761978 chr20:8761978:G:A rs6118311 G A G EBF1_EBF_1 -6 0 - 0 0 . chr20 8783030 8783031 chr20:8783031:G:A rs6118319 G A G EBF1_EBF_1 -20 0 + 0 0 . chr20 8867077 8867078 chr20:8867078:T:C rs13043735 T C T EBF1_EBF_1 -1 0 - 0 0 . chr20 8867079 8867080 chr20:8867080:A:G rs3891453 A G G EBF1_EBF_1 -3 0 - 0 0 . chr20 9028589 9028590 chr20:9028590:T:C rs6108235 T C T EBF1_EBF_1 18 0 + 0 0 . chr20 9139831 9139832 chr20:9139832:C:G rs986995 C G C EBF1_EBF_1 1 1 + 10.580845250022822 10.770616904592039 GCTCCCAAGGGACA chr20 9142910 9142911 chr20:9142911:A:G rs6118505 A G A EBF1_EBF_1 33 0 + 0 0 . chr20 9346060 9346061 chr20:9346061:T:C rs16811 T C C EBF1_EBF_1 -12 0 + 0 0 . chr20 9348572 9348573 chr20:9348573:C:T rs7275078 C T C EBF1_EBF_1 -12 0 - 0 0 . chr20 9353332 9353333 chr20:9353333:A:T rs12480290 A T A EBF1_EBF_1 -17 0 + 0 0 . chr20 9369029 9369030 chr20:9369030:C:T rs73068422 C T C EBF1_EBF_1 -20 0 + 0 0 . chr20 9397899 9397900 chr20:9397900:C:T rs56965167 C T C EBF1_EBF_1 10 1 - 7.329098410958545 2.011069951691578 AAACCCAAGGGAGA chr20 9507086 9507087 chr20:9507087:G:A rs55679221 G A G EBF1_EBF_1 20 0 + 0 0 . chr20 9507541 9507542 chr20:9507542:C:T rs55810930 C T C EBF1_EBF_1 3 1 + 7.816610869902548 1.4112121283492318 AATCCCCAGGGCTT chr20 9535687 9535688 chr20:9535688:G:A rs67573224 G A G EBF1_EBF_1 28 0 + 0 0 . chr20 9556053 9556054 chr20:9556054:A:G rs73241773 A G G EBF1_EBF_1 -5 0 - 0 0 . chr20 9855740 9855741 chr20:9855741:A:G rs6056939 A G A EBF1_EBF_1 2 1 - 7.670323855266513 5.7815004438243625 ATTCCCAGGGGCAA chr20 9916966 9916967 chr20:9916967:C:T rs6057020 C T T EBF1_EBF_1 12 1 + 5.257082994111222 4.2308164235603725 CTCCCCAGGAGACA chr20 9928717 9928718 chr20:9928718:G:T rs870842 G T G EBF1_EBF_1 -4 0 + 0 0 . chr20 9999958 9999959 chr20:9999959:A:G rs6057070 A G A EBF1_EBF_1 -5 0 - 0 0 . chr20 10035141 10035142 chr20:10035142:G:T rs114356776 G T G EBF1_EBF_1 -11 0 + 0 0 . chr20 10078521 10078522 chr20:10078522:T:G rs486937 T G T EBF1_EBF_1 -12 0 + 0 0 . chr20 10115644 10115645 chr20:10115645:C:T rs515940 C T C EBF1_EBF_1 -15 0 + 0 0 . chr20 10217926 10217927 chr20:10217927:G:T rs73075447 G T G EBF1_EBF_1 0 1 - 6.75595129545095 9.011209779147551 CGCCCCGAGGGAAC chr20 10320076 10320077 chr20:10320077:G:A rs1209083481 G A G EBF1_EBF_1 14 0 + 0 0 . chr20 10329800 10329801 chr20:10329801:A:G rs362614 A G A EBF1_EBF_1 18 0 - 0 0 . chr20 10403163 10403164 chr20:10403164:C:T rs7269148 C T C EBF1_EBF_1 6 1 + 5.948670930093592 6.24995705895535 CCTCCCCAGGGGGC chr20 10475070 10475071 chr20:10475071:G:T rs192520191 G T G EBF1_EBF_1 -10 0 + 0 0 . chr20 10566600 10566601 chr20:10566601:C:G chr20:10566601:C:G C G C EBF1_EBF_1 -9 0 + 0 0 . chr20 10623101 10623102 chr20:10623102:G:A rs6077853 G A G EBF1_EBF_1 -4 0 + 0 0 . chr20 10637426 10637427 chr20:10637427:C:T rs117109836 C T c EBF1_EBF_1 33 0 + 0 0 . chr20 10648876 10648877 chr20:10648877:T:C rs35308216 T C C EBF1_EBF_1 -19 0 + 0 0 . chr20 10656408 10656409 chr20:10656409:T:C rs10485741 T C C EBF1_EBF_1 6 1 - 5.5419208700815155 3.3751264388070403 ACTCCCAGGTGACT chr20 10659393 10659394 chr20:10659394:T:C rs17457340 T C T EBF1_EBF_1 27 0 - 0 0 . chr20 10673525 10673526 chr20:10673526:C:A rs1026004197 C A C EBF1_EBF_1 0 1 - 4.681691889636166 4.600919336234978 GTTCCCCACGGACG chr20 10716999 10717000 chr20:10717000:A:G rs74624674 A G A EBF1_EBF_1 13 1 + 6.51405432000579 5.399728747936351 TTTCCCCTGAGAGA chr20 10724221 10724222 chr20:10724222:C:T rs8125470 C T C EBF1_EBF_1 6 1 + 8.906784476932721 9.208070605794477 GCTCCCCGGGGACA chr20 10864385 10864386 chr20:10864386:G:A rs140836993 G A G EBF1_EBF_1 -6 0 - 0 0 . chr20 10916522 10916523 chr20:10916523:C:T rs6077954 C T T EBF1_EBF_1 -7 0 - 0 0 . chr20 10919782 10919783 chr20:10919783:A:G rs6077957 A G G EBF1_EBF_1 28 0 - 0 0 . chr20 10993023 10993024 chr20:10993024:C:T rs9974014 C T T EBF1_EBF_1 10 1 - 11.966720038981904 6.648691579714939 ACTCCCTTGGGAAA chr20 11056612 11056613 chr20:11056613:C:T rs209949 C T C EBF1_EBF_1 25 0 - 0 0 . chr20 11214582 11214583 chr20:11214583:G:A rs7262329 G A G EBF1_EBF_1 15 0 - 0 0 . chr20 11217586 11217587 chr20:11217587:A:G rs61173828 A G A EBF1_EBF_1 -16 0 + 0 0 . chr20 11218958 11218959 chr20:11218959:C:T rs6078102 C T C EBF1_EBF_1 11 1 + 4.984081901262732 5.3021293430163965 GCTCCCTGGGGCTT chr20 11218980 11218981 chr20:11218981:A:G rs6033061 A G G EBF1_EBF_1 33 0 + 0 0 . chr20 11232535 11232536 chr20:11232536:A:G rs113249303 A G A EBF1_EBF_1 13 1 - 8.20383467635711 7.3593405617715915 CATCCCTTGAGAAT chr20 11256155 11256156 chr20:11256156:G:A rs2876221 G A A EBF1_EBF_1 -13 0 - 0 0 . chr20 11261784 11261785 chr20:11261785:C:T rs4813974 C T C EBF1_EBF_1 3 1 + 5.766372441836266 -0.6390262997170519 ACCCCCTTGGGGGA chr20 11292508 11292509 chr20:11292509:A:T rs6033092 A T A EBF1_EBF_1 -17 0 + 0 0 . chr20 11455529 11455530 chr20:11455530:C:T rs180816109 C T C EBF1_EBF_1 25 0 + 0 0 . chr20 11469668 11469669 chr20:11469669:T:A rs6040711 T A A EBF1_EBF_1 13 1 - 5.624599263051259 6.842838826922753 CAACCCCTGGGACA chr20 11502130 11502131 chr20:11502131:C:T rs140225906 C T C EBF1_EBF_1 -17 0 + 0 0 . chr20 11651198 11651199 chr20:11651199:C:G rs75343331 C G C EBF1_EBF_1 -5 0 + 0 0 . chr20 11797618 11797619 chr20:11797619:G:A rs55896478 G A A EBF1_EBF_1 -11 0 + 0 0 . chr20 11797638 11797639 chr20:11797639:A:G rs17189961 A G A EBF1_EBF_1 9 1 + 6.143126516329556 8.79806578177716 ATTCCCTGGAGCCT chr20 11810947 11810948 chr20:11810948:A:G rs2423614 A G A EBF1_EBF_1 -5 0 + 0 0 . chr20 11823307 11823308 chr20:11823308:T:G rs17225436 T G T EBF1_EBF_1 24 0 + 0 0 . chr20 11844766 11844767 chr20:11844767:A:T rs184902309 A T A EBF1_EBF_1 19 0 - 0 0 . chr20 11891086 11891087 chr20:11891087:C:T rs577683131 C T c EBF1_EBF_1 -15 0 + 0 0 . chr20 11891657 11891658 chr20:11891658:G:A rs193252552 G A G EBF1_EBF_1 21 0 - 0 0 . chr20 11943971 11943972 chr20:11943972:A:C rs6109198 A C A EBF1_EBF_1 -15 0 + 0 0 . chr20 11979992 11979993 chr20:11979993:T:A rs6109210 T A A EBF1_EBF_1 21 0 + 0 0 . chr20 11996746 11996747 chr20:11996747:A:C rs17190199 A C A EBF1_EBF_1 22 0 + 0 0 . chr20 12012444 12012445 chr20:12012445:G:A rs1158499840 G A G EBF1_EBF_1 8 1 + 6.331017776031458 2.1047276871451968 ATTCCATTGGGAAC chr20 12058409 12058410 chr20:12058410:T:C rs79787358 T C T EBF1_EBF_1 7 1 - 8.51271491297899 7.200256507690162 ATTCCCTAGAGATG chr20 12061561 12061562 chr20:12061562:C:T rs6104825 C T C EBF1_EBF_1 -13 0 - 0 0 . chr20 12199869 12199870 chr20:12199870:C:T rs6033340 C T C EBF1_EBF_1 4 1 + 5.499122007216955 1.1297350622720308 GCTCCCAGGAGACG chr20 12203641 12203642 chr20:12203642:G:A rs2327572 G A A EBF1_EBF_1 -18 0 - 0 0 . chr20 12251041 12251042 chr20:12251042:G:A rs151149291 G A G EBF1_EBF_1 -14 0 - 0 0 . chr20 12294152 12294153 chr20:12294153:T:A rs6134506 T A A EBF1_EBF_1 14 0 - 0 0 . chr20 12395753 12395754 chr20:12395754:A:G rs907408389 A G A EBF1_EBF_1 33 0 - 0 0 . chr20 12395773 12395774 chr20:12395774:T:C rs55867558 T C T EBF1_EBF_1 13 1 - 7.38216502525826 6.267839453188821 AACCCCATGAGACA chr20 12535752 12535753 chr20:12535753:G:A rs12626108 G A G EBF1_EBF_1 15 0 - 0 0 . chr20 12573688 12573689 chr20:12573689:C:T rs114730031 C T C EBF1_EBF_1 21 0 + 0 0 . chr20 12592798 12592799 chr20:12592799:G:A rs6033454 G A G EBF1_EBF_1 11 1 - 6.171849229700482 6.4898966714541455 TTTCCCCTGGGCAA chr20 12650485 12650486 chr20:12650486:A:C rs1452328 A C a EBF1_EBF_1 -17 0 + 0 0 . chr20 12800231 12800232 chr20:12800232:C:T rs6078768 C T C EBF1_EBF_1 22 0 - 0 0 . chr20 13099726 13099727 chr20:13099727:G:T rs6109698 G T G EBF1_EBF_1 -20 0 + 0 0 . chr20 13231758 13231759 chr20:13231759:C:T rs116409465 C T C EBF1_EBF_1 25 0 + 0 0 . chr20 13250412 13250413 chr20:13250413:G:A rs6134835 G A G EBF1_EBF_1 2 1 - 5.431368046932657 7.320191458374807 TGCCCCCAAGGACT chr20 13253050 13253051 chr20:13253051:G:A rs73261070 G A G EBF1_EBF_1 29 0 + 0 0 . chr20 13334078 13334079 chr20:13334079:G:C rs6042027 G C G EBF1_EBF_1 -11 0 - 0 0 . chr20 13384236 13384237 chr20:13384237:G:A rs1321297 G A G EBF1_EBF_1 9 1 + 5.361083483420039 2.7061442179724358 ATCCCCATGGCAAT chr20 13525781 13525782 chr20:13525782:A:G rs75277840 A G A EBF1_EBF_1 19 0 + 0 0 . chr20 13628710 13628711 chr20:13628711:T:C rs6079126 T C T EBF1_EBF_1 16 0 + 0 0 . chr20 13649657 13649658 chr20:13649658:C:T rs112262546 C T C EBF1_EBF_1 15 0 + 0 0 . chr20 13930434 13930435 chr20:13930435:A:G rs1406923 A G A EBF1_EBF_1 0 1 - 6.149844723371359 5.867642662640781 TTCCCCCTGGGGCC chr20 14193784 14193785 chr20:14193785:T:C rs6105222 T C T EBF1_EBF_1 28 0 - 0 0 . chr20 14407568 14407569 chr20:14407569:C:T rs6110278 C T C EBF1_EBF_1 25 0 - 0 0 . chr20 14457643 14457644 chr20:14457644:A:G rs365824 A G A EBF1_EBF_1 -15 0 - 0 0 . chr20 14471172 14471173 chr20:14471173:G:C rs416534 G C G EBF1_EBF_1 -13 0 + 0 0 . chr20 14487751 14487752 chr20:14487752:A:C rs441647 A C A EBF1_EBF_1 -7 0 - 0 0 . chr20 14489418 14489419 chr20:14489419:C:T rs11698192 C T C EBF1_EBF_1 33 0 - 0 0 . chr20 14634069 14634070 chr20:14634070:A:G rs191703401 A G A EBF1_EBF_1 -2 0 + 0 0 . chr20 14697141 14697142 chr20:14697142:C:T rs1041606 C T T EBF1_EBF_1 15 0 + 0 0 . chr20 14710922 14710923 chr20:14710923:C:T rs73104513 C T C EBF1_EBF_1 20 0 + 0 0 . chr20 14746146 14746147 chr20:14746147:T:C rs2184109 T C C EBF1_EBF_1 -19 0 - 0 0 . chr20 14756870 14756871 chr20:14756871:G:A rs116556392 G A G EBF1_EBF_1 13 1 - 5.965126668560255 6.809620783145773 GATCCCACGAGAAC chr20 14785491 14785492 chr20:14785492:G:A rs188662568 G A G EBF1_EBF_1 5 1 - 4.944510123895978 -0.3772770916446922 GTCCCCCAGGGGGA chr20 14830761 14830762 chr20:14830762:A:G rs16995051 A G A EBF1_EBF_1 33 0 + 0 0 . chr20 14907529 14907530 chr20:14907530:G:A rs6110463 G A A EBF1_EBF_1 7 1 - 6.851301371406771 8.668806117689394 TTCCCCCCGGGACA chr20 14917121 14917122 chr20:14917122:G:A rs73089989 G A G EBF1_EBF_1 4 1 - 5.838286498727164 1.4688995537822394 AGCCCCCTGGGTCA chr20 15011750 15011751 chr20:15011751:T:C rs79391211 T C T EBF1_EBF_1 32 0 - 0 0 . chr20 15045428 15045429 chr20:15045429:C:A rs6135332 C A C EBF1_EBF_1 21 0 - 0 0 . chr20 15119489 15119490 chr20:15119490:C:A rs35357694 C A C EBF1_EBF_1 3 1 + 7.853164472168789 0.9469904427029827 CATCCCACGGGACA chr20 15119637 15119638 chr20:15119638:A:G rs6074844 A G A EBF1_EBF_1 -15 0 - 0 0 . chr20 15190951 15190952 chr20:15190952:G:T rs6135361 G T G EBF1_EBF_1 10 1 + 5.150760063104283 0.9680078768770171 GCTCCCCAGGGCTC chr20 15241855 15241856 chr20:15241856:T:C rs146005903 T C T EBF1_EBF_1 24 0 + 0 0 . chr20 15445625 15445626 chr20:15445626:T:G rs73272909 T G T EBF1_EBF_1 -18 0 - 0 0 . chr20 15505557 15505558 chr20:15505558:C:T rs141553101 C T C EBF1_EBF_1 29 0 + 0 0 . chr20 15565273 15565274 chr20:15565274:G:A rs142486686 G A G EBF1_EBF_1 19 0 + 0 0 . chr20 15674958 15674959 chr20:15674959:A:G rs6034255 A G A EBF1_EBF_1 12 1 - 8.211428784117231 9.23769535466808 ATTCCCCAGAGATG chr20 15857378 15857379 chr20:15857379:C:G rs175800 C G G EBF1_EBF_1 32 0 + 0 0 . chr20 15976505 15976506 chr20:15976506:C:T rs75881801 C T C EBF1_EBF_1 1 1 + 5.69678620417508 6.5922196445738255 GCCCCCATGGGGCC chr20 16067012 16067013 chr20:16067013:T:A rs6080113 T A A EBF1_EBF_1 1 1 + 5.699288807240319 4.732928189489489 CTTCACCTGGGAAT chr20 16296021 16296022 chr20:16296022:A:G rs6514671 A G G EBF1_EBF_1 14 0 + 0 0 . chr20 16506052 16506053 chr20:16506053:G:A rs2295115 G A G EBF1_EBF_1 6 1 + 5.889786283300426 8.0565807145749 AGTCACGAGGGACT chr20 16547891 16547892 chr20:16547892:G:A rs880800 G A G EBF1_EBF_1 22 0 - 0 0 . chr20 16555992 16555993 chr20:16555993:G:C rs6044113 G C G EBF1_EBF_1 14 0 - 0 0 . chr20 16612911 16612912 chr20:16612912:C:G rs76104887 C G C EBF1_EBF_1 -14 0 + 0 0 . chr20 16663700 16663701 chr20:16663701:G:A rs111247489 G A G EBF1_EBF_1 2 1 - 4.427740162729879 6.316563574172031 CTCCCCACGGGAGG chr20 16760851 16760852 chr20:16760852:G:A rs6075106 G A A EBF1_EBF_1 -11 0 + 0 0 . chr20 16864048 16864049 chr20:16864049:G:A rs6080455 G A G EBF1_EBF_1 25 0 + 0 0 . chr20 16870712 16870713 chr20:16870713:C:G rs6044472 C G C EBF1_EBF_1 16 0 - 0 0 . chr20 17226427 17226428 chr20:17226428:G:T rs73256197 G T G EBF1_EBF_1 10 1 + 8.735782856121599 4.5530306698943335 CCTCCCCGGGGATT chr20 17227858 17227859 chr20:17227859:A:C rs6136033 A C C EBF1_EBF_1 -16 0 + 0 0 . chr20 17377455 17377456 chr20:17377456:G:A rs62201031 G A G EBF1_EBF_1 -2 0 - 0 0 . chr20 17468639 17468640 chr20:17468640:C:A rs16999220 C A c EBF1_EBF_1 -16 0 + 0 0 . chr20 17495475 17495476 chr20:17495476:C:T rs2239654 C T c EBF1_EBF_1 20 0 - 0 0 . chr20 17515040 17515041 chr20:17515041:C:T rs79084462 C T C EBF1_EBF_1 5 1 + 5.8402672285521975 0.5184800130115267 CCCCCCAAGGGGAC chr20 17531714 17531715 chr20:17531715:T:C rs77881374 T C T EBF1_EBF_1 29 0 + 0 0 . chr20 17559506 17559507 chr20:17559507:G:A rs763394 G A G EBF1_EBF_1 -14 0 - 0 0 . chr20 17614600 17614601 chr20:17614601:T:C rs80005897 T C T EBF1_EBF_1 -2 0 + 0 0 . chr20 17614627 17614628 chr20:17614628:G:C chr20:17614628:G:C G C G EBF1_EBF_1 25 0 + 0 0 . chr20 17619376 17619377 chr20:17619377:C:T rs9768 C T C EBF1_EBF_1 -2 0 + 0 0 . chr20 17622725 17622726 chr20:17622726:G:A rs116262821 G A G EBF1_EBF_1 5 1 - 7.020819860058333 1.6990326445176636 TCTCCCGGGGGACA chr20 17638105 17638106 chr20:17638106:G:T rs564392039 G T G EBF1_EBF_1 9 1 + 9.431484116907704 2.5944947088188957 AGCCCCTGGGGAAA chr20 17642030 17642031 chr20:17642031:C:T rs41276398 C T C EBF1_EBF_1 -10 0 + 0 0 . chr20 17647497 17647498 chr20:17647498:G:C rs17719352 G C G EBF1_EBF_1 30 0 - 0 0 . chr20 17649048 17649049 chr20:17649049:C:T rs77093140 C T C EBF1_EBF_1 30 0 - 0 0 . chr20 17678979 17678980 chr20:17678980:C:G rs981934317 C G C EBF1_EBF_1 -10 0 + 0 0 . chr20 17684140 17684141 chr20:17684141:G:A rs6111610 G A G EBF1_EBF_1 -14 0 - 0 0 . chr20 17692906 17692907 chr20:17692907:A:G rs6044956 A G G EBF1_EBF_1 19 0 - 0 0 . chr20 17694325 17694326 chr20:17694326:C:A rs6044957 C A A EBF1_EBF_1 25 0 + 0 0 . chr20 17711259 17711260 chr20:17711260:G:A rs117121509 G A G EBF1_EBF_1 8 1 + 5.043527887401563 0.8172377985153008 CCTCCCCCGGGGCC chr20 17711262 17711263 chr20:17711263:G:A rs58790841 G A G EBF1_EBF_1 11 1 + 5.043527887401563 7.936234731005574 CCTCCCCCGGGGCC chr20 17722062 17722063 chr20:17722063:T:G rs78719261 T G G EBF1_EBF_1 -4 0 - 0 0 . chr20 17728384 17728385 chr20:17728385:A:C rs2328216 A C C EBF1_EBF_1 24 0 - 0 0 . chr20 17734619 17734620 chr20:17734620:G:T rs60458298 G T G EBF1_EBF_1 8 1 + 8.692021838580672 1.8005890496034778 GCCCCCAAGGGACA chr20 17747813 17747814 chr20:17747814:C:T rs58043053 C T C EBF1_EBF_1 -3 0 + 0 0 . chr20 17750358 17750359 chr20:17750359:G:T rs114619442 G T G EBF1_EBF_1 -6 0 + 0 0 . chr20 17795682 17795683 chr20:17795683:C:T rs59283205 C T C EBF1_EBF_1 28 0 - 0 0 . chr20 17808329 17808330 chr20:17808330:A:G rs58637458 A G A EBF1_EBF_1 -15 0 - 0 0 . chr20 17822503 17822504 chr20:17822504:T:C rs7272789 T C C EBF1_EBF_1 24 0 - 0 0 . chr20 17825545 17825546 chr20:17825546:C:T rs6111689 C T C EBF1_EBF_1 3 1 + 6.068862831846212 -0.3365359097071059 TCTCCCCAGGAAAT chr20 17832678 17832679 chr20:17832679:A:T rs241138 A T A EBF1_EBF_1 24 0 - 0 0 . chr20 17849240 17849241 chr20:17849241:C:A rs78998941 C A C EBF1_EBF_1 4 1 + 6.658412223549795 0.8338526000963274 TGTCCCCAGAGAGC chr20 17859879 17859880 chr20:17859880:G:A rs6080869 G A G EBF1_EBF_1 5 1 - 6.566769692581557 1.2449824770408886 ACTCCCCTGGGCTC chr20 17863125 17863126 chr20:17863126:G:A rs2618569 G A G EBF1_EBF_1 14 0 - 0 0 . chr20 17867735 17867736 chr20:17867736:C:G rs761247 C G C EBF1_EBF_1 2 1 + 8.537486758223823 3.677984479465504 GCCCCCTGGGGACT chr20 17874458 17874459 chr20:17874459:A:G rs140105454 A G A EBF1_EBF_1 25 0 + 0 0 . chr20 17883913 17883914 chr20:17883914:C:G rs114617743 C G C EBF1_EBF_1 24 0 - 0 0 . chr20 17883937 17883938 chr20:17883938:T:C rs240751 T C C EBF1_EBF_1 0 1 - 7.534101716100092 5.641817846535256 AGTCCCTAGGGCTC chr20 17883938 17883939 chr20:17883939:G:A rs2618554 G A G EBF1_EBF_1 -1 0 - 0 0 . chr20 17885192 17885193 chr20:17885193:C:T rs6132005 C T C EBF1_EBF_1 12 1 - 5.675141507013709 7.093958849113187 ATCCCCAAGGGCGA chr20 17895301 17895302 chr20:17895302:G:A rs73599776 G A G EBF1_EBF_1 30 0 - 0 0 . chr20 17895303 17895304 chr20:17895304:C:A rs7269114 C A C EBF1_EBF_1 28 0 - 0 0 . chr20 17928550 17928551 chr20:17928551:G:A rs117806111 G A G EBF1_EBF_1 -12 0 - 0 0 . chr20 17961754 17961755 chr20:17961755:T:C rs6080918 T C C EBF1_EBF_1 -14 0 - 0 0 . chr20 17995176 17995177 chr20:17995177:C:A rs8120495 C A C EBF1_EBF_1 6 1 + 7.0072568232851955 7.3688591910864725 TCTCCCCTGAGACA chr20 18138821 18138822 chr20:18138822:G:A rs8122276 G A G EBF1_EBF_1 33 0 - 0 0 . chr20 18138846 18138847 chr20:18138847:C:T rs67583123 C T C EBF1_EBF_1 8 1 - 7.5113430940266195 3.285053005140358 ATTCCCAAGGTGCT chr20 18213571 18213572 chr20:18213572:A:G rs62206665 A G A EBF1_EBF_1 23 0 - 0 0 . chr20 18263863 18263864 chr20:18263864:C:G rs6105892 C G C EBF1_EBF_1 -20 0 - 0 0 . chr20 18263914 18263915 chr20:18263915:C:T rs2143847 C T C EBF1_EBF_1 -6 0 - 0 0 . chr20 18288613 18288614 chr20:18288614:G:A rs2424147 G A G EBF1_EBF_1 0 1 + 6.494017281664142 8.386301151228976 GCTCCCGCGGGACC chr20 18315216 18315217 chr20:18315217:G:A rs2228274 G A G EBF1_EBF_1 13 1 - 5.890524048136792 6.7350181627223105 GGTCCCCTGGGCCC chr20 18428990 18428991 chr20:18428991:C:T rs76237686 C T C EBF1_EBF_1 -18 0 + 0 0 . chr20 18508109 18508110 chr20:18508110:G:C rs2281576 G C C EBF1_EBF_1 25 0 - 0 0 . chr20 18518396 18518397 chr20:18518397:C:T rs6081177 C T C EBF1_EBF_1 19 0 - 0 0 . chr20 18628292 18628293 chr20:18628293:C:G rs3818221 C G C EBF1_EBF_1 -19 0 - 0 0 . chr20 18633672 18633673 chr20:18633673:A:G rs150029817 A G A EBF1_EBF_1 23 0 + 0 0 . chr20 18648383 18648384 chr20:18648384:G:A rs6045534 G A A EBF1_EBF_1 -18 0 - 0 0 . chr20 18680926 18680927 chr20:18680927:T:C rs11906583 T C T EBF1_EBF_1 -6 0 + 0 0 . chr20 18706959 18706960 chr20:18706960:C:G rs8115330 C G C EBF1_EBF_1 1 1 + 5.0380533781907 5.227825032759917 GCCCCCTGGAGACC chr20 18728443 18728444 chr20:18728444:G:A rs6081328 G A G EBF1_EBF_1 7 1 - 10.404199726045563 12.221704472328186 ATCCCCACGGGACT chr20 18795589 18795590 chr20:18795590:A:G rs73601851 A G A EBF1_EBF_1 8 1 - 6.729008717129871 8.30178264519238 AATCCCAATGGACT chr20 18805623 18805624 chr20:18805624:G:A rs77758514 G A G EBF1_EBF_1 26 0 + 0 0 . chr20 18815024 18815025 chr20:18815025:T:C rs6045629 T C T EBF1_EBF_1 -5 0 - 0 0 . chr20 18905501 18905502 chr20:18905502:G:T rs761352 G T G EBF1_EBF_1 33 0 + 0 0 . chr20 18927073 18927074 chr20:18927074:A:T rs6075429 A T A EBF1_EBF_1 -12 0 + 0 0 . chr20 18945488 18945489 chr20:18945489:G:T rs6081437 G T G EBF1_EBF_1 -8 0 - 0 0 . chr20 18985802 18985803 chr20:18985803:A:T rs6045730 A T A EBF1_EBF_1 31 0 + 0 0 . chr20 19012003 19012004 chr20:19012004:A:G rs4600727 A G G EBF1_EBF_1 -12 0 + 0 0 . chr20 19017315 19017316 chr20:19017316:C:A rs6045764 C A A EBF1_EBF_1 33 0 + 0 0 . chr20 19020842 19020843 chr20:19020843:C:T rs78628395 C T C EBF1_EBF_1 -18 0 + 0 0 . chr20 19110886 19110887 chr20:19110887:G:A rs7271149 G A G EBF1_EBF_1 7 1 + 4.628317257565827 5.940775662854652 GTTCCCCGGAGGCC chr20 19110903 19110904 chr20:19110904:T:G rs6045834 T G T EBF1_EBF_1 24 0 + 0 0 . chr20 19211684 19211685 chr20:19211685:C:T rs77437875 C T C EBF1_EBF_1 26 0 + 0 0 . chr20 19255245 19255246 chr20:19255246:C:T rs1012646 C T C EBF1_EBF_1 -15 0 - 0 0 . chr20 19289711 19289712 chr20:19289712:T:C rs1548242 T C C EBF1_EBF_1 2 1 + 6.349919097995712 4.461095686553562 ACTCCCTGGGGTTC chr20 19339172 19339173 chr20:19339173:T:A rs184861849 T A T EBF1_EBF_1 17 0 - 0 0 . chr20 19352033 19352034 chr20:19352034:G:A rs62200371 G A G EBF1_EBF_1 -12 0 - 0 0 . chr20 19368364 19368365 chr20:19368365:C:T rs77023773 C T C EBF1_EBF_1 14 0 + 0 0 . chr20 19435949 19435950 chr20:19435950:T:C rs742598 T C C EBF1_EBF_1 -20 0 - 0 0 . chr20 19457011 19457012 chr20:19457012:A:G rs13039734 A G a EBF1_EBF_1 -16 0 - 0 0 . chr20 19459772 19459773 chr20:19459773:G:A rs80243832 G A G EBF1_EBF_1 5 1 - 6.079936253015497 0.7581490374748263 ATTCCCCAAGGGTT chr20 19494022 19494023 chr20:19494023:G:A rs78768460 G A g EBF1_EBF_1 -1 0 + 0 0 . chr20 19540657 19540658 chr20:19540658:G:A rs143839037 G A G EBF1_EBF_1 5 1 - 5.156005323470475 -0.16578189207019434 CCTCCCCTGGGCTT chr20 19540675 19540676 chr20:19540676:C:A rs148165355 C A C EBF1_EBF_1 -13 0 - 0 0 . chr20 19595727 19595728 chr20:19595728:T:C rs34038646 T C T EBF1_EBF_1 -17 0 - 0 0 . chr20 19700012 19700013 chr20:19700013:A:G rs6106122 A G A EBF1_EBF_1 6 1 + 6.049029202440246 3.8822347711657708 CATCCCAAGGGTCA chr20 19720603 19720604 chr20:19720604:G:T rs873327 G T G EBF1_EBF_1 28 0 - 0 0 . chr20 19735659 19735660 chr20:19735660:A:G rs1021627098 A G A EBF1_EBF_1 22 0 - 0 0 . chr20 19735672 19735673 chr20:19735673:C:G rs35829756 C G C EBF1_EBF_1 9 1 - 7.249472212267757 2.173783065922295 ACTCCCCTGGGCAA chr20 19759236 19759237 chr20:19759237:A:G rs190296431 A G A EBF1_EBF_1 -8 0 + 0 0 . chr20 19808777 19808778 chr20:19808778:T:G rs6035443 T G G EBF1_EBF_1 -5 0 - 0 0 . chr20 19814284 19814285 chr20:19814285:G:C rs6136843 G C G EBF1_EBF_1 29 0 - 0 0 . chr20 19815741 19815742 chr20:19815742:G:A rs6136847 G A A EBF1_EBF_1 25 0 - 0 0 . chr20 19875542 19875543 chr20:19875543:G:A rs2025046 G A G EBF1_EBF_1 23 0 + 0 0 . chr20 19875542 19875543 chr20:19875543:G:C chr20:19875543:G:C G C G EBF1_EBF_1 23 0 + 0 0 . chr20 19975263 19975264 chr20:19975264:G:T rs534193230 G T G EBF1_EBF_1 -17 0 - 0 0 . chr20 19977109 19977110 chr20:19977110:G:A rs139161626 G A G EBF1_EBF_1 13 1 - 6.293652457754777 7.138146572340295 TCTCCCTTGAGAGC chr20 19977129 19977130 chr20:19977130:C:T rs6046496 C T C EBF1_EBF_1 -7 0 - 0 0 . chr20 19984878 19984879 chr20:19984879:T:C rs6035501 T C T EBF1_EBF_1 -7 0 + 0 0 . chr20 19984897 19984898 chr20:19984898:G:A rs6035502 G A A EBF1_EBF_1 12 1 + 9.170452270503795 10.589269612603271 ATTCCCTGGAGAGT chr20 19986977 19986978 chr20:19986978:A:T rs199602 A T A EBF1_EBF_1 22 0 + 0 0 . chr20 19993355 19993356 chr20:19993356:C:T rs561015169 C T C EBF1_EBF_1 21 0 + 0 0 . chr20 20089282 20089283 chr20:20089283:G:T rs76080768 G T G EBF1_EBF_1 10 1 + 7.805742774475798 3.622990588248534 ATCCCCATGGGCCT chr20 20105298 20105299 chr20:20105299:T:C rs6081876 T C C EBF1_EBF_1 -19 0 + 0 0 . chr20 20318951 20318952 chr20:20318952:A:G rs1039154977 A G A EBF1_EBF_1 -8 0 + 0 0 . chr20 20392698 20392699 chr20:20392699:A:G rs3748443 A G A EBF1_EBF_1 17 0 + 0 0 . chr20 20393357 20393358 chr20:20393358:G:A rs36010444 G A G EBF1_EBF_1 7 1 + 7.421587275203115 8.734045680491938 ACTCCCAGGAGAAG chr20 20559391 20559392 chr20:20559392:C:A rs6082047 C A A EBF1_EBF_1 8 1 + 5.999398907672773 7.091767679701198 AATCCCAACGGAAG chr20 20713565 20713566 chr20:20713566:C:T rs59673231 C T C EBF1_EBF_1 -3 0 + 0 0 . chr20 20772834 20772835 chr20:20772835:T:A rs953364 T A T EBF1_EBF_1 -11 0 - 0 0 . chr20 20824318 20824319 chr20:20824319:C:A rs6082188 C A A EBF1_EBF_1 5 1 + 5.22574055936232 -1.6791405932728483 CCTCCCGAGAGAGT chr20 20824328 20824329 chr20:20824329:A:G rs6082189 A G G EBF1_EBF_1 15 0 + 0 0 . chr20 21126290 21126291 chr20:21126291:T:C rs115300323 T C C EBF1_EBF_1 -6 0 + 0 0 . chr20 21126323 21126324 chr20:21126324:A:G rs1016600203 A G A EBF1_EBF_1 27 0 + 0 0 . chr20 21137198 21137199 chr20:21137199:T:C rs6035787 T C T EBF1_EBF_1 -10 0 - 0 0 . chr20 21500652 21500653 chr20:21500653:G:A rs537975352 G A G EBF1_EBF_1 31 0 - 0 0 . chr20 21511611 21511612 chr20:21511612:C:T rs148043874 C T C EBF1_EBF_1 -8 0 + 0 0 . chr20 21543486 21543487 chr20:21543487:G:T rs58719211 G T T EBF1_EBF_1 6 1 - 6.8770325122546305 7.238634880055909 CCTCCCCTGGGAGG chr20 21548635 21548636 chr20:21548636:T:C rs2145814 T C C EBF1_EBF_1 -2 0 + 0 0 . chr20 21701718 21701719 chr20:21701719:T:C rs7264964 T C C EBF1_EBF_1 1 1 + 6.205446546174956 5.3100131057762106 ATTCCCCGCGGAGT chr20 21786088 21786089 chr20:21786089:G:A rs6075838 G A G EBF1_EBF_1 22 0 - 0 0 . chr20 21810343 21810344 chr20:21810344:C:T rs16982990 C T c EBF1_EBF_1 -7 0 + 0 0 . chr20 21810369 21810370 chr20:21810370:A:T rs6137448 A T A EBF1_EBF_1 19 0 + 0 0 . chr20 21842313 21842314 chr20:21842314:C:T rs4815071 C T T EBF1_EBF_1 -11 0 - 0 0 . chr20 21873736 21873737 chr20:21873737:G:A rs4815076 G A A EBF1_EBF_1 31 0 + 0 0 . chr20 22180342 22180343 chr20:22180343:C:A rs804580 C A c EBF1_EBF_1 22 0 - 0 0 . chr20 22232672 22232673 chr20:22232673:G:A rs804681 G A A EBF1_EBF_1 4 1 - 11.969941272360018 7.600554327415095 CTTCCCCAGGGACT chr20 22271636 22271637 chr20:22271637:C:T rs6113604 C T C EBF1_EBF_1 -16 0 - 0 0 . chr20 22390566 22390567 chr20:22390567:G:A rs968876912 G A G EBF1_EBF_1 13 1 - 5.989317138451933 6.833811253037451 ATTGCCTTGGGAGC chr20 22502341 22502342 chr20:22502342:G:A rs35712862 G A G EBF1_EBF_1 16 0 - 0 0 . chr20 22562395 22562396 chr20:22562396:C:A rs4815127 C A C EBF1_EBF_1 -7 0 + 0 0 . chr20 22567644 22567645 chr20:22567645:C:T rs2277762 C T C EBF1_EBF_1 -6 0 - 0 0 . chr20 22567655 22567656 chr20:22567656:C:T rs2277763 C T C EBF1_EBF_1 -17 0 - 0 0 . chr20 22577674 22577675 chr20:22577675:C:T rs111625124 C T C EBF1_EBF_1 3 1 + 6.8159878292808616 0.4105890877275464 ATTCCCGAGGGCAA chr20 22767998 22767999 chr20:22767999:A:G rs1761 A G a EBF1_EBF_1 18 0 - 0 0 . chr20 22820051 22820052 chr20:22820052:T:C rs6048366 T C C EBF1_EBF_1 -1 0 + 0 0 . chr20 22824259 22824260 chr20:22824260:C:T rs4311117 C T C EBF1_EBF_1 10 1 - 10.019260503620195 4.70123204435323 TGCCCCAAGGGACT chr20 22905951 22905952 chr20:22905952:G:A rs111445391 G A G EBF1_EBF_1 -3 0 - 0 0 . chr20 22984316 22984317 chr20:22984317:T:C rs2424490 T C T EBF1_EBF_1 -15 0 - 0 0 . chr20 22997554 22997555 chr20:22997555:G:A rs6048491 G A A EBF1_EBF_1 6 1 - 5.498143279311104 5.799429408172861 ATCCCCCTGAGGAC chr20 23001449 23001450 chr20:23001450:G:A rs11696756 G A G EBF1_EBF_1 9 1 + 9.933569389124143 7.278630123676539 CCTCCCATGGGATT chr20 23013545 23013546 chr20:23013546:T:C rs2424502 T C T EBF1_EBF_1 -4 0 + 0 0 . chr20 23013552 23013553 chr20:23013553:C:A rs75511222 C A C EBF1_EBF_1 3 1 + 8.774168814310626 1.8679947848448193 CATCCCTGGGGACA chr20 23015963 23015964 chr20:23015964:C:A rs10485639 C A C EBF1_EBF_1 -17 0 + 0 0 . chr20 23015987 23015988 chr20:23015988:A:T rs6048506 A T A EBF1_EBF_1 7 1 + 11.388078453169419 10.911804213081862 AATCCCCAGGGATC chr20 23040959 23040960 chr20:23040960:T:C rs6076013 T C t EBF1_EBF_1 -12 0 - 0 0 . chr20 23042062 23042063 chr20:23042063:T:G rs7361512 T G G EBF1_EBF_1 32 0 + 0 0 . chr20 23046775 23046776 chr20:23046776:T:G rs3176123 T G T EBF1_EBF_1 -16 0 - 0 0 . chr20 23050609 23050610 chr20:23050610:G:C rs1347085701 G C G EBF1_EBF_1 14 0 + 0 0 . chr20 23051423 23051424 chr20:23051424:C:G rs2239562 C G C EBF1_EBF_1 2 1 + 6.69907387969164 1.8395716009333225 GACCCCCTGGGAAG chr20 23062249 23062250 chr20:23062250:G:T rs148914814 G T G EBF1_EBF_1 10 1 + 5.920357181949507 1.7376049957222433 AGTCCCCGGGGCTC chr20 23062270 23062271 chr20:23062271:T:C rs16984888 T C T EBF1_EBF_1 31 0 + 0 0 . chr20 23085241 23085242 chr20:23085242:G:A rs34170527 G A G EBF1_EBF_1 -8 0 - 0 0 . chr20 23087970 23087971 chr20:23087971:G:A rs35339750 G A g EBF1_EBF_1 26 0 - 0 0 . chr20 23087972 23087973 chr20:23087973:C:G rs117051438 C G C EBF1_EBF_1 24 0 - 0 0 . chr20 23089274 23089275 chr20:23089275:T:C chr20:23089275:T:C T C T EBF1_EBF_1 -6 0 - 0 0 . chr20 23092678 23092679 chr20:23092679:A:C rs182360966 A C A EBF1_EBF_1 12 1 - 6.0096093202500285 5.647239947122727 TGTCCCTGGAGATC chr20 23098457 23098458 chr20:23098458:T:A rs844814 T A A EBF1_EBF_1 -12 0 - 0 0 . chr20 23125756 23125757 chr20:23125757:C:G rs6036313 C G C EBF1_EBF_1 3 1 + 5.515822889028243 -0.31194155908697346 ACCCCCAAGGGCTC chr20 23147930 23147931 chr20:23147931:A:T rs79433978 A T A EBF1_EBF_1 2 1 - 7.167690182640784 3.4832225950419065 AGTCCCAGGGTACA chr20 23147941 23147942 chr20:23147942:G:A rs4813480 G A G EBF1_EBF_1 -9 0 - 0 0 . chr20 23148208 23148209 chr20:23148209:G:A rs1229462843 G A G EBF1_EBF_1 4 1 - 8.09222817897774 3.722841234032817 ATTCCCCACGGAAC chr20 23152682 23152683 chr20:23152683:C:T rs570511899 C T C EBF1_EBF_1 18 0 + 0 0 . chr20 23228767 23228768 chr20:23228768:G:A rs73901953 G A G EBF1_EBF_1 15 0 - 0 0 . chr20 23266315 23266316 chr20:23266316:T:C rs4813488 T C C EBF1_EBF_1 20 0 - 0 0 . chr20 23279940 23279941 chr20:23279941:A:C rs6048674 A C C EBF1_EBF_1 2 1 - 5.392594609254016 -1.3557310809464505 AGTCACCAGGGAAG chr20 23282863 23282864 chr20:23282864:T:C rs6114035 T C C EBF1_EBF_1 26 0 - 0 0 . chr20 23282864 23282865 chr20:23282865:G:A rs6114036 G A A EBF1_EBF_1 25 0 - 0 0 . chr20 23297400 23297401 chr20:23297401:C:G rs12624631 C G C EBF1_EBF_1 30 0 + 0 0 . chr20 23299457 23299458 chr20:23299458:T:G rs74578551 T G T EBF1_EBF_1 29 0 - 0 0 . chr20 23299479 23299480 chr20:23299480:A:G rs112266473 A G G EBF1_EBF_1 7 1 - 7.8837114535816 6.066206707298977 AATCCCATGGGCCC chr20 23303221 23303222 chr20:23303222:C:A rs61594626 C A C EBF1_EBF_1 -19 0 - 0 0 . chr20 23324967 23324968 chr20:23324968:G:C rs3004095 G C G EBF1_EBF_1 22 0 - 0 0 . chr20 23360693 23360694 chr20:23360694:C:T rs142007463 C T C EBF1_EBF_1 31 0 - 0 0 . chr20 23361462 23361463 chr20:23361463:T:C rs1936012 T C C EBF1_EBF_1 -19 0 - 0 0 . chr20 23421043 23421044 chr20:23421044:C:A chr20:23421044:C:A C A C EBF1_EBF_1 25 0 - 0 0 . chr20 23421043 23421044 chr20:23421044:C:G rs182461032 C G C EBF1_EBF_1 25 0 - 0 0 . chr20 23421056 23421057 chr20:23421057:G:A rs73901863 G A G EBF1_EBF_1 12 1 - 4.243276049834878 3.2170094792840285 CCCCCCCAGGGTCC chr20 23421087 23421088 chr20:23421088:G:C rs743020 G C G EBF1_EBF_1 -19 0 - 0 0 . chr20 23421559 23421560 chr20:23421560:G:T rs115391497 G T G EBF1_EBF_1 -17 0 + 0 0 . chr20 23421588 23421589 chr20:23421589:C:A rs190292654 C A C EBF1_EBF_1 12 1 + 4.989919625367104 5.02010102378843 GTTCCCAGGAGGCC chr20 23421600 23421601 chr20:23421601:G:A rs182151308 G A G EBF1_EBF_1 24 0 + 0 0 . chr20 23452172 23452173 chr20:23452173:A:G rs6114092 A G g EBF1_EBF_1 -18 0 - 0 0 . chr20 23489226 23489227 chr20:23489227:T:A rs6137984 T A T EBF1_EBF_1 16 0 + 0 0 . chr20 23510075 23510076 chr20:23510076:C:T rs78958589 C T C EBF1_EBF_1 -5 0 + 0 0 . chr20 23549427 23549428 chr20:23549428:C:A rs1033710 C A C EBF1_EBF_1 3 1 + 8.252071052961702 1.3458970234958945 ACACCCTTGGGACA chr20 23565698 23565699 chr20:23565699:A:G rs2295561 A G A EBF1_EBF_1 1 1 - 5.123578152820509 4.228144712421764 TTCCCCCTGGGGTC chr20 23587706 23587707 chr20:23587707:C:T rs2983628 C T C EBF1_EBF_1 16 0 + 0 0 . chr20 23608748 23608749 chr20:23608749:G:C rs6114194 G C G EBF1_EBF_1 -19 0 - 0 0 . chr20 23622889 23622890 chr20:23622890:T:C rs7273129 T C T EBF1_EBF_1 -18 0 + 0 0 . chr20 23695030 23695031 chr20:23695031:G:C rs7269105 G C C EBF1_EBF_1 -12 0 + 0 0 . chr20 23705013 23705014 chr20:23705014:G:A rs2897202 G A G EBF1_EBF_1 -19 0 + 0 0 . chr20 23748209 23748210 chr20:23748210:A:G rs4477985 A G G EBF1_EBF_1 29 0 + 0 0 . chr20 23756721 23756722 chr20:23756722:C:T rs6036517 C T T EBF1_EBF_1 -10 0 + 0 0 . chr20 23824025 23824026 chr20:23824026:T:A rs76198093 T A T EBF1_EBF_1 -15 0 + 0 0 . chr20 23833154 23833155 chr20:23833155:C:G rs185378669 C G C EBF1_EBF_1 12 1 + 6.756060212829577 5.367424269151425 CTTCTCCAGGGACC chr20 23833173 23833174 chr20:23833174:A:G rs141521279 A G A EBF1_EBF_1 31 0 + 0 0 . chr20 23889919 23889920 chr20:23889920:C:T rs76367142 C T C EBF1_EBF_1 33 0 - 0 0 . chr20 23919136 23919137 chr20:23919137:G:A rs113673176 G A G EBF1_EBF_1 9 1 + 5.661272612223822 3.0063333467762194 AGCCCCAAGGGTGC chr20 23972953 23972954 chr20:23972954:G:A rs2224548 G A G EBF1_EBF_1 -8 0 + 0 0 . chr20 23975220 23975221 chr20:23975221:G:A rs755033 G A G EBF1_EBF_1 14 0 - 0 0 . chr20 23983475 23983476 chr20:23983476:G:A rs3865741 G A G EBF1_EBF_1 -14 0 + 0 0 . chr20 24005764 24005765 chr20:24005765:T:C rs28730969 T C C EBF1_EBF_1 -9 0 + 0 0 . chr20 24027033 24027034 chr20:24027034:G:A rs73902547 G A G EBF1_EBF_1 -16 0 - 0 0 . chr20 24050338 24050339 chr20:24050339:T:C rs3843779 T C c EBF1_EBF_1 7 1 + 6.58404275248162 4.7665380061989975 GTTCCCATGGGCCA chr20 24050358 24050359 chr20:24050359:C:G rs3848805 C G C EBF1_EBF_1 27 0 + 0 0 . chr20 24112954 24112955 chr20:24112955:C:T rs151017129 C T C EBF1_EBF_1 22 0 - 0 0 . chr20 24160290 24160291 chr20:24160291:C:T rs6049455 C T C EBF1_EBF_1 9 1 - 10.91384492037804 8.258905654930436 GATCCCAAGGGAAC chr20 24225282 24225283 chr20:24225283:C:T rs495009 C T C EBF1_EBF_1 -9 0 - 0 0 . chr20 24379862 24379863 chr20:24379863:G:A rs67976007 G A G EBF1_EBF_1 13 1 - 6.171639247596479 7.016133362181997 ATCCTCAGGGGACC chr20 24387759 24387760 chr20:24387760:C:T rs34508524 C T C EBF1_EBF_1 22 0 - 0 0 . chr20 24431994 24431995 chr20:24431995:C:A rs77772048 C A C EBF1_EBF_1 -18 0 + 0 0 . chr20 24432007 24432008 chr20:24432008:G:T rs115699093 G T G EBF1_EBF_1 -5 0 + 0 0 . chr20 24469307 24469308 chr20:24469308:C:T rs541310662 C T C EBF1_EBF_1 -7 0 + 0 0 . chr20 24469315 24469316 chr20:24469316:C:T rs889868469 C T C EBF1_EBF_1 1 1 + 5.043527887401563 5.938961327800309 CCTCCCCCGGGGCC chr20 24491266 24491267 chr20:24491267:A:G rs6049735 A G G EBF1_EBF_1 -13 0 + 0 0 . chr20 24494576 24494577 chr20:24494577:C:T rs16986675 C T C EBF1_EBF_1 5 1 + 6.466690788819961 1.14490357327929 AGCCCCGAGGGATG chr20 24590817 24590818 chr20:24590818:G:T rs6049796 G T G EBF1_EBF_1 27 0 - 0 0 . chr20 24590843 24590844 chr20:24590844:G:A rs7268862 G A G EBF1_EBF_1 1 1 - 4.244850015086228 5.1402834554849735 GCTCCCACGGGCCC chr20 24596871 24596872 chr20:24596872:A:T rs116347152 A T A EBF1_EBF_1 27 0 - 0 0 . chr20 24596872 24596873 chr20:24596873:C:T rs4813522 C T C EBF1_EBF_1 26 0 - 0 0 . chr20 24596889 24596890 chr20:24596890:C:T rs41309361 C T T EBF1_EBF_1 9 1 - 6.23928611338434 3.584346847936736 AATCCAATGGGACT chr20 24630254 24630255 chr20:24630255:G:A rs742803 G A G EBF1_EBF_1 33 0 - 0 0 . chr20 24649157 24649158 chr20:24649158:G:A rs11087473 G A G EBF1_EBF_1 24 0 + 0 0 . chr20 24659045 24659046 chr20:24659046:C:T rs73100740 C T C EBF1_EBF_1 17 0 - 0 0 . chr20 24660969 24660970 chr20:24660970:A:G rs12624767 A G A EBF1_EBF_1 30 0 - 0 0 . chr20 24665097 24665098 chr20:24665098:G:A rs62215354 G A G EBF1_EBF_1 8 1 + 10.313010686641285 6.086720597755027 ATTCCCTTGGGGCC chr20 24668028 24668029 chr20:24668029:C:A rs73100772 C A C EBF1_EBF_1 -5 0 + 0 0 . chr20 24668040 24668041 chr20:24668041:G:C rs73100774 G C G EBF1_EBF_1 7 1 + 5.939831580600997 4.958510999519642 GTTCCCAGGGGCTT chr20 24668576 24668577 chr20:24668577:T:C rs13040668 T C c EBF1_EBF_1 16 0 + 0 0 . chr20 24668650 24668651 chr20:24668651:C:T rs13039543 C T C EBF1_EBF_1 19 0 + 0 0 . chr20 24668683 24668684 chr20:24668684:T:C rs13040844 T C C EBF1_EBF_1 -2 0 + 0 0 . chr20 24669517 24669518 chr20:24669518:C:G rs58773516 C G C EBF1_EBF_1 19 0 + 0 0 . chr20 24669524 24669525 chr20:24669525:T:G rs73100782 T G T EBF1_EBF_1 26 0 + 0 0 . chr20 24669529 24669530 chr20:24669530:C:G rs59954369 C G C EBF1_EBF_1 31 0 + 0 0 . chr20 24673978 24673979 chr20:24673979:T:C rs6049855 T C C EBF1_EBF_1 -7 0 - 0 0 . chr20 24676367 24676368 chr20:24676368:G:T rs73104779 G T G EBF1_EBF_1 14 0 - 0 0 . chr20 24682758 24682759 chr20:24682759:G:A rs185964400 G A G EBF1_EBF_1 25 0 - 0 0 . chr20 24685616 24685617 chr20:24685617:A:T rs143112536 A T G EBF1_EBF_1 -19 0 + 0 0 . chr20 24685659 24685660 chr20:24685660:T:C rs184218803 T C T EBF1_EBF_1 24 0 + 0 0 . chr20 24694020 24694021 chr20:24694021:A:C rs77457076 A C A EBF1_EBF_1 29 0 - 0 0 . chr20 24694075 24694076 chr20:24694076:G:C rs73106757 G C G EBF1_EBF_1 26 0 - 0 0 . chr20 24735277 24735278 chr20:24735278:G:A rs910239 G A G EBF1_EBF_1 -16 0 - 0 0 . chr20 24737087 24737088 chr20:24737088:T:C rs2424639 T C C EBF1_EBF_1 -7 0 - 0 0 . chr20 24739369 24739370 chr20:24739370:G:A rs73331384 G A G EBF1_EBF_1 -13 0 + 0 0 . chr20 24739389 24739390 chr20:24739390:A:G rs2424645 A G G EBF1_EBF_1 7 1 + 7.3429149905393905 6.030456585250564 ACACCCCAGGGAAG chr20 24745787 24745788 chr20:24745788:T:G rs6049940 T G G EBF1_EBF_1 -4 0 - 0 0 . chr20 24745800 24745801 chr20:24745801:T:C rs6049941 T C C EBF1_EBF_1 -17 0 - 0 0 . chr20 24752379 24752380 chr20:24752380:G:C rs7271933 G C C EBF1_EBF_1 -17 0 + 0 0 . chr20 24778139 24778140 chr20:24778140:A:G rs116957543 A G A EBF1_EBF_1 9 1 + 5.96092645802152 8.615865723469124 GCTCCCAGGAGATC chr20 24779914 24779915 chr20:24779915:C:T rs61350955 C T C EBF1_EBF_1 4 1 + 4.917281923460395 0.5478949785154716 CACCCCCTGGGAGG chr20 24780150 24780151 chr20:24780151:A:G rs113032497 A G A EBF1_EBF_1 -1 0 + 0 0 . chr20 24839922 24839923 chr20:24839923:A:G rs6050026 A G G EBF1_EBF_1 -1 0 + 0 0 . chr20 24867936 24867937 chr20:24867937:G:T rs884070 G T G EBF1_EBF_1 26 0 - 0 0 . chr20 24897511 24897512 chr20:24897512:A:C rs6050102 A C C EBF1_EBF_1 32 0 - 0 0 . chr20 24911376 24911377 chr20:24911377:G:A chr20:24911377:G:A G A G EBF1_EBF_1 20 0 + 0 0 . chr20 24911376 24911377 chr20:24911377:G:C rs6050119 G C G EBF1_EBF_1 20 0 + 0 0 . chr20 24912598 24912599 chr20:24912599:T:C rs914311 T C C EBF1_EBF_1 17 0 - 0 0 . chr20 24913698 24913699 chr20:24913699:C:G rs62216698 C G G EBF1_EBF_1 -3 0 - 0 0 . chr20 24918219 24918220 chr20:24918220:G:A rs885402 G A A EBF1_EBF_1 -18 0 + 0 0 . chr20 24949584 24949585 chr20:24949585:G:A rs45469992 G A G EBF1_EBF_1 27 0 - 0 0 . chr20 24954380 24954381 chr20:24954381:G:A rs111564063 G A G EBF1_EBF_1 6 1 - 7.71974182459664 8.021027953458397 ACCCCCCAGGGAGG chr20 24957629 24957630 chr20:24957630:C:G rs45468392 C G C EBF1_EBF_1 -5 0 + 0 0 . chr20 24957651 24957652 chr20:24957652:G:A rs11697886 G A A EBF1_EBF_1 17 0 + 0 0 . chr20 25014528 25014529 chr20:25014529:T:C rs11699487 T C C EBF1_EBF_1 27 0 - 0 0 . chr20 25025107 25025108 chr20:25025108:C:T rs62217173 C T T EBF1_EBF_1 0 1 - 6.426106932926063 8.318390802490896 GTCCCCTTGAGAAA chr20 25043273 25043274 chr20:25043274:C:G rs148973427 C G A EBF1_EBF_1 4 1 + 8.702792673734882 3.0770275725853367 CCCCCCAAGGGACC chr20 25043280 25043281 chr20:25043281:A:C rs933803907 A C A EBF1_EBF_1 11 1 + 8.702792673734882 4.286830975882493 CCCCCCAAGGGACC chr20 25045443 25045444 chr20:25045444:T:C rs6106987 T C T EBF1_EBF_1 33 0 - 0 0 . chr20 25045527 25045528 chr20:25045528:C:T rs8118803 C T C EBF1_EBF_1 29 0 - 0 0 . chr20 25049856 25049857 chr20:25049857:G:A rs73095996 G A G EBF1_EBF_1 8 1 + 6.228694081465453 2.002403992579191 AGTCCCCCGGTAAC chr20 25050380 25050381 chr20:25050381:C:T rs4815363 C T C EBF1_EBF_1 -9 0 + 0 0 . chr20 25050397 25050398 chr20:25050398:G:A rs112538273 G A G EBF1_EBF_1 8 1 + 4.940977436615849 0.7146873477295884 TCCCCCCCGGGAGC chr20 25052502 25052503 chr20:25052503:T:C rs73906068 T C C EBF1_EBF_1 21 0 + 0 0 . chr20 25055960 25055961 chr20:25055961:C:T rs185665557 C T C EBF1_EBF_1 17 0 - 0 0 . chr20 25057735 25057736 chr20:25057736:G:A rs62217194 G A G EBF1_EBF_1 22 0 + 0 0 . chr20 25059027 25059028 chr20:25059028:T:G chr20:25059028:T:G T G T EBF1_EBF_1 -15 0 - 0 0 . chr20 25061228 25061229 chr20:25061229:G:A rs77131132 G A G EBF1_EBF_1 29 0 + 0 0 . chr20 25081410 25081411 chr20:25081411:G:C rs78756784 G C G EBF1_EBF_1 22 0 + 0 0 . chr20 25091484 25091485 chr20:25091485:G:A rs79533897 G A G EBF1_EBF_1 15 0 - 0 0 . chr20 25116209 25116210 chr20:25116210:C:T rs750400709 C T C EBF1_EBF_1 18 0 + 0 0 . chr20 25127746 25127747 chr20:25127747:A:G rs4815375 A G A EBF1_EBF_1 20 0 + 0 0 . chr20 25146657 25146658 chr20:25146658:G:A rs4815377 G A G EBF1_EBF_1 -7 0 + 0 0 . chr20 25191861 25191862 chr20:25191862:C:T rs117295153 C T C EBF1_EBF_1 -16 0 + 0 0 . chr20 25195708 25195709 chr20:25195709:G:T rs1023096128 G T g EBF1_EBF_1 25 0 - 0 0 . chr20 25195735 25195736 chr20:25195736:G:T rs554775219 G T g EBF1_EBF_1 -2 0 - 0 0 . chr20 25197684 25197685 chr20:25197685:A:T rs6138535 A T A EBF1_EBF_1 -5 0 - 0 0 . chr20 25207480 25207481 chr20:25207481:G:A rs118028386 G A G EBF1_EBF_1 8 1 + 4.795802393853179 0.5695123049669172 ACTCACCTGGGAGC chr20 25220032 25220033 chr20:25220033:G:T rs73904024 G T G EBF1_EBF_1 -10 0 - 0 0 . chr20 25224394 25224395 chr20:25224395:C:G rs2179638 C G C EBF1_EBF_1 22 0 - 0 0 . chr20 25226017 25226018 chr20:25226018:A:G rs1044573 A G G EBF1_EBF_1 29 0 + 0 0 . chr20 25235179 25235180 chr20:25235180:C:T rs6115097 C T T EBF1_EBF_1 12 1 + 7.000398682175736 5.974132111624887 ATTCCCCTGGGCCG chr20 25236819 25236820 chr20:25236820:G:A rs77733919 G A G EBF1_EBF_1 17 0 - 0 0 . chr20 25294593 25294594 chr20:25294594:G:A rs2258671 G A G EBF1_EBF_1 5 1 - 3.900847227486473 -1.4209399880541957 CTGCCCCTGGGACC chr20 25294599 25294600 chr20:25294600:C:G rs2258672 C G C EBF1_EBF_1 -1 0 - 0 0 . chr20 25294612 25294613 chr20:25294613:G:A rs192626400 G A G EBF1_EBF_1 -14 0 - 0 0 . chr20 25294629 25294630 chr20:25294630:T:C rs76209012 T C T EBF1_EBF_1 23 0 - 0 0 . chr20 25296043 25296044 chr20:25296044:G:C rs2258769 G C C EBF1_EBF_1 16 0 - 0 0 . chr20 25298678 25298679 chr20:25298679:A:G rs2257496 A G G EBF1_EBF_1 32 0 - 0 0 . chr20 25298902 25298903 chr20:25298903:C:T rs2258884 C T T EBF1_EBF_1 29 0 - 0 0 . chr20 25300981 25300982 chr20:25300982:C:T rs73345003 C T C EBF1_EBF_1 -19 0 + 0 0 . chr20 25309173 25309174 chr20:25309174:G:A rs183222617 G A G EBF1_EBF_1 -20 0 + 0 0 . chr20 25310175 25310176 chr20:25310176:C:T rs932239349 C T C EBF1_EBF_1 6 1 + 3.569729319702793 3.87101544856455 AGCCCCCGGGGCCG chr20 25317660 25317661 chr20:25317661:G:A rs190199564 G A G EBF1_EBF_1 12 1 + 7.635508813072767 9.054326155172244 TCTCCCCAGGGAGG chr20 25324565 25324566 chr20:25324566:C:T rs6037085 C T C EBF1_EBF_1 7 1 - 6.546536459595687 7.858994864884513 CTTCCCCGGGGGCA chr20 25379270 25379271 chr20:25379271:T:C rs141905995 T C T EBF1_EBF_1 32 0 + 0 0 . chr20 25390336 25390337 chr20:25390337:G:C rs111921789 G C G EBF1_EBF_1 -6 0 - 0 0 . chr20 25437229 25437230 chr20:25437230:C:T rs77222409 C T c EBF1_EBF_1 29 0 + 0 0 . chr20 25452899 25452900 chr20:25452900:T:C rs2856 T C C EBF1_EBF_1 31 0 - 0 0 . chr20 25461299 25461300 chr20:25461300:G:A rs6107045 G A G EBF1_EBF_1 5 1 - 9.22219051466507 3.900403299124399 ACTCCCGAGGGAAG chr20 25462873 25462874 chr20:25462874:C:T rs447722 C T T EBF1_EBF_1 -9 0 + 0 0 . chr20 25508247 25508248 chr20:25508248:C:T rs7272126 C T C EBF1_EBF_1 23 0 - 0 0 . chr20 25539929 25539930 chr20:25539930:C:G rs148775678 C G C EBF1_EBF_1 20 0 - 0 0 . chr20 25540583 25540584 chr20:25540584:C:T rs541527193 C T C EBF1_EBF_1 23 0 + 0 0 . chr20 25569450 25569451 chr20:25569451:G:T rs78865981 G T T EBF1_EBF_1 14 0 + 0 0 . chr20 25604738 25604739 chr20:25604739:G:T rs78480967 G T G EBF1_EBF_1 13 1 - 4.20583387006473 3.832088420778754 ACTCCCCAGGCAGC chr20 25604739 25604740 chr20:25604740:C:T rs77836322 C T C EBF1_EBF_1 12 1 - 4.20583387006473 5.624651212164207 ACTCCCCAGGCAGC chr20 25696951 25696952 chr20:25696952:G:T rs538839133 G T G EBF1_EBF_1 -20 0 + 0 0 . chr20 25751238 25751239 chr20:25751239:C:A rs77584066 C A C EBF1_EBF_1 31 0 - 0 0 . chr20 25751248 25751249 chr20:25751249:G:C rs1448141053 G C G EBF1_EBF_1 21 0 - 0 0 . chr20 25854344 25854345 chr20:25854345:C:T rs13433200 C T . EBF1_EBF_1 26 0 - 0 0 . chr20 25854373 25854374 chr20:25854374:C:T rs566299806 C T . EBF1_EBF_1 -3 0 - 0 0 . chr20 25856854 25856855 chr20:25856855:G:T rs138319634 G T . EBF1_EBF_1 -11 0 - 0 0 . chr20 25856856 25856857 chr20:25856857:G:C rs149647848 G C . EBF1_EBF_1 -13 0 - 0 0 . chr20 25858165 25858166 chr20:25858166:T:G rs6138689 T G . EBF1_EBF_1 13 1 + 5.68587020667545 3.3533050707345167 CTCCCCCTGGGGTT chr20 25863714 25863715 chr20:25863715:T:G chr20:25863715:T:G T G . EBF1_EBF_1 6 1 - 7.8496378252055665 7.4880354574042896 AGACCCATGGGATC chr20 25863716 25863717 chr20:25863717:G:C rs72490891 G C . EBF1_EBF_1 4 1 - 7.8496378252055665 2.223872724056021 AGACCCATGGGATC chr20 25868023 25868024 chr20:25868024:T:G rs376458153 T G . EBF1_EBF_1 28 0 - 0 0 . chr20 25997935 25997936 chr20:25997936:A:G rs6037314 A G . EBF1_EBF_1 26 0 - 0 0 . chr20 28564138 28564139 chr20:28564139:C:G rs1188783140 C G . EBF1_EBF_1 -1 0 + 0 0 . chr20 28564155 28564156 chr20:28564156:G:A rs1223692189 G A . EBF1_EBF_1 16 0 + 0 0 . chr20 28593502 28593503 chr20:28593503:G:T rs1397087641 G T . EBF1_EBF_1 -13 0 + 0 0 . chr20 28695871 28695872 chr20:28695872:T:C rs1361991557 T C . EBF1_EBF_1 -12 0 - 0 0 . chr20 29296786 29296787 chr20:29296787:C:T rs1376688336 C T . EBF1_EBF_1 18 0 + 0 0 . chr20 29297061 29297062 chr20:29297062:C:T rs1251563540 C T . EBF1_EBF_1 -6 0 + 0 0 . chr20 29297667 29297668 chr20:29297668:C:A rs1197853741 C A . EBF1_EBF_1 -19 0 + 0 0 . chr20 29300417 29300418 chr20:29300418:C:T rs1301157863 C T . EBF1_EBF_1 13 1 + 5.522057710851156 6.366551825436674 AGCCGCAAGGGACC chr20 29306789 29306790 chr20:29306790:T:C rs34719923 T C . EBF1_EBF_1 -17 0 - 0 0 . chr20 29311529 29311530 chr20:29311530:T:C rs1245065874 T C . EBF1_EBF_1 27 0 + 0 0 . chr20 29316416 29316417 chr20:29316417:C:G rs1265922855 C G . EBF1_EBF_1 -15 0 - 0 0 . chr20 29327685 29327686 chr20:29327686:C:T rs1482254232 C T . EBF1_EBF_1 -13 0 + 0 0 . chr20 29373194 29373195 chr20:29373195:G:A rs1398533565 G A . EBF1_EBF_1 31 0 - 0 0 . chr20 29409425 29409426 chr20:29409426:G:A rs1451555472 G A . EBF1_EBF_1 -15 0 - 0 0 . chr20 29500943 29500944 chr20:29500944:G:T rs1447296537 G T . EBF1_EBF_1 12 1 - 7.771370904218367 7.801552302639693 GCTCCCTGGAGACT chr20 29514602 29514603 chr20:29514603:G:T rs1460869550 G T . EBF1_EBF_1 1 1 - 5.520759643284741 5.449832465932657 ACCCCCCAGCGACC chr20 29514614 29514615 chr20:29514615:G:A rs1236690693 G A . EBF1_EBF_1 -11 0 - 0 0 . chr20 29514847 29514848 chr20:29514848:G:A rs1262917273 G A . EBF1_EBF_1 27 0 - 0 0 . chr20 29514868 29514869 chr20:29514869:T:G rs1275631333 T G . EBF1_EBF_1 6 1 - 6.2184741952878495 5.8568718274865725 GTCCCCATGGGGCA chr20 29515293 29515294 chr20:29515294:C:G rs1286868839 C G . EBF1_EBF_1 22 0 + 0 0 . chr20 29517076 29517077 chr20:29517077:G:A rs1166642695 G A . EBF1_EBF_1 21 0 - 0 0 . chr20 29741504 29741505 chr20:29741505:G:A rs1330604004 G A . EBF1_EBF_1 22 0 + 0 0 . chr20 29742090 29742091 chr20:29742091:G:T rs1307520050 G T . EBF1_EBF_1 -2 0 + 0 0 . chr20 29742092 29742093 chr20:29742093:C:T rs1225377710 C T . EBF1_EBF_1 0 1 + 4.783819259580022 5.0660213203105995 CCCCCCAAGGGGTC chr20 29753468 29753469 chr20:29753469:G:A chr20:29753469:G:A G A . EBF1_EBF_1 -5 0 - 0 0 . chr20 29756838 29756839 chr20:29756839:A:G rs1330231473 A G . EBF1_EBF_1 -19 0 - 0 0 . chr20 29792567 29792568 chr20:29792568:C:T rs1439517633 C T C EBF1_EBF_1 27 0 - 0 0 . chr20 29879242 29879243 chr20:29879243:C:G rs1185268146 C G . EBF1_EBF_1 -19 0 + 0 0 . chr20 29879270 29879271 chr20:29879271:G:C rs1258645672 G C . EBF1_EBF_1 9 1 + 4.030766765181332 -1.0449223811641313 AGCCCCAGGGGCGC chr20 29884132 29884133 chr20:29884133:C:T rs1424622260 C T . EBF1_EBF_1 0 1 - 6.596185833966319 8.48846970353115 GTTCCCCTGGGCCC chr20 29884590 29884591 chr20:29884591:G:A rs1395288898 G A . EBF1_EBF_1 -8 0 + 0 0 . chr20 29884626 29884627 chr20:29884627:T:C rs1438218610 T C . EBF1_EBF_1 28 0 + 0 0 . chr20 30294950 30294951 chr20:30294951:C:T rs144905707 C T . EBF1_EBF_1 -14 0 - 0 0 . chr20 30316147 30316148 chr20:30316148:G:A rs2379764 G A . EBF1_EBF_1 17 0 - 0 0 . chr20 30316158 30316159 chr20:30316159:A:G rs4010802 A G . EBF1_EBF_1 6 1 - 11.024176936969987 10.722890808108229 ACTCCCTAGGGAGA chr20 30322112 30322113 chr20:30322113:G:A rs6087222 G A . EBF1_EBF_1 5 1 - 12.442994279069463 7.121207063528793 ACTCCCTAGGGAAA chr20 30362273 30362274 chr20:30362274:C:T rs112930260 C T . EBF1_EBF_1 1 1 + 6.049225654617767 6.944659095016513 GCCCCCCAGAGACC chr20 30484879 30484880 chr20:30484880:C:G rs1218978099 C G . EBF1_EBF_1 -19 0 + 0 0 . chr20 30484920 30484921 chr20:30484921:C:T rs1258283974 C T . EBF1_EBF_1 22 0 + 0 0 . chr20 30485292 30485293 chr20:30485293:C:T rs1271252988 C T . EBF1_EBF_1 28 0 + 0 0 . chr20 30513515 30513516 chr20:30513516:C:T rs1473100143 C T . EBF1_EBF_1 19 0 + 0 0 . chr20 30579930 30579931 chr20:30579931:G:A rs1459105169 G A g EBF1_EBF_1 27 0 - 0 0 . chr20 30580053 30580054 chr20:30580054:A:G rs1348356345 A G a EBF1_EBF_1 26 0 + 0 0 . chr20 30600201 30600202 chr20:30600202:C:T rs1282897357 C T c EBF1_EBF_1 2 1 + 8.226518433647323 10.115341845089473 CCCCCCATGGGACC chr20 30630983 30630984 chr20:30630984:C:A rs1411864858 C A . EBF1_EBF_1 -11 0 - 0 0 . chr20 30634441 30634442 chr20:30634442:T:A chr20:30634442:T:A T A . EBF1_EBF_1 -14 0 + 0 0 . chr20 30654748 30654749 chr20:30654749:C:A rs879026415 C A . EBF1_EBF_1 -16 0 - 0 0 . chr20 30728222 30728223 chr20:30728223:G:C rs36153971 G C . EBF1_EBF_1 19 0 - 0 0 . chr20 30863543 30863544 chr20:30863544:C:T rs1238473816 C T C EBF1_EBF_1 16 0 - 0 0 . chr20 30915597 30915598 chr20:30915598:C:G rs1326197737 C G . EBF1_EBF_1 17 0 - 0 0 . chr20 31075829 31075830 chr20:31075830:C:A chr20:31075830:C:A C A . EBF1_EBF_1 18 0 + 0 0 . chr20 31075839 31075840 chr20:31075840:T:A chr20:31075840:T:A T A . EBF1_EBF_1 28 0 + 0 0 . chr20 31277412 31277413 chr20:31277413:G:T rs1080568 G T G EBF1_EBF_1 2 1 - 5.575082625861395 3.779438449704668 AACCCCCTCGGACT chr20 31441550 31441551 chr20:31441551:G:C rs734653 G C G EBF1_EBF_1 33 0 - 0 0 . chr20 31476527 31476528 chr20:31476528:A:G rs1006459 A G G EBF1_EBF_1 14 0 + 0 0 . chr20 31485478 31485479 chr20:31485479:G:A rs73116283 G A G EBF1_EBF_1 8 1 + 4.384842915621089 0.15855282673482696 ACACCCCAGGGCCC chr20 31497072 31497073 chr20:31497073:A:G rs1018407324 A G A EBF1_EBF_1 -3 0 - 0 0 . chr20 31561282 31561283 chr20:31561283:A:T rs115139445 A T A EBF1_EBF_1 -3 0 - 0 0 . chr20 31572826 31572827 chr20:31572827:C:A rs753485530 C A C EBF1_EBF_1 10 1 - 5.271201115966851 1.088448929739586 GCTCCTCAGGGACC chr20 31572855 31572856 chr20:31572856:T:C rs73235714 T C C EBF1_EBF_1 -19 0 - 0 0 . chr20 31577070 31577071 chr20:31577071:G:C rs6060086 G C G EBF1_EBF_1 -8 0 + 0 0 . chr20 31587869 31587870 chr20:31587870:T:G rs113882388 T G T EBF1_EBF_1 23 0 - 0 0 . chr20 31605734 31605735 chr20:31605735:A:G rs15817 A G G EBF1_EBF_1 0 1 + 6.320356363385285 4.4280724938204505 AACCCCCGGGGGCC chr20 31640353 31640354 chr20:31640354:G:A rs6088864 G A G EBF1_EBF_1 7 1 - 7.586649188494024 9.404153934776646 TTCCCCACGGGACC chr20 31675559 31675560 chr20:31675560:T:A rs374467509 T A T EBF1_EBF_1 -3 0 - 0 0 . chr20 31704935 31704936 chr20:31704936:T:C rs73117924 T C T EBF1_EBF_1 7 1 - 5.615323863133916 4.3028654578450904 CACCCCCAGAGACC chr20 31721224 31721225 chr20:31721225:G:T rs149669584 G T G EBF1_EBF_1 0 1 - 4.783819259580022 7.039077743276621 CCCCCCAAGGGGTC chr20 31722576 31722577 chr20:31722577:C:G rs762708703 C G C EBF1_EBF_1 3 1 - 6.113365923864506 11.941130371979723 ACTGCCCAGGGAGT chr20 31723586 31723587 chr20:31723587:C:T rs571505406 C T C EBF1_EBF_1 17 0 + 0 0 . chr20 31723592 31723593 chr20:31723593:A:C rs45443696 A C A EBF1_EBF_1 23 0 + 0 0 . chr20 31738534 31738535 chr20:31738535:T:G rs6089058 T G t EBF1_EBF_1 -18 0 - 0 0 . chr20 31841354 31841355 chr20:31841355:T:C rs17093661 T C C EBF1_EBF_1 22 0 + 0 0 . chr20 31843065 31843066 chr20:31843066:G:A rs147015086 G A G EBF1_EBF_1 -17 0 + 0 0 . chr20 31870849 31870850 chr20:31870850:C:T rs78155675 C T C EBF1_EBF_1 -4 0 - 0 0 . chr20 31880196 31880197 chr20:31880197:T:C rs1476196735 T C T EBF1_EBF_1 14 0 - 0 0 . chr20 31880209 31880210 chr20:31880210:A:G chr20:31880210:A:G A G A EBF1_EBF_1 1 1 - 6.7301995035320825 5.834766063133335 CTTCCCCTGGGGTC chr20 31967887 31967888 chr20:31967888:A:G rs530043756 A G A EBF1_EBF_1 -9 0 - 0 0 . chr20 32034914 32034915 chr20:32034915:A:C rs6061115 A C C EBF1_EBF_1 0 1 + 5.490690482981686 3.235431999285086 ACCCCCTAGGGGTG chr20 32035002 32035003 chr20:32035003:C:T rs111482287 C T C EBF1_EBF_1 12 1 + 8.992638932246464 7.966372361695616 ATCCCCTGGGGACG chr20 32051626 32051627 chr20:32051627:G:T rs1004910 G T G EBF1_EBF_1 18 0 - 0 0 . chr20 32069297 32069298 chr20:32069298:C:G rs893865632 C G C EBF1_EBF_1 24 0 + 0 0 . chr20 32149833 32149834 chr20:32149834:G:A rs138745856 G A G EBF1_EBF_1 -11 0 + 0 0 . chr20 32184418 32184419 chr20:32184419:A:G rs1335225347 A G A EBF1_EBF_1 -12 0 + 0 0 . chr20 32191386 32191387 chr20:32191387:A:C rs73903504 A C A EBF1_EBF_1 29 0 + 0 0 . chr20 32314975 32314976 chr20:32314976:A:C rs6141670 A C C EBF1_EBF_1 -18 0 - 0 0 . chr20 32354794 32354795 chr20:32354795:A:G rs1412997 A G G EBF1_EBF_1 7 1 - 5.957111350051228 4.1396066037686055 CCTCCCTTGGGTCC chr20 32441811 32441812 chr20:32441812:G:C rs4911232 G C G EBF1_EBF_1 -5 0 - 0 0 . chr20 32446020 32446021 chr20:32446021:C:T rs553910174 C T C EBF1_EBF_1 -8 0 + 0 0 . chr20 32449069 32449070 chr20:32449070:C:A rs6141723 C A C EBF1_EBF_1 5 1 + 6.98719302857237 0.08231187593720114 GCTCCCAGGAGACC chr20 32454372 32454373 chr20:32454373:C:T rs1737889 C T C EBF1_EBF_1 -9 0 + 0 0 . chr20 32457712 32457713 chr20:32457713:G:T rs142716791 G T G EBF1_EBF_1 17 0 + 0 0 . chr20 32457719 32457720 chr20:32457720:C:T rs767656140 C T C EBF1_EBF_1 24 0 + 0 0 . chr20 32466971 32466972 chr20:32466972:A:G rs11696803 A G A EBF1_EBF_1 7 1 - 5.337777779435807 3.5202730331531837 CCTCCCCTGGGCCC chr20 32484184 32484185 chr20:32484185:C:T rs74401455 C T c EBF1_EBF_1 15 0 - 0 0 . chr20 32537056 32537057 chr20:32537057:C:T rs1008895300 C T C EBF1_EBF_1 -4 0 + 0 0 . chr20 32537065 32537066 chr20:32537066:C:T rs1222559784 C T C EBF1_EBF_1 5 1 + 4.922314912339775 -0.39947230320089533 GCCCCCCCGGGACG chr20 32537073 32537074 chr20:32537074:G:A rs563080548 G A G EBF1_EBF_1 13 1 + 4.922314912339775 6.036640484409214 GCCCCCCCGGGACG chr20 32539001 32539002 chr20:32539002:C:T rs8125020 C T C EBF1_EBF_1 -2 0 + 0 0 . chr20 32559136 32559137 chr20:32559137:A:G rs911529 A G A EBF1_EBF_1 6 1 + 7.535709862680797 5.368915431406322 TCCCCCACGGGACT chr20 32573952 32573953 chr20:32573953:T:C rs6119904 T C C EBF1_EBF_1 32 0 + 0 0 . chr20 32583241 32583242 chr20:32583242:G:T rs571526059 G T g EBF1_EBF_1 16 0 + 0 0 . chr20 32600763 32600764 chr20:32600764:C:T chr20:32600764:C:T C T C EBF1_EBF_1 24 0 - 0 0 . chr20 32621710 32621711 chr20:32621711:T:C rs713205 T C t EBF1_EBF_1 -7 0 - 0 0 . chr20 32626857 32626858 chr20:32626858:C:T rs455418 C T T EBF1_EBF_1 10 1 - 9.608565236038789 4.290536776771823 ACTCCCCAGGGAGG chr20 32627757 32627758 chr20:32627758:T:G chr20:32627758:T:G T G t EBF1_EBF_1 18 0 + 0 0 . chr20 32667232 32667233 chr20:32667233:C:G rs6087966 C G C EBF1_EBF_1 -16 0 - 0 0 . chr20 32681725 32681726 chr20:32681726:T:G rs564863142 T G t EBF1_EBF_1 23 0 - 0 0 . chr20 32762700 32762701 chr20:32762701:T:G rs547573493 T G T EBF1_EBF_1 24 0 - 0 0 . chr20 32775560 32775561 chr20:32775561:C:T rs55768819 C T C EBF1_EBF_1 6 1 - 4.538724839255141 6.705519270529616 CTCCCCGAGGGGCC chr20 32790160 32790161 chr20:32790161:T:C rs143163007 T C T EBF1_EBF_1 22 0 + 0 0 . chr20 32792401 32792402 chr20:32792402:T:C rs181619501 T C T EBF1_EBF_1 -12 0 - 0 0 . chr20 32792403 32792404 chr20:32792404:G:A chr20:32792404:G:A G A G EBF1_EBF_1 -14 0 - 0 0 . chr20 32792407 32792408 chr20:32792408:C:T rs1018915293 C T C EBF1_EBF_1 -18 0 - 0 0 . chr20 32894077 32894078 chr20:32894078:C:T rs35919572 C T C EBF1_EBF_1 15 0 + 0 0 . chr20 32894090 32894091 chr20:32894091:C:T rs34703125 C T C EBF1_EBF_1 28 0 + 0 0 . chr20 32917382 32917383 chr20:32917383:C:T rs13043425 C T C EBF1_EBF_1 20 0 - 0 0 . chr20 32972087 32972088 chr20:32972088:G:T rs771055015 G T G EBF1_EBF_1 15 0 - 0 0 . chr20 32972691 32972692 chr20:32972692:T:C rs6120059 T C T EBF1_EBF_1 22 0 - 0 0 . chr20 33010974 33010975 chr20:33010975:T:C rs6120085 T C T EBF1_EBF_1 -6 0 + 0 0 . chr20 33029454 33029455 chr20:33029455:T:C rs6088072 T C T EBF1_EBF_1 -1 0 + 0 0 . chr20 33031550 33031551 chr20:33031551:C:T rs4911286 C T T EBF1_EBF_1 3 1 + 5.801735846967437 -0.6036628945858806 ACACCCAGGGGGCT chr20 33035363 33035364 chr20:33035364:C:T rs6059034 C T C EBF1_EBF_1 33 0 - 0 0 . chr20 33055704 33055705 chr20:33055705:A:G rs384430 A G A EBF1_EBF_1 -18 0 - 0 0 . chr20 33061565 33061566 chr20:33061566:A:G rs6088085 A G A EBF1_EBF_1 -18 0 + 0 0 . chr20 33064485 33064486 chr20:33064486:G:A rs4911290 G A G EBF1_EBF_1 -10 0 - 0 0 . chr20 33068825 33068826 chr20:33068826:C:G rs6057717 C G C EBF1_EBF_1 -12 0 - 0 0 . chr20 33079887 33079888 chr20:33079888:T:C rs13044428 T C C EBF1_EBF_1 -19 0 - 0 0 . chr20 33094971 33094972 chr20:33094972:C:A rs2024934 C A A EBF1_EBF_1 17 0 + 0 0 . chr20 33106641 33106642 chr20:33106642:T:C rs2424963 T C C EBF1_EBF_1 15 0 + 0 0 . chr20 33112353 33112354 chr20:33112354:G:A rs6059082 G A G EBF1_EBF_1 19 0 - 0 0 . chr20 33125762 33125763 chr20:33125763:G:A rs112756549 G A C EBF1_EBF_1 -17 0 + 0 0 . chr20 33133021 33133022 chr20:33133022:A:T rs62210960 A T A EBF1_EBF_1 -10 0 + 0 0 . chr20 33133057 33133058 chr20:33133058:C:T rs13040018 C T T EBF1_EBF_1 26 0 + 0 0 . chr20 33156888 33156889 chr20:33156889:G:A rs138655625 G A G EBF1_EBF_1 0 1 - 8.315949107266126 8.598151167996706 CTCCCCAGGGGAAC chr20 33279479 33279480 chr20:33279480:T:A rs6141911 T A T EBF1_EBF_1 2 1 + 6.131015911161197 2.4465483235623195 CTTCCCAGGAGAGC chr20 33281907 33281908 chr20:33281908:G:A rs79800978 G A G EBF1_EBF_1 -1 0 - 0 0 . chr20 33324760 33324761 chr20:33324761:A:C rs6088181 A C N EBF1_EBF_1 -6 0 - 0 0 . chr20 33360555 33360556 chr20:33360556:C:T rs115710185 C T T EBF1_EBF_1 -20 0 + 0 0 . chr20 33437763 33437764 chr20:33437764:G:C rs117961740 G C G EBF1_EBF_1 23 0 + 0 0 . chr20 33437766 33437767 chr20:33437767:A:G rs79313860 A G A EBF1_EBF_1 26 0 + 0 0 . chr20 33665114 33665115 chr20:33665115:T:C rs74941462 T C T EBF1_EBF_1 18 0 + 0 0 . chr20 33720350 33720351 chr20:33720351:A:T rs41287068 A T A EBF1_EBF_1 32 0 - 0 0 . chr20 33720380 33720381 chr20:33720381:A:C rs150822116 A C a EBF1_EBF_1 2 1 - 7.558630845655689 0.8103051554552216 TGTCCCATGAGACA chr20 33720384 33720385 chr20:33720385:T:G rs139277816 T G T EBF1_EBF_1 -2 0 - 0 0 . chr20 33720388 33720389 chr20:33720389:A:C rs144239860 A C A EBF1_EBF_1 -6 0 - 0 0 . chr20 33720389 33720390 chr20:33720390:C:G rs879589227 C G C EBF1_EBF_1 -7 0 - 0 0 . chr20 33790535 33790536 chr20:33790536:C:T chr20:33790536:C:T C T C EBF1_EBF_1 -8 0 - 0 0 . chr20 33844082 33844083 chr20:33844083:C:T rs74440098 C T C EBF1_EBF_1 -5 0 - 0 0 . chr20 33897729 33897730 chr20:33897730:C:G rs2050209 C G G EBF1_EBF_1 -11 0 + 0 0 . chr20 33897753 33897754 chr20:33897754:A:G rs6141429 A G A EBF1_EBF_1 13 1 + 8.267963870694818 7.153638298625379 ACTCCCAGGGGGCA chr20 33933425 33933426 chr20:33933426:A:C rs12625407 A C A EBF1_EBF_1 0 1 + 6.145033037651858 3.8897745539552577 ACTCCCACAGGATT chr20 33945594 33945595 chr20:33945595:C:T rs62211980 C T C EBF1_EBF_1 -5 0 - 0 0 . chr20 33991659 33991660 chr20:33991660:C:T rs117763311 C T C EBF1_EBF_1 -15 0 - 0 0 . chr20 33993763 33993764 chr20:33993764:G:A rs74778497 G A G EBF1_EBF_1 8 1 + 7.145906405553372 2.919616316667111 CTTCCCAGGAGACA chr20 34048115 34048116 chr20:34048116:A:G rs118088776 A G A EBF1_EBF_1 20 0 - 0 0 . chr20 34052240 34052241 chr20:34052241:C:G rs4911146 C G G EBF1_EBF_1 -13 0 - 0 0 . chr20 34077119 34077120 chr20:34077120:G:A rs2281209 G A G EBF1_EBF_1 -19 0 + 0 0 . chr20 34269636 34269637 chr20:34269637:A:G rs61652884 A G a EBF1_EBF_1 11 1 + 7.942203657980151 5.04949681437614 CTCCCCAGGGGAAA chr20 34311344 34311345 chr20:34311345:A:T chr20:34311345:A:T A T A EBF1_EBF_1 32 0 + 0 0 . chr20 34578665 34578666 chr20:34578666:C:T rs56020497 C T C EBF1_EBF_1 26 0 + 0 0 . chr20 34586078 34586079 chr20:34586079:C:T rs2295444 C T c EBF1_EBF_1 -17 0 + 0 0 . chr20 34599529 34599530 chr20:34599530:T:C rs74899569 T C T EBF1_EBF_1 -12 0 + 0 0 . chr20 34705003 34705004 chr20:34705004:G:A rs141359756 G A G EBF1_EBF_1 -11 0 + 0 0 . chr20 34773917 34773918 chr20:34773918:A:G rs6060042 A G G EBF1_EBF_1 12 1 + 6.2336241536904025 4.814806811590925 ATTCCCAGGAGCAT chr20 34876906 34876907 chr20:34876907:A:G rs2295096 A G A EBF1_EBF_1 1 1 - 10.098413581897626 9.20298014149888 CTTCCCAAGGGAGC chr20 34921719 34921720 chr20:34921720:C:T rs2273683 C T T EBF1_EBF_1 10 1 - 9.954167725269619 4.6361392660026555 ACTCCCAAGGGGCC chr20 34978091 34978092 chr20:34978092:G:A rs370258432 G A G EBF1_EBF_1 4 1 - 8.905101479821866 4.535714534876942 CGTCCCATGGGATA chr20 34984374 34984375 chr20:34984375:G:A rs745849 G A G EBF1_EBF_1 16 0 - 0 0 . chr20 34994475 34994476 chr20:34994476:C:A rs73905030 C A C EBF1_EBF_1 15 0 - 0 0 . chr20 35002554 35002555 chr20:35002555:C:T rs4616 C T C EBF1_EBF_1 9 1 - 6.7176461359078825 4.0627068704602785 CATCCCTTGGGGCA chr20 35005870 35005871 chr20:35005871:T:C rs3746430 T C C EBF1_EBF_1 0 1 - 4.408396096520404 2.51611222695557 ATCCCCCCGGGCCA chr20 35008801 35008802 chr20:35008802:G:A rs115396627 G A G EBF1_EBF_1 5 1 - 5.743124842171279 0.42133762663060936 CATCCCCAGGGCCC chr20 35118383 35118384 chr20:35118384:T:C rs6088722 T C C EBF1_EBF_1 17 0 + 0 0 . chr20 35236028 35236029 chr20:35236029:T:C rs2425020 T C t EBF1_EBF_1 0 1 - 5.986770054533192 4.094486184968359 ACTCCCAGGAGGCC chr20 35259350 35259351 chr20:35259351:G:A rs2425025 G A A EBF1_EBF_1 33 0 + 0 0 . chr20 35277618 35277619 chr20:35277619:G:T chr20:35277619:G:T G T G EBF1_EBF_1 -16 0 - 0 0 . chr20 35277619 35277620 chr20:35277620:T:C rs114686334 T C T EBF1_EBF_1 -17 0 - 0 0 . chr20 35280898 35280899 chr20:35280899:T:C rs2425043 T C C EBF1_EBF_1 -12 0 - 0 0 . chr20 35395681 35395682 chr20:35395682:A:G rs6579234 A G G EBF1_EBF_1 19 0 + 0 0 . chr20 35413254 35413255 chr20:35413255:C:T rs6087704 C T c EBF1_EBF_1 27 0 + 0 0 . chr20 35431780 35431781 chr20:35431781:T:C rs224329 T C T EBF1_EBF_1 7 1 - 4.907652024917122 3.5951936196282963 GCTCCCAATGGACT chr20 35479164 35479165 chr20:35479165:C:T rs17332774 C T C EBF1_EBF_1 25 0 - 0 0 . chr20 35498015 35498016 chr20:35498016:G:A rs143620499 G A G EBF1_EBF_1 -19 0 - 0 0 . chr20 35498016 35498017 chr20:35498017:A:T rs143997480 A T A EBF1_EBF_1 -20 0 - 0 0 . chr20 35602012 35602013 chr20:35602013:G:A rs2273355 G A G EBF1_EBF_1 -14 0 - 0 0 . chr20 35603706 35603707 chr20:35603707:T:C rs6060499 T C C EBF1_EBF_1 -20 0 + 0 0 . chr20 35604620 35604621 chr20:35604621:T:A rs1335853484 T A T EBF1_EBF_1 -18 0 + 0 0 . chr20 35614095 35614096 chr20:35614096:A:C rs79311141 A C C EBF1_EBF_1 14 0 + 0 0 . chr20 35698392 35698393 chr20:35698393:A:T rs7273637 A T A EBF1_EBF_1 14 0 - 0 0 . chr20 35699641 35699642 chr20:35699642:G:A rs150021161 G A G EBF1_EBF_1 -6 0 + 0 0 . chr20 35768214 35768215 chr20:35768215:C:T rs112273656 C T C EBF1_EBF_1 5 1 + 7.378991463281828 2.0572042477411587 CTTCCCTTGGGCCT chr20 35857185 35857186 chr20:35857186:T:C rs57113855 T C T EBF1_EBF_1 -14 0 + 0 0 . chr20 35857219 35857220 chr20:35857220:G:A rs2425173 G A G EBF1_EBF_1 20 0 + 0 0 . chr20 35871722 35871723 chr20:35871723:C:T rs199566070 C T C EBF1_EBF_1 -6 0 - 0 0 . chr20 35972603 35972604 chr20:35972604:G:A rs11167280 G A G EBF1_EBF_1 29 0 - 0 0 . chr20 35972686 35972687 chr20:35972687:G:A rs17347958 G A G EBF1_EBF_1 18 0 - 0 0 . chr20 36011030 36011031 chr20:36011031:C:T rs2590978 C T C EBF1_EBF_1 6 1 + 10.503998676437533 10.80528480529929 ATTCCCCAGGGAGG chr20 36105842 36105843 chr20:36105843:C:T rs185482497 C T C EBF1_EBF_1 -3 0 + 0 0 . chr20 36105953 36105954 chr20:36105954:G:A rs76982386 G A G EBF1_EBF_1 10 1 + 5.948670930093592 0.6306424708266257 CCTCCCCAGGGGGC chr20 36112429 36112430 chr20:36112430:C:T rs115036336 C T C EBF1_EBF_1 -18 0 + 0 0 . chr20 36144449 36144450 chr20:36144450:C:A rs1474976 C A A EBF1_EBF_1 -20 0 + 0 0 . chr20 36261759 36261760 chr20:36261760:G:A rs12481546 G A G EBF1_EBF_1 9 1 + 5.53946188032036 2.8845226148727576 CTTCCCCAGGGTCG chr20 36309446 36309447 chr20:36309447:G:C rs1053702249 G C G EBF1_EBF_1 -1 0 - 0 0 . chr20 36314046 36314047 chr20:36314047:T:C rs962843466 T C T EBF1_EBF_1 -5 0 + 0 0 . chr20 36335897 36335898 chr20:36335898:G:T rs4073786 G T T EBF1_EBF_1 14 0 - 0 0 . chr20 36344605 36344606 chr20:36344606:C:G rs115048182 C G C EBF1_EBF_1 3 1 + 7.363729361033804 1.535964912918587 TGTCCCCAGGTACT chr20 36498935 36498936 chr20:36498936:A:G rs144140154 A G A EBF1_EBF_1 -3 0 + 0 0 . chr20 36541201 36541202 chr20:36541202:A:G rs114685683 A G G EBF1_EBF_1 -12 0 - 0 0 . chr20 36550931 36550932 chr20:36550932:C:T chr20:36550932:C:T C T C EBF1_EBF_1 15 0 - 0 0 . chr20 36556813 36556814 chr20:36556814:A:G rs6027397 A G G EBF1_EBF_1 -20 0 + 0 0 . chr20 36556856 36556857 chr20:36556857:A:G rs75702667 A G A EBF1_EBF_1 23 0 + 0 0 . chr20 36573901 36573902 chr20:36573902:C:T rs150841046 C T C EBF1_EBF_1 8 1 - 6.391283540737369 2.1649934518511067 ACCCCCCGGGGGCC chr20 36574197 36574198 chr20:36574198:G:C rs546044922 G C G EBF1_EBF_1 17 0 - 0 0 . chr20 36646069 36646070 chr20:36646070:G:T rs45539244 G T G EBF1_EBF_1 13 1 - 7.100705120017617 6.726959670731641 AATCCCTAAGGAGC chr20 36794388 36794389 chr20:36794389:C:G rs34459518 C G C EBF1_EBF_1 -2 0 - 0 0 . chr20 36837170 36837171 chr20:36837171:C:T rs113284352 C T C EBF1_EBF_1 -12 0 + 0 0 . chr20 36845805 36845806 chr20:36845806:T:G rs1146253 T G T EBF1_EBF_1 14 0 + 0 0 . chr20 36850204 36850205 chr20:36850205:A:C rs1146254 A C C EBF1_EBF_1 28 0 + 0 0 . chr20 36861336 36861337 chr20:36861337:C:T rs34100246 C T C EBF1_EBF_1 -8 0 - 0 0 . chr20 36863432 36863433 chr20:36863433:G:C rs866033221 G C - EBF1_EBF_1 -17 0 - 0 0 . chr20 37044203 37044204 chr20:37044204:A:G rs146906781 A G A EBF1_EBF_1 -1 0 + 0 0 . chr20 37192433 37192434 chr20:37192434:A:G rs1413536259 A G A EBF1_EBF_1 7 1 - 9.032342257144329 7.214837510861705 ACTCCCATGGTACT chr20 37237863 37237864 chr20:37237864:A:G rs2012439 A G A EBF1_EBF_1 31 0 + 0 0 . chr20 37282570 37282571 chr20:37282571:C:T rs7266685 C T T EBF1_EBF_1 -20 0 - 0 0 . chr20 37289877 37289878 chr20:37289878:C:T rs573048851 C T C EBF1_EBF_1 7 1 + 5.615734492795676 7.4332392390783 AGCCCCCCGGGAGG chr20 37289884 37289885 chr20:37289885:C:G rs191715581 C G C EBF1_EBF_1 14 0 + 0 0 . chr20 37289885 37289886 chr20:37289886:G:T rs940084634 G T G EBF1_EBF_1 15 0 + 0 0 . chr20 37308129 37308130 chr20:37308130:C:T rs142704682 C T C EBF1_EBF_1 -19 0 + 0 0 . chr20 37316482 37316483 chr20:37316483:A:C rs551454296 A C A EBF1_EBF_1 -5 0 - 0 0 . chr20 37354178 37354179 chr20:37354179:C:A rs6094421 C A C EBF1_EBF_1 1 1 - 5.7696607721531885 6.475322557982716 CGCCCCAGGAGACT chr20 37381900 37381901 chr20:37381901:C:T chr20:37381901:C:T C T C EBF1_EBF_1 14 0 + 0 0 . chr20 37385811 37385812 chr20:37385812:G:T rs551608702 G T G EBF1_EBF_1 30 0 - 0 0 . chr20 37391150 37391151 chr20:37391151:C:T rs4810600 C T C EBF1_EBF_1 13 1 + 6.93762609202074 7.782120206606258 ACTCCCCAGAGGCC chr20 37411852 37411853 chr20:37411853:C:T rs4809629 C T . EBF1_EBF_1 32 0 + 0 0 . chr20 37413742 37413743 chr20:37413743:G:T rs79736538 G T . EBF1_EBF_1 -2 0 + 0 0 . chr20 37417738 37417739 chr20:37417739:A:G rs73906218 A G . EBF1_EBF_1 0 1 + 7.782807917701624 5.890524048136792 AGTCCCCTGGGCCC chr20 37420384 37420385 chr20:37420385:T:C rs73906223 T C . EBF1_EBF_1 2 1 + 6.709485459922111 4.82066204847996 CTTCCCCAGGGCCC chr20 37441795 37441796 chr20:37441796:C:T rs13038302 C T C EBF1_EBF_1 8 1 - 4.726443538274068 0.5001534493878064 ATCCCCCCGGGTCA chr20 37454008 37454009 chr20:37454009:A:G rs35604425 A G A EBF1_EBF_1 26 0 + 0 0 . chr20 37469521 37469522 chr20:37469522:T:C rs6018728 T C C EBF1_EBF_1 9 1 - 7.207146081562913 9.862085347010515 GGTCCCAGGAGAAC chr20 37479901 37479902 chr20:37479902:C:G rs2344096 C G C EBF1_EBF_1 8 1 - 7.058138226792898 1.739479365878212 ACTCCCTGGGGCCC chr20 37494416 37494417 chr20:37494417:G:C rs77912071 G C G EBF1_EBF_1 15 0 + 0 0 . chr20 37600081 37600082 chr20:37600082:G:C rs2144503 G C G EBF1_EBF_1 9 1 + 6.481404525460715 1.4057153791152521 TTTCCCAAGGAAAC chr20 37652265 37652266 chr20:37652266:T:A rs198481 T A T EBF1_EBF_1 22 0 + 0 0 . chr20 37822394 37822395 chr20:37822395:G:A rs74706838 G A G EBF1_EBF_1 24 0 - 0 0 . chr20 37872204 37872205 chr20:37872205:A:G rs1106966 A G A EBF1_EBF_1 7 1 + 7.778734123398261 6.4662757181094355 CTCCCCCAGGGAAG chr20 37914868 37914869 chr20:37914869:G:A rs62201726 G A G EBF1_EBF_1 0 1 - 7.4920041930913674 7.774206253821944 CATCCCAAGAGACA chr20 37915171 37915172 chr20:37915172:G:C rs112107713 G C G EBF1_EBF_1 33 0 - 0 0 . chr20 37934224 37934225 chr20:37934225:C:G rs111262642 C G C EBF1_EBF_1 25 0 - 0 0 . chr20 37938424 37938425 chr20:37938425:C:T rs73296448 C T C EBF1_EBF_1 -20 0 + 0 0 . chr20 37938874 37938875 chr20:37938875:C:T rs76145244 C T T EBF1_EBF_1 5 1 + 6.186166020850872 0.864378805310202 CCTCCCGTGGGAGA chr20 37955640 37955641 chr20:37955641:C:T rs11698112 C T C EBF1_EBF_1 -10 0 - 0 0 . chr20 37981112 37981113 chr20:37981113:T:C rs76728310 T C T EBF1_EBF_1 -7 0 + 0 0 . chr20 38067229 38067230 chr20:38067230:G:A rs115748780 G A g EBF1_EBF_1 -6 0 - 0 0 . chr20 38129767 38129768 chr20:38129768:G:A rs45578040 G A G EBF1_EBF_1 22 0 + 0 0 . chr20 38149059 38149060 chr20:38149060:T:C rs45570438 T C T EBF1_EBF_1 14 0 - 0 0 . chr20 38154644 38154645 chr20:38154645:A:C rs6023511 A C C EBF1_EBF_1 -9 0 + 0 0 . chr20 38165337 38165338 chr20:38165338:A:C rs189455092 A C A EBF1_EBF_1 2 1 - 4.1337692643271104 -2.6145564258733596 CTTCCCGCGGGGCC chr20 38165415 38165416 chr20:38165416:G:A rs566362904 G A G EBF1_EBF_1 15 0 + 0 0 . chr20 38166550 38166551 chr20:38166551:G:A rs45552640 G A G EBF1_EBF_1 5 1 - 4.933286557371796 -0.388500658168875 AGCCCCGTGGGCCT chr20 38166560 38166561 chr20:38166561:T:C rs7272112 T C t EBF1_EBF_1 -5 0 - 0 0 . chr20 38171283 38171284 chr20:38171284:C:T rs79486391 C T C EBF1_EBF_1 13 1 + 7.025793948421606 7.870288063007124 ACACCCGAGGGAAC chr20 38193657 38193658 chr20:38193658:G:C rs79078554 G C G EBF1_EBF_1 18 0 - 0 0 . chr20 38193826 38193827 chr20:38193827:C:A rs4809985 C A C EBF1_EBF_1 -8 0 + 0 0 . chr20 38193853 38193854 chr20:38193854:G:A rs35038085 G A G EBF1_EBF_1 19 0 + 0 0 . chr20 38247510 38247511 chr20:38247511:G:T rs1205439 G T T EBF1_EBF_1 9 1 + 5.678598177211495 -1.1583912308773139 CTACCCCAGGGAGA chr20 38252855 38252856 chr20:38252856:G:C chr20:38252856:G:C G C G EBF1_EBF_1 19 0 + 0 0 . chr20 38252858 38252859 chr20:38252859:A:G rs6014451 A G a EBF1_EBF_1 22 0 + 0 0 . chr20 38259918 38259919 chr20:38259919:C:G rs67139609 C G C EBF1_EBF_1 -9 0 + 0 0 . chr20 38275152 38275153 chr20:38275153:C:A rs113539354 C A C EBF1_EBF_1 17 0 + 0 0 . chr20 38278670 38278671 chr20:38278671:G:C rs1341020 G C G EBF1_EBF_1 0 1 + 4.789380837538067 4.4264062234063 GAACCCCAGGGGCT chr20 38278679 38278680 chr20:38278680:G:A rs1038884716 G A G EBF1_EBF_1 9 1 + 4.789380837538067 2.134441572090463 GAACCCCAGGGGCT chr20 38285540 38285541 chr20:38285541:T:C rs6092234 T C T EBF1_EBF_1 -1 0 + 0 0 . chr20 38298841 38298842 chr20:38298842:C:G rs4811656 C G C EBF1_EBF_1 -13 0 - 0 0 . chr20 38299216 38299217 chr20:38299217:C:T rs191529298 C T C EBF1_EBF_1 30 0 + 0 0 . chr20 38307842 38307843 chr20:38307843:G:A rs150062116 G A G EBF1_EBF_1 -19 0 - 0 0 . chr20 38315393 38315394 chr20:38315394:A:T rs78481507 A T g EBF1_EBF_1 19 0 + 0 0 . chr20 38338128 38338129 chr20:38338129:G:T rs2022499 G T G EBF1_EBF_1 5 1 - 6.216366304448512 -0.6885148481866568 AGCCCCCAAGGAAA chr20 38342420 38342421 chr20:38342421:A:C rs1739636 A C C EBF1_EBF_1 -4 0 - 0 0 . chr20 38350729 38350730 chr20:38350730:T:G rs2232581 T G T EBF1_EBF_1 31 0 - 0 0 . chr20 38413440 38413441 chr20:38413441:T:C rs574953234 T C T EBF1_EBF_1 24 0 - 0 0 . chr20 38422094 38422095 chr20:38422095:C:T rs3752276 C T c EBF1_EBF_1 26 0 + 0 0 . chr20 38435120 38435121 chr20:38435121:T:A rs533582365 T A T EBF1_EBF_1 -7 0 + 0 0 . chr20 38435123 38435124 chr20:38435124:C:T rs920904489 C T C EBF1_EBF_1 -4 0 + 0 0 . chr20 38446879 38446880 chr20:38446880:G:A rs760365189 G A G EBF1_EBF_1 24 0 - 0 0 . chr20 38446902 38446903 chr20:38446903:A:C rs534004818 A C A EBF1_EBF_1 1 1 - 4.3514240452199155 3.645762259390387 CTCCCCTCGGGGCC chr20 38617314 38617315 chr20:38617315:A:G rs85151 A G G EBF1_EBF_1 31 0 - 0 0 . chr20 38623281 38623282 chr20:38623282:G:A rs6123893 G A G EBF1_EBF_1 30 0 + 0 0 . chr20 38633592 38633593 chr20:38633593:C:T rs6070830 C T C EBF1_EBF_1 7 1 - 5.0552429980905496 6.367701403379374 ATCCCCCGGGGTTC chr20 38645676 38645677 chr20:38645677:C:G rs414387 C G G EBF1_EBF_1 10 1 - 6.4819464031523655 -0.4088559841771095 AACCCCCAGAGAGC chr20 38724205 38724206 chr20:38724206:C:T rs13042447 C T C EBF1_EBF_1 -1 0 + 0 0 . chr20 38724225 38724226 chr20:38724226:T:C rs59886755 T C T EBF1_EBF_1 19 0 + 0 0 . chr20 38724227 38724228 chr20:38724228:C:T rs58021414 C T C EBF1_EBF_1 21 0 + 0 0 . chr20 38729533 38729534 chr20:38729534:G:A rs6064926 G A G EBF1_EBF_1 -20 0 - 0 0 . chr20 38730682 38730683 chr20:38730683:C:T rs16987521 C T C EBF1_EBF_1 -16 0 + 0 0 . chr20 38731155 38731156 chr20:38731156:G:T rs79510545 G T G EBF1_EBF_1 -1 0 + 0 0 . chr20 38733629 38733630 chr20:38733630:C:G rs75630410 C G C EBF1_EBF_1 32 0 - 0 0 . chr20 38790522 38790523 chr20:38790523:T:C rs62202493 T C T EBF1_EBF_1 2 1 + 5.43158987750437 3.5427664660622207 TCTCCCAAGGGCTC chr20 38795613 38795614 chr20:38795614:G:C rs6071514 G C g EBF1_EBF_1 22 0 - 0 0 . chr20 38827351 38827352 chr20:38827352:C:T rs2015901 C T T EBF1_EBF_1 10 1 - 6.343964292635837 1.0259358333688717 TTTCCCAGGAGAAG chr20 38840612 38840613 chr20:38840613:A:G rs208822 A G G EBF1_EBF_1 18 0 - 0 0 . chr20 38860925 38860926 chr20:38860926:G:A rs56245805 G A G EBF1_EBF_1 17 0 + 0 0 . chr20 38881133 38881134 chr20:38881134:G:A rs568732351 G A G EBF1_EBF_1 7 1 - 7.2729427745864905 9.090447520869112 GCTCCCCCGGGATC chr20 38962298 38962299 chr20:38962299:C:T rs1194874422 C T C EBF1_EBF_1 19 0 - 0 0 . chr20 38962554 38962555 chr20:38962555:C:T rs974560 C T C EBF1_EBF_1 28 0 - 0 0 . chr20 39029621 39029622 chr20:39029622:C:T rs45627732 C T C EBF1_EBF_1 -14 0 + 0 0 . chr20 39064200 39064201 chr20:39064201:T:A rs6028258 T A A EBF1_EBF_1 0 1 + 5.194187318839368 7.16724374180539 TCTCCCCAGGAACC chr20 39123626 39123627 chr20:39123627:T:C rs742652 T C c EBF1_EBF_1 30 0 + 0 0 . chr20 39124962 39124963 chr20:39124963:A:G rs6129189 A G a EBF1_EBF_1 0 1 + 6.876365770827566 4.984081901262732 ACTCCCTGGGGCTT chr20 39132914 39132915 chr20:39132915:G:A rs66855787 G A G EBF1_EBF_1 25 0 - 0 0 . chr20 39137049 39137050 chr20:39137050:G:A rs66477659 G A G EBF1_EBF_1 -8 0 - 0 0 . chr20 39156654 39156655 chr20:39156655:C:T rs189606517 C T C EBF1_EBF_1 28 0 - 0 0 . chr20 39156689 39156690 chr20:39156690:T:C rs117585234 T C T EBF1_EBF_1 -7 0 - 0 0 . chr20 39170005 39170006 chr20:39170006:C:T rs75853230 C T C EBF1_EBF_1 -8 0 + 0 0 . chr20 39191090 39191091 chr20:39191091:C:G rs6124120 C G C EBF1_EBF_1 4 1 + 7.073739145027576 1.4479740438780315 CTCCCCATGGGGCT chr20 39245097 39245098 chr20:39245098:G:A rs1016550 G A A EBF1_EBF_1 -10 0 - 0 0 . chr20 39262042 39262043 chr20:39262043:C:T rs73113355 C T C EBF1_EBF_1 -14 0 + 0 0 . chr20 39285882 39285883 chr20:39285883:G:A rs1884712 G A G EBF1_EBF_1 -13 0 + 0 0 . chr20 39290898 39290899 chr20:39290899:G:A rs60295744 G A G EBF1_EBF_1 1 1 - 5.91135952146989 6.806792961868634 ACTCCCCAGAGGTC chr20 39429738 39429739 chr20:39429739:A:G rs6101527 A G A EBF1_EBF_1 -7 0 - 0 0 . chr20 39589635 39589636 chr20:39589636:C:A rs35313271 C A C EBF1_EBF_1 3 1 + 5.939168238182875 -0.9670057912829322 ACACCCAAGGGTAT chr20 39689581 39689582 chr20:39689582:A:C rs4488734 A C A EBF1_EBF_1 28 0 - 0 0 . chr20 39954630 39954631 chr20:39954631:G:T rs542873992 G T G EBF1_EBF_1 0 1 - 6.856879146885347 9.112137630581948 CTTCCCTAAGGAAA chr20 40073683 40073684 chr20:40073684:C:T rs74869913 C T C EBF1_EBF_1 14 0 + 0 0 . chr20 40233600 40233601 chr20:40233601:C:T rs530861970 C T C EBF1_EBF_1 15 0 - 0 0 . chr20 40233614 40233615 chr20:40233615:C:T rs140124721 C T C EBF1_EBF_1 1 1 - 6.758582054446952 6.497883222525651 GGTCCCAAGGGCAC chr20 40291697 40291698 chr20:40291698:C:T rs73607609 C T C EBF1_EBF_1 -7 0 + 0 0 . chr20 40320460 40320461 chr20:40320461:A:G rs559808199 A G A EBF1_EBF_1 -1 0 + 0 0 . chr20 40320470 40320471 chr20:40320471:G:A rs75188688 G A G EBF1_EBF_1 9 1 + 7.162297102561815 4.50735783711421 TCCCCCTAGGGAGA chr20 40331607 40331608 chr20:40331608:C:T rs12625216 C T C EBF1_EBF_1 -9 0 + 0 0 . chr20 40371032 40371033 chr20:40371033:G:T rs77272328 G T G EBF1_EBF_1 11 1 - 5.772370112570257 10.188331810422646 TTCCCCTTGGGCCT chr20 40415555 40415556 chr20:40415556:G:A rs56305490 G A G EBF1_EBF_1 -12 0 - 0 0 . chr20 40435348 40435349 chr20:40435349:G:A rs78336501 G A G EBF1_EBF_1 3 1 - 6.828999132818535 0.42360039126522 CTTCCCTAGGGCTT chr20 40632579 40632580 chr20:40632580:G:A rs75529907 G A G EBF1_EBF_1 0 1 + 6.495074075408796 8.387357944973628 GCTCCCCAGGGTCC chr20 40682753 40682754 chr20:40682754:G:A rs55832617 G A G EBF1_EBF_1 21 0 + 0 0 . chr20 40689814 40689815 chr20:40689815:C:T rs530012970 C T C EBF1_EBF_1 -9 0 + 0 0 . chr20 40696376 40696377 chr20:40696377:C:T rs57892086 C T C EBF1_EBF_1 19 0 + 0 0 . chr20 40696377 40696378 chr20:40696378:G:A rs2206901 G A C EBF1_EBF_1 20 0 + 0 0 . chr20 40719614 40719615 chr20:40719615:G:T rs77195726 G T G EBF1_EBF_1 -3 0 - 0 0 . chr20 40723543 40723544 chr20:40723544:T:C rs2425429 T C T EBF1_EBF_1 0 1 - 7.0350688539390624 5.142784984374229 ATTCCCATGGTGCT chr20 40771759 40771760 chr20:40771760:G:A rs6065271 G A g EBF1_EBF_1 22 0 + 0 0 . chr20 40774664 40774665 chr20:40774665:T:A rs2865891 T A T EBF1_EBF_1 -1 0 + 0 0 . chr20 40809118 40809119 chr20:40809119:A:T rs2206661 A T A EBF1_EBF_1 20 0 + 0 0 . chr20 40865294 40865295 chr20:40865295:G:A rs6029390 G A G EBF1_EBF_1 -5 0 + 0 0 . chr20 40876128 40876129 chr20:40876129:G:A rs1884101 G A A EBF1_EBF_1 5 1 - 5.380690391767042 0.05890317622637142 GGCCCCTTGGTACT chr20 40876198 40876199 chr20:40876199:C:T rs11086788 C T C EBF1_EBF_1 10 1 - 5.292273884233826 -0.025754575033139826 AAGCCCCAGGGACA chr20 40897315 40897316 chr20:40897316:G:T rs11700148 G T G EBF1_EBF_1 3 1 - 6.45971527303282 -0.4464587564329878 TTTCCCCTGGGTCA chr20 40943813 40943814 chr20:40943814:G:A rs34505094 G A G EBF1_EBF_1 12 1 - 5.826434528235379 4.800167957684529 CATCCCAGAGGACT chr20 40958797 40958798 chr20:40958798:C:T rs139844829 C T C EBF1_EBF_1 -20 0 - 0 0 . chr20 40966280 40966281 chr20:40966281:C:T rs767331202 C T C EBF1_EBF_1 9 1 - 11.724187818154022 9.06924855270642 AGTCCCAGGGGACC chr20 40970321 40970322 chr20:40970322:G:A chr20:40970322:G:A G A G EBF1_EBF_1 21 0 + 0 0 . chr20 41017853 41017854 chr20:41017854:G:C rs62209448 G C G EBF1_EBF_1 -14 0 - 0 0 . chr20 41152241 41152242 chr20:41152242:C:T rs12480560 C T C EBF1_EBF_1 20 0 + 0 0 . chr20 41254934 41254935 chr20:41254935:G:T rs6102325 G T T EBF1_EBF_1 -4 0 + 0 0 . chr20 41329513 41329514 chr20:41329514:C:A rs6102356 C A C EBF1_EBF_1 23 0 + 0 0 . chr20 41356956 41356957 chr20:41356957:A:G rs6102369 A G A EBF1_EBF_1 1 1 - 5.927921789253655 5.032488348854909 ATCCCCTCGGGCCT chr20 41618665 41618666 chr20:41618666:T:G rs6102500 T G G EBF1_EBF_1 -8 0 + 0 0 . chr20 41618680 41618681 chr20:41618681:C:T rs192149497 C T C EBF1_EBF_1 7 1 + 7.254880048280708 9.07238479456333 GCCCCCCCGGGACT chr20 41633019 41633020 chr20:41633020:A:T rs55655962 A T A EBF1_EBF_1 -11 0 + 0 0 . chr20 41655396 41655397 chr20:41655397:G:A rs17209416 G A G EBF1_EBF_1 -9 0 - 0 0 . chr20 41693150 41693151 chr20:41693151:C:G rs73907198 C G G EBF1_EBF_1 -8 0 + 0 0 . chr20 41695033 41695034 chr20:41695034:T:C rs75612941 T C T EBF1_EBF_1 1 1 - 7.795881882653599 8.0565807145749 AATCACAAGGGACT chr20 41725158 41725159 chr20:41725159:A:G rs6129918 A G A EBF1_EBF_1 25 0 - 0 0 . chr20 41725175 41725176 chr20:41725176:C:G rs6129919 C G C EBF1_EBF_1 8 1 - 8.23169090938145 2.913032048466765 AGTCCCCAGGAAAT chr20 41750260 41750261 chr20:41750261:C:G rs4812543 C G C EBF1_EBF_1 19 0 - 0 0 . chr20 41799148 41799149 chr20:41799149:G:T rs73113043 G T G EBF1_EBF_1 2 1 - 7.909513479165857 6.11386930300913 AGCCCCCAGGGAGG chr20 41943071 41943072 chr20:41943072:G:A rs8120351 G A G EBF1_EBF_1 4 1 - 9.773150040555313 5.4037630956103895 GCTCCCCTGGGAAA chr20 41956421 41956422 chr20:41956422:G:A rs148676411 G A G EBF1_EBF_1 13 1 + 5.933649169523024 7.047974741592463 AGTCCCAAAGGAGG chr20 41968435 41968436 chr20:41968436:A:G rs6513754 A G A EBF1_EBF_1 -8 0 - 0 0 . chr20 41976310 41976311 chr20:41976311:C:A rs2206409 C A C EBF1_EBF_1 15 0 + 0 0 . chr20 42113196 42113197 chr20:42113197:G:A rs742293 G A A EBF1_EBF_1 -19 0 - 0 0 . chr20 42361605 42361606 chr20:42361606:C:T rs13045970 C T C EBF1_EBF_1 30 0 + 0 0 . chr20 42382395 42382396 chr20:42382396:G:T rs528245349 G T G EBF1_EBF_1 8 1 + 6.5727018003556354 -0.31873098862155885 GATCCCAGGAGAAA chr20 42406949 42406950 chr20:42406950:A:T rs932320 A T A EBF1_EBF_1 23 0 - 0 0 . chr20 42474874 42474875 chr20:42474875:G:A rs62204914 G A A EBF1_EBF_1 -6 0 + 0 0 . chr20 42493771 42493772 chr20:42493772:T:C rs59691798 T C C EBF1_EBF_1 27 0 + 0 0 . chr20 42626676 42626677 chr20:42626677:C:T rs17810209 C T C EBF1_EBF_1 23 0 - 0 0 . chr20 42735859 42735860 chr20:42735860:G:C rs62205399 G C G EBF1_EBF_1 26 0 + 0 0 . chr20 42838845 42838846 chr20:42838846:C:T rs1535237 C T T EBF1_EBF_1 32 0 - 0 0 . chr20 42945432 42945433 chr20:42945433:G:A rs149657462 G A G EBF1_EBF_1 21 0 - 0 0 . chr20 43102062 43102063 chr20:43102063:C:G rs3091802 C G G EBF1_EBF_1 -9 0 + 0 0 . chr20 43272044 43272045 chr20:43272045:A:G rs730467 A G G EBF1_EBF_1 15 0 + 0 0 . chr20 43316502 43316503 chr20:43316503:G:A rs2223246 G A G EBF1_EBF_1 25 0 + 0 0 . chr20 43352925 43352926 chr20:43352926:A:G rs4239695 A G G EBF1_EBF_1 31 0 - 0 0 . chr20 43353061 43353062 chr20:43353062:C:A rs6073059 C A A EBF1_EBF_1 -15 0 + 0 0 . chr20 43384469 43384470 chr20:43384470:A:G rs6093822 A G G EBF1_EBF_1 -7 0 - 0 0 . chr20 43439471 43439472 chr20:43439472:A:T rs12625896 A T A EBF1_EBF_1 11 1 + 11.416360380028127 7.318446123929401 ATTCCCCTGGGACG chr20 43458523 43458524 chr20:43458524:C:G rs1205350572 C G C EBF1_EBF_1 33 0 - 0 0 . chr20 43458546 43458547 chr20:43458547:C:T rs544656685 C T C EBF1_EBF_1 10 1 - 4.433047704404098 -0.8849807548628692 CGCCCCCAGGGTCC chr20 43458575 43458576 chr20:43458576:C:A rs992715780 C A C EBF1_EBF_1 -19 0 - 0 0 . chr20 43536081 43536082 chr20:43536082:T:C rs3746528 T C T EBF1_EBF_1 -6 0 + 0 0 . chr20 43576420 43576421 chr20:43576421:G:A rs763227 G A G EBF1_EBF_1 15 0 + 0 0 . chr20 43591190 43591191 chr20:43591191:G:A rs3752562 G A G EBF1_EBF_1 -13 0 - 0 0 . chr20 43667662 43667663 chr20:43667663:A:G rs567306287 A G A EBF1_EBF_1 -3 0 + 0 0 . chr20 43668003 43668004 chr20:43668004:A:C rs285205 A C A EBF1_EBF_1 -5 0 + 0 0 . chr20 43681868 43681869 chr20:43681869:T:C rs442143 T C C EBF1_EBF_1 -9 0 + 0 0 . chr20 43681878 43681879 chr20:43681879:C:G chr20:43681879:C:G C G C EBF1_EBF_1 1 1 + 4.971068566977344 5.160840221546561 CCTCCCTCGGGGCA chr20 43722043 43722044 chr20:43722044:C:T rs720503 C T C EBF1_EBF_1 -3 0 - 0 0 . chr20 43746759 43746760 chr20:43746760:A:G rs880065 A G G EBF1_EBF_1 22 0 + 0 0 . chr20 43749985 43749986 chr20:43749986:T:G rs961567503 T G T EBF1_EBF_1 27 0 - 0 0 . chr20 43782417 43782418 chr20:43782418:C:A rs778690015 C A C EBF1_EBF_1 10 1 - 6.700431038966605 2.5176788527393406 ATTCCCAGGAGGTT chr20 43843631 43843632 chr20:43843632:C:T rs11699321 C T C EBF1_EBF_1 7 1 - 6.976805150921683 8.289263556210509 AGTCCCCGGGGCAC chr20 43916239 43916240 chr20:43916240:C:T chr20:43916240:C:T C T C EBF1_EBF_1 2 1 + 5.450744778552164 7.339568189994314 GCCCCCCAGGGTCT chr20 43916352 43916353 chr20:43916353:C:T rs373931660 C T C EBF1_EBF_1 29 0 - 0 0 . chr20 43924055 43924056 chr20:43924056:G:A rs4810404 G A G EBF1_EBF_1 -3 0 - 0 0 . chr20 43950989 43950990 chr20:43950990:G:T chr20:43950990:G:T G T G EBF1_EBF_1 32 0 - 0 0 . chr20 43955298 43955299 chr20:43955299:G:A rs79000734 G A G EBF1_EBF_1 23 0 - 0 0 . chr20 43964381 43964382 chr20:43964382:C:T rs138763719 C T C EBF1_EBF_1 28 0 + 0 0 . chr20 43977518 43977519 chr20:43977519:A:G rs565693405 A G A EBF1_EBF_1 1 1 - 6.76125328826532 5.865819847866575 ATACCCTAGGGGAA chr20 44019872 44019873 chr20:44019873:C:T rs73292454 C T C EBF1_EBF_1 3 1 + 6.283606361414822 -0.1217923801384947 TCACCCCAGGGAGT chr20 44035252 44035253 chr20:44035253:C:A rs76340833 C A C EBF1_EBF_1 21 0 + 0 0 . chr20 44039411 44039412 chr20:44039412:T:A rs150271538 T A T EBF1_EBF_1 -11 0 - 0 0 . chr20 44058375 44058376 chr20:44058376:A:G rs1120336 A G A EBF1_EBF_1 18 0 + 0 0 . chr20 44058493 44058494 chr20:44058494:C:T rs113647042 C T C EBF1_EBF_1 -11 0 - 0 0 . chr20 44064931 44064932 chr20:44064932:C:T rs76310705 C T C EBF1_EBF_1 8 1 - 4.777308841353207 0.5510187524669452 CTTCCCACGGGCCC chr20 44073521 44073522 chr20:44073522:G:A rs79372056 G A G EBF1_EBF_1 2 1 - 5.1330455076318655 7.021868919074015 TTCCCCCAGGGCAC chr20 44076544 44076545 chr20:44076545:G:C rs6073309 G C G EBF1_EBF_1 5 1 - 4.508716797673552 -2.3961643549616185 TCCCCCTAGGGCCC chr20 44086614 44086615 chr20:44086615:C:T rs4812784 C T C EBF1_EBF_1 0 1 + 6.077442929569815 6.359644990300395 CCTCCCCAGGTAAC chr20 44087292 44087293 chr20:44087293:T:C rs6017263 T C T EBF1_EBF_1 26 0 - 0 0 . chr20 44097440 44097441 chr20:44097441:C:T rs112660104 C T C EBF1_EBF_1 -4 0 - 0 0 . chr20 44115184 44115185 chr20:44115185:C:T rs7267523 C T T EBF1_EBF_1 28 0 + 0 0 . chr20 44135161 44135162 chr20:44135162:C:T rs78428006 C T C EBF1_EBF_1 -17 0 + 0 0 . chr20 44136438 44136439 chr20:44136439:G:A rs62204475 G A G EBF1_EBF_1 18 0 + 0 0 . chr20 44140457 44140458 chr20:44140458:T:G rs4812789 T G T EBF1_EBF_1 -7 0 - 0 0 . chr20 44166903 44166904 chr20:44166904:G:A rs570098134 G A G EBF1_EBF_1 15 0 + 0 0 . chr20 44171271 44171272 chr20:44171272:C:G rs6073357 C G C EBF1_EBF_1 9 1 - 9.989341419390541 4.913652273045079 ATCCCCCTGGGATC chr20 44175112 44175113 chr20:44175113:T:C rs6124628 T C C EBF1_EBF_1 16 0 + 0 0 . chr20 44217033 44217034 chr20:44217034:C:T rs12625612 C T C EBF1_EBF_1 22 0 - 0 0 . chr20 44217040 44217041 chr20:44217041:G:A rs35811761 G A G EBF1_EBF_1 15 0 - 0 0 . chr20 44268908 44268909 chr20:44268909:T:G rs6031492 T G G EBF1_EBF_1 -12 0 - 0 0 . chr20 44434416 44434417 chr20:44434417:G:A rs73909510 G A G EBF1_EBF_1 19 0 + 0 0 . chr20 44467397 44467398 chr20:44467398:G:A rs115776908 G A G EBF1_EBF_1 -2 0 - 0 0 . chr20 44485289 44485290 chr20:44485290:C:T rs6031627 C T C EBF1_EBF_1 -10 0 - 0 0 . chr20 44576432 44576433 chr20:44576433:C:T rs150479131 C T C EBF1_EBF_1 12 1 - 5.622135645105716 7.040952987205193 CTTCCCTAGGGCGC chr20 44618166 44618167 chr20:44618167:C:T rs67308411 C T C EBF1_EBF_1 -6 0 + 0 0 . chr20 44643882 44643883 chr20:44643883:G:A rs919501264 G A G EBF1_EBF_1 5 1 - 6.0309895717650095 0.7092023562243392 TTTCCCGAGGGCCT chr20 44643887 44643888 chr20:44643888:A:G rs74606183 A G A EBF1_EBF_1 0 1 - 6.0309895717650095 5.748787511034432 TTTCCCGAGGGCCT chr20 44650958 44650959 chr20:44650959:A:T rs543246399 A T A EBF1_EBF_1 14 0 + 0 0 . chr20 44651707 44651708 chr20:44651708:C:T rs36216718 C T C EBF1_EBF_1 24 0 + 0 0 . chr20 44672128 44672129 chr20:44672129:A:G rs62204564 A G A EBF1_EBF_1 -17 0 + 0 0 . chr20 44693218 44693219 chr20:44693219:C:T rs78722832 C T C EBF1_EBF_1 16 0 - 0 0 . chr20 44693235 44693236 chr20:44693236:C:T rs762161188 C T C EBF1_EBF_1 -1 0 - 0 0 . chr20 44718649 44718650 chr20:44718650:C:T rs6130677 C T C EBF1_EBF_1 -15 0 - 0 0 . chr20 44777070 44777071 chr20:44777071:C:G rs186122538 C G C EBF1_EBF_1 -2 0 - 0 0 . chr20 44803783 44803784 chr20:44803784:C:T rs1238876515 C T C EBF1_EBF_1 4 1 + 4.1042294274962225 -0.2651575174487013 GGCCCCCAGGGCCA chr20 44885907 44885908 chr20:44885908:A:G chr20:44885908:A:G A G A EBF1_EBF_1 -5 0 + 0 0 . chr20 44885916 44885917 chr20:44885917:C:A rs757823080 C A C EBF1_EBF_1 4 1 + 4.688771325741752 -1.1357882977117166 GGCCCCCGGGGGCC chr20 44909954 44909955 chr20:44909955:G:T rs774512669 G T G EBF1_EBF_1 29 0 - 0 0 . chr20 44909955 44909956 chr20:44909956:G:T rs2072726 G T T EBF1_EBF_1 28 0 - 0 0 . chr20 44909977 44909978 chr20:44909978:G:T rs528185615 G T g EBF1_EBF_1 6 1 - 6.909968160454724 7.271570528256001 CCTCCCCCGGGATC chr20 44919035 44919036 chr20:44919036:T:G rs2075960 T G T EBF1_EBF_1 -18 0 + 0 0 . chr20 45019816 45019817 chr20:45019817:T:C rs73111631 T C T EBF1_EBF_1 15 0 - 0 0 . chr20 45037190 45037191 chr20:45037191:G:A rs6031944 G A G EBF1_EBF_1 26 0 + 0 0 . chr20 45040999 45041000 chr20:45041000:T:G rs6065777 T G T EBF1_EBF_1 28 0 - 0 0 . chr20 45090702 45090703 chr20:45090703:G:A rs11696304 G A G EBF1_EBF_1 -9 0 - 0 0 . chr20 45091343 45091344 chr20:45091344:C:G rs1540310 C G C EBF1_EBF_1 10 1 - 7.046168327820992 0.1553659404915168 AATCCCAGGAGAGA chr20 45194129 45194130 chr20:45194130:C:T rs6104054 C T T EBF1_EBF_1 33 0 + 0 0 . chr20 45318509 45318510 chr20:45318510:C:T rs2743345 C T C EBF1_EBF_1 21 0 + 0 0 . chr20 45344302 45344303 chr20:45344303:C:T rs528656645 C T C EBF1_EBF_1 -17 0 + 0 0 . chr20 45348553 45348554 chr20:45348554:G:A rs1445827316 G A G EBF1_EBF_1 -15 0 + 0 0 . chr20 45348727 45348728 chr20:45348728:G:A rs187191901 G A G EBF1_EBF_1 31 0 - 0 0 . chr20 45406596 45406597 chr20:45406597:G:A rs541042573 G A G EBF1_EBF_1 30 0 - 0 0 . chr20 45440821 45440822 chr20:45440822:G:A rs114386374 G A G EBF1_EBF_1 26 0 + 0 0 . chr20 45545783 45545784 chr20:45545784:G:A rs765156 G A A EBF1_EBF_1 18 0 + 0 0 . chr20 45579371 45579372 chr20:45579372:C:T rs7273669 C T C EBF1_EBF_1 19 0 - 0 0 . chr20 45579580 45579581 chr20:45579581:G:A rs7274789 G A G EBF1_EBF_1 17 0 + 0 0 . chr20 45596681 45596682 chr20:45596682:C:G rs3091503 C G C EBF1_EBF_1 4 1 + 8.20469354251338 2.5789284413638365 CCCCCCTGGGGAAT chr20 45631170 45631171 chr20:45631171:A:G rs146138321 A G A EBF1_EBF_1 -14 0 + 0 0 . chr20 45736110 45736111 chr20:45736111:G:A rs6032493 G A G EBF1_EBF_1 -16 0 + 0 0 . chr20 45736133 45736134 chr20:45736134:T:C rs6032494 T C t EBF1_EBF_1 7 1 + 5.855499581156082 4.037994834873459 CTCCCCATGGGGCA chr20 45791860 45791861 chr20:45791861:A:G rs1045352204 A G A EBF1_EBF_1 -15 0 + 0 0 . chr20 45791898 45791899 chr20:45791899:C:T rs576762415 C T C EBF1_EBF_1 23 0 + 0 0 . chr20 45812950 45812951 chr20:45812951:C:T chr20:45812951:C:T C T C EBF1_EBF_1 4 1 + 9.363145216537996 4.993758271593073 ATTCCCCTGGGCAT chr20 45817481 45817482 chr20:45817482:A:G rs13038132 A G A EBF1_EBF_1 7 1 + 10.103246286350798 8.790787881061974 ATCCCCCAGGGAGC chr20 45823121 45823122 chr20:45823122:G:A rs78453578 G A G EBF1_EBF_1 8 1 + 6.366934398053349 2.1406443091670893 ATCCCCAAGGGTGC chr20 45840291 45840292 chr20:45840292:T:C rs197669 T C C EBF1_EBF_1 17 0 + 0 0 . chr20 45840501 45840502 chr20:45840502:G:A rs139958852 G A G EBF1_EBF_1 27 0 - 0 0 . chr20 45857265 45857266 chr20:45857266:C:T rs146280691 C T C EBF1_EBF_1 -3 0 - 0 0 . chr20 45890313 45890314 chr20:45890314:G:C rs115355487 G C G EBF1_EBF_1 32 0 - 0 0 . chr20 45890360 45890361 chr20:45890361:C:T rs35342327 C T C EBF1_EBF_1 -15 0 - 0 0 . chr20 45894162 45894163 chr20:45894163:G:A rs55919601 G A G EBF1_EBF_1 -12 0 - 0 0 . chr20 45972458 45972459 chr20:45972459:G:A rs73295226 G A G EBF1_EBF_1 26 0 - 0 0 . chr20 45972470 45972471 chr20:45972471:C:A rs186492778 C A C EBF1_EBF_1 14 0 - 0 0 . chr20 46009038 46009039 chr20:46009039:A:G rs41427445 A G A EBF1_EBF_1 23 0 + 0 0 . chr20 46011052 46011053 chr20:46011053:G:T rs2274755 G T G EBF1_EBF_1 -10 0 - 0 0 . chr20 46021853 46021854 chr20:46021854:A:C rs931154965 A C a EBF1_EBF_1 23 0 - 0 0 . chr20 46027472 46027473 chr20:46027473:A:G rs6073991 A G A EBF1_EBF_1 20 0 - 0 0 . chr20 46027497 46027498 chr20:46027498:T:A rs6124764 T A T EBF1_EBF_1 -5 0 - 0 0 . chr20 46027500 46027501 chr20:46027501:C:A rs11908352 C A C EBF1_EBF_1 -8 0 - 0 0 . chr20 46031794 46031795 chr20:46031795:G:A rs75053191 G A G EBF1_EBF_1 -7 0 - 0 0 . chr20 46044412 46044413 chr20:46044413:A:G rs117718766 A G G EBF1_EBF_1 2 1 - 7.441551308716451 5.552727897274302 GATCCCTAAGGACT chr20 46048408 46048409 chr20:46048409:T:C rs142681224 T C T EBF1_EBF_1 9 1 - 8.348641389161584 11.003580654609186 CATCCCCAGAGACT chr20 46059096 46059097 chr20:46059097:C:T rs146053068 C T C EBF1_EBF_1 -3 0 + 0 0 . chr20 46061233 46061234 chr20:46061234:C:G rs6104447 C G C EBF1_EBF_1 14 0 - 0 0 . chr20 46118163 46118164 chr20:46118164:A:C rs184075384 A C A EBF1_EBF_1 -14 0 - 0 0 . chr20 46128884 46128885 chr20:46128885:C:G rs11086998 C G C EBF1_EBF_1 -16 0 + 0 0 . chr20 46134644 46134645 chr20:46134645:C:T rs4813003 C T c EBF1_EBF_1 24 0 + 0 0 . chr20 46163678 46163679 chr20:46163679:C:A rs3092795 C A C EBF1_EBF_1 -1 0 + 0 0 . chr20 46229926 46229927 chr20:46229927:C:A rs113785620 C A C EBF1_EBF_1 30 0 - 0 0 . chr20 46251550 46251551 chr20:46251551:G:C rs3092684 G C C EBF1_EBF_1 28 0 + 0 0 . chr20 46265267 46265268 chr20:46265268:G:A rs2425813 G A G EBF1_EBF_1 11 1 + 6.176541709102409 9.06924855270642 AGTCCCAGGAGGCC chr20 46343572 46343573 chr20:46343573:C:A rs912226038 C A C EBF1_EBF_1 10 1 - 5.974362211367865 1.7916100251406002 AACCTCCAGGGATT chr20 46349381 46349382 chr20:46349382:G:T rs4809588 G T G EBF1_EBF_1 10 1 + 5.419402708859484 1.2366505226322193 AGCCCCAGGGGCCC chr20 46412176 46412177 chr20:46412177:G:C rs424157 G C c EBF1_EBF_1 15 0 - 0 0 . chr20 46538229 46538230 chr20:46538230:G:C rs932265977 G C G EBF1_EBF_1 4 1 - 6.263975817102598 0.6382107159530543 ACTCCCTTGGCACT chr20 46541573 46541574 chr20:46541574:A:G rs847078 A G G EBF1_EBF_1 -6 0 + 0 0 . chr20 46558739 46558740 chr20:46558740:T:A rs116611855 T A T EBF1_EBF_1 8 1 - 7.289505368819132 4.624362668728197 ATTCCCCTAGGAGC chr20 46581230 46581231 chr20:46581231:G:C rs6094382 G C C EBF1_EBF_1 10 1 + 4.988192236924259 -1.9026101504052169 TTCCCCATGGGCCC chr20 46588303 46588304 chr20:46588304:G:A chr20:46588304:G:A G A G EBF1_EBF_1 9 1 + 11.941130371979723 9.286191106532119 ACTCCCCAGGGAGT chr20 46592621 46592622 chr20:46592622:G:T rs6066032 G T G EBF1_EBF_1 28 0 - 0 0 . chr20 46633483 46633484 chr20:46633484:C:T rs2425887 C T C EBF1_EBF_1 21 0 - 0 0 . chr20 46687129 46687130 chr20:46687130:T:C rs11550540 T C T EBF1_EBF_1 -18 0 - 0 0 . chr20 46709415 46709416 chr20:46709416:C:A rs73115554 C A C EBF1_EBF_1 5 1 + 4.51362730341249 -2.391253849222678 CCCCCCAGGGGAGG chr20 46756785 46756786 chr20:46756786:G:A rs6066062 G A G EBF1_EBF_1 -16 0 - 0 0 . chr20 46765580 46765581 chr20:46765581:A:G rs976938 A G a EBF1_EBF_1 15 0 - 0 0 . chr20 46768013 46768014 chr20:46768014:G:A rs4810555 G A A EBF1_EBF_1 26 0 - 0 0 . chr20 46784448 46784449 chr20:46784449:C:A rs3091433 C A A EBF1_EBF_1 -3 0 + 0 0 . chr20 46807952 46807953 chr20:46807953:C:G rs3092753 C G G EBF1_EBF_1 31 0 + 0 0 . chr20 46808391 46808392 chr20:46808392:G:A rs947080 G A G EBF1_EBF_1 -5 0 - 0 0 . chr20 46823416 46823417 chr20:46823417:A:G rs56175083 A G G EBF1_EBF_1 30 0 + 0 0 . chr20 46839446 46839447 chr20:46839447:G:T rs4325820 G T G EBF1_EBF_1 10 1 + 7.318798614335558 3.1360464281082923 GTTCCCATGAGATA chr20 46929098 46929099 chr20:46929099:C:T rs139381480 C T C EBF1_EBF_1 -18 0 - 0 0 . chr20 46939521 46939522 chr20:46939522:A:G rs73303686 A G A EBF1_EBF_1 -17 0 - 0 0 . chr20 46943129 46943130 chr20:46943130:G:T rs1212593 G T G EBF1_EBF_1 11 1 + 4.036447411409489 2.8312399989147745 GCCCCCGAGGGGAC chr20 46954805 46954806 chr20:46954806:C:T rs11697195 C T C EBF1_EBF_1 27 0 + 0 0 . chr20 46979219 46979220 chr20:46979220:C:A rs6124907 C A C EBF1_EBF_1 31 0 + 0 0 . chr20 47019249 47019250 chr20:47019250:G:A rs116551657 G A G EBF1_EBF_1 -2 0 - 0 0 . chr20 47031645 47031646 chr20:47031646:G:A rs16992193 G A G EBF1_EBF_1 29 0 + 0 0 . chr20 47062525 47062526 chr20:47062526:C:G rs185948053 C G C EBF1_EBF_1 5 1 + 6.930551890062538 0.025670737427368584 CTTCCCAAGGTACA chr20 47073774 47073775 chr20:47073775:A:G rs1206815 A G G EBF1_EBF_1 21 0 + 0 0 . chr20 47086604 47086605 chr20:47086605:C:T rs7267331 C T C EBF1_EBF_1 7 1 - 4.734803125859665 6.047261531148489 CCTCCCCGGGTACC chr20 47098431 47098432 chr20:47098432:C:T rs3787253 C T C EBF1_EBF_1 24 0 + 0 0 . chr20 47111634 47111635 chr20:47111635:A:G rs869345 A G G EBF1_EBF_1 25 0 - 0 0 . chr20 47133705 47133706 chr20:47133706:C:T rs1206828 C T C EBF1_EBF_1 23 0 - 0 0 . chr20 47164158 47164159 chr20:47164159:T:C rs74935642 T C C EBF1_EBF_1 6 1 + 5.411122886881336 5.109836758019578 CTTCCCTGGAGGCT chr20 47164170 47164171 chr20:47164171:C:A rs76763757 C A C EBF1_EBF_1 18 0 + 0 0 . chr20 47168610 47168611 chr20:47168611:T:C rs3091590 T C C EBF1_EBF_1 -20 0 - 0 0 . chr20 47186692 47186693 chr20:47186693:G:A rs6066229 G A G EBF1_EBF_1 -6 0 + 0 0 . chr20 47236282 47236283 chr20:47236283:C:A rs6018354 C A C EBF1_EBF_1 33 0 + 0 0 . chr20 47247002 47247003 chr20:47247003:G:C rs6063089 G C C EBF1_EBF_1 -7 0 - 0 0 . chr20 47263418 47263419 chr20:47263419:T:C rs4809631 T C T EBF1_EBF_1 32 0 + 0 0 . chr20 47277468 47277469 chr20:47277469:T:G chr20:47277469:T:G T G T EBF1_EBF_1 -2 0 - 0 0 . chr20 47277484 47277485 chr20:47277485:G:A rs6018389 G A G EBF1_EBF_1 -18 0 - 0 0 . chr20 47317442 47317443 chr20:47317443:T:C rs1013715 T C T EBF1_EBF_1 17 0 - 0 0 . chr20 47360553 47360554 chr20:47360554:C:G rs116243742 C G C EBF1_EBF_1 12 1 + 7.8733519684703115 6.484716024792161 ATTCCCAGGGTACA chr20 47360624 47360625 chr20:47360625:G:A rs11086206 G A G EBF1_EBF_1 7 1 - 5.864783655150305 7.6822884014329285 TCTCCCTCGAGACC chr20 47361368 47361369 chr20:47361369:C:T rs6012167 C T C EBF1_EBF_1 -18 0 + 0 0 . chr20 47384432 47384433 chr20:47384433:G:A rs2868824 G A g EBF1_EBF_1 3 1 - 6.26637099498611 -0.139027746567208 AGCCCCCTGAGAGC chr20 47457652 47457653 chr20:47457653:C:T rs147092371 C T C EBF1_EBF_1 21 0 - 0 0 . chr20 47464698 47464699 chr20:47464699:G:C rs143004285 G C G EBF1_EBF_1 32 0 - 0 0 . chr20 47479396 47479397 chr20:47479397:A:G rs6094716 A G G EBF1_EBF_1 6 1 - 5.884234098179726 5.582947969317968 ATTCCCTAAGGCCT chr20 47501692 47501693 chr20:47501693:C:A rs771201987 C A C EBF1_EBF_1 15 0 - 0 0 . chr20 47535232 47535233 chr20:47535233:G:C rs966298652 G C G EBF1_EBF_1 9 1 + 6.171719504571739 1.0960303582262751 AACCCCTAGGGGGA chr20 47677332 47677333 chr20:47677333:T:C rs574383796 T C T EBF1_EBF_1 13 1 + 9.315002006912415 8.470507892326896 CTTCCCCAGAGACT chr20 47677481 47677482 chr20:47677482:A:G rs6094775 A G G EBF1_EBF_1 11 1 + 4.145184941958218 1.2524780983542063 ACTCCCCCGGCACT chr20 47691458 47691459 chr20:47691459:G:T rs151052446 G T G EBF1_EBF_1 27 0 + 0 0 . chr20 47699611 47699612 chr20:47699612:T:A rs4809642 T A T EBF1_EBF_1 30 0 - 0 0 . chr20 47706176 47706177 chr20:47706177:T:C rs6090717 T C T EBF1_EBF_1 -18 0 + 0 0 . chr20 47709575 47709576 chr20:47709576:A:G rs10854176 A G G EBF1_EBF_1 19 0 + 0 0 . chr20 47725570 47725571 chr20:47725571:C:T rs936522989 C T C EBF1_EBF_1 14 0 + 0 0 . chr20 47725877 47725878 chr20:47725878:G:C rs1015024137 G C G EBF1_EBF_1 10 1 + 6.488636188001273 -0.40216619932820175 AATCCCCTGCGACA chr20 47786340 47786341 chr20:47786341:C:T rs138184413 C T C EBF1_EBF_1 -9 0 - 0 0 . chr20 47792139 47792140 chr20:47792140:G:A rs6125115 G A G EBF1_EBF_1 32 0 - 0 0 . chr20 47806849 47806850 chr20:47806850:C:T rs62202198 C T C EBF1_EBF_1 22 0 - 0 0 . chr20 47818582 47818583 chr20:47818583:T:C rs6018718 T C T EBF1_EBF_1 -4 0 - 0 0 . chr20 47822147 47822148 chr20:47822148:G:A rs6012275 G A A EBF1_EBF_1 18 0 + 0 0 . chr20 47822151 47822152 chr20:47822152:C:T rs6018722 C T T EBF1_EBF_1 22 0 + 0 0 . chr20 47826200 47826201 chr20:47826201:C:T rs6063153 C T C EBF1_EBF_1 -4 0 + 0 0 . chr20 47846582 47846583 chr20:47846583:C:G rs2019664 C G C EBF1_EBF_1 -11 0 - 0 0 . chr20 47848118 47848119 chr20:47848119:T:A rs115574064 T A T EBF1_EBF_1 -20 0 + 0 0 . chr20 47848158 47848159 chr20:47848159:C:T rs8121105 C T C EBF1_EBF_1 20 0 + 0 0 . chr20 47858047 47858048 chr20:47858048:G:A rs6066509 G A G EBF1_EBF_1 -9 0 + 0 0 . chr20 47858086 47858087 chr20:47858087:C:T rs6063163 C T C EBF1_EBF_1 30 0 + 0 0 . chr20 47878846 47878847 chr20:47878847:C:G rs56058651 C G T EBF1_EBF_1 2 1 + 5.737450150613148 0.8779478718548313 AGCCCCAGGGGTCC chr20 47878863 47878864 chr20:47878864:G:C rs6066517 G C G EBF1_EBF_1 19 0 + 0 0 . chr20 47883049 47883050 chr20:47883050:T:C rs75453010 T C T EBF1_EBF_1 -8 0 - 0 0 . chr20 47895686 47895687 chr20:47895687:A:G rs2426013 A G - EBF1_EBF_1 27 0 - 0 0 . chr20 47907476 47907477 chr20:47907477:A:G rs4810730 A G a EBF1_EBF_1 6 1 + 4.54022094965386 2.373426518379384 ATCCCCATGTGACC chr20 47907489 47907490 chr20:47907490:G:A rs6018787 G A A EBF1_EBF_1 29 0 - 0 0 . chr20 47908589 47908590 chr20:47908590:A:G rs2004345 A G a EBF1_EBF_1 0 1 + 11.474099924645285 9.581816055080452 ACTCCCTGGGGACC chr20 47953826 47953827 chr20:47953827:A:G rs6125187 A G A EBF1_EBF_1 21 0 - 0 0 . chr20 47956948 47956949 chr20:47956949:G:A rs77526012 G A G EBF1_EBF_1 13 1 + 5.865218867098551 6.97954443916799 TTTCCCAAGGGCCG chr20 48126637 48126638 chr20:48126638:C:A rs35717265 C A c EBF1_EBF_1 27 0 - 0 0 . chr20 48152891 48152892 chr20:48152892:G:A rs55823819 G A G EBF1_EBF_1 13 1 - 9.162406981274755 10.006901095860274 ACACCCAAGGGACC chr20 48170138 48170139 chr20:48170139:C:T rs228200 C T T EBF1_EBF_1 -8 0 - 0 0 . chr20 48172095 48172096 chr20:48172096:G:A rs911955 G A G EBF1_EBF_1 18 0 - 0 0 . chr20 48172099 48172100 chr20:48172100:A:T rs911956 A T A EBF1_EBF_1 14 0 - 0 0 . chr20 48188156 48188157 chr20:48188157:A:G rs852335 A G G EBF1_EBF_1 17 0 + 0 0 . chr20 48209275 48209276 chr20:48209276:G:A rs73141743 G A G EBF1_EBF_1 15 0 + 0 0 . chr20 48209343 48209344 chr20:48209344:G:T rs6018975 G T T EBF1_EBF_1 -4 0 - 0 0 . chr20 48215755 48215756 chr20:48215756:G:A rs394766 G A G EBF1_EBF_1 -5 0 - 0 0 . chr20 48275450 48275451 chr20:48275451:A:G rs62201173 A G A EBF1_EBF_1 0 1 - 9.675720862124699 9.393518801394121 TGTCCCCAGGGATC chr20 48276479 48276480 chr20:48276480:G:A rs226804 G A G EBF1_EBF_1 -19 0 - 0 0 . chr20 48329861 48329862 chr20:48329862:A:C rs184173066 A C A EBF1_EBF_1 20 0 + 0 0 . chr20 48330480 48330481 chr20:48330481:T:C rs114352981 T C T EBF1_EBF_1 21 0 - 0 0 . chr20 48341214 48341215 chr20:48341215:C:A rs6063242 C A C EBF1_EBF_1 10 1 - 5.5609606836734535 1.3782084974461886 ATCCACAGGGGACT chr20 48344208 48344209 chr20:48344209:G:A rs410426 G A G EBF1_EBF_1 10 1 + 7.677954836904314 2.359926377637348 TTTCCCCTGGGTCT chr20 48360723 48360724 chr20:48360724:A:G rs6019084 A G a EBF1_EBF_1 24 0 - 0 0 . chr20 48385035 48385036 chr20:48385036:C:T rs2281745 C T C EBF1_EBF_1 -5 0 + 0 0 . chr20 48386300 48386301 chr20:48386301:C:G rs62210161 C G C EBF1_EBF_1 22 0 - 0 0 . chr20 48395325 48395326 chr20:48395326:G:A rs62210166 G A G EBF1_EBF_1 -5 0 - 0 0 . chr20 48398937 48398938 chr20:48398938:A:G rs6012412 A G G EBF1_EBF_1 29 0 - 0 0 . chr20 48402744 48402745 chr20:48402745:T:C rs4810785 T C T EBF1_EBF_1 -7 0 + 0 0 . chr20 48402750 48402751 chr20:48402751:T:C rs76800602 T C C EBF1_EBF_1 -1 0 + 0 0 . chr20 48403320 48403321 chr20:48403321:C:T rs2869368 C T C EBF1_EBF_1 -3 0 + 0 0 . chr20 48415019 48415020 chr20:48415020:G:C rs4809693 G C C EBF1_EBF_1 18 0 - 0 0 . chr20 48455548 48455549 chr20:48455549:G:A rs6095128 G A G EBF1_EBF_1 -17 0 - 0 0 . chr20 48459140 48459141 chr20:48459141:C:G rs139273961 C G C EBF1_EBF_1 29 0 - 0 0 . chr20 48460301 48460302 chr20:48460302:A:G rs6125338 A G G EBF1_EBF_1 -5 0 - 0 0 . chr20 48460306 48460307 chr20:48460307:G:A rs7267309 G A G EBF1_EBF_1 -10 0 - 0 0 . chr20 48478046 48478047 chr20:48478047:G:A rs6090857 G A G EBF1_EBF_1 8 1 + 5.819880993500072 1.593590904613811 CATCTCCAGGGAAC chr20 48492627 48492628 chr20:48492628:G:C rs6095156 G C G EBF1_EBF_1 12 1 - 6.028130777197245 4.639494833519094 GTCCCCCAGGGCCT chr20 48527093 48527094 chr20:48527094:G:A rs4810808 G A G EBF1_EBF_1 26 0 + 0 0 . chr20 48572692 48572693 chr20:48572693:A:G rs4810819 A G A EBF1_EBF_1 21 0 - 0 0 . chr20 48575248 48575249 chr20:48575249:T:G rs6063276 T G T EBF1_EBF_1 -3 0 + 0 0 . chr20 48583613 48583614 chr20:48583614:C:T chr20:48583614:C:T C T C EBF1_EBF_1 15 0 - 0 0 . chr20 48597801 48597802 chr20:48597802:A:T rs8121092 A T A EBF1_EBF_1 1 1 - 5.22161558215955 4.25525496440872 CTGCCCCAGGGACT chr20 48631837 48631838 chr20:48631838:C:T rs78421082 C T C EBF1_EBF_1 18 0 - 0 0 . chr20 48638974 48638975 chr20:48638975:C:T rs148470631 C T C EBF1_EBF_1 -17 0 + 0 0 . chr20 48676991 48676992 chr20:48676992:C:T rs115252947 C T C EBF1_EBF_1 -1 0 - 0 0 . chr20 48677309 48677310 chr20:48677310:G:T rs56048107 G T G EBF1_EBF_1 8 1 + 5.334203077850755 -1.557229711126441 CATCCCACGGGGCC chr20 48686552 48686553 chr20:48686553:G:C rs143754401 G C G EBF1_EBF_1 20 0 - 0 0 . chr20 48703494 48703495 chr20:48703495:G:A rs7268357 G A G EBF1_EBF_1 9 1 + 6.876365770827566 4.221426505379963 ACTCCCTGGGGCTT chr20 48722720 48722721 chr20:48722721:G:A chr20:48722721:G:A G A G EBF1_EBF_1 -15 0 + 0 0 . chr20 48723008 48723009 chr20:48723009:C:T rs184449780 C T C EBF1_EBF_1 13 1 + 6.043810412475394 6.888304527060912 ATTCCCCTGGCAAC chr20 48723785 48723786 chr20:48723786:T:G chr20:48723786:T:G T G T EBF1_EBF_1 7 1 - 7.6195089345023215 5.325729948132141 TCTCCCCAGGGGCC chr20 48725369 48725370 chr20:48725370:C:T rs932424 C T T EBF1_EBF_1 -9 0 + 0 0 . chr20 48728675 48728676 chr20:48728676:G:A rs1004253987 G A G EBF1_EBF_1 -20 0 + 0 0 . chr20 48730178 48730179 chr20:48730179:G:A rs73138219 G A g EBF1_EBF_1 0 1 + 5.73663160623827 7.628915475803105 GGTCCCCTAGGAAA chr20 48737593 48737594 chr20:48737594:C:A rs6066831 C A C EBF1_EBF_1 0 1 + 6.545546129776877 8.800804613473478 CCACCCCAGGGACC chr20 48737594 48737595 chr20:48737595:C:A rs6066832 C A C EBF1_EBF_1 1 1 + 6.545546129776877 6.474618952424793 CCACCCCAGGGACC chr20 48767297 48767298 chr20:48767298:T:C rs79361685 T C T EBF1_EBF_1 19 0 - 0 0 . chr20 48775154 48775155 chr20:48775155:A:T rs2426099 A T T EBF1_EBF_1 33 0 - 0 0 . chr20 48794760 48794761 chr20:48794761:G:C rs73260220 G C G EBF1_EBF_1 -1 0 - 0 0 . chr20 48809261 48809262 chr20:48809262:T:C rs2145837 T C C EBF1_EBF_1 -12 0 + 0 0 . chr20 48846795 48846796 chr20:48846796:G:A rs35902471 G A G EBF1_EBF_1 -7 0 - 0 0 . chr20 48847765 48847766 chr20:48847766:C:T chr20:48847766:C:T C T A EBF1_EBF_1 -13 0 + 0 0 . chr20 48876066 48876067 chr20:48876067:A:G rs13039705 A G A EBF1_EBF_1 1 1 + 9.476359610968316 9.737058442889618 CACCCCAAGGGACT chr20 48887818 48887819 chr20:48887819:G:A rs6019489 G A G EBF1_EBF_1 29 0 - 0 0 . chr20 48887859 48887860 chr20:48887860:G:C rs6012548 G C G EBF1_EBF_1 -12 0 - 0 0 . chr20 48928927 48928928 chr20:48928928:T:A rs6019540 T A T EBF1_EBF_1 28 0 - 0 0 . chr20 48931626 48931627 chr20:48931627:G:T rs4809735 G T G EBF1_EBF_1 23 0 + 0 0 . chr20 49103848 49103849 chr20:49103849:G:C rs6125551 G C C EBF1_EBF_1 28 0 - 0 0 . chr20 49187730 49187731 chr20:49187731:G:C rs6019668 G C G EBF1_EBF_1 -18 0 + 0 0 . chr20 49187731 49187732 chr20:49187732:C:G chr20:49187732:C:G C G C EBF1_EBF_1 -17 0 + 0 0 . chr20 49217028 49217029 chr20:49217029:C:A rs1179928635 C A c EBF1_EBF_1 20 0 - 0 0 . chr20 49220731 49220732 chr20:49220732:C:T rs75699534 C T c EBF1_EBF_1 33 0 + 0 0 . chr20 49276814 49276815 chr20:49276815:C:A rs116372776 C A C EBF1_EBF_1 -6 0 + 0 0 . chr20 49284527 49284528 chr20:49284528:C:G rs74455865 C G C EBF1_EBF_1 27 0 - 0 0 . chr20 49290193 49290194 chr20:49290194:G:A rs149998427 G A G EBF1_EBF_1 28 0 - 0 0 . chr20 49313545 49313546 chr20:49313546:A:G rs431011 A G G EBF1_EBF_1 22 0 + 0 0 . chr20 49418682 49418683 chr20:49418683:A:G rs119417 A G G EBF1_EBF_1 -3 0 - 0 0 . chr20 49427653 49427654 chr20:49427654:A:G rs11905489 A G A EBF1_EBF_1 26 0 - 0 0 . chr20 49445097 49445098 chr20:49445098:G:C rs1026157557 G C G EBF1_EBF_1 19 0 + 0 0 . chr20 49449157 49449158 chr20:49449158:G:T rs8126190 G T G EBF1_EBF_1 33 0 + 0 0 . chr20 49524983 49524984 chr20:49524984:T:C rs180821978 T C t EBF1_EBF_1 -14 0 + 0 0 . chr20 49529733 49529734 chr20:49529734:G:A chr20:49529734:G:A G A g EBF1_EBF_1 -19 0 - 0 0 . chr20 49529733 49529734 chr20:49529734:G:T rs11697964 G T g EBF1_EBF_1 -19 0 - 0 0 . chr20 49538180 49538181 chr20:49538181:G:A rs117769190 G A G EBF1_EBF_1 7 1 + 6.734493395254954 8.046951800543779 GATCCCAGGAGATT chr20 49567510 49567511 chr20:49567511:G:A rs73126221 G A G EBF1_EBF_1 9 1 + 9.443669501010454 6.788730235562854 ATCCCCCAGGGGCT chr20 49594677 49594678 chr20:49594678:C:G rs116486562 C G C EBF1_EBF_1 -7 0 - 0 0 . chr20 49612398 49612399 chr20:49612399:G:C rs235013 G C C EBF1_EBF_1 -13 0 + 0 0 . chr20 49616784 49616785 chr20:49616785:A:G rs381766 A G G EBF1_EBF_1 11 1 + 6.374209992100547 3.481503148496535 AGTCACCTGGGACC chr20 49678900 49678901 chr20:49678901:G:C rs763800638 G C G EBF1_EBF_1 -5 0 + 0 0 . chr20 49763054 49763055 chr20:49763055:C:T rs62209468 C T C EBF1_EBF_1 10 1 - 6.700431038966605 1.3824025796996398 ATTCCCAGGAGGTT chr20 49768156 49768157 chr20:49768157:G:A rs57722273 G A G EBF1_EBF_1 14 0 + 0 0 . chr20 49775556 49775557 chr20:49775557:C:T rs6063428 C T C EBF1_EBF_1 -20 0 - 0 0 . chr20 49791621 49791622 chr20:49791622:C:G rs6091049 C G C EBF1_EBF_1 31 0 + 0 0 . chr20 49812482 49812483 chr20:49812483:G:A rs77480041 G A G EBF1_EBF_1 -7 0 + 0 0 . chr20 49820921 49820922 chr20:49820922:A:G rs6020068 A G A EBF1_EBF_1 -1 0 - 0 0 . chr20 49892871 49892872 chr20:49892872:A:G rs118070805 A G A EBF1_EBF_1 6 1 + 5.557025698129845 3.390231266855369 CACCCCAGGGGAGA chr20 49936674 49936675 chr20:49936675:C:A rs142869025 C A C EBF1_EBF_1 10 1 - 7.294824526151423 3.112072339924158 AGCCCCGGGGGACA chr20 49986305 49986306 chr20:49986306:T:C rs77654531 T C . EBF1_EBF_1 -17 0 + 0 0 . chr20 49989999 49990000 chr20:49990000:A:C rs79264127 A C A EBF1_EBF_1 15 0 - 0 0 . chr20 49994262 49994263 chr20:49994263:G:A rs16995020 G A G EBF1_EBF_1 25 0 + 0 0 . chr20 50016062 50016063 chr20:50016063:C:T rs140999174 C T C EBF1_EBF_1 -20 0 + 0 0 . chr20 50016072 50016073 chr20:50016073:T:C rs7352944 T C T EBF1_EBF_1 -10 0 + 0 0 . chr20 50027718 50027719 chr20:50027719:G:C rs80016506 G C G EBF1_EBF_1 -16 0 + 0 0 . chr20 50047264 50047265 chr20:50047265:C:T rs6512609 C T - EBF1_EBF_1 -9 0 + 0 0 . chr20 50047265 50047266 chr20:50047266:G:A rs188917622 G A - EBF1_EBF_1 -8 0 + 0 0 . chr20 50059138 50059139 chr20:50059139:A:T rs6095747 A T a EBF1_EBF_1 -7 0 + 0 0 . chr20 50059764 50059765 chr20:50059765:A:G rs73117779 A G a EBF1_EBF_1 25 0 - 0 0 . chr20 50061513 50061514 chr20:50061514:A:C rs6125883 A C C EBF1_EBF_1 20 0 + 0 0 . chr20 50109970 50109971 chr20:50109971:A:G rs148621777 A G A EBF1_EBF_1 22 0 - 0 0 . chr20 50109997 50109998 chr20:50109998:T:C rs6125905 T C T EBF1_EBF_1 -5 0 - 0 0 . chr20 50110625 50110626 chr20:50110626:C:T rs571643069 C T C EBF1_EBF_1 12 1 + 5.470722860463482 4.444456289912632 ACTGCCTAGGGACG chr20 50110645 50110646 chr20:50110646:A:T rs141409464 A T A EBF1_EBF_1 32 0 + 0 0 . chr20 50113453 50113454 chr20:50113454:C:A rs1287079523 C A C EBF1_EBF_1 19 0 - 0 0 . chr20 50114066 50114067 chr20:50114067:A:C rs75084165 A C A EBF1_EBF_1 31 0 - 0 0 . chr20 50144661 50144662 chr20:50144662:G:A rs193021767 G A G EBF1_EBF_1 10 1 - 6.398218149397639 9.10626835049985 AATCCCCAGGCAAT chr20 50179341 50179342 chr20:50179342:A:C rs192947204 A C A EBF1_EBF_1 -19 0 - 0 0 . chr20 50179593 50179594 chr20:50179594:G:A rs932905 G A G EBF1_EBF_1 28 0 - 0 0 . chr20 50216137 50216138 chr20:50216138:G:T rs8125149 G T G EBF1_EBF_1 6 1 - 8.917555312086929 9.279157679888206 CCTCCCCGGGGACC chr20 50216685 50216686 chr20:50216686:T:A rs6122889 T A T EBF1_EBF_1 1 1 - 7.282379574990103 8.248740192740936 CATCCCCAGGGAGG chr20 50219163 50219164 chr20:50219164:A:T rs112193483 A T C EBF1_EBF_1 24 0 + 0 0 . chr20 50219352 50219353 chr20:50219353:G:T rs1360145903 G T G EBF1_EBF_1 32 0 - 0 0 . chr20 50222981 50222982 chr20:50222982:G:A rs4811006 G A G EBF1_EBF_1 8 1 + 6.155970978564814 1.9296808896785536 CCTCCCCCGAGAAT chr20 50223066 50223067 chr20:50223067:A:G rs4811007 A G G EBF1_EBF_1 6 1 + 6.697701633361151 4.530907202086675 CACCCCATGGGAAG chr20 50223719 50223720 chr20:50223720:C:T rs76958615 C T C EBF1_EBF_1 16 0 - 0 0 . chr20 50226089 50226090 chr20:50226090:T:C rs6020379 T C T EBF1_EBF_1 7 1 + 6.7411706989701425 4.923665952687519 AACCCCCTGGTAAT chr20 50234654 50234655 chr20:50234655:A:G rs4811011 A G G EBF1_EBF_1 33 0 - 0 0 . chr20 50241690 50241691 chr20:50241691:G:A rs76902285 G A G EBF1_EBF_1 3 1 - 5.988322558522949 -0.4170761830303682 ATCCCTCAGGGATT chr20 50245642 50245643 chr20:50245643:C:T rs546893164 C T C EBF1_EBF_1 26 0 + 0 0 . chr20 50259201 50259202 chr20:50259202:T:C rs6012887 T C C EBF1_EBF_1 16 0 + 0 0 . chr20 50270695 50270696 chr20:50270696:C:T rs759159417 C T C EBF1_EBF_1 21 0 - 0 0 . chr20 50270730 50270731 chr20:50270731:C:T rs17196752 C T C EBF1_EBF_1 -14 0 - 0 0 . chr20 50272905 50272906 chr20:50272906:G:A rs145891998 G A G EBF1_EBF_1 -14 0 + 0 0 . chr20 50275970 50275971 chr20:50275971:A:C rs62208627 A C A EBF1_EBF_1 14 0 - 0 0 . chr20 50277368 50277369 chr20:50277369:C:G rs117185022 C G C EBF1_EBF_1 14 0 + 0 0 . chr20 50277932 50277933 chr20:50277933:C:G rs2274951 C G C EBF1_EBF_1 -2 0 + 0 0 . chr20 50284981 50284982 chr20:50284982:G:T chr20:50284982:G:T G T G EBF1_EBF_1 8 1 + 5.6450543860352616 -1.2463784029419325 GCTCCCCTGGGTCA chr20 50285760 50285761 chr20:50285761:G:C rs78628574 G C G EBF1_EBF_1 -9 0 - 0 0 . chr20 50297697 50297698 chr20:50297698:C:G rs746016090 C G C EBF1_EBF_1 -13 0 - 0 0 . chr20 50305015 50305016 chr20:50305016:C:A rs1966216 C A C EBF1_EBF_1 16 0 - 0 0 . chr20 50305211 50305212 chr20:50305212:C:G chr20:50305212:C:G C G C EBF1_EBF_1 -13 0 - 0 0 . chr20 50305348 50305349 chr20:50305349:G:C rs60774975 G C G EBF1_EBF_1 -5 0 + 0 0 . chr20 50305354 50305355 chr20:50305355:C:G rs117755443 C G C EBF1_EBF_1 1 1 + 5.990727299512766 6.180498954081984 ACCCCCGGGGGACG chr20 50305935 50305936 chr20:50305936:T:C rs2183188 T C T EBF1_EBF_1 -2 0 + 0 0 . chr20 50305962 50305963 chr20:50305963:G:C rs75246744 G C G EBF1_EBF_1 25 0 + 0 0 . chr20 50311770 50311771 chr20:50311771:A:T rs35675136 A T A EBF1_EBF_1 -2 0 - 0 0 . chr20 50321595 50321596 chr20:50321596:C:G rs187465472 C G C EBF1_EBF_1 26 0 - 0 0 . chr20 50321622 50321623 chr20:50321623:C:A rs78508749 C A C EBF1_EBF_1 -1 0 - 0 0 . chr20 50338734 50338735 chr20:50338735:T:C rs76700804 T C t EBF1_EBF_1 -12 0 - 0 0 . chr20 50347560 50347561 chr20:50347561:G:A rs150643939 G A g EBF1_EBF_1 14 0 - 0 0 . chr20 50347593 50347594 chr20:50347594:C:T rs11086307 C T c EBF1_EBF_1 -19 0 - 0 0 . chr20 50349309 50349310 chr20:50349310:G:C rs8120652 G C N EBF1_EBF_1 2 1 - 6.485664621117472 1.6261623423591551 AACCCCTGGAGACA chr20 50379661 50379662 chr20:50379662:A:C rs2869983 A C C EBF1_EBF_1 14 0 - 0 0 . chr20 50379662 50379663 chr20:50379663:A:G rs6095901 A G G EBF1_EBF_1 13 1 - 7.694978346773623 6.850484232188105 AGTCACCAGGGACT chr20 50379693 50379694 chr20:50379694:T:G rs8116066 T G T EBF1_EBF_1 -18 0 - 0 0 . chr20 50380764 50380765 chr20:50380765:C:G rs73912303 C G C EBF1_EBF_1 29 0 + 0 0 . chr20 50399410 50399411 chr20:50399411:A:G rs75440059 A G A EBF1_EBF_1 26 0 - 0 0 . chr20 50399730 50399731 chr20:50399731:G:A rs4809792 G A G EBF1_EBF_1 -6 0 + 0 0 . chr20 50409049 50409050 chr20:50409050:A:G rs2038171 A G A EBF1_EBF_1 -1 0 + 0 0 . chr20 50425293 50425294 chr20:50425294:C:G rs192263206 C G C EBF1_EBF_1 -18 0 - 0 0 . chr20 50425440 50425441 chr20:50425441:G:C rs2057031 G C C EBF1_EBF_1 27 0 + 0 0 . chr20 50439048 50439049 chr20:50439049:G:T chr20:50439049:G:T G T A EBF1_EBF_1 0 1 + 8.496040595127097 8.415268041725907 GGTCCCCTGAGACT chr20 50439784 50439785 chr20:50439785:G:A rs78509842 G A G EBF1_EBF_1 -13 0 - 0 0 . chr20 50449968 50449969 chr20:50449969:C:G rs11698822 C G C EBF1_EBF_1 23 0 - 0 0 . chr20 50450003 50450004 chr20:50450004:C:T rs390221 C T T EBF1_EBF_1 -16 0 + 0 0 . chr20 50478416 50478417 chr20:50478417:C:T rs113370480 C T C EBF1_EBF_1 -10 0 + 0 0 . chr20 50478444 50478445 chr20:50478445:G:A chr20:50478445:G:A G A G EBF1_EBF_1 18 0 + 0 0 . chr20 50478449 50478450 chr20:50478450:C:T rs140664641 C T C EBF1_EBF_1 23 0 + 0 0 . chr20 50560011 50560012 chr20:50560012:G:A rs143014149 G A G EBF1_EBF_1 24 0 - 0 0 . chr20 50573994 50573995 chr20:50573995:T:C rs6020608 T C C EBF1_EBF_1 -2 0 - 0 0 . chr20 50621494 50621495 chr20:50621495:G:A rs8122101 G A g EBF1_EBF_1 -17 0 + 0 0 . chr20 50621500 50621501 chr20:50621501:C:T rs1885179 C T C EBF1_EBF_1 -11 0 + 0 0 . chr20 50621535 50621536 chr20:50621536:G:T rs8122104 G T G EBF1_EBF_1 24 0 + 0 0 . chr20 50628594 50628595 chr20:50628595:A:G rs58343835 A G A EBF1_EBF_1 14 0 + 0 0 . chr20 50628946 50628947 chr20:50628947:G:A rs6020647 G A A EBF1_EBF_1 0 1 - 6.633304935441406 6.915506996171984 CTTCCCAGGGGCAT chr20 50631332 50631333 chr20:50631333:G:A rs79343299 G A G EBF1_EBF_1 14 0 - 0 0 . chr20 50642543 50642544 chr20:50642544:T:C rs34114672 T C T EBF1_EBF_1 -5 0 - 0 0 . chr20 50646616 50646617 chr20:50646617:A:C rs961718780 A C A EBF1_EBF_1 27 0 + 0 0 . chr20 50689109 50689110 chr20:50689110:C:G rs13038248 C G C EBF1_EBF_1 31 0 - 0 0 . chr20 50689933 50689934 chr20:50689934:C:T rs73123441 C T c EBF1_EBF_1 -9 0 + 0 0 . chr20 50701166 50701167 chr20:50701167:C:T rs78638170 C T C EBF1_EBF_1 -19 0 - 0 0 . chr20 50751070 50751071 chr20:50751071:G:C rs138005847 G C G EBF1_EBF_1 16 0 + 0 0 . chr20 50758704 50758705 chr20:50758705:A:G rs6122972 A G A EBF1_EBF_1 26 0 - 0 0 . chr20 50768139 50768140 chr20:50768140:T:C rs79527887 T C t EBF1_EBF_1 23 0 - 0 0 . chr20 50790655 50790656 chr20:50790656:A:G rs7268202 A G G EBF1_EBF_1 -11 0 + 0 0 . chr20 50790673 50790674 chr20:50790674:C:G rs7268263 C G G EBF1_EBF_1 7 1 + 5.089344129671746 6.070664710753102 GCTCCCACGGGCCT chr20 50793115 50793116 chr20:50793116:C:A rs3761139 C A c EBF1_EBF_1 15 0 - 0 0 . chr20 50798683 50798684 chr20:50798684:C:G rs75438744 C G C EBF1_EBF_1 -4 0 + 0 0 . chr20 50845858 50845859 chr20:50845859:A:G rs6096122 A G G EBF1_EBF_1 -14 0 - 0 0 . chr20 50871605 50871606 chr20:50871606:G:A rs62205181 G A G EBF1_EBF_1 8 1 + 5.682793618905936 1.4565035300196738 AGCCCCAGGGTAAC chr20 50872642 50872643 chr20:50872643:G:T rs6020814 G T T EBF1_EBF_1 28 0 + 0 0 . chr20 50877394 50877395 chr20:50877395:C:T rs140583866 C T C EBF1_EBF_1 31 0 + 0 0 . chr20 50882021 50882022 chr20:50882022:G:T rs6126115 G T G EBF1_EBF_1 5 1 - 6.446254681206565 -0.4586264714286037 CCCCCCATGAGAAT chr20 50981520 50981521 chr20:50981521:T:A rs145638889 T A T EBF1_EBF_1 -6 0 + 0 0 . chr20 51008910 51008911 chr20:51008911:A:G rs4811111 A G G EBF1_EBF_1 7 1 + 11.91961037736873 10.607151972079905 ATTCCCTAGGGAGA chr20 51051195 51051196 chr20:51051196:A:T rs6067621 A T T EBF1_EBF_1 32 0 + 0 0 . chr20 51086023 51086024 chr20:51086024:C:T chr20:51086024:C:T C T C EBF1_EBF_1 10 1 - 5.598429365373815 0.2804009061068495 ACTCCAAAGGGAAA chr20 51092836 51092837 chr20:51092837:G:A rs6067640 G A A EBF1_EBF_1 23 0 - 0 0 . chr20 51092857 51092858 chr20:51092858:G:C rs8122529 G C C EBF1_EBF_1 2 1 - 5.677133911673629 0.8176316329153113 AGCCCCTGGGGTCC chr20 51097726 51097727 chr20:51097727:G:A rs4811121 G A A EBF1_EBF_1 6 1 - 6.731269158914993 7.03255528777675 AGCCCCCAGAGATA chr20 51156517 51156518 chr20:51156518:G:T rs75954381 G T G EBF1_EBF_1 15 0 + 0 0 . chr20 51163410 51163411 chr20:51163411:G:A rs62203537 G A G EBF1_EBF_1 30 0 - 0 0 . chr20 51166303 51166304 chr20:51166304:C:T rs56355929 C T T EBF1_EBF_1 -18 0 + 0 0 . chr20 51166334 51166335 chr20:51166335:A:G rs13039220 A G A EBF1_EBF_1 13 1 + 8.45724056260883 7.3429149905393905 ACACCCCAGGGAAA chr20 51253324 51253325 chr20:51253325:T:G rs6126195 T G T EBF1_EBF_1 25 0 + 0 0 . chr20 51260124 51260125 chr20:51260125:G:A rs1006356 G A A EBF1_EBF_1 0 1 - 6.402124901408779 6.684326962139358 CCTCCCCTAGGAAT chr20 51285175 51285176 chr20:51285176:A:G rs113514123 A G G EBF1_EBF_1 -3 0 - 0 0 . chr20 51326105 51326106 chr20:51326106:G:A rs78769030 G A G EBF1_EBF_1 3 1 - 8.80197541960998 2.3965766780566633 CTTCCCTAGAGAAC chr20 51366192 51366193 chr20:51366193:C:T rs8125857 C T C EBF1_EBF_1 15 0 - 0 0 . chr20 51379621 51379622 chr20:51379622:G:T rs6021166 G T G EBF1_EBF_1 0 1 + 6.062354761368851 5.981582207967663 GCTCCCATGGGCCC chr20 51397292 51397293 chr20:51397293:C:A rs6021177 C A C EBF1_EBF_1 6 1 + 6.412496161964927 6.774098529766205 CTTCTCCAGGGAAA chr20 51397595 51397596 chr20:51397596:C:T rs140071969 C T C EBF1_EBF_1 -9 0 + 0 0 . chr20 51400490 51400491 chr20:51400491:A:G rs1416183923 A G A EBF1_EBF_1 12 1 - 8.143818330269585 9.170084900820434 AGTCCCCTGAGATA chr20 51407714 51407715 chr20:51407715:G:A rs35051886 G A G EBF1_EBF_1 20 0 + 0 0 . chr20 51418320 51418321 chr20:51418321:C:T rs73269906 C T C EBF1_EBF_1 -4 0 + 0 0 . chr20 51424102 51424103 chr20:51424103:T:C rs4809842 T C C EBF1_EBF_1 26 0 + 0 0 . chr20 51440965 51440966 chr20:51440966:C:A rs117357742 C A C EBF1_EBF_1 5 1 + 9.104148035896086 2.1992668832609197 ACTCCCATGGGGCA chr20 51458372 51458373 chr20:51458373:T:C rs73615392 T C T EBF1_EBF_1 30 0 - 0 0 . chr20 51481160 51481161 chr20:51481161:G:A rs3787198 G A G EBF1_EBF_1 17 0 - 0 0 . chr20 51484460 51484461 chr20:51484461:G:A rs6067794 G A G EBF1_EBF_1 7 1 - 7.616482416081985 9.433987162364609 GCCCCCACGGGACT chr20 51529218 51529219 chr20:51529219:T:A rs117859153 T A T EBF1_EBF_1 16 0 + 0 0 . chr20 51563736 51563737 chr20:51563737:T:C rs374619037 T C T EBF1_EBF_1 7 1 - 4.9113548564610685 3.5988964511722425 CCTCCCCACGGACC chr20 51563761 51563762 chr20:51563762:A:G rs73910712 A G A EBF1_EBF_1 -18 0 - 0 0 . chr20 51564222 51564223 chr20:51564223:C:G rs6126256 C G C EBF1_EBF_1 2 1 + 5.193048537206594 0.33354625844827673 CTCCCCCAGAGAGC chr20 51660920 51660921 chr20:51660921:T:C rs6126284 T C T EBF1_EBF_1 13 1 - 6.648123469788039 5.5337978977186 TTTCCCCAGGGCAA chr20 51728018 51728019 chr20:51728019:T:C rs1475670 T C T EBF1_EBF_1 24 0 + 0 0 . chr20 51732066 51732067 chr20:51732067:C:T rs77176176 C T C EBF1_EBF_1 13 1 + 6.251786875676067 7.096280990261585 TTTCCCAAGAGGAC chr20 51732076 51732077 chr20:51732077:G:A rs6021405 G A G EBF1_EBF_1 23 0 + 0 0 . chr20 51732085 51732086 chr20:51732086:T:G rs76077833 T G T EBF1_EBF_1 32 0 + 0 0 . chr20 51735565 51735566 chr20:51735566:C:T rs148306557 C T C EBF1_EBF_1 -4 0 - 0 0 . chr20 51739661 51739662 chr20:51739662:G:A rs78663430 G A G EBF1_EBF_1 -7 0 - 0 0 . chr20 51773642 51773643 chr20:51773643:A:G rs6013277 A G g EBF1_EBF_1 19 0 - 0 0 . chr20 51863303 51863304 chr20:51863304:G:C rs8117774 G C G EBF1_EBF_1 4 1 - 4.684451329991116 -0.9413137711584281 TCTGCCCAGGGACC chr20 51889303 51889304 chr20:51889304:A:G rs6067999 A G A EBF1_EBF_1 -2 0 - 0 0 . chr20 51968429 51968430 chr20:51968430:T:C rs6021576 T C C EBF1_EBF_1 2 1 + 7.7509145897566984 5.862091178314549 TCTCCCAGGAGACT chr20 51972294 51972295 chr20:51972295:C:T rs75542357 C T C EBF1_EBF_1 16 0 - 0 0 . chr20 51972328 51972329 chr20:51972329:C:T rs6091417 C T C EBF1_EBF_1 -18 0 - 0 0 . chr20 52079649 52079650 chr20:52079650:G:T rs6063752 G T G EBF1_EBF_1 0 1 + 7.753308882577823 7.672536329176634 GCCCCCAGGGGACC chr20 52095519 52095520 chr20:52095520:C:T rs8120171 C T C EBF1_EBF_1 9 1 - 6.788573313259967 4.133634047812364 CCTCCCTTGGGGCA chr20 52103766 52103767 chr20:52103767:A:C chr20:52103767:A:C A C A EBF1_EBF_1 -17 0 - 0 0 . chr20 52106171 52106172 chr20:52106172:C:T rs117425606 C T C EBF1_EBF_1 22 0 - 0 0 . chr20 52159065 52159066 chr20:52159066:G:C rs6021760 G C C EBF1_EBF_1 10 1 + 10.178497103290788 3.287694715961313 GATCCCCAGGGAAA chr20 52191862 52191863 chr20:52191863:G:A rs1033950756 G A g EBF1_EBF_1 3 1 - 8.424352808807523 2.018954067254206 CTTCCCCGGGGAGC chr20 52305130 52305131 chr20:52305131:T:C rs115451095 T C T EBF1_EBF_1 -18 0 + 0 0 . chr20 52330978 52330979 chr20:52330979:T:C rs6096884 T C - EBF1_EBF_1 23 0 - 0 0 . chr20 52365348 52365349 chr20:52365349:C:A rs6063785 C A C EBF1_EBF_1 -2 0 - 0 0 . chr20 52481916 52481917 chr20:52481917:G:A rs73143347 G A G EBF1_EBF_1 0 1 + 6.265237861222408 8.157521730787241 GCCCCCAGGGGACG chr20 52510290 52510291 chr20:52510291:A:G rs138972670 A G A EBF1_EBF_1 20 0 + 0 0 . chr20 52677360 52677361 chr20:52677361:T:C rs17204492 T C T EBF1_EBF_1 15 0 + 0 0 . chr20 52736002 52736003 chr20:52736003:A:G rs241794 A G G EBF1_EBF_1 6 1 + 6.875656687807067 4.7088622565325915 TTTCCCATGAGAGA chr20 52818315 52818316 chr20:52818316:C:G rs34969319 C G C EBF1_EBF_1 8 1 - 7.349428592773654 2.030769731858968 GCCCCCTGGGGAAA chr20 52818339 52818340 chr20:52818340:C:T rs6013533 C T T EBF1_EBF_1 -16 0 - 0 0 . chr20 52880371 52880372 chr20:52880372:C:T rs1923109 C T C EBF1_EBF_1 -5 0 + 0 0 . chr20 52959370 52959371 chr20:52959371:A:G rs6013578 A G A EBF1_EBF_1 15 0 + 0 0 . chr20 52959372 52959373 chr20:52959373:C:T rs6013579 C T C EBF1_EBF_1 17 0 + 0 0 . chr20 52959382 52959383 chr20:52959383:A:C rs1320954396 A C A EBF1_EBF_1 27 0 + 0 0 . chr20 52962961 52962962 chr20:52962962:G:C rs16997355 G C G EBF1_EBF_1 -17 0 - 0 0 . chr20 52971488 52971489 chr20:52971489:C:G chr20:52971489:C:G C G C EBF1_EBF_1 8 1 - 5.155080289704139 -0.16357857121054636 ATTCCCCAGTGAGC chr20 53032641 53032642 chr20:53032642:G:A rs6123243 G A G EBF1_EBF_1 7 1 + 7.33455381188922 8.647012217178046 AGACCCCGGGGAAA chr20 53032938 53032939 chr20:53032939:T:C rs910380 T C T EBF1_EBF_1 12 1 - 7.1876109968999575 5.76879365480048 AACCCCATGGGTAT chr20 53038386 53038387 chr20:53038387:T:C rs6022241 T C C EBF1_EBF_1 7 1 - 6.774850691236401 5.462392285947574 CTTCCCCAGGGGAG chr20 53041440 53041441 chr20:53041441:C:T rs77551847 C T C EBF1_EBF_1 6 1 + 6.454560283396706 6.755846412258464 TTTGCCCAGGGAAT chr20 53065644 53065645 chr20:53065645:C:G rs6013623 C G C EBF1_EBF_1 30 0 + 0 0 . chr20 53097535 53097536 chr20:53097536:A:C rs1317352 A C C EBF1_EBF_1 -10 0 - 0 0 . chr20 53103311 53103312 chr20:53103312:A:G rs2800995 A G A EBF1_EBF_1 32 0 - 0 0 . chr20 53104894 53104895 chr20:53104895:G:T rs73142234 G T G EBF1_EBF_1 31 0 - 0 0 . chr20 53105072 53105073 chr20:53105073:G:T rs6022280 G T G EBF1_EBF_1 -20 0 + 0 0 . chr20 53118701 53118702 chr20:53118702:G:A rs73911311 G A G EBF1_EBF_1 -14 0 - 0 0 . chr20 53274850 53274851 chr20:53274851:G:A rs6097326 G A G EBF1_EBF_1 19 0 + 0 0 . chr20 53277707 53277708 chr20:53277708:T:C rs67511263 T C T EBF1_EBF_1 24 0 + 0 0 . chr20 53402738 53402739 chr20:53402739:C:T rs56825232 C T C EBF1_EBF_1 -11 0 + 0 0 . chr20 53445413 53445414 chr20:53445414:C:T rs140675270 C T C EBF1_EBF_1 14 0 - 0 0 . chr20 53594607 53594608 chr20:53594608:C:G chr20:53594608:C:G C G c EBF1_EBF_1 30 0 - 0 0 . chr20 53622113 53622114 chr20:53622114:A:G rs536244133 A G A EBF1_EBF_1 24 0 + 0 0 . chr20 53622114 53622115 chr20:53622115:T:A rs553038142 T A T EBF1_EBF_1 25 0 + 0 0 . chr20 53718037 53718038 chr20:53718038:A:T rs6022693 A T T EBF1_EBF_1 -5 0 - 0 0 . chr20 53767334 53767335 chr20:53767335:T:C rs58644027 T C T EBF1_EBF_1 30 0 + 0 0 . chr20 53898802 53898803 chr20:53898803:G:T rs7263041 G T G EBF1_EBF_1 10 1 + 5.7599295927099625 1.5771774064826978 AGTGCCTAGGGAGC chr20 53902124 53902125 chr20:53902125:C:T rs67656520 C T C EBF1_EBF_1 19 0 - 0 0 . chr20 53902133 53902134 chr20:53902134:C:T rs16998535 C T C EBF1_EBF_1 10 1 - 5.573483771341479 0.255455312074513 CTTCCCCTGCGACC chr20 53917632 53917633 chr20:53917633:T:C rs140536002 T C T EBF1_EBF_1 29 0 - 0 0 . chr20 53937070 53937071 chr20:53937071:G:A rs16998577 G A G EBF1_EBF_1 8 1 + 6.776544851460101 2.5502547625738408 AGCCCCTGGAGAAA chr20 53984904 53984905 chr20:53984905:C:G rs208365 C G G EBF1_EBF_1 -1 0 + 0 0 . chr20 53996009 53996010 chr20:53996010:C:T rs6022903 C T C EBF1_EBF_1 29 0 + 0 0 . chr20 54043712 54043713 chr20:54043713:G:A rs187826592 G A G EBF1_EBF_1 9 1 + 6.8123735056474315 4.157434240199827 CACCCCCAGGGAAG chr20 54061556 54061557 chr20:54061557:C:T rs16998867 C T C EBF1_EBF_1 27 0 + 0 0 . chr20 54091045 54091046 chr20:54091046:G:A rs35558210 G A G EBF1_EBF_1 25 0 + 0 0 . chr20 54123873 54123874 chr20:54123874:C:T rs1052474486 C T C EBF1_EBF_1 8 1 - 10.503998676437533 6.277708587551274 ATTCCCCAGGGAGG chr20 54169319 54169320 chr20:54169320:A:G rs4809959 A G G EBF1_EBF_1 14 0 + 0 0 . chr20 54174436 54174437 chr20:54174437:G:C rs2585427 G C C EBF1_EBF_1 -4 0 - 0 0 . chr20 54174444 54174445 chr20:54174445:C:A rs187147516 C A C EBF1_EBF_1 -12 0 - 0 0 . chr20 54231408 54231409 chr20:54231409:G:A rs6512949 G A G EBF1_EBF_1 -15 0 + 0 0 . chr20 54232706 54232707 chr20:54232707:T:G rs13042527 T G T EBF1_EBF_1 -19 0 - 0 0 . chr20 54251350 54251351 chr20:54251351:C:T rs292116 C T C EBF1_EBF_1 -6 0 + 0 0 . chr20 54354165 54354166 chr20:54354166:G:A rs1313068344 G A g EBF1_EBF_1 15 0 - 0 0 . chr20 54476489 54476490 chr20:54476490:G:C rs6512962 G C G EBF1_EBF_1 28 0 + 0 0 . chr20 54625294 54625295 chr20:54625295:G:A rs189321297 G A G EBF1_EBF_1 12 1 - 6.179663811921129 5.153397241370279 TGTCCCAGGGGCCT chr20 54643850 54643851 chr20:54643851:T:G rs144027637 T G T EBF1_EBF_1 22 0 + 0 0 . chr20 54680825 54680826 chr20:54680826:C:T rs76683270 C T C EBF1_EBF_1 33 0 - 0 0 . chr20 54793715 54793716 chr20:54793716:G:A rs6014175 G A A EBF1_EBF_1 3 1 - 8.11913809726712 1.7137393557138028 AGCCCCAAGAGACA chr20 54793771 54793772 chr20:54793772:G:T rs6127281 G T G EBF1_EBF_1 29 0 - 0 0 . chr20 54795102 54795103 chr20:54795103:G:A rs143706082 G A G EBF1_EBF_1 24 0 - 0 0 . chr20 55522428 55522429 chr20:55522429:A:T rs1024492690 A T T EBF1_EBF_1 25 0 - 0 0 . chr20 55540852 55540853 chr20:55540853:A:G rs1217825442 A G A EBF1_EBF_1 9 1 + 6.285080561386711 8.940019826834316 ACCCCCAAGAGGAT chr20 55630040 55630041 chr20:55630041:C:T rs11907277 C T C EBF1_EBF_1 16 0 - 0 0 . chr20 55630053 55630054 chr20:55630054:G:T rs113541857 G T G EBF1_EBF_1 3 1 - 7.725234288456747 0.8190602589909389 TTTCCCCCGGGAGC chr20 55630065 55630066 chr20:55630066:C:T rs11907278 C T C EBF1_EBF_1 -9 0 - 0 0 . chr20 55694027 55694028 chr20:55694028:T:C rs6024302 T C T EBF1_EBF_1 7 1 + 5.304436812489544 3.4869320662069203 AGACCCATGGGCCT chr20 55707042 55707043 chr20:55707043:C:T rs2224177 C T T EBF1_EBF_1 17 0 + 0 0 . chr20 55857101 55857102 chr20:55857102:C:A rs117791212 C A C EBF1_EBF_1 21 0 - 0 0 . chr20 55857125 55857126 chr20:55857126:C:T rs8115477 C T C EBF1_EBF_1 -3 0 - 0 0 . chr20 55865945 55865946 chr20:55865946:A:C rs6064285 A C C EBF1_EBF_1 -17 0 - 0 0 . chr20 56003474 56003475 chr20:56003475:T:C rs6098942 T C T EBF1_EBF_1 11 1 - 5.3990638688531885 2.5063570252491756 GCTCCCTGGGTACC chr20 56204305 56204306 chr20:56204306:G:C rs2031583 G C T EBF1_EBF_1 -6 0 + 0 0 . chr20 56212381 56212382 chr20:56212382:T:C rs4811675 T C C EBF1_EBF_1 -15 0 + 0 0 . chr20 56245732 56245733 chr20:56245733:G:A rs6024730 G A G EBF1_EBF_1 -11 0 + 0 0 . chr20 56259958 56259959 chr20:56259959:T:C rs913002 T C T EBF1_EBF_1 2 1 + 6.544302653602162 4.655479242160012 AATGCCTAGGGAAA chr20 56273920 56273921 chr20:56273921:C:T rs6014664 C T C EBF1_EBF_1 -12 0 + 0 0 . chr20 56369011 56369012 chr20:56369012:G:A rs6024836 G A A EBF1_EBF_1 -6 0 - 0 0 . chr20 56445541 56445542 chr20:56445542:G:A rs77689922 G A G EBF1_EBF_1 17 0 + 0 0 . chr20 56613346 56613347 chr20:56613347:C:T rs62208551 C T C EBF1_EBF_1 -15 0 - 0 0 . chr20 56631087 56631088 chr20:56631088:G:A rs34060732 G A G EBF1_EBF_1 31 0 - 0 0 . chr20 56631117 56631118 chr20:56631118:C:A rs6092335 C A C EBF1_EBF_1 1 1 - 5.776286105872565 6.481947891702093 GGCCCCGGGGGACC chr20 56642387 56642388 chr20:56642388:A:G rs911171 A G A EBF1_EBF_1 0 1 - 12.282324731511922 12.000122670781344 TTTCCCCAGGGAAT chr20 56642389 56642390 chr20:56642390:G:A rs911170 G A G EBF1_EBF_1 -2 0 - 0 0 . chr20 56685973 56685974 chr20:56685974:G:A rs55967022 G A a EBF1_EBF_1 -4 0 + 0 0 . chr20 56689443 56689444 chr20:56689444:C:T rs6025068 C T C EBF1_EBF_1 17 0 - 0 0 . chr20 56689455 56689456 chr20:56689456:G:A rs6069820 G A G EBF1_EBF_1 5 1 - 6.612398699493918 1.2906114839532474 AACCCCCTGGGGGT chr20 56703278 56703279 chr20:56703279:G:A rs971704707 G A G EBF1_EBF_1 -12 0 + 0 0 . chr20 56808550 56808551 chr20:56808551:C:T rs75429957 C T C EBF1_EBF_1 29 0 - 0 0 . chr20 56809105 56809106 chr20:56809106:G:A rs1374009064 G A G EBF1_EBF_1 -2 0 + 0 0 . chr20 56810028 56810029 chr20:56810029:G:A rs10854207 G A G EBF1_EBF_1 7 1 - 6.189308130848838 8.00681287713146 CCTCCCTCGGGGCT chr20 56819476 56819477 chr20:56819477:G:T rs6064452 G T G EBF1_EBF_1 1 1 - 7.2987908798906584 7.227863702538573 TCCCCCAGGGGACA chr20 56861014 56861015 chr20:56861015:C:T rs73160133 C T C EBF1_EBF_1 8 1 - 5.996623690348562 1.7703336014623017 CTCCCCTAGGGCAT chr20 56871969 56871970 chr20:56871970:G:A rs73160157 G A G EBF1_EBF_1 14 0 - 0 0 . chr20 56872242 56872243 chr20:56872243:T:C rs4811771 T C C EBF1_EBF_1 -7 0 - 0 0 . chr20 56990853 56990854 chr20:56990854:G:A rs6127897 G A G EBF1_EBF_1 -3 0 - 0 0 . chr20 57007325 57007326 chr20:57007326:T:C rs1276327 T C C EBF1_EBF_1 -2 0 + 0 0 . chr20 57021886 57021887 chr20:57021887:G:A rs1007006670 G A G EBF1_EBF_1 2 1 - 6.8058310966120255 8.694654508054175 AGCCCCACGGGGCT chr20 57023343 57023344 chr20:57023344:G:A rs6025312 G A G EBF1_EBF_1 -12 0 - 0 0 . chr20 57048133 57048134 chr20:57048134:C:T rs4811791 C T C EBF1_EBF_1 24 0 - 0 0 . chr20 57077537 57077538 chr20:57077538:C:T rs6127929 C T c EBF1_EBF_1 30 0 + 0 0 . chr20 57085510 57085511 chr20:57085511:G:T rs6025359 G T G EBF1_EBF_1 12 1 - 4.326182141760804 4.356363540182131 CCGCCCCAGGGACT chr20 57092586 57092587 chr20:57092587:C:A rs147904014 C A C EBF1_EBF_1 24 0 + 0 0 . chr20 57100990 57100991 chr20:57100991:C:A rs10446027 C A C EBF1_EBF_1 -18 0 - 0 0 . chr20 57104611 57104612 chr20:57104612:G:T rs62203917 G T G EBF1_EBF_1 3 1 - 5.841338836887928 -1.0648351925778776 ACTCCCAAGAGGAG chr20 57130735 57130736 chr20:57130736:T:C rs35792498 T C T EBF1_EBF_1 7 1 + 5.106742566280416 3.2892378199977923 CTTCCCATGGGCCG chr20 57136022 57136023 chr20:57136023:G:A rs62203926 G A G EBF1_EBF_1 32 0 + 0 0 . chr20 57200954 57200955 chr20:57200955:T:C rs230197 T C t EBF1_EBF_1 20 0 + 0 0 . chr20 57217071 57217072 chr20:57217072:C:T rs46997 C T C EBF1_EBF_1 25 0 + 0 0 . chr20 57247592 57247593 chr20:57247593:C:A rs1998190 C A A EBF1_EBF_1 24 0 + 0 0 . chr20 57262206 57262207 chr20:57262207:C:T rs144434404 C T C EBF1_EBF_1 23 0 - 0 0 . chr20 57290025 57290026 chr20:57290026:G:T rs73284481 G T G EBF1_EBF_1 -5 0 - 0 0 . chr20 57323249 57323250 chr20:57323250:C:T rs74825283 C T C EBF1_EBF_1 11 1 + 6.236001932903752 6.554049374657416 AATCCCCAGGGCGA chr20 57323250 57323251 chr20:57323251:G:A rs748763824 G A G EBF1_EBF_1 12 1 + 6.236001932903752 7.654819275003231 AATCCCCAGGGCGA chr20 57327143 57327144 chr20:57327144:C:A chr20:57327144:C:A C A C EBF1_EBF_1 26 0 - 0 0 . chr20 57399712 57399713 chr20:57399713:C:T rs923038726 C T C EBF1_EBF_1 30 0 - 0 0 . chr20 57399722 57399723 chr20:57399723:C:T rs6128020 C T C EBF1_EBF_1 20 0 - 0 0 . chr20 57415170 57415171 chr20:57415171:C:T rs6099615 C T c EBF1_EBF_1 12 1 + 7.345411485103248 6.319144914552399 GTTCCCCAGAGACG chr20 57422271 57422272 chr20:57422272:G:A rs77388704 G A G EBF1_EBF_1 -14 0 + 0 0 . chr20 57423740 57423741 chr20:57423741:C:T rs66698910 C T T EBF1_EBF_1 9 1 - 4.840072611747077 2.1851333462994735 GTCCCCCAGGGCAA chr20 57435909 57435910 chr20:57435910:A:G rs6099621 A G g EBF1_EBF_1 -7 0 + 0 0 . chr20 57440893 57440894 chr20:57440894:G:T rs116006177 G T g EBF1_EBF_1 -9 0 + 0 0 . chr20 57445313 57445314 chr20:57445314:C:G rs11905715 C G C EBF1_EBF_1 30 0 - 0 0 . chr20 57445329 57445330 chr20:57445330:G:A rs2426732 G A G EBF1_EBF_1 14 0 - 0 0 . chr20 57445337 57445338 chr20:57445338:G:A rs116131774 G A g EBF1_EBF_1 6 1 - 5.360933150528904 5.662219279390662 AGCCCCCGGAGAAG chr20 57450413 57450414 chr20:57450414:C:G rs141642057 C G C EBF1_EBF_1 -11 0 - 0 0 . chr20 57461997 57461998 chr20:57461998:C:T rs75478538 C T C EBF1_EBF_1 21 0 + 0 0 . chr20 57463925 57463926 chr20:57463926:C:T rs76157760 C T C EBF1_EBF_1 10 1 - 4.596938239194684 -0.7210902200722815 ACCCGCCAGGGACA chr20 57469075 57469076 chr20:57469076:A:C rs76275186 A C A EBF1_EBF_1 -11 0 + 0 0 . chr20 57469205 57469206 chr20:57469206:C:T rs4810078 C T C EBF1_EBF_1 4 1 + 7.5251686741273325 3.155781729182409 ACTCCCCAGGGCGT chr20 57469213 57469214 chr20:57469214:G:A rs73180631 G A G EBF1_EBF_1 12 1 + 7.5251686741273325 8.94398601622681 ACTCCCCAGGGCGT chr20 57525455 57525456 chr20:57525456:G:A rs75839365 G A - EBF1_EBF_1 26 0 - 0 0 . chr20 57577929 57577930 chr20:57577930:C:T rs62204263 C T c EBF1_EBF_1 17 0 + 0 0 . chr20 57608584 57608585 chr20:57608585:C:A rs118010153 C A C EBF1_EBF_1 22 0 + 0 0 . chr20 57608832 57608833 chr20:57608833:T:C rs6070178 T C T EBF1_EBF_1 7 1 + 6.11134471666924 4.2938399703866175 CATCCCCTGGGCCT chr20 57608833 57608834 chr20:57608834:G:A rs6070179 G A G EBF1_EBF_1 8 1 + 6.11134471666924 1.8850546277829796 CATCCCCTGGGCCT chr20 57615437 57615438 chr20:57615438:C:G rs76590876 C G C EBF1_EBF_1 29 0 - 0 0 . chr20 57619452 57619453 chr20:57619453:C:T rs78517931 C T C EBF1_EBF_1 -10 0 + 0 0 . chr20 57619486 57619487 chr20:57619487:C:G rs142592226 C G c EBF1_EBF_1 24 0 + 0 0 . chr20 57622619 57622620 chr20:57622620:C:T rs149887339 C T C EBF1_EBF_1 21 0 - 0 0 . chr20 57622622 57622623 chr20:57622623:G:A rs145854414 G A G EBF1_EBF_1 18 0 - 0 0 . chr20 57622644 57622645 chr20:57622645:G:A rs149018400 G A G EBF1_EBF_1 -4 0 - 0 0 . chr20 57622657 57622658 chr20:57622658:G:A rs745703061 G A g EBF1_EBF_1 -17 0 - 0 0 . chr20 57626990 57626991 chr20:57626991:T:C rs4239677 T C C EBF1_EBF_1 12 1 - 6.927653361249401 5.508836019149924 GTTCCCTTGGGCAC chr20 57647973 57647974 chr20:57647974:C:T rs570365862 C T C EBF1_EBF_1 -4 0 + 0 0 . chr20 57648004 57648005 chr20:57648005:G:A rs6099703 G A G EBF1_EBF_1 27 0 + 0 0 . chr20 57649842 57649843 chr20:57649843:G:A rs189059679 G A G EBF1_EBF_1 -13 0 - 0 0 . chr20 57650385 57650386 chr20:57650386:G:T rs55816771 G T G EBF1_EBF_1 21 0 + 0 0 . chr20 57651271 57651272 chr20:57651272:G:A rs14975 G A A EBF1_EBF_1 5 1 - 6.70975031142787 1.387963095887199 TTTCCCGAGGGGCC chr20 57652020 57652021 chr20:57652021:T:C rs199697051 T C T EBF1_EBF_1 -18 0 + 0 0 . chr20 57652064 57652065 chr20:57652065:T:C rs61744856 T C T EBF1_EBF_1 26 0 + 0 0 . chr20 57652713 57652714 chr20:57652714:G:A rs149229911 G A G EBF1_EBF_1 -7 0 - 0 0 . chr20 57652717 57652718 chr20:57652718:A:G rs6015051 A G G EBF1_EBF_1 -11 0 - 0 0 . chr20 57653446 57653447 chr20:57653447:C:T rs79628626 C T C EBF1_EBF_1 -2 0 + 0 0 . chr20 57653465 57653466 chr20:57653466:C:A rs140582796 C A C EBF1_EBF_1 17 0 + 0 0 . chr20 57653681 57653682 chr20:57653682:A:G rs6025701 A G G EBF1_EBF_1 0 1 + 7.417239360507854 5.52495549094302 AGCCCCCTGGGGCC chr20 57654354 57654355 chr20:57654355:C:A rs6025704 C A A EBF1_EBF_1 14 0 - 0 0 . chr20 57658460 57658461 chr20:57658461:C:A rs3795118 C A C EBF1_EBF_1 24 0 + 0 0 . chr20 57658576 57658577 chr20:57658577:T:A rs117012757 T A T EBF1_EBF_1 33 0 - 0 0 . chr20 57661475 57661476 chr20:57661476:C:A rs114541653 C A C EBF1_EBF_1 -8 0 + 0 0 . chr20 57665920 57665921 chr20:57665921:C:A rs113727995 C A C EBF1_EBF_1 4 1 + 4.569033036613071 -1.2555265868403973 TCCCCCAAGGGCCC chr20 57680341 57680342 chr20:57680342:C:T rs157096 C T C EBF1_EBF_1 -12 0 + 0 0 . chr20 57683074 57683075 chr20:57683075:C:T rs3795102 C T C EBF1_EBF_1 7 1 - 5.690260465082488 7.002718870371314 TGCCCCCGGAGACT chr20 57699583 57699584 chr20:57699584:G:C rs534510552 G C G EBF1_EBF_1 25 0 - 0 0 . chr20 57711085 57711086 chr20:57711086:A:G rs118085362 A G A EBF1_EBF_1 31 0 - 0 0 . chr20 57711094 57711095 chr20:57711095:G:T rs7264637 G T T EBF1_EBF_1 22 0 - 0 0 . chr20 57711777 57711778 chr20:57711778:A:G rs1360215 A G G EBF1_EBF_1 27 0 - 0 0 . chr20 57730966 57730967 chr20:57730967:C:G rs78507834 C G C EBF1_EBF_1 14 0 - 0 0 . chr20 57754207 57754208 chr20:57754208:C:T rs1884909 C T C EBF1_EBF_1 21 0 + 0 0 . chr20 57757676 57757677 chr20:57757677:G:C rs73616234 G C G EBF1_EBF_1 19 0 + 0 0 . chr20 57782519 57782520 chr20:57782520:C:T rs139148047 C T c EBF1_EBF_1 28 0 + 0 0 . chr20 57783623 57783624 chr20:57783624:G:A rs6092534 G A G EBF1_EBF_1 1 1 + 6.268557955600573 6.007859123679271 AGCCCCGGGGGATA chr20 57784450 57784451 chr20:57784451:G:A rs573883367 G A G EBF1_EBF_1 29 0 + 0 0 . chr20 57792221 57792222 chr20:57792222:G:A rs571754813 G A G EBF1_EBF_1 -3 0 - 0 0 . chr20 57834645 57834646 chr20:57834646:G:A rs876916 G A A EBF1_EBF_1 9 1 - 6.655928948319452 4.894628686576106 AACCCCAAGCGACT chr20 57836162 57836163 chr20:57836163:T:C rs6064593 T C T EBF1_EBF_1 -5 0 + 0 0 . chr20 57851461 57851462 chr20:57851462:G:A rs7273904 G A G EBF1_EBF_1 -20 0 + 0 0 . chr20 57852570 57852571 chr20:57852571:C:T rs117191311 C T C EBF1_EBF_1 11 1 + 5.229337030206607 5.547384471960272 ACACCCCAGGGCCT chr20 57862635 57862636 chr20:57862636:G:T rs55653912 G T G EBF1_EBF_1 -12 0 - 0 0 . chr20 57868653 57868654 chr20:57868654:G:A rs60170986 G A G EBF1_EBF_1 7 1 - 4.777308841353207 6.594813587635831 CTTCCCACGGGCCC chr20 57868678 57868679 chr20:57868679:G:C rs77038324 G C G EBF1_EBF_1 -18 0 - 0 0 . chr20 57868785 57868786 chr20:57868786:C:T rs12625813 C T C EBF1_EBF_1 11 1 - 3.818965218952936 6.711672062556948 GCCCCCTCGGGGCC chr20 57868789 57868790 chr20:57868790:G:A rs11699644 G A A EBF1_EBF_1 7 1 - 3.818965218952936 5.636469965235561 GCCCCCTCGGGGCC chr20 57870515 57870516 chr20:57870516:C:T rs113018482 C T C EBF1_EBF_1 0 1 + 6.716617607596883 6.998819668327461 CTTCCCAAAGGAGT chr20 57871912 57871913 chr20:57871913:G:A rs55662483 G A G EBF1_EBF_1 3 1 - 8.126528024112776 1.721129282559461 AATCCCTTGAGAAG chr20 57874713 57874714 chr20:57874714:G:A rs7263957 G A G EBF1_EBF_1 -1 0 - 0 0 . chr20 57895399 57895400 chr20:57895400:C:T rs113808830 C T C EBF1_EBF_1 4 1 + 6.289481919075719 1.920094974130795 AGACCCCGGGGAGC chr20 57895414 57895415 chr20:57895415:G:A rs73177830 G A g EBF1_EBF_1 19 0 + 0 0 . chr20 57932565 57932566 chr20:57932566:T:A rs10211746 T A T EBF1_EBF_1 33 0 - 0 0 . chr20 57933345 57933346 chr20:57933346:G:T rs6092566 G T G EBF1_EBF_1 3 1 - 5.516118443155763 -1.3900555863100428 AGCCCCTAGGTAGC chr20 57938969 57938970 chr20:57938970:T:G rs68125727 T G T EBF1_EBF_1 20 0 + 0 0 . chr20 57948283 57948284 chr20:57948284:C:A rs6025924 C A C EBF1_EBF_1 14 0 + 0 0 . chr20 57952581 57952582 chr20:57952582:G:A rs35242306 G A G EBF1_EBF_1 32 0 + 0 0 . chr20 57954934 57954935 chr20:57954935:G:C rs146193535 G C G EBF1_EBF_1 -12 0 + 0 0 . chr20 57961391 57961392 chr20:57961392:C:G rs56030358 C G C EBF1_EBF_1 33 0 + 0 0 . chr20 57964280 57964281 chr20:57964281:T:A rs869384 T A T EBF1_EBF_1 20 0 + 0 0 . chr20 58008595 58008596 chr20:58008596:G:A rs73179318 G A G EBF1_EBF_1 17 0 + 0 0 . chr20 58011088 58011089 chr20:58011089:G:T rs6128251 G T G EBF1_EBF_1 -7 0 + 0 0 . chr20 58049708 58049709 chr20:58049709:C:T rs115818791 C T T EBF1_EBF_1 32 0 - 0 0 . chr20 58054187 58054188 chr20:58054188:T:C rs61267550 T C T EBF1_EBF_1 7 1 - 8.308737954861998 6.996279549573173 GTTCCCAAGGGCAT chr20 58071140 58071141 chr20:58071141:T:G rs76265398 T G T EBF1_EBF_1 -20 0 + 0 0 . chr20 58071178 58071179 chr20:58071179:G:A rs34522262 G A G EBF1_EBF_1 18 0 + 0 0 . chr20 58075462 58075463 chr20:58075463:T:C rs73302803 T C t EBF1_EBF_1 15 0 + 0 0 . chr20 58076485 58076486 chr20:58076486:A:G rs7266392 A G a EBF1_EBF_1 -16 0 - 0 0 . chr20 58087415 58087416 chr20:58087416:G:A rs117823779 G A g EBF1_EBF_1 30 0 + 0 0 . chr20 58124914 58124915 chr20:58124915:G:C rs57355366 G C G EBF1_EBF_1 -11 0 + 0 0 . chr20 58138088 58138089 chr20:58138089:C:T rs6026072 C T C EBF1_EBF_1 3 1 + 5.545086473421806 -0.8603122681315123 TACCCCATGGGGCC chr20 58165806 58165807 chr20:58165807:T:C rs117762965 T C T EBF1_EBF_1 0 1 + 5.547520519742166 5.2653184590115885 TCTCCCTTGGGCCA chr20 58174860 58174861 chr20:58174861:C:T rs145615645 C T C EBF1_EBF_1 31 0 - 0 0 . chr20 58179053 58179054 chr20:58179054:G:A rs78923929 G A G EBF1_EBF_1 -14 0 - 0 0 . chr20 58179058 58179059 chr20:58179059:G:A rs497673 G A G EBF1_EBF_1 -19 0 - 0 0 . chr20 58179944 58179945 chr20:58179945:T:G rs112453023 T G T EBF1_EBF_1 2 1 + 5.184522722045261 -1.5638029681552073 TGTCCCCAGAGGAC chr20 58202278 58202279 chr20:58202279:G:A rs6128286 G A G EBF1_EBF_1 16 0 - 0 0 . chr20 58214303 58214304 chr20:58214304:A:G rs6070385 A G A EBF1_EBF_1 -19 0 + 0 0 . chr20 58246070 58246071 chr20:58246071:A:G rs3746405 A G A EBF1_EBF_1 20 0 - 0 0 . chr20 58248178 58248179 chr20:58248179:G:T rs61733255 G T G EBF1_EBF_1 6 1 - 5.834766063133335 6.196368430934614 CCTCCCCTGGGGTC chr20 58248201 58248202 chr20:58248202:C:T rs6015233 C T C EBF1_EBF_1 -17 0 - 0 0 . chr20 58297694 58297695 chr20:58297695:G:A rs6070418 G A G EBF1_EBF_1 8 1 + 8.51047977476587 4.284189685879607 AGCCCCACGGGAAA chr20 58310426 58310427 chr20:58310427:C:T rs1174939870 C T C EBF1_EBF_1 8 1 - 6.133722266541176 1.9074321776549152 ACACCCAAGAGACA chr20 58310452 58310453 chr20:58310453:A:C chr20:58310453:A:C A C A EBF1_EBF_1 -18 0 - 0 0 . chr20 58313632 58313633 chr20:58313633:C:G rs73616257 C G C EBF1_EBF_1 -1 0 + 0 0 . chr20 58457063 58457064 chr20:58457064:G:T rs73183225 G T G EBF1_EBF_1 18 0 - 0 0 . chr20 58457656 58457657 chr20:58457657:A:G rs6026285 A G A EBF1_EBF_1 26 0 - 0 0 . chr20 58472103 58472104 chr20:58472104:C:T rs6015285 C T C EBF1_EBF_1 10 1 - 5.953511520894679 0.6354830616277125 ATACCCCTGGGGCA chr20 58472144 58472145 chr20:58472145:A:C rs6026294 A C A EBF1_EBF_1 14 0 - 0 0 . chr20 58480200 58480201 chr20:58480201:T:G rs6026297 T G G EBF1_EBF_1 -10 0 - 0 0 . chr20 58483921 58483922 chr20:58483922:A:C rs6026301 A C A EBF1_EBF_1 11 1 + 11.217154232707482 6.801192534855095 AGTCCCCAGGGAAG chr20 58496823 58496824 chr20:58496824:G:A rs1077351 G A G EBF1_EBF_1 7 1 - 5.580415958365665 7.397920704648286 ATCCCCTCGGGGTC chr20 58497330 58497331 chr20:58497331:C:G rs13042146 C G C EBF1_EBF_1 -4 0 - 0 0 . chr20 58514067 58514068 chr20:58514068:G:T rs62204202 G T G EBF1_EBF_1 10 1 + 4.991842219167281 0.8090900329400162 AGCCACCAGGGAAC chr20 58524836 58524837 chr20:58524837:G:A rs6015301 G A G EBF1_EBF_1 -5 0 - 0 0 . chr20 58535569 58535570 chr20:58535570:C:A rs4812011 C A C EBF1_EBF_1 16 0 - 0 0 . chr20 58583715 58583716 chr20:58583716:A:G rs7266247 A G G EBF1_EBF_1 20 0 + 0 0 . chr20 58589890 58589891 chr20:58589891:G:A rs6070524 G A G EBF1_EBF_1 -12 0 - 0 0 . chr20 58594554 58594555 chr20:58594555:C:T rs145623137 C T C EBF1_EBF_1 29 0 - 0 0 . chr20 58596641 58596642 chr20:58596642:C:A rs6070532 C A C EBF1_EBF_1 -15 0 + 0 0 . chr20 58611428 58611429 chr20:58611429:C:A rs148107032 C A C EBF1_EBF_1 -1 0 + 0 0 . chr20 58619673 58619674 chr20:58619674:G:A rs115205068 G A G EBF1_EBF_1 9 1 + 6.555743203103288 3.9008039376556836 ACCCCCTCGGGGCT chr20 58628374 58628375 chr20:58628375:G:A rs10460622 G A g EBF1_EBF_1 21 0 - 0 0 . chr20 58636981 58636982 chr20:58636982:C:G rs218459 C G G EBF1_EBF_1 -13 0 + 0 0 . chr20 58662045 58662046 chr20:58662046:G:A rs78655159 G A G EBF1_EBF_1 1 1 - 4.9384079002564105 5.833841340655156 CCTCCTCAGGGAAC chr20 58698607 58698608 chr20:58698608:T:G rs41296215 T G T EBF1_EBF_1 15 0 - 0 0 . chr20 58698828 58698829 chr20:58698829:T:C rs2296526 T C C EBF1_EBF_1 2 1 + 7.490840954459053 5.602017543016902 ACTCCCAGGAGAGC chr20 58716890 58716891 chr20:58716891:G:A rs191212299 G A G EBF1_EBF_1 -2 0 + 0 0 . chr20 58717164 58717165 chr20:58717165:T:C rs76537891 T C C EBF1_EBF_1 6 1 + 5.606769794939645 5.305483666077887 TTTCCCTGGGGCCA chr20 58718293 58718294 chr20:58718294:G:T rs12480759 G T G EBF1_EBF_1 24 0 - 0 0 . chr20 58718782 58718783 chr20:58718783:A:G rs750230865 A G A EBF1_EBF_1 -12 0 + 0 0 . chr20 58747446 58747447 chr20:58747447:A:C rs986733 A C C EBF1_EBF_1 -10 0 + 0 0 . chr20 58762971 58762972 chr20:58762972:C:G rs16982271 C G G EBF1_EBF_1 30 0 + 0 0 . chr20 58786378 58786379 chr20:58786379:A:C rs6015368 A C C EBF1_EBF_1 16 0 - 0 0 . chr20 58786384 58786385 chr20:58786385:C:A rs6064705 C A A EBF1_EBF_1 10 1 - 6.5723717509688795 2.389619564741614 ACTCCCAAAGGGCT chr20 58790542 58790543 chr20:58790543:G:A rs6070605 G A G EBF1_EBF_1 -13 0 + 0 0 . chr20 58841675 58841676 chr20:58841676:A:G rs55995056 A G A EBF1_EBF_1 30 0 - 0 0 . chr20 58841704 58841705 chr20:58841705:A:G rs3787497 A G G EBF1_EBF_1 1 1 - 7.7555703417315005 6.860136901332757 ATCCCCAAGGGTCC chr20 58855512 58855513 chr20:58855513:A:T rs75029190 A T A EBF1_EBF_1 22 0 + 0 0 . chr20 58869153 58869154 chr20:58869154:C:A rs2145288 C A A EBF1_EBF_1 28 0 - 0 0 . chr20 58869172 58869173 chr20:58869173:T:C rs6064717 T C T EBF1_EBF_1 9 1 - 7.912807867392441 10.567747132840044 GTTCCCAGGAGAAC chr20 58870669 58870670 chr20:58870670:G:T rs113597604 G T G EBF1_EBF_1 -4 0 - 0 0 . chr20 58904220 58904221 chr20:58904221:C:T rs6100269 C T C EBF1_EBF_1 31 0 - 0 0 . chr20 58965549 58965550 chr20:58965550:C:T rs11699789 C T c EBF1_EBF_1 27 0 - 0 0 . chr20 58989741 58989742 chr20:58989742:A:T rs571293549 A T A EBF1_EBF_1 27 0 + 0 0 . chr20 59001291 59001292 chr20:59001292:C:A rs163792 C A C EBF1_EBF_1 31 0 - 0 0 . chr20 59002511 59002512 chr20:59002512:A:G rs163796 A G G EBF1_EBF_1 25 0 + 0 0 . chr20 59004536 59004537 chr20:59004537:C:T rs150930436 C T C EBF1_EBF_1 0 1 + 10.038097342958105 10.320299403688683 CTTCCCTAGGGAGC chr20 59005196 59005197 chr20:59005197:A:C rs760133211 A C A EBF1_EBF_1 24 0 - 0 0 . chr20 59005222 59005223 chr20:59005223:C:T rs775881047 C T C EBF1_EBF_1 -2 0 - 0 0 . chr20 59007329 59007330 chr20:59007330:C:G rs981058372 C G C EBF1_EBF_1 26 0 + 0 0 . chr20 59012715 59012716 chr20:59012716:C:T rs4812048 C T T EBF1_EBF_1 31 0 - 0 0 . chr20 59046801 59046802 chr20:59046802:C:T rs6070703 C T C EBF1_EBF_1 5 1 + 4.933286557371796 -0.388500658168875 AGCCCCGTGGGCCT chr20 59120755 59120756 chr20:59120756:G:C rs114291862 G C G EBF1_EBF_1 10 1 + 8.999927776511672 2.1091253891821986 ATTCCCCAGGGGCG chr20 59120757 59120758 chr20:59120758:C:T rs6070727 C T C EBF1_EBF_1 12 1 + 8.999927776511672 7.9736612059608225 ATTCCCCAGGGGCG chr20 59123137 59123138 chr20:59123138:G:A rs143690008 G A G EBF1_EBF_1 6 1 - 6.924367574104556 7.225653702966314 ACTTCCCAGGGACT chr20 59124357 59124358 chr20:59124358:C:T rs882384 C T T EBF1_EBF_1 31 0 - 0 0 . chr20 59149755 59149756 chr20:59149756:G:A rs549429761 G A G EBF1_EBF_1 33 0 - 0 0 . chr20 59149930 59149931 chr20:59149931:A:T rs113225988 A T A EBF1_EBF_1 -13 0 - 0 0 . chr20 59160401 59160402 chr20:59160402:A:C rs259983 A C C EBF1_EBF_1 -11 0 - 0 0 . chr20 59429079 59429080 chr20:59429080:A:G rs80207111 A G A EBF1_EBF_1 14 0 - 0 0 . chr20 59439374 59439375 chr20:59439375:C:T rs6026888 C T T EBF1_EBF_1 4 1 + 8.013612495687653 3.64422555074273 ACTCCCCAGGGTCA chr20 59455543 59455544 chr20:59455544:G:A rs60793512 G A G EBF1_EBF_1 5 1 - 5.716416927904054 0.39462971236338434 ACCCCCGGGGGAGA chr20 59465781 59465782 chr20:59465782:G:A rs6070808 G A G EBF1_EBF_1 27 0 - 0 0 . chr20 59497149 59497150 chr20:59497150:C:G rs569543010 C G C EBF1_EBF_1 -11 0 - 0 0 . chr20 59525656 59525657 chr20:59525657:C:T chr20:59525657:C:T C T C EBF1_EBF_1 3 1 + 6.958331628232448 0.5529328866791309 AGCCCCCTAGGAAT chr20 59527317 59527318 chr20:59527318:C:T rs7263023 C T C EBF1_EBF_1 -3 0 - 0 0 . chr20 59576629 59576630 chr20:59576630:T:C rs11905586 T C T EBF1_EBF_1 17 0 - 0 0 . chr20 59578051 59578052 chr20:59578052:T:C rs6128629 T C C EBF1_EBF_1 -15 0 + 0 0 . chr20 59578062 59578063 chr20:59578063:C:A rs927166 C A A EBF1_EBF_1 -4 0 + 0 0 . chr20 59580722 59580723 chr20:59580723:C:T rs117813739 C T C EBF1_EBF_1 27 0 - 0 0 . chr20 59628184 59628185 chr20:59628185:T:C rs73301441 T C T EBF1_EBF_1 33 0 + 0 0 . chr20 59631965 59631966 chr20:59631966:A:G rs6128649 A G G EBF1_EBF_1 11 1 + 6.039472522605799 3.1467656790017875 TTTCCCAGGAGAGA chr20 59669202 59669203 chr20:59669203:G:A rs113629042 G A G EBF1_EBF_1 0 1 - 6.231763252193917 6.513965312924495 CAACCCTAGGGAGT chr20 59676027 59676028 chr20:59676028:C:T rs73140890 C T C EBF1_EBF_1 25 0 - 0 0 . chr20 59687876 59687877 chr20:59687877:T:A rs62203948 T A T EBF1_EBF_1 31 0 - 0 0 . chr20 59719116 59719117 chr20:59719117:C:T rs560361739 C T C EBF1_EBF_1 16 0 + 0 0 . chr20 59748025 59748026 chr20:59748026:C:T rs1182472 C T T EBF1_EBF_1 14 0 + 0 0 . chr20 59749114 59749115 chr20:59749115:G:A rs114781248 G A G EBF1_EBF_1 -16 0 - 0 0 . chr20 59758667 59758668 chr20:59758668:A:G rs1182482 A G A EBF1_EBF_1 11 1 + 5.792556202912143 2.899849359308132 AGCCCCCGGAGATC chr20 59831377 59831378 chr20:59831378:G:A rs866422859 G A G EBF1_EBF_1 29 0 - 0 0 . chr20 59933095 59933096 chr20:59933096:A:G rs76532849 A G g EBF1_EBF_1 -13 0 + 0 0 . chr20 59988427 59988428 chr20:59988428:C:T rs112612888 C T C EBF1_EBF_1 -12 0 - 0 0 . chr20 60058778 60058779 chr20:60058779:T:C rs242794 T C C EBF1_EBF_1 6 1 + 5.677555256103142 5.376269127241384 CCTCCCTGGGGCAT chr20 60059006 60059007 chr20:60059007:A:T rs242795 A T A EBF1_EBF_1 29 0 + 0 0 . chr20 60077695 60077696 chr20:60077696:A:T rs242819 A T T EBF1_EBF_1 0 1 + 4.282091681650989 2.309035258684966 AGTCCACAGGGACG chr20 60077702 60077703 chr20:60077703:A:G rs242820 A G A EBF1_EBF_1 7 1 + 4.282091681650989 2.9696332763621642 AGTCCACAGGGACG chr20 60091571 60091572 chr20:60091572:T:G rs2295698 T G T EBF1_EBF_1 -6 0 - 0 0 . chr20 60108520 60108521 chr20:60108521:T:C rs11905767 T C t EBF1_EBF_1 14 0 - 0 0 . chr20 60127009 60127010 chr20:60127010:G:A rs67633131 G A g EBF1_EBF_1 7 1 - 6.689003274185155 8.50650802046778 ATTCCCACGGGCAA chr20 60168020 60168021 chr20:60168021:G:A rs144308471 G A G EBF1_EBF_1 -17 0 - 0 0 . chr20 60223862 60223863 chr20:60223863:C:A rs56386357 C A C EBF1_EBF_1 23 0 - 0 0 . chr20 60231987 60231988 chr20:60231988:A:C rs6100803 A C C EBF1_EBF_1 6 1 + 7.915581942308906 7.553979574507629 CTTCCCAAGGGCCT chr20 60233478 60233479 chr20:60233479:G:A rs144821981 G A G EBF1_EBF_1 19 0 + 0 0 . chr20 60338570 60338571 chr20:60338571:A:G rs100937 A G G EBF1_EBF_1 11 1 + 5.711974837489843 2.8192679938858323 CATCCTCAGGGAAT chr20 60436115 60436116 chr20:60436116:G:T rs9305113 G T G EBF1_EBF_1 5 1 - 9.910261402452166 3.005380249816998 GCCCCCAAGGGACT chr20 60694169 60694170 chr20:60694170:T:C rs62205646 T C T EBF1_EBF_1 12 1 - 6.904345830497465 5.485528488397987 AGTCCCTGGGGCAA chr20 60786555 60786556 chr20:60786556:A:G rs2426917 A G A EBF1_EBF_1 28 0 + 0 0 . chr20 60791733 60791734 chr20:60791734:A:G rs6027823 A G A EBF1_EBF_1 -6 0 - 0 0 . chr20 60823336 60823337 chr20:60823337:T:C rs17201745 T C T EBF1_EBF_1 13 1 + 7.272215722122545 6.427721607537027 TCTCCCTAGGGCAT chr20 60882903 60882904 chr20:60882904:C:T rs6093018 C T C EBF1_EBF_1 25 0 - 0 0 . chr20 60943086 60943087 chr20:60943087:G:T rs6065018 G T G EBF1_EBF_1 -3 0 + 0 0 . chr20 60968651 60968652 chr20:60968652:C:T rs4434054 C T t EBF1_EBF_1 -2 0 + 0 0 . chr20 60984832 60984833 chr20:60984833:A:G rs6065026 A G A EBF1_EBF_1 -15 0 + 0 0 . chr20 60984872 60984873 chr20:60984873:A:C rs80021703 A C A EBF1_EBF_1 25 0 + 0 0 . chr20 60984974 60984975 chr20:60984975:A:G rs6065027 A G A EBF1_EBF_1 -7 0 + 0 0 . chr20 60984983 60984984 chr20:60984984:T:G rs73141921 T G T EBF1_EBF_1 2 1 + 5.548064576627705 -1.2002611135727623 AGTCCTGAGGGACC chr20 61031643 61031644 chr20:61031644:G:A rs12329411 G A A EBF1_EBF_1 -6 0 + 0 0 . chr20 61044605 61044606 chr20:61044606:A:C rs6093050 A C C EBF1_EBF_1 -9 0 + 0 0 . chr20 61052038 61052039 chr20:61052039:C:T rs17202924 C T C EBF1_EBF_1 -18 0 + 0 0 . chr20 61052707 61052708 chr20:61052708:A:G rs13036412 A G A EBF1_EBF_1 -17 0 - 0 0 . chr20 61055838 61055839 chr20:61055839:G:A rs142619063 G A G EBF1_EBF_1 32 0 + 0 0 . chr20 61057850 61057851 chr20:61057851:T:C rs6071465 T C C EBF1_EBF_1 -4 0 - 0 0 . chr20 61062986 61062987 chr20:61062987:G:C rs6129030 G C G EBF1_EBF_1 4 1 - 6.637217300526184 1.0114521993766399 TTTCCCCAAGGAGT chr20 61089799 61089800 chr20:61089800:C:A rs73149653 C A A EBF1_EBF_1 19 0 - 0 0 . chr20 61105545 61105546 chr20:61105546:C:G rs747315 C G G EBF1_EBF_1 26 0 + 0 0 . chr20 61113570 61113571 chr20:61113571:G:A rs62201801 G A G EBF1_EBF_1 -15 0 - 0 0 . chr20 61192773 61192774 chr20:61192774:C:G rs6028065 C G - EBF1_EBF_1 16 0 - 0 0 . chr20 61194763 61194764 chr20:61194764:A:G rs2426968 A G G EBF1_EBF_1 -10 0 - 0 0 . chr20 61238955 61238956 chr20:61238956:C:T rs36173890 C T C EBF1_EBF_1 9 1 - 7.656727996350549 5.0017887309029465 AATCCCCTGGGGGC chr20 61295827 61295828 chr20:61295828:C:T rs6015943 C T C EBF1_EBF_1 11 1 + 5.1926035381863995 5.510650979940063 ACTCCCCAGGGCGG chr20 61299888 61299889 chr20:61299889:G:A rs78909187 G A G EBF1_EBF_1 7 1 + 6.546536459595687 7.858994864884513 CTTCCCCGGGGGCA chr20 61321034 61321035 chr20:61321035:T:C rs1981297 T C C EBF1_EBF_1 2 1 + 7.027532901675775 5.138709490233625 CTTCCCCAGGGTCC chr20 61321055 61321056 chr20:61321056:G:A rs150225657 G A G EBF1_EBF_1 23 0 + 0 0 . chr20 61341060 61341061 chr20:61341061:C:T rs6071587 C T T EBF1_EBF_1 -6 0 + 0 0 . chr20 61352973 61352974 chr20:61352974:G:A rs11698923 G A G EBF1_EBF_1 21 0 - 0 0 . chr20 61359407 61359408 chr20:61359408:A:G rs34238335 A G G EBF1_EBF_1 -5 0 + 0 0 . chr20 61398467 61398468 chr20:61398468:A:G rs6142825 A G a EBF1_EBF_1 12 1 + 5.71425275759032 4.295435415490843 GGCCCCAAGGGCAT chr20 61437742 61437743 chr20:61437743:C:T rs2427098 C T C EBF1_EBF_1 8 1 - 5.7070708397312755 1.4807807508450144 GGTCCCCAGCGACC chr20 61465110 61465111 chr20:61465111:T:C rs112962447 T C T EBF1_EBF_1 20 0 + 0 0 . chr20 61506785 61506786 chr20:61506786:A:G rs2427143 A G G EBF1_EBF_1 -16 0 - 0 0 . chr20 61516205 61516206 chr20:61516206:C:T rs56663164 C T c EBF1_EBF_1 23 0 - 0 0 . chr20 61538337 61538338 chr20:61538338:C:T rs6061621 C T C EBF1_EBF_1 18 0 + 0 0 . chr20 61538351 61538352 chr20:61538352:A:G rs6061622 A G G EBF1_EBF_1 32 0 + 0 0 . chr20 61554542 61554543 chr20:61554543:G:A rs73134534 G A G EBF1_EBF_1 -11 0 - 0 0 . chr20 61562638 61562639 chr20:61562639:T:C rs6061639 T C t EBF1_EBF_1 8 1 + 6.6582865796712225 8.231060507733732 AGTCCCCATGGAAT chr20 61575868 61575869 chr20:61575869:A:G rs2253219 A G G EBF1_EBF_1 27 0 + 0 0 . chr20 61599067 61599068 chr20:61599068:G:A rs2064551 G A A EBF1_EBF_1 12 1 - 4.591802438163623 3.5655358676127733 ACTTCCCAGGGACG chr20 61600665 61600666 chr20:61600666:G:T rs2207542 G T G EBF1_EBF_1 -12 0 - 0 0 . chr20 61604475 61604476 chr20:61604476:A:G rs6121722 A G A EBF1_EBF_1 -2 0 + 0 0 . chr20 61604487 61604488 chr20:61604488:G:A rs142998983 G A G EBF1_EBF_1 10 1 + 5.591779064673961 0.2737506054069953 ATTCCCAGGCGAGA chr20 61646560 61646561 chr20:61646561:C:T rs2427223 C T C EBF1_EBF_1 29 0 - 0 0 . chr20 61647831 61647832 chr20:61647832:A:G rs6061299 A G G EBF1_EBF_1 -11 0 + 0 0 . chr20 61663651 61663652 chr20:61663652:G:A rs11204439 G A G EBF1_EBF_1 -2 0 - 0 0 . chr20 61704122 61704123 chr20:61704123:A:G rs6061755 A G A EBF1_EBF_1 7 1 - 6.038423971083803 4.22091922480118 ACCCCCATGGAAAT chr20 61718211 61718212 chr20:61718212:A:G rs4401276 A G G EBF1_EBF_1 24 0 + 0 0 . chr20 61723449 61723450 chr20:61723450:C:T rs117641743 C T C EBF1_EBF_1 21 0 + 0 0 . chr20 61727788 61727789 chr20:61727789:G:A rs6089496 G A G EBF1_EBF_1 22 0 + 0 0 . chr20 61729766 61729767 chr20:61729767:A:C rs6061336 A C C EBF1_EBF_1 24 0 + 0 0 . chr20 61731042 61731043 chr20:61731043:C:T rs73152105 C T C EBF1_EBF_1 3 1 + 6.335637002595091 -0.06976173895822556 AGACCCCAGAGACC chr20 61734912 61734913 chr20:61734913:G:A rs6121791 G A G EBF1_EBF_1 23 0 + 0 0 . chr20 61735016 61735017 chr20:61735017:C:T rs6142845 C T C EBF1_EBF_1 -16 0 + 0 0 . chr20 61738491 61738492 chr20:61738492:C:T chr20:61738492:C:T C T G EBF1_EBF_1 11 1 + 6.587311863684918 6.905359305438582 TGTCCCTAGGGCCC chr20 61757881 61757882 chr20:61757882:G:A rs13040995 G A G EBF1_EBF_1 -9 0 + 0 0 . chr20 61758259 61758260 chr20:61758260:C:T rs147760178 C T C EBF1_EBF_1 8 1 - 9.172512611813696 4.9462225229274335 CCTCCCACGGGAAT chr20 61769917 61769918 chr20:61769918:G:C rs34200138 G C G EBF1_EBF_1 33 0 + 0 0 . chr20 61775931 61775932 chr20:61775932:G:A rs7263907 G A A EBF1_EBF_1 25 0 - 0 0 . chr20 61783557 61783558 chr20:61783558:T:C rs6142862 T C C EBF1_EBF_1 6 1 - 5.175579441187721 3.008785009913246 TCTCCCAGGGGCAC chr20 61783629 61783630 chr20:61783630:A:G rs62204658 A G - EBF1_EBF_1 14 0 + 0 0 . chr20 61784721 61784722 chr20:61784722:A:C rs62206260 A C - EBF1_EBF_1 -20 0 + 0 0 . chr20 61796711 61796712 chr20:61796712:G:A rs6142867 G A g EBF1_EBF_1 27 0 - 0 0 . chr20 61801647 61801648 chr20:61801648:G:A rs6061360 G A A EBF1_EBF_1 -1 0 - 0 0 . chr20 61801872 61801873 chr20:61801873:C:G rs6142868 C G C EBF1_EBF_1 -18 0 - 0 0 . chr20 61803714 61803715 chr20:61803715:C:T rs12480201 C T T EBF1_EBF_1 29 0 + 0 0 . chr20 61806690 61806691 chr20:61806691:T:C rs13038896 T C T EBF1_EBF_1 -3 0 - 0 0 . chr20 61821694 61821695 chr20:61821695:G:C rs75911101 G C G EBF1_EBF_1 4 1 - 5.449372532221676 -0.17639256892787003 CCCCCCAAGGGTCT chr20 61830561 61830562 chr20:61830562:G:A rs34878697 G A a EBF1_EBF_1 10 1 + 5.893459738792119 0.5754312795251528 AACCCCAGGAGATC chr20 61834438 61834439 chr20:61834439:C:T rs34040370 C T c EBF1_EBF_1 -2 0 + 0 0 . chr20 61835463 61835464 chr20:61835464:G:A rs34140814 G A G EBF1_EBF_1 3 1 - 9.642132294019973 3.2367335524666556 GCTCCCAGGGGACC chr20 61835617 61835618 chr20:61835618:C:T rs35448475 C T C EBF1_EBF_1 21 0 - 0 0 . chr20 61849221 61849222 chr20:61849222:G:A rs13042699 G A G EBF1_EBF_1 7 1 + 6.00144599296574 7.313904398254565 CTTCCCTGGAGAAG chr20 61853425 61853426 chr20:61853426:C:A rs59292461 C A C EBF1_EBF_1 22 0 - 0 0 . chr20 61853508 61853509 chr20:61853509:C:T rs59809686 C T C EBF1_EBF_1 23 0 + 0 0 . chr20 61853515 61853516 chr20:61853516:G:C rs58155968 G C G EBF1_EBF_1 30 0 + 0 0 . chr20 61855870 61855871 chr20:61855871:C:T rs6089519 C T T EBF1_EBF_1 30 0 - 0 0 . chr20 61872553 61872554 chr20:61872554:A:G rs6061374 A G G EBF1_EBF_1 -17 0 + 0 0 . chr20 61877040 61877041 chr20:61877041:G:A rs6121824 G A G EBF1_EBF_1 3 1 - 5.655692160635712 -0.7497065809176062 AACCCCAGGGGGTC chr20 61883108 61883109 chr20:61883109:C:G rs6061881 C G C EBF1_EBF_1 23 0 + 0 0 . chr20 61884535 61884536 chr20:61884536:C:T rs116880722 C T C EBF1_EBF_1 31 0 + 0 0 . chr20 61887178 61887179 chr20:61887179:C:T rs2427230 C T C EBF1_EBF_1 25 0 - 0 0 . chr20 61887253 61887254 chr20:61887254:T:A rs114904407 T A T EBF1_EBF_1 27 0 - 0 0 . chr20 61887268 61887269 chr20:61887269:G:T rs774746471 G T G EBF1_EBF_1 12 1 - 9.561359740618784 9.59154113904011 TCTCCCAGGGGACC chr20 61900867 61900868 chr20:61900868:G:A rs2427232 G A G EBF1_EBF_1 30 0 - 0 0 . chr20 61900877 61900878 chr20:61900878:G:A rs2427233 G A g EBF1_EBF_1 20 0 - 0 0 . chr20 61901788 61901789 chr20:61901789:C:T rs2427237 C T C EBF1_EBF_1 -8 0 - 0 0 . chr20 61903740 61903741 chr20:61903741:A:G rs73145179 A G A EBF1_EBF_1 26 0 + 0 0 . chr20 61918673 61918674 chr20:61918674:G:A rs77710120 G A G EBF1_EBF_1 -19 0 - 0 0 . chr20 61923400 61923401 chr20:61923401:T:C rs2427245 T C C EBF1_EBF_1 2 1 + 9.1644596388253 7.275636227383149 ACTCCCACGGGAGC chr20 61926180 61926181 chr20:61926181:G:A rs6061388 G A G EBF1_EBF_1 31 0 - 0 0 . chr20 61926188 61926189 chr20:61926189:C:T chr20:61926189:C:T C T C EBF1_EBF_1 23 0 - 0 0 . chr20 61926199 61926200 chr20:61926200:T:A chr20:61926200:T:A T A T EBF1_EBF_1 12 1 - 5.2705110330915375 4.214063064119362 GGTCCCAAGGGCAG chr20 61930468 61930469 chr20:61930469:A:G rs6061903 A G G EBF1_EBF_1 -13 0 + 0 0 . chr20 61965199 61965200 chr20:61965200:G:T rs6061930 G T G EBF1_EBF_1 -20 0 + 0 0 . chr20 61979579 61979580 chr20:61979580:G:T rs6089578 G T - EBF1_EBF_1 31 0 + 0 0 . chr20 62014752 62014753 chr20:62014753:G:A rs376203444 G A G EBF1_EBF_1 33 0 - 0 0 . chr20 62021954 62021955 chr20:62021955:G:A rs116079789 G A G EBF1_EBF_1 -19 0 - 0 0 . chr20 62066457 62066458 chr20:62066458:G:A rs372843978 G A G EBF1_EBF_1 4 1 - 4.615187730414431 0.24580078546950707 CGTCCCCAGGGCGC chr20 62067694 62067695 chr20:62067695:A:G rs374726744 A G A EBF1_EBF_1 -18 0 + 0 0 . chr20 62068007 62068008 chr20:62068008:A:C rs764123238 A C A EBF1_EBF_1 2 1 - 7.836181635238207 1.087855945037738 TTTCCCCAGGGCCT chr20 62086721 62086722 chr20:62086722:A:G rs13045101 A G G EBF1_EBF_1 -8 0 + 0 0 . chr20 62096690 62096691 chr20:62096691:T:G rs6062046 T G G EBF1_EBF_1 -20 0 + 0 0 . chr20 62104373 62104374 chr20:62104374:G:A rs912266 G A G EBF1_EBF_1 -11 0 - 0 0 . chr20 62104374 62104375 chr20:62104375:G:A rs60454884 G A G EBF1_EBF_1 -12 0 - 0 0 . chr20 62133852 62133853 chr20:62133853:G:C rs6142961 G C G EBF1_EBF_1 13 1 - 5.033189630997544 3.545118609642129 AATCCACTGGGACC chr20 62144078 62144079 chr20:62144079:G:T rs988398507 G T G EBF1_EBF_1 -11 0 + 0 0 . chr20 62144090 62144091 chr20:62144091:G:C rs188837122 G C C EBF1_EBF_1 1 1 + 5.426726371662158 5.236954717092941 GGTCCCCGGAGAGC chr20 62150929 62150930 chr20:62150930:A:G rs2427263 A G G EBF1_EBF_1 1 1 - 5.577801048722886 4.68236760832414 CTTCCCCAGAGGCC chr20 62210452 62210453 chr20:62210453:C:A rs6142728 C A C EBF1_EBF_1 1 1 + 6.227061844685281 6.1561346673331965 ACCCTCCAGGGACC chr20 62238131 62238132 chr20:62238132:A:C rs113347133 A C A EBF1_EBF_1 27 0 + 0 0 . chr20 62239178 62239179 chr20:62239179:G:A rs6089697 G A G EBF1_EBF_1 -12 0 + 0 0 . chr20 62246231 62246232 chr20:62246232:G:A chr20:62246232:G:A G A G EBF1_EBF_1 24 0 + 0 0 . chr20 62263243 62263244 chr20:62263244:C:T rs3818745 C T C EBF1_EBF_1 -15 0 + 0 0 . chr20 62292269 62292270 chr20:62292270:A:G rs2379132 A G G EBF1_EBF_1 16 0 + 0 0 . chr20 62320640 62320641 chr20:62320641:C:T rs2297587 C T c EBF1_EBF_1 16 0 - 0 0 . chr20 62323448 62323449 chr20:62323449:C:T rs2379128 C T C EBF1_EBF_1 30 0 + 0 0 . chr20 62350338 62350339 chr20:62350339:G:A chr20:62350339:G:A G A G EBF1_EBF_1 -1 0 + 0 0 . chr20 62355332 62355333 chr20:62355333:G:A rs2145172 G A G EBF1_EBF_1 3 1 - 5.555697411834371 -0.8497013297189466 TCCCCCTTGGGGCC chr20 62358359 62358360 chr20:62358360:G:A rs114424694 G A G EBF1_EBF_1 31 0 + 0 0 . chr20 62358784 62358785 chr20:62358785:A:G rs77446878 A G A EBF1_EBF_1 0 1 + 6.805001485523464 4.91271761595863 AGTGCCTAGGGAAA chr20 62359632 62359633 chr20:62359633:G:A rs115659162 G A G EBF1_EBF_1 30 0 + 0 0 . chr20 62361446 62361447 chr20:62361447:C:T rs116403553 C T C EBF1_EBF_1 24 0 - 0 0 . chr20 62372063 62372064 chr20:62372064:C:T rs543096308 C T C EBF1_EBF_1 -15 0 - 0 0 . chr20 62374240 62374241 chr20:62374241:G:A rs673882 G A G EBF1_EBF_1 -11 0 + 0 0 . chr20 62376914 62376915 chr20:62376915:G:A rs116084626 G A G EBF1_EBF_1 -6 0 + 0 0 . chr20 62382766 62382767 chr20:62382767:C:T rs76942299 C T C EBF1_EBF_1 -3 0 + 0 0 . chr20 62394394 62394395 chr20:62394395:C:T rs2427308 C T C EBF1_EBF_1 20 0 + 0 0 . chr20 62398609 62398610 chr20:62398610:C:T rs2427314 C T C EBF1_EBF_1 30 0 + 0 0 . chr20 62400670 62400671 chr20:62400671:G:T rs2427318 G T G EBF1_EBF_1 7 1 - 5.092804587794429 7.386583574164611 ACCCCCACGGGCCT chr20 62406636 62406637 chr20:62406637:G:C rs79135273 G C G EBF1_EBF_1 -19 0 - 0 0 . chr20 62407415 62407416 chr20:62407416:C:T rs563305205 C T C EBF1_EBF_1 -6 0 + 0 0 . chr20 62407442 62407443 chr20:62407443:G:C rs56162960 G C C EBF1_EBF_1 21 0 + 0 0 . chr20 62407448 62407449 chr20:62407449:A:G rs55765518 A G G EBF1_EBF_1 27 0 + 0 0 . chr20 62423416 62423417 chr20:62423417:T:C rs2427329 T C C EBF1_EBF_1 6 1 - 4.863195982035817 2.6964015507613412 CCTCCCAGGGGCCC chr20 62423438 62423439 chr20:62423439:G:A rs13044047 G A G EBF1_EBF_1 -16 0 - 0 0 . chr20 62425471 62425472 chr20:62425472:C:T rs2427335 C T C EBF1_EBF_1 27 0 + 0 0 . chr20 62437223 62437224 chr20:62437224:C:T rs911080 C T C EBF1_EBF_1 -9 0 - 0 0 . chr20 62442024 62442025 chr20:62442025:G:C rs2427347 G C C EBF1_EBF_1 -15 0 - 0 0 . chr20 62466276 62466277 chr20:62466277:C:T rs56087534 C T C EBF1_EBF_1 -11 0 - 0 0 . chr20 62472893 62472894 chr20:62472894:C:G rs73149282 C G T EBF1_EBF_1 5 1 + 4.568906237676467 -2.3359749149587015 CTCCCCGAGGGGAC chr20 62474200 62474201 chr20:62474201:T:C rs114328334 T C T EBF1_EBF_1 27 0 + 0 0 . chr20 62476222 62476223 chr20:62476223:G:A rs77067995 G A A EBF1_EBF_1 33 0 - 0 0 . chr20 62482098 62482099 chr20:62482099:C:T rs555136191 C T C EBF1_EBF_1 -5 0 + 0 0 . chr20 62489146 62489147 chr20:62489147:C:T rs62196336 C T C EBF1_EBF_1 -3 0 - 0 0 . chr20 62496311 62496312 chr20:62496312:T:C rs34990227 T C T EBF1_EBF_1 21 0 - 0 0 . chr20 62500334 62500335 chr20:62500335:C:T rs533013614 C T T EBF1_EBF_1 28 0 + 0 0 . chr20 62518487 62518488 chr20:62518488:T:A rs879249967 T A c EBF1_EBF_1 23 0 + 0 0 . chr20 62539491 62539492 chr20:62539492:G:T rs961173017 G T g EBF1_EBF_1 30 0 + 0 0 . chr20 62542817 62542818 chr20:62542818:T:C rs36185114 T C c EBF1_EBF_1 -19 0 - 0 0 . chr20 62550581 62550582 chr20:62550582:G:A rs183342217 G A g EBF1_EBF_1 10 1 + 4.701833563630099 -0.6161948956368672 ACACCCGCGGGACC chr20 62577641 62577642 chr20:62577642:G:A rs6143052 G A g EBF1_EBF_1 13 1 - 12.67504385564157 13.51953797022709 AGTCCCCAGGGACC chr20 62578406 62578407 chr20:62578407:C:T rs7260736 C T c EBF1_EBF_1 -9 0 + 0 0 . chr20 62578410 62578411 chr20:62578411:A:C rs4969532 A C a EBF1_EBF_1 -5 0 + 0 0 . chr20 62578621 62578622 chr20:62578622:G:A rs6122006 G A g EBF1_EBF_1 -8 0 - 0 0 . chr20 62581637 62581638 chr20:62581638:G:A rs28448705 G A a EBF1_EBF_1 1 1 + 6.372043548590543 6.11134471666924 CGTCCCCTGGGCCT chr20 62588626 62588627 chr20:62588627:A:G rs28489524 A G g EBF1_EBF_1 -19 0 + 0 0 . chr20 62588650 62588651 chr20:62588651:C:T rs55650047 C T c EBF1_EBF_1 5 1 + 7.430152174027435 2.1083649584867654 ATCCCCGTGAGAAT chr20 62589041 62589042 chr20:62589042:G:A rs112016938 G A g EBF1_EBF_1 -17 0 + 0 0 . chr20 62595705 62595706 chr20:62595706:G:A rs149958428 G A g EBF1_EBF_1 29 0 + 0 0 . chr20 62597749 62597750 chr20:62597750:C:T rs3889473 C T c EBF1_EBF_1 -16 0 - 0 0 . chr20 62606689 62606690 chr20:62606690:G:C rs62199315 G C C EBF1_EBF_1 -6 0 + 0 0 . chr20 62606723 62606724 chr20:62606724:G:A rs118061771 G A g EBF1_EBF_1 28 0 + 0 0 . chr20 62616910 62616911 chr20:62616911:T:C rs879039100 T C T EBF1_EBF_1 28 0 - 0 0 . chr20 62617075 62617076 chr20:62617076:G:A rs878893335 G A A EBF1_EBF_1 6 1 - 7.791117867085875 8.092403995947633 AGTCCCCGGGGCCT chr20 62617088 62617089 chr20:62617089:G:T rs1399147206 G T G EBF1_EBF_1 -7 0 - 0 0 . chr20 62617090 62617091 chr20:62617091:C:A rs966877918 C A C EBF1_EBF_1 -9 0 - 0 0 . chr20 62618419 62618420 chr20:62618420:T:C rs879037192 T C c EBF1_EBF_1 21 0 + 0 0 . chr20 62624462 62624463 chr20:62624463:G:A rs1043151933 G A A EBF1_EBF_1 23 0 + 0 0 . chr20 62624464 62624465 chr20:62624465:A:G rs904104831 A G A EBF1_EBF_1 25 0 + 0 0 . chr20 62652350 62652351 chr20:62652351:G:T rs78277414 G T G EBF1_EBF_1 1 1 - 4.20583387006473 4.134906692712644 ACTCCCCAGGCAGC chr20 62652355 62652356 chr20:62652356:C:T rs114466766 C T C EBF1_EBF_1 -4 0 - 0 0 . chr20 62652616 62652617 chr20:62652617:G:A rs74739089 G A G EBF1_EBF_1 -3 0 - 0 0 . chr20 62659399 62659400 chr20:62659400:G:C rs118091820 G C G EBF1_EBF_1 21 0 - 0 0 . chr20 62688262 62688263 chr20:62688263:A:G rs2427385 A G G EBF1_EBF_1 -8 0 - 0 0 . chr20 62693305 62693306 chr20:62693306:C:T rs16983173 C T C EBF1_EBF_1 33 0 - 0 0 . chr20 62693648 62693649 chr20:62693649:G:A rs16983176 G A G EBF1_EBF_1 -10 0 + 0 0 . chr20 62697325 62697326 chr20:62697326:G:T rs2427397 G T G EBF1_EBF_1 21 0 - 0 0 . chr20 62734931 62734932 chr20:62734932:C:T chr20:62734932:C:T C T C EBF1_EBF_1 28 0 + 0 0 . chr20 62735187 62735188 chr20:62735188:T:C rs78889846 T C C EBF1_EBF_1 33 0 - 0 0 . chr20 62735389 62735390 chr20:62735390:G:A rs116918368 G A G EBF1_EBF_1 -14 0 + 0 0 . chr20 62736779 62736780 chr20:62736780:C:T rs146134945 C T C EBF1_EBF_1 20 0 - 0 0 . chr20 62736810 62736811 chr20:62736811:A:C rs73918661 A C A EBF1_EBF_1 -11 0 - 0 0 . chr20 62744223 62744224 chr20:62744224:G:A rs11907465 G A G EBF1_EBF_1 30 0 + 0 0 . chr20 62751744 62751745 chr20:62751745:T:C rs856933 T C C EBF1_EBF_1 -18 0 + 0 0 . chr20 62754422 62754423 chr20:62754423:A:G rs856936 A G G EBF1_EBF_1 -2 0 - 0 0 . chr20 62754434 62754435 chr20:62754435:A:G rs856937 A G G EBF1_EBF_1 -14 0 - 0 0 . chr20 62770669 62770670 chr20:62770670:T:C rs6122231 T C C EBF1_EBF_1 19 0 - 0 0 . chr20 62770727 62770728 chr20:62770728:C:A rs79027020 C A C EBF1_EBF_1 19 0 - 0 0 . chr20 62771560 62771561 chr20:62771561:C:T rs6062611 C T c EBF1_EBF_1 22 0 - 0 0 . chr20 62773988 62773989 chr20:62773989:C:T rs75660364 C T C EBF1_EBF_1 -8 0 + 0 0 . chr20 62788925 62788926 chr20:62788926:A:G rs708627 A G G EBF1_EBF_1 2 1 - 6.1805115274906175 4.2916881160484674 GATCCCAAGAGGCT chr20 62791553 62791554 chr20:62791554:T:C rs74955161 T C T EBF1_EBF_1 25 0 - 0 0 . chr20 62791554 62791555 chr20:62791555:C:G rs118008022 C G C EBF1_EBF_1 24 0 - 0 0 . chr20 62791579 62791580 chr20:62791580:G:A rs150361394 G A G EBF1_EBF_1 -1 0 - 0 0 . chr20 62797061 62797062 chr20:62797062:T:G rs62198430 T G G EBF1_EBF_1 32 0 + 0 0 . chr20 62819337 62819338 chr20:62819338:G:A rs369434341 G A G EBF1_EBF_1 14 0 - 0 0 . chr20 62819979 62819980 chr20:62819980:C:T rs61734651 C T C EBF1_EBF_1 0 1 - 8.221969479016277 10.11425334858111 GTTCCCCAGGGGCA chr20 62820585 62820586 chr20:62820586:G:T rs3818000 G T G EBF1_EBF_1 -3 0 - 0 0 . chr20 62824470 62824471 chr20:62824471:C:T rs150148851 C T C EBF1_EBF_1 4 1 + 5.183636662611727 0.8142497176668031 GTCCCCCAGGGCCC chr20 62825939 62825940 chr20:62825940:A:G rs79659176 A G A EBF1_EBF_1 -4 0 + 0 0 . chr20 62827962 62827963 chr20:62827963:C:T rs45628843 C T C EBF1_EBF_1 -18 0 - 0 0 . chr20 62828736 62828737 chr20:62828737:C:T rs55771770 C T C EBF1_EBF_1 -18 0 + 0 0 . chr20 62828754 62828755 chr20:62828755:T:C rs74506912 T C T EBF1_EBF_1 0 1 + 7.160701247830004 6.878499187099426 TGTCCCCAGGGCAT chr20 62828771 62828772 chr20:62828772:G:A rs2249903 G A G EBF1_EBF_1 17 0 + 0 0 . chr20 62831149 62831150 chr20:62831150:G:C rs66658258 G C G EBF1_EBF_1 21 0 - 0 0 . chr20 62831156 62831157 chr20:62831157:A:G rs114814657 A G A EBF1_EBF_1 14 0 - 0 0 . chr20 62836684 62836685 chr20:62836685:C:G rs1997839 C G C EBF1_EBF_1 -7 0 - 0 0 . chr20 62857432 62857433 chr20:62857433:T:C rs2294996 T C C EBF1_EBF_1 -10 0 + 0 0 . chr20 62873954 62873955 chr20:62873955:G:A rs111387524 G A g EBF1_EBF_1 31 0 - 0 0 . chr20 62890193 62890194 chr20:62890194:T:C rs2273084 T C T EBF1_EBF_1 27 0 - 0 0 . chr20 62891472 62891473 chr20:62891473:G:A rs7265084 G A G EBF1_EBF_1 -13 0 + 0 0 . chr20 62920490 62920491 chr20:62920491:A:G chr20:62920491:A:G A G A EBF1_EBF_1 -3 0 + 0 0 . chr20 62920497 62920498 chr20:62920498:C:T rs6090166 C T C EBF1_EBF_1 4 1 + 5.90831409614469 1.5389271511997662 TGCCCCAAGGGGCA chr20 62926015 62926016 chr20:62926016:T:A rs1206628720 T A T EBF1_EBF_1 2 1 + 6.544302653602162 2.8598350660032854 AATGCCTAGGGAAA chr20 62935723 62935724 chr20:62935724:C:T rs998086 C T T EBF1_EBF_1 -7 0 + 0 0 . chr20 62935753 62935754 chr20:62935754:T:C rs147998971 T C T EBF1_EBF_1 23 0 + 0 0 . chr20 62953962 62953963 chr20:62953963:C:T chr20:62953963:C:T C T C EBF1_EBF_1 -12 0 + 0 0 . chr20 62954004 62954005 chr20:62954005:G:A rs370745853 G A G EBF1_EBF_1 30 0 + 0 0 . chr20 62978266 62978267 chr20:62978267:G:A rs6122339 G A G EBF1_EBF_1 -4 0 + 0 0 . chr20 62980981 62980982 chr20:62980982:C:A rs80114352 C A C EBF1_EBF_1 16 0 - 0 0 . chr20 63022047 63022048 chr20:63022048:C:T rs142847906 C T C EBF1_EBF_1 -2 0 + 0 0 . chr20 63022077 63022078 chr20:63022078:A:G rs4141974 A G G EBF1_EBF_1 28 0 + 0 0 . chr20 63027837 63027838 chr20:63027838:C:T rs180680099 C T C EBF1_EBF_1 27 0 + 0 0 . chr20 63029327 63029328 chr20:63029328:T:C rs4809454 T C T EBF1_EBF_1 19 0 + 0 0 . chr20 63029352 63029353 chr20:63029353:T:C rs62197308 T C T EBF1_EBF_1 13 1 + 4.917513416439687 4.073019301854169 GGTCCCCCGGGCCT chr20 63030385 63030386 chr20:63030386:C:T rs6122344 C T C EBF1_EBF_1 14 0 - 0 0 . chr20 63030413 63030414 chr20:63030414:C:G rs6122345 C G C EBF1_EBF_1 -14 0 - 0 0 . chr20 63044267 63044268 chr20:63044268:C:T rs6011559 C T - EBF1_EBF_1 29 0 + 0 0 . chr20 63053452 63053453 chr20:63053453:G:T rs2281551 G T G EBF1_EBF_1 28 0 + 0 0 . chr20 63053500 63053501 chr20:63053501:C:T rs949746794 C T C EBF1_EBF_1 16 0 + 0 0 . chr20 63055674 63055675 chr20:63055675:A:G rs6011567 A G G EBF1_EBF_1 11 1 + 6.9092011551287 4.0164943115246885 CCTCCCACGGGAGC chr20 63060908 63060909 chr20:63060909:C:T rs12625245 C T C EBF1_EBF_1 22 0 - 0 0 . chr20 63062382 63062383 chr20:63062383:G:A rs78029770 G A G EBF1_EBF_1 5 1 - 5.940559259166941 0.6187720436262709 AGCCTCCTGGGACC chr20 63066051 63066052 chr20:63066052:G:A rs74997996 G A G EBF1_EBF_1 -2 0 - 0 0 . chr20 63067488 63067489 chr20:63067489:G:A rs79277914 G A G EBF1_EBF_1 -5 0 + 0 0 . chr20 63069932 63069933 chr20:63069933:C:T rs557518182 C T C EBF1_EBF_1 -17 0 + 0 0 . chr20 63076582 63076583 chr20:63076583:A:G rs7267056 A G A EBF1_EBF_1 -18 0 + 0 0 . chr20 63084104 63084105 chr20:63084105:G:A rs74929126 G A A EBF1_EBF_1 21 0 - 0 0 . chr20 63103894 63103895 chr20:63103895:C:T rs910931 C T C EBF1_EBF_1 28 0 - 0 0 . chr20 63104208 63104209 chr20:63104209:G:T rs113989845 G T G EBF1_EBF_1 26 0 + 0 0 . chr20 63113089 63113090 chr20:63113090:G:A rs193028723 G A g EBF1_EBF_1 -12 0 + 0 0 . chr20 63114336 63114337 chr20:63114337:G:A rs4809488 G A A EBF1_EBF_1 22 0 + 0 0 . chr20 63119467 63119468 chr20:63119468:G:A rs6089839 G A G EBF1_EBF_1 16 0 - 0 0 . chr20 63126159 63126160 chr20:63126160:T:A rs6011626 T A A EBF1_EBF_1 -5 0 + 0 0 . chr20 63126182 63126183 chr20:63126183:T:C rs2427518 T C C EBF1_EBF_1 18 0 + 0 0 . chr20 63129731 63129732 chr20:63129732:A:G rs4809494 A G G EBF1_EBF_1 1 1 + 7.491562104367513 7.752260936288815 CATCCCCCGGGACA chr20 63133070 63133071 chr20:63133071:G:A rs2427522 G A A EBF1_EBF_1 1 1 + 6.30695648356328 6.046257651641977 CGTCCCCAGAGAAG chr20 63133114 63133115 chr20:63133115:G:A rs76810442 G A G EBF1_EBF_1 13 1 + 7.2837229998332385 8.398048571902677 CTTCCCTAGAGACG chr20 63133115 63133116 chr20:63133116:C:G rs7262013 C G G EBF1_EBF_1 14 0 + 0 0 . chr20 63141405 63141406 chr20:63141406:T:C rs1997989 T C C EBF1_EBF_1 7 1 + 5.516569310120009 3.699064563837385 ACTGCCATGGGATC chr20 63142602 63142603 chr20:63142603:T:G rs62207365 T G t EBF1_EBF_1 30 0 - 0 0 . chr20 63155996 63155997 chr20:63155997:C:T rs1884337 C T T EBF1_EBF_1 3 1 + 6.738478620844994 0.33307987929167554 AGCCCCCTGGGCCT chr20 63175709 63175710 chr20:63175710:C:T rs6062834 C T C EBF1_EBF_1 -19 0 - 0 0 . chr20 63230621 63230622 chr20:63230622:T:C rs6011684 T C C EBF1_EBF_1 22 0 + 0 0 . chr20 63235163 63235164 chr20:63235164:G:T rs75320186 G T G EBF1_EBF_1 5 1 - 6.463848117270584 -0.4410330353645835 GAACCCCAGGGACA chr20 63235495 63235496 chr20:63235496:T:C rs181943595 T C T EBF1_EBF_1 7 1 - 6.282059545430666 4.96960114014184 TGCCCCAAGGGGCC chr20 63247390 63247391 chr20:63247391:G:A rs139812644 G A G EBF1_EBF_1 -8 0 + 0 0 . chr20 63256202 63256203 chr20:63256203:G:A rs910894 G A A EBF1_EBF_1 -15 0 - 0 0 . chr20 63259844 63259845 chr20:63259845:G:A rs766021261 G A G EBF1_EBF_1 22 0 - 0 0 . chr20 63261272 63261273 chr20:63261273:C:T rs1884819 C T C EBF1_EBF_1 17 0 + 0 0 . chr20 63265671 63265672 chr20:63265672:G:A rs6089870 G A G EBF1_EBF_1 5 1 - 8.97756792260354 3.6557807070628705 GGTCCCGAGGGACC chr20 63268828 63268829 chr20:63268829:C:T rs911063 C T C EBF1_EBF_1 -17 0 + 0 0 . chr20 63268843 63268844 chr20:63268844:G:A rs75159857 G A G EBF1_EBF_1 -2 0 + 0 0 . chr20 63272806 63272807 chr20:63272807:G:A rs999192639 G A g EBF1_EBF_1 28 0 + 0 0 . chr20 63291470 63291471 chr20:63291471:C:T rs543220935 C T c EBF1_EBF_1 15 0 + 0 0 . chr20 63297441 63297442 chr20:63297442:C:T rs9917458 C T c EBF1_EBF_1 -15 0 - 0 0 . chr20 63306070 63306071 chr20:63306071:G:A rs185041287 G A G EBF1_EBF_1 6 1 - 7.107110161224844 7.408396290086602 CCTCCCCGGAGACT chr20 63309182 63309183 chr20:63309183:C:T rs6062884 C T C EBF1_EBF_1 13 1 + 7.144392811091546 7.988886925677064 AACCCCTCGGGAGC chr20 63309926 63309927 chr20:63309927:G:A rs6010896 G A G EBF1_EBF_1 24 0 + 0 0 . chr20 63310825 63310826 chr20:63310826:G:T rs6011727 G T G EBF1_EBF_1 -8 0 + 0 0 . chr20 63310857 63310858 chr20:63310858:C:T rs77162800 C T C EBF1_EBF_1 24 0 + 0 0 . chr20 63313318 63313319 chr20:63313319:C:G rs181359490 C G C EBF1_EBF_1 -12 0 - 0 0 . chr20 63313326 63313327 chr20:63313327:A:G rs139241158 A G A EBF1_EBF_1 -20 0 - 0 0 . chr20 63314406 63314407 chr20:63314407:A:G rs755041 A G G EBF1_EBF_1 13 1 + 8.637573247240201 7.523247675170762 ATTCTCCAGGGACA chr20 63314663 63314664 chr20:63314664:T:G rs911068 T G G EBF1_EBF_1 -8 0 - 0 0 . chr20 63314673 63314674 chr20:63314674:A:G rs117624667 A G a EBF1_EBF_1 -18 0 - 0 0 . chr20 63321339 63321340 chr20:63321340:G:A rs548168827 G A G EBF1_EBF_1 27 0 + 0 0 . chr20 63325251 63325252 chr20:63325252:G:A rs6089883 G A A EBF1_EBF_1 21 0 - 0 0 . chr20 63343731 63343732 chr20:63343732:A:G rs45620536 A G G EBF1_EBF_1 25 0 + 0 0 . chr20 63343738 63343739 chr20:63343739:A:G rs45600338 A G G EBF1_EBF_1 32 0 + 0 0 . chr20 63345662 63345663 chr20:63345663:C:T rs41283008 C T C EBF1_EBF_1 26 0 + 0 0 . chr20 63352779 63352780 chr20:63352780:A:G rs6011778 A G A EBF1_EBF_1 7 1 + 5.138709490233625 3.8262510849447984 CTCCCCCAGGGTCC chr20 63358305 63358306 chr20:63358306:G:C rs45623037 G C G EBF1_EBF_1 12 1 - 6.7525918844549855 5.363955940776834 ACACCCCAGGGGCT chr20 63361541 63361542 chr20:63361542:G:A rs12624510 G A g EBF1_EBF_1 32 0 - 0 0 . chr20 63367531 63367532 chr20:63367532:C:T rs62206953 C T T EBF1_EBF_1 18 0 - 0 0 . chr20 63368416 63368417 chr20:63368417:G:A rs6122430 G A G EBF1_EBF_1 10 1 + 6.990013661391967 1.6719852021250015 CCTCCCTAGGGCAT chr20 63368849 63368850 chr20:63368850:T:C rs735501 T C T EBF1_EBF_1 -10 0 + 0 0 . chr20 63376838 63376839 chr20:63376839:A:G rs34938277 A G G EBF1_EBF_1 22 0 + 0 0 . chr20 63386645 63386646 chr20:63386646:G:C rs12625056 G C g EBF1_EBF_1 -2 0 - 0 0 . chr20 63391772 63391773 chr20:63391773:C:T rs8120028 C T c EBF1_EBF_1 18 0 - 0 0 . chr20 63391776 63391777 chr20:63391777:C:T rs79943078 C T c EBF1_EBF_1 14 0 - 0 0 . chr20 63404201 63404202 chr20:63404202:C:A rs552845012 C A C EBF1_EBF_1 24 0 - 0 0 . chr20 63407512 63407513 chr20:63407513:G:C rs3746362 G C G EBF1_EBF_1 -11 0 + 0 0 . chr20 63421845 63421846 chr20:63421846:G:A rs4809555 G A A EBF1_EBF_1 18 0 + 0 0 . chr20 63440304 63440305 chr20:63440305:G:A rs932961 G A . EBF1_EBF_1 18 0 + 0 0 . chr20 63441880 63441881 chr20:63441881:G:A rs11907614 G A . EBF1_EBF_1 -15 0 + 0 0 . chr20 63456984 63456985 chr20:63456985:G:A rs6090422 G A G EBF1_EBF_1 16 0 + 0 0 . chr20 63457918 63457919 chr20:63457919:G:A rs144990458 G A G EBF1_EBF_1 28 0 - 0 0 . chr20 63481866 63481867 chr20:63481867:C:T rs62209269 C T c EBF1_EBF_1 33 0 - 0 0 . chr20 63483260 63483261 chr20:63483261:C:T rs117561364 C T c EBF1_EBF_1 31 0 + 0 0 . chr20 63484289 63484290 chr20:63484290:A:G rs1741623 A G g EBF1_EBF_1 2 1 + 5.70965645479971 2.6457983521981183 GGACCCCAGGGAGC chr20 63486533 63486534 chr20:63486534:G:A rs67227039 G A G EBF1_EBF_1 -6 0 + 0 0 . chr20 63493879 63493880 chr20:63493880:A:G rs310614 A G G EBF1_EBF_1 25 0 + 0 0 . chr20 63498570 63498571 chr20:63498571:C:A rs56300533 C A C EBF1_EBF_1 -20 0 - 0 0 . chr20 63499465 63499466 chr20:63499466:G:A rs117787254 G A G EBF1_EBF_1 -9 0 - 0 0 . chr20 63505292 63505293 chr20:63505293:A:G rs57582687 A G G EBF1_EBF_1 13 1 - 6.478940121653556 5.634446007068038 ACTCACCAGGGATT chr20 63505920 63505921 chr20:63505921:T:C rs60014702 T C C EBF1_EBF_1 -1 0 - 0 0 . chr20 63508571 63508572 chr20:63508572:G:A rs80277060 G A G EBF1_EBF_1 -6 0 + 0 0 . chr20 63534799 63534800 chr20:63534800:G:A rs138866612 G A G EBF1_EBF_1 -17 0 - 0 0 . chr20 63536416 63536417 chr20:63536417:C:T rs385261 C T C EBF1_EBF_1 17 0 - 0 0 . chr20 63539336 63539337 chr20:63539337:G:A rs310649 G A G EBF1_EBF_1 7 1 + 5.023281605347309 6.335740010636135 CTTCCCCGGGGCCA chr20 63539944 63539945 chr20:63539945:G:T rs310650 G T G EBF1_EBF_1 33 0 - 0 0 . chr20 63551401 63551402 chr20:63551402:G:A rs310611 G A A EBF1_EBF_1 7 1 - 8.664272171061299 10.481776917343922 ACCCCCACGGGACC chr20 63552923 63552924 chr20:63552924:C:A rs578215440 C A C EBF1_EBF_1 19 0 + 0 0 . chr20 63555234 63555235 chr20:63555235:G:A rs141702399 G A G EBF1_EBF_1 22 0 + 0 0 . chr20 63558991 63558992 chr20:63558992:G:A rs310627 G A G EBF1_EBF_1 27 0 + 0 0 . chr20 63572596 63572597 chr20:63572597:C:T rs6089926 C T C EBF1_EBF_1 -5 0 - 0 0 . chr20 63572606 63572607 chr20:63572607:A:G rs13042172 A G G EBF1_EBF_1 -15 0 - 0 0 . chr20 63573146 63573147 chr20:63573147:T:C rs115691948 T C T EBF1_EBF_1 9 1 - 5.175266231822932 7.830205497270537 CCTCCCTGGAGAGC chr20 63580757 63580758 chr20:63580758:T:C rs531915984 T C T EBF1_EBF_1 -20 0 - 0 0 . chr20 63589231 63589232 chr20:63589232:G:C rs6089931 G C G EBF1_EBF_1 -17 0 - 0 0 . chr20 63594354 63594355 chr20:63594355:G:T rs945325666 G T G EBF1_EBF_1 31 0 - 0 0 . chr20 63594356 63594357 chr20:63594357:G:A rs75108507 G A G EBF1_EBF_1 29 0 - 0 0 . chr20 63602284 63602285 chr20:63602285:G:A rs35897249 G A G EBF1_EBF_1 -19 0 - 0 0 . chr20 63618048 63618049 chr20:63618049:C:T rs4809586 C T C EBF1_EBF_1 24 0 - 0 0 . chr20 63618053 63618054 chr20:63618054:C:A rs6010973 C A C EBF1_EBF_1 19 0 - 0 0 . chr20 63626668 63626669 chr20:63626669:G:A rs140195658 G A G EBF1_EBF_1 9 1 + 4.791481782187671 2.136542516740067 GTCCCCCCGGGATG chr20 63638485 63638486 chr20:63638486:T:C rs2738775 T C C EBF1_EBF_1 31 0 - 0 0 . chr20 63639283 63639284 chr20:63639284:T:G rs909333 T G T EBF1_EBF_1 31 0 + 0 0 . chr20 63642775 63642776 chr20:63642776:C:T rs560566057 C T C EBF1_EBF_1 7 1 - 9.1479142635966 10.460372668885425 CATCCCTGGGGACC chr20 63651467 63651468 chr20:63651468:C:T rs76636901 C T C EBF1_EBF_1 -4 0 + 0 0 . chr20 63652040 63652041 chr20:63652041:C:G rs138633585 C G C EBF1_EBF_1 -3 0 - 0 0 . chr20 63653102 63653103 chr20:63653103:C:A rs543122942 C A C EBF1_EBF_1 33 0 + 0 0 . chr20 63659805 63659806 chr20:63659806:C:T rs879901219 C T C EBF1_EBF_1 0 1 - 5.890524048136792 7.782807917701624 GGTCCCCTGGGCCC chr20 63659820 63659821 chr20:63659821:A:G rs6062295 A G a EBF1_EBF_1 -15 0 - 0 0 . chr20 63665327 63665328 chr20:63665328:A:G rs73920933 A G G EBF1_EBF_1 0 1 + 9.434943754054697 7.542659884489861 ACTCCCTAAGGAAT chr20 63697745 63697746 chr20:63697746:G:A rs6062496 G A G EBF1_EBF_1 9 1 + 6.836815526227131 4.181876260779527 CTCCCCTGGGGAGC chr20 63700977 63700978 chr20:63700978:G:A rs370932164 G A G EBF1_EBF_1 -15 0 - 0 0 . chr20 63706269 63706270 chr20:63706270:A:G rs1291211 A G G EBF1_EBF_1 29 0 + 0 0 . chr20 63737546 63737547 chr20:63737547:A:G rs183892851 A G A EBF1_EBF_1 23 0 + 0 0 . chr20 63785853 63785854 chr20:63785854:A:G rs117908255 A G A EBF1_EBF_1 -11 0 + 0 0 . chr20 63796349 63796350 chr20:63796350:G:A rs75004420 G A G EBF1_EBF_1 -13 0 + 0 0 . chr20 63796384 63796385 chr20:63796385:G:T rs1000911419 G T G EBF1_EBF_1 22 0 + 0 0 . chr20 63808328 63808329 chr20:63808329:T:C rs11698072 T C T EBF1_EBF_1 13 1 - 4.714236290193558 3.599910718124119 AGCCCCCGGGGCAA chr20 63808548 63808549 chr20:63808549:C:T rs11699646 C T C EBF1_EBF_1 -20 0 + 0 0 . chr20 63809383 63809384 chr20:63809384:C:T rs6011139 C T C EBF1_EBF_1 9 1 - 6.8767140354361755 4.221774769988572 GCTCCCTTGGGCAT chr20 63818095 63818096 chr20:63818096:C:T rs6011152 C T T EBF1_EBF_1 -13 0 + 0 0 . chr20 63837177 63837178 chr20:63837178:C:T rs79873740 C T C EBF1_EBF_1 -19 0 + 0 0 . chr20 63837802 63837803 chr20:63837803:G:A rs766119392 G A G EBF1_EBF_1 20 0 - 0 0 . chr20 63837830 63837831 chr20:63837831:G:T rs142066038 G T G EBF1_EBF_1 -8 0 - 0 0 . chr20 63856597 63856598 chr20:63856598:G:A rs6011187 G A . EBF1_EBF_1 21 0 + 0 0 . chr20 63858900 63858901 chr20:63858901:G:T rs113873148 G T . EBF1_EBF_1 -12 0 + 0 0 . chr20 63864938 63864939 chr20:63864939:G:A rs143717710 G A G EBF1_EBF_1 -4 0 + 0 0 . chr20 63864945 63864946 chr20:63864946:C:T rs771141698 C T C EBF1_EBF_1 3 1 + 7.153080070463868 0.7476813289105497 GATCCCCTGGGGCC chr20 63865644 63865645 chr20:63865645:C:T rs547754579 C T C EBF1_EBF_1 32 0 + 0 0 . chr20 63895658 63895659 chr20:63895659:C:T rs112892590 C T C EBF1_EBF_1 -3 0 + 0 0 . chr20 63895664 63895665 chr20:63895665:C:T chr20:63895665:C:T C T C EBF1_EBF_1 3 1 + 6.0305702428281736 -0.37482849872514556 ACCCCCCGGGGTCT chr20 63901775 63901776 chr20:63901776:G:C rs817372 G C G EBF1_EBF_1 30 0 + 0 0 . chr20 63917871 63917872 chr20:63917872:C:T rs6062586 C T C EBF1_EBF_1 29 0 - 0 0 . chr20 63932673 63932674 chr20:63932674:T:G rs11554629 T G T EBF1_EBF_1 19 0 - 0 0 . chr20 63932870 63932871 chr20:63932871:C:T rs41278214 C T C EBF1_EBF_1 -16 0 - 0 0 . chr20 63941620 63941621 chr20:63941621:C:T rs572967472 C T C EBF1_EBF_1 30 0 + 0 0 . chr20 63948296 63948297 chr20:63948297:G:A rs6062594 G A A EBF1_EBF_1 28 0 + 0 0 . chr20 63957689 63957690 chr20:63957690:T:C rs188314551 T C T EBF1_EBF_1 -6 0 + 0 0 . chr20 63957705 63957706 chr20:63957706:G:T rs115193470 G T G EBF1_EBF_1 10 1 + 4.808518966995262 0.6257667807679967 CTCCCCAAGGGCCA chr20 63963815 63963816 chr20:63963816:A:G rs817325 A G G EBF1_EBF_1 -1 0 + 0 0 . chr20 63970307 63970308 chr20:63970308:C:T rs571759843 C T c EBF1_EBF_1 1 1 - 4.1042294274962225 3.8435305955749213 GGCCCCCAGGGCCA chr20 63972847 63972848 chr20:63972848:T:C rs817338 T C C EBF1_EBF_1 -18 0 - 0 0 . chr20 63980902 63980903 chr20:63980903:G:C rs150451923 G C G EBF1_EBF_1 -9 0 + 0 0 . chr20 63980927 63980928 chr20:63980928:T:C rs551768202 T C T EBF1_EBF_1 16 0 + 0 0 . chr20 64004785 64004786 chr20:64004786:C:T rs75100087 C T C EBF1_EBF_1 -4 0 - 0 0 . chr20 64019963 64019964 chr20:64019964:C:G rs816923 C G G EBF1_EBF_1 0 1 - 6.341457043953784 5.978482429822017 GTTCCCAGAGGAAC chr20 64029920 64029921 chr20:64029921:T:C rs73916684 T C - EBF1_EBF_1 16 0 + 0 0 . chr20 64054005 64054006 chr20:64054006:G:C rs548206638 G C G EBF1_EBF_1 17 0 - 0 0 . chr20 64054018 64054019 chr20:64054019:G:T rs111894564 G T G EBF1_EBF_1 4 1 - 5.692746826221255 -0.13181279723221395 CTTCCCATGGAACC chr20 64065132 64065133 chr20:64065133:G:T rs58269830 G T T EBF1_EBF_1 -14 0 - 0 0 . chr20 64079787 64079788 chr20:64079788:C:T rs552993555 C T C EBF1_EBF_1 -20 0 - 0 0 . chr20 64081515 64081516 chr20:64081516:C:G rs75074210 C G C EBF1_EBF_1 -13 0 + 0 0 . chr20 64102495 64102496 chr20:64102496:G:A rs372174018 G A G EBF1_EBF_1 6 1 - 9.199757372817507 9.501043501679264 TCTCCCCGGGGACC chr20 64112022 64112023 chr20:64112023:G:A rs185566709 G A G EBF1_EBF_1 13 1 - 4.810468616504763 5.654962731090281 AGCCCCACGAGAGC chr20 64112613 64112614 chr20:64112614:G:A rs557614644 G A G EBF1_EBF_1 32 0 + 0 0 . chr20 64113022 64113023 chr20:64113023:C:T rs77734712 C T C EBF1_EBF_1 21 0 - 0 0 . chr20 64113041 64113042 chr20:64113042:G:T rs181366186 G T G EBF1_EBF_1 2 1 - 6.415006319043196 4.6193621428864695 CTCCCCTGGAGACT chr20 64134262 64134263 chr20:64134263:G:A rs6010729 G A G EBF1_EBF_1 19 0 - 0 0 . chr20 64147648 64147649 chr20:64147649:G:A rs376991364 G A G EBF1_EBF_1 17 0 - 0 0 . chr20 64160731 64160732 chr20:64160732:G:A rs114192273 G A G EBF1_EBF_1 3 1 - 8.514942374901064 2.109543633347749 TTTCCCCAGGGGCC chr20 64160999 64161000 chr20:64161000:C:T rs13041026 C T c EBF1_EBF_1 32 0 - 0 0 . chr20 64164453 64164454 chr20:64164454:C:T rs772583444 C T C EBF1_EBF_1 22 0 + 0 0 . chr20 64222620 64222621 chr20:64222621:C:T rs138597061 C T C EBF1_EBF_1 1 1 - 7.598734077381778 7.338035245460476 AGTCCCCTAGGACA chr20 64225424 64225425 chr20:64225425:C:G rs1810581 C G C EBF1_EBF_1 27 0 + 0 0 . chr20 64254308 64254309 chr20:64254309:G:A rs140717115 G A G EBF1_EBF_1 28 0 - 0 0 . chr20 64255612 64255613 chr20:64255613:G:A chr20:64255613:G:A G A G EBF1_EBF_1 26 0 - 0 0 . chr20 64255617 64255618 chr20:64255618:G:C rs990579860 G C G EBF1_EBF_1 21 0 - 0 0 . chr20 64255620 64255621 chr20:64255621:G:A rs908694848 G A G EBF1_EBF_1 18 0 - 0 0 . chr20 64255651 64255652 chr20:64255652:G:A chr20:64255652:G:A G A G EBF1_EBF_1 -13 0 - 0 0 . chr20 64255658 64255659 chr20:64255659:C:T rs60461814 C T C EBF1_EBF_1 -20 0 - 0 0 . chr20 64280174 64280175 chr20:64280175:A:T rs1028005339 A T . EBF1_EBF_1 19 0 - 0 0 . chr21 5035657 5035658 chr21:5035658:C:T rs1305175793 C T C EBF1_EBF_1 -5 0 - 0 0 . chr21 5119431 5119432 chr21:5119432:G:T rs1233830558 G T G EBF1_EBF_1 -14 0 + 0 0 . chr21 5232614 5232615 chr21:5232615:T:C rs1227016340 T C . EBF1_EBF_1 -14 0 - 0 0 . chr21 6086643 6086644 chr21:6086644:C:T rs1306633142 C T C EBF1_EBF_1 20 0 - 0 0 . chr21 6374472 6374473 chr21:6374473:G:C rs1284340999 G C . EBF1_EBF_1 29 0 - 0 0 . chr21 6508943 6508944 chr21:6508944:G:A rs1246743638 G A G EBF1_EBF_1 -6 0 - 0 0 . chr21 6508956 6508957 chr21:6508957:G:A rs1305010982 G A G EBF1_EBF_1 -19 0 - 0 0 . chr21 6564883 6564884 chr21:6564884:G:A rs1369325247 G A . EBF1_EBF_1 4 1 - 6.166498859130729 1.7971119141858056 ACCCCCGAGAGAAC chr21 8397724 8397725 chr21:8397725:C:T rs1271134220 C T . EBF1_EBF_1 30 0 - 0 0 . chr21 8690107 8690108 chr21:8690108:T:A rs569967254 T A . EBF1_EBF_1 -2 0 + 0 0 . chr21 8690132 8690133 chr21:8690133:A:C rs147745157 A C . EBF1_EBF_1 23 0 + 0 0 . chr21 8758938 8758939 chr21:8758939:T:C rs1221630681 T C . EBF1_EBF_1 33 0 - 0 0 . chr21 8761142 8761143 chr21:8761143:A:G rs79844228 A G . EBF1_EBF_1 7 1 - 5.4749781105380455 3.657473364255422 TTCCCCGTGGGAGA chr21 8990541 8990542 chr21:8990542:G:A rs77182515 G A . EBF1_EBF_1 -10 0 - 0 0 . chr21 8990707 8990708 chr21:8990708:G:A rs77496773 G A . EBF1_EBF_1 -13 0 + 0 0 . chr21 8990739 8990740 chr21:8990740:C:T chr21:8990740:C:T C T . EBF1_EBF_1 19 0 + 0 0 . chr21 8991259 8991260 chr21:8991260:T:G rs76442253 T G . EBF1_EBF_1 1 1 + 6.791388128783515 6.085726342953986 ATACCCAAGGGGCA chr21 8991946 8991947 chr21:8991947:C:T rs375758116 C T . EBF1_EBF_1 4 1 + 7.014589129073799 2.645202184128875 ATCCCTCAGGGACT chr21 8991965 8991966 chr21:8991966:G:A rs761279331 G A . EBF1_EBF_1 23 0 + 0 0 . chr21 8992070 8992071 chr21:8992071:C:T rs71260488 C T . EBF1_EBF_1 -19 0 + 0 0 . chr21 8992079 8992080 chr21:8992080:C:G rs374955643 C G . EBF1_EBF_1 -10 0 + 0 0 . chr21 8992092 8992093 chr21:8992093:C:T rs71235804 C T . EBF1_EBF_1 3 1 + 11.154440318697382 4.749041577144065 AGCCCCCTGGGACT chr21 8994277 8994278 chr21:8994278:T:C rs75451442 T C . EBF1_EBF_1 -11 0 + 0 0 . chr21 8994278 8994279 chr21:8994279:C:T rs75496689 C T . EBF1_EBF_1 -10 0 + 0 0 . chr21 8994299 8994300 chr21:8994300:T:G rs996155485 T G . EBF1_EBF_1 11 1 + 8.939227334507724 10.144434747002437 ATTCCCCAGGGTAA chr21 8994302 8994303 chr21:8994303:T:C rs71186715 T C . EBF1_EBF_1 14 0 + 0 0 . chr21 8994310 8994311 chr21:8994311:T:A rs369719683 T A . EBF1_EBF_1 22 0 + 0 0 . chr21 8994312 8994313 chr21:8994313:C:T rs377682567 C T . EBF1_EBF_1 24 0 + 0 0 . chr21 9011683 9011684 chr21:9011684:C:T rs4082423 C T . EBF1_EBF_1 -2 0 + 0 0 . chr21 9013258 9013259 chr21:9013259:A:G rs912839184 A G . EBF1_EBF_1 16 0 + 0 0 . chr21 9013989 9013990 chr21:9013990:G:A rs796731773 G A . EBF1_EBF_1 4 1 - 6.641155171344363 2.271768226399439 GTCCCCACGGGATC chr21 9018807 9018808 chr21:9018808:C:T rs868551967 C T . EBF1_EBF_1 -18 0 - 0 0 . chr21 9027212 9027213 chr21:9027213:G:A rs769103989 G A g EBF1_EBF_1 -8 0 - 0 0 . chr21 9028876 9028877 chr21:9028877:C:T rs376072718 C T c EBF1_EBF_1 -12 0 + 0 0 . chr21 9028899 9028900 chr21:9028900:A:G rs377341783 A G a EBF1_EBF_1 11 1 + 6.508356263038317 3.6156494194343063 GTTCACCAGGGACT chr21 9028902 9028903 chr21:9028903:G:T rs370976757 G T g EBF1_EBF_1 14 0 + 0 0 . chr21 9034693 9034694 chr21:9034694:C:T rs71235894 C T c EBF1_EBF_1 33 0 - 0 0 . chr21 9034697 9034698 chr21:9034698:C:T rs1184318430 C T c EBF1_EBF_1 29 0 - 0 0 . chr21 9035006 9035007 chr21:9035007:G:A rs74453670 G A g EBF1_EBF_1 1 1 + 5.256020774945648 4.9953219430243445 AGTCCCCAGGTGAA chr21 9035387 9035388 chr21:9035388:C:A rs879118780 C A c EBF1_EBF_1 -20 0 - 0 0 . chr21 9036948 9036949 chr21:9036949:T:C rs375039036 T C t EBF1_EBF_1 27 0 - 0 0 . chr21 9038401 9038402 chr21:9038402:G:A rs796924313 G A g EBF1_EBF_1 15 0 + 0 0 . chr21 9039662 9039663 chr21:9039663:A:G rs78699288 A G N EBF1_EBF_1 -6 0 - 0 0 . chr21 9039673 9039674 chr21:9039674:C:T rs79772171 C T c EBF1_EBF_1 -17 0 - 0 0 . chr21 9040252 9040253 chr21:9040253:C:T rs374763945 C T c EBF1_EBF_1 6 1 + 4.491201536945005 4.792487665806762 CTTCCTCGGGGACC chr21 9040785 9040786 chr21:9040786:C:T rs867917618 C T c EBF1_EBF_1 -6 0 + 0 0 . chr21 9040807 9040808 chr21:9040808:C:G rs143410973 C G c EBF1_EBF_1 16 0 + 0 0 . chr21 9042253 9042254 chr21:9042254:G:A rs374286410 G A g EBF1_EBF_1 21 0 - 0 0 . chr21 9042274 9042275 chr21:9042275:T:G rs76490666 T G t EBF1_EBF_1 0 1 - 5.1177101714418205 2.862451687745221 AACCCCAAGGGGGG chr21 9302437 9302438 chr21:9302438:C:G chr21:9302438:C:G C G . EBF1_EBF_1 9 1 - 8.16002309097274 3.084333944627274 GCCCCCCAGGGAGT chr21 9576982 9576983 chr21:9576983:T:A rs1363669823 T A N EBF1_EBF_1 11 1 - 4.958200362623272 0.860286106524546 GGTCACCAGGGACC chr21 9588528 9588529 chr21:9588529:G:A rs1367359240 G A g EBF1_EBF_1 -20 0 + 0 0 . chr21 9689837 9689838 chr21:9689838:C:T rs1283854468 C T . EBF1_EBF_1 17 0 + 0 0 . chr21 9739446 9739447 chr21:9739447:T:C rs28371988 T C . EBF1_EBF_1 16 0 - 0 0 . chr21 9763417 9763418 chr21:9763418:G:A rs1357991996 G A . EBF1_EBF_1 3 1 - 4.974356880886997 -1.4310418606663187 GCACCCCTGGGAAG chr21 9775091 9775092 chr21:9775092:A:G rs2763742 A G . EBF1_EBF_1 19 0 + 0 0 . chr21 9811492 9811493 chr21:9811493:C:T rs1344439660 C T - EBF1_EBF_1 14 0 + 0 0 . chr21 9812898 9812899 chr21:9812899:C:T rs1171230751 C T - EBF1_EBF_1 13 1 + 5.083293343874882 5.9277874584604 AACCCCCAGGGCTC chr21 9838520 9838521 chr21:9838521:G:C rs1207671878 G C - EBF1_EBF_1 3 1 - 5.451513218372841 -0.376251229742375 AACCCCCTGGGCTT chr21 9838521 9838522 chr21:9838522:G:T rs1206615505 G T - EBF1_EBF_1 2 1 - 5.451513218372841 3.655869042216114 AACCCCCTGGGCTT chr21 9838525 9838526 chr21:9838526:C:T rs1404143294 C T - EBF1_EBF_1 -2 0 - 0 0 . chr21 9838556 9838557 chr21:9838557:G:T rs1465167884 G T - EBF1_EBF_1 32 0 - 0 0 . chr21 9838603 9838604 chr21:9838604:C:G rs1289892338 C G - EBF1_EBF_1 -15 0 - 0 0 . chr21 9883410 9883411 chr21:9883411:A:G rs1281547658 A G A EBF1_EBF_1 29 0 - 0 0 . chr21 10123252 10123253 chr21:10123253:G:C rs71239708 G C N EBF1_EBF_1 16 0 - 0 0 . chr21 10123281 10123282 chr21:10123282:G:T rs71239707 G T N EBF1_EBF_1 -13 0 - 0 0 . chr21 10125701 10125702 chr21:10125702:G:A rs766820828 G A g EBF1_EBF_1 16 0 - 0 0 . chr21 10347019 10347020 chr21:10347020:G:C rs4089575 G C . EBF1_EBF_1 -6 0 - 0 0 . chr21 10347202 10347203 chr21:10347203:G:T rs10433033 G T . EBF1_EBF_1 -16 0 + 0 0 . chr21 10347824 10347825 chr21:10347825:G:A rs868582206 G A . EBF1_EBF_1 15 0 - 0 0 . chr21 10347839 10347840 chr21:10347840:T:A rs866623455 T A . EBF1_EBF_1 0 1 - 9.271847302856681 7.2987908798906584 ACCCCCAGGGGACA chr21 10386745 10386746 chr21:10386746:T:C rs1752263 T C . EBF1_EBF_1 26 0 - 0 0 . chr21 10402073 10402074 chr21:10402074:G:A rs2740270 G A G EBF1_EBF_1 -17 0 + 0 0 . chr21 10403178 10403179 chr21:10403179:C:T rs79171973 C T C EBF1_EBF_1 15 0 - 0 0 . chr21 10452339 10452340 chr21:10452340:T:A rs4095754 T A t EBF1_EBF_1 19 0 - 0 0 . chr21 10775587 10775588 chr21:10775588:A:G rs542102914 A G . EBF1_EBF_1 30 0 - 0 0 . chr21 13003106 13003107 chr21:13003107:C:T rs566783903 C T . EBF1_EBF_1 22 0 + 0 0 . chr21 13806576 13806577 chr21:13806577:C:T rs371810372 C T . EBF1_EBF_1 -10 0 + 0 0 . chr21 13851008 13851009 chr21:13851009:T:C rs58442957 T C . EBF1_EBF_1 -20 0 - 0 0 . chr21 14009056 14009057 chr21:14009057:G:A rs9984178 G A g EBF1_EBF_1 18 0 + 0 0 . chr21 14028929 14028930 chr21:14028930:G:A rs146236437 G A - EBF1_EBF_1 24 0 - 0 0 . chr21 14073688 14073689 chr21:14073689:C:A rs76080473 C A c EBF1_EBF_1 17 0 + 0 0 . chr21 14076400 14076401 chr21:14076401:T:C rs77327242 T C t EBF1_EBF_1 24 0 + 0 0 . chr21 14084552 14084553 chr21:14084553:C:A rs60539781 C A c EBF1_EBF_1 9 1 - 7.500705615944574 0.6637162078557641 TGCCCCCGGGGACC chr21 14093300 14093301 chr21:14093301:T:G rs62208589 T G g EBF1_EBF_1 15 0 + 0 0 . chr21 14093311 14093312 chr21:14093312:C:T rs59901297 C T c EBF1_EBF_1 26 0 + 0 0 . chr21 14095684 14095685 chr21:14095685:A:C rs8132949 A C c EBF1_EBF_1 26 0 - 0 0 . chr21 14114215 14114216 chr21:14114216:C:T rs62208638 C T c EBF1_EBF_1 -4 0 - 0 0 . chr21 14223332 14223333 chr21:14223333:T:G rs4817023 T G T EBF1_EBF_1 -7 0 - 0 0 . chr21 14363353 14363354 chr21:14363354:T:C rs9982492 T C C EBF1_EBF_1 16 0 - 0 0 . chr21 14383059 14383060 chr21:14383060:G:C rs200946272 G C g EBF1_EBF_1 -17 0 + 0 0 . chr21 14383177 14383178 chr21:14383178:T:G rs147612314 T G t EBF1_EBF_1 -18 0 + 0 0 . chr21 14383225 14383226 chr21:14383226:T:C rs186731206 T C t EBF1_EBF_1 30 0 + 0 0 . chr21 14383226 14383227 chr21:14383227:G:C rs376308033 G C a EBF1_EBF_1 31 0 + 0 0 . chr21 14567622 14567623 chr21:14567623:A:G rs2249923 A G G EBF1_EBF_1 -16 0 + 0 0 . chr21 14752845 14752846 chr21:14752846:C:T rs7277937 C T C EBF1_EBF_1 8 1 - 5.448530852237091 1.2222407633508312 TCTCCCAGGAGAAG chr21 14753690 14753691 chr21:14753691:C:T rs2822903 C T C EBF1_EBF_1 -10 0 - 0 0 . chr21 14955781 14955782 chr21:14955782:A:G rs111370523 A G A EBF1_EBF_1 31 0 - 0 0 . chr21 15066227 15066228 chr21:15066228:T:C rs7281947 T C T EBF1_EBF_1 28 0 - 0 0 . chr21 15088763 15088764 chr21:15088764:T:C rs116470330 T C T EBF1_EBF_1 23 0 + 0 0 . chr21 15101836 15101837 chr21:15101837:T:G rs1556286 T G c EBF1_EBF_1 6 1 - 4.561284562846297 4.199682195045019 GGCCCCAGAGGACT chr21 15220607 15220608 chr21:15220608:C:G rs79518596 C G C EBF1_EBF_1 13 1 - 6.639310955352552 8.127381976707966 ATTCCCAAAGGAGG chr21 15222993 15222994 chr21:15222994:T:A rs2823170 T A A EBF1_EBF_1 -6 0 + 0 0 . chr21 15242834 15242835 chr21:15242835:C:G chr21:15242835:C:G C G C EBF1_EBF_1 -14 0 + 0 0 . chr21 15354586 15354587 chr21:15354587:C:T rs1297246 C T C EBF1_EBF_1 -4 0 - 0 0 . chr21 15466252 15466253 chr21:15466253:A:G rs35910543 A G a EBF1_EBF_1 8 1 + 7.252420332437261 11.47871042132352 ATTCCCACAGGAAC chr21 15618174 15618175 chr21:15618175:G:C rs2823385 G C C EBF1_EBF_1 16 0 - 0 0 . chr21 15742978 15742979 chr21:15742979:G:A rs142322856 G A G EBF1_EBF_1 27 0 - 0 0 . chr21 15753065 15753066 chr21:15753066:G:A rs465954 G A A EBF1_EBF_1 21 0 - 0 0 . chr21 15967561 15967562 chr21:15967562:T:C rs418236 T C C EBF1_EBF_1 -4 0 - 0 0 . chr21 15967574 15967575 chr21:15967575:A:G rs443169 A G G EBF1_EBF_1 -17 0 - 0 0 . chr21 15996244 15996245 chr21:15996245:A:G rs73187492 A G A EBF1_EBF_1 -15 0 + 0 0 . chr21 16004291 16004292 chr21:16004292:A:G rs62217617 A G A EBF1_EBF_1 13 1 - 7.937051922765119 7.092557808179601 AATCCTCAGGGACT chr21 16006222 16006223 chr21:16006223:G:A rs453844 G A A EBF1_EBF_1 17 0 + 0 0 . chr21 16034498 16034499 chr21:16034499:C:G rs2000700 C G G EBF1_EBF_1 24 0 + 0 0 . chr21 16280535 16280536 chr21:16280536:C:T rs116037291 C T C EBF1_EBF_1 -2 0 + 0 0 . chr21 16378644 16378645 chr21:16378645:A:G rs8132563 A G A EBF1_EBF_1 26 0 - 0 0 . chr21 16455674 16455675 chr21:16455675:C:T rs73195925 C T C EBF1_EBF_1 10 1 - 5.31226117032482 -0.005767288942144122 CCTCCCCTGGGTAA chr21 16455682 16455683 chr21:16455683:A:T rs67140471 A T A EBF1_EBF_1 2 1 - 5.31226117032482 1.6277935827259444 CCTCCCCTGGGTAA chr21 16767848 16767849 chr21:16767849:A:G rs6517716 A G T EBF1_EBF_1 14 0 + 0 0 . chr21 16776808 16776809 chr21:16776809:C:G rs928245 C G C EBF1_EBF_1 23 0 + 0 0 . chr21 16942940 16942941 chr21:16942941:T:G rs68132321 T G T EBF1_EBF_1 26 0 - 0 0 . chr21 16942946 16942947 chr21:16942947:G:A rs111666623 G A G EBF1_EBF_1 20 0 - 0 0 . chr21 16973634 16973635 chr21:16973635:C:T rs73193416 C T C EBF1_EBF_1 2 1 + 6.642118842029364 8.530942253471512 TTCCCCCAGGGAGG chr21 16978563 16978564 chr21:16978564:C:T rs12152085 C T C EBF1_EBF_1 6 1 + 7.688301331270438 7.989587460132196 ATTCCCCACGGACA chr21 16978700 16978701 chr21:16978701:G:A rs11911320 G A G EBF1_EBF_1 26 0 - 0 0 . chr21 17308635 17308636 chr21:17308636:C:A rs12482843 C A C EBF1_EBF_1 -6 0 - 0 0 . chr21 17512669 17512670 chr21:17512670:T:C rs373384907 T C T EBF1_EBF_1 -2 0 - 0 0 . chr21 17513417 17513418 chr21:17513418:T:G rs1031467861 T G T EBF1_EBF_1 31 0 + 0 0 . chr21 17572716 17572717 chr21:17572717:T:C rs4818362 T C T EBF1_EBF_1 2 1 + 6.3336408245998 4.444817413157649 TTTCCCCTGGGCTT chr21 17628362 17628363 chr21:17628363:A:G rs9305824 A G G EBF1_EBF_1 -8 0 - 0 0 . chr21 17690566 17690567 chr21:17690567:C:T rs62212885 C T C EBF1_EBF_1 4 1 + 7.346584216325618 2.9771972713806942 AATGCCATGGGAAT chr21 17690721 17690722 chr21:17690722:G:T rs62212886 G T G EBF1_EBF_1 -1 0 - 0 0 . chr21 17819123 17819124 chr21:17819124:C:T rs962075462 C T C EBF1_EBF_1 -8 0 + 0 0 . chr21 17902855 17902856 chr21:17902856:A:G rs9974631 A G A EBF1_EBF_1 14 0 - 0 0 . chr21 17985675 17985676 chr21:17985676:C:T rs158006 C T C EBF1_EBF_1 5 1 + 5.448530852237091 0.12674363669642297 TCTCCCAGGAGAAG chr21 18023260 18023261 chr21:18023261:C:G rs9980385 C G C EBF1_EBF_1 21 0 - 0 0 . chr21 18275990 18275991 chr21:18275991:C:G rs79401121 C G C EBF1_EBF_1 21 0 + 0 0 . chr21 18443444 18443445 chr21:18443445:G:A rs79086111 G A g EBF1_EBF_1 30 0 + 0 0 . chr21 18877785 18877786 chr21:18877786:T:C rs9637060 T C T EBF1_EBF_1 31 0 + 0 0 . chr21 18877842 18877843 chr21:18877843:T:G rs77426117 T G T EBF1_EBF_1 18 0 + 0 0 . chr21 19034264 19034265 chr21:19034265:A:C rs2825300 A C A EBF1_EBF_1 -10 0 - 0 0 . chr21 19065246 19065247 chr21:19065247:C:A rs143607450 C A C EBF1_EBF_1 27 0 + 0 0 . chr21 19305313 19305314 chr21:19305314:G:A rs73325438 G A G EBF1_EBF_1 7 1 + 7.117862510499101 8.430320915787926 ACTCCCCGGAGATA chr21 19340540 19340541 chr21:19340541:T:C rs17778774 T C T EBF1_EBF_1 -9 0 - 0 0 . chr21 19420798 19420799 chr21:19420799:T:C rs77004218 T C T EBF1_EBF_1 26 0 + 0 0 . chr21 19703086 19703087 chr21:19703087:C:T rs55732002 C T C EBF1_EBF_1 -15 0 + 0 0 . chr21 19703105 19703106 chr21:19703106:C:T rs7278106 C T C EBF1_EBF_1 4 1 + 5.832090336459992 1.46270339151507 CCTCCCAAGGGCAA chr21 19760036 19760037 chr21:19760037:C:A rs141515095 C A C EBF1_EBF_1 4 1 + 5.785833993189036 -0.03872563026443175 GGACCCCGGGGACC chr21 20013936 20013937 chr21:20013937:T:C rs2825942 T C T EBF1_EBF_1 -19 0 - 0 0 . chr21 20032190 20032191 chr21:20032191:G:T rs7283775 G T G EBF1_EBF_1 25 0 - 0 0 . chr21 20196104 20196105 chr21:20196105:G:A rs2826076 G A G EBF1_EBF_1 6 1 - 5.334577742102295 5.6358638709640525 TGTCCCCGGAGATA chr21 20241635 20241636 chr21:20241636:A:G rs11088788 A G G EBF1_EBF_1 -18 0 - 0 0 . chr21 20272839 20272840 chr21:20272840:C:T rs73894019 C T C EBF1_EBF_1 19 0 - 0 0 . chr21 20460246 20460247 chr21:20460247:C:A rs2826275 C A C EBF1_EBF_1 -15 0 - 0 0 . chr21 20527794 20527795 chr21:20527795:C:G rs17728407 C G C EBF1_EBF_1 -10 0 + 0 0 . chr21 20527795 20527796 chr21:20527796:C:T rs1434161070 C T C EBF1_EBF_1 -9 0 + 0 0 . chr21 20574506 20574507 chr21:20574507:C:T rs2155887 C T C EBF1_EBF_1 -12 0 - 0 0 . chr21 20639288 20639289 chr21:20639289:G:A rs229449 G A G EBF1_EBF_1 -6 0 - 0 0 . chr21 20751875 20751876 chr21:20751876:A:G rs399349 A G A EBF1_EBF_1 -4 0 + 0 0 . chr21 20751878 20751879 chr21:20751879:G:A rs409375 G A A EBF1_EBF_1 -1 0 + 0 0 . chr21 20754791 20754792 chr21:20754792:T:C rs459513 T C C EBF1_EBF_1 -12 0 + 0 0 . chr21 20779905 20779906 chr21:20779906:G:A rs1989016 G A - EBF1_EBF_1 2 1 - 5.286419528967126 7.175242940409275 AGCCCCCAGGAATT chr21 20922126 20922127 chr21:20922127:T:C rs9982579 T C C EBF1_EBF_1 -15 0 - 0 0 . chr21 21024055 21024056 chr21:21024056:G:A rs9983588 G A A EBF1_EBF_1 -16 0 - 0 0 . chr21 21722699 21722700 chr21:21722700:C:A rs565163076 C A C EBF1_EBF_1 -12 0 - 0 0 . chr21 21752714 21752715 chr21:21752715:A:C rs58427810 A C A EBF1_EBF_1 -4 0 + 0 0 . chr21 21757005 21757006 chr21:21757006:A:G rs2827008 A G A EBF1_EBF_1 20 0 - 0 0 . chr21 21845550 21845551 chr21:21845551:A:G rs9976359 A G a EBF1_EBF_1 20 0 - 0 0 . chr21 21845556 21845557 chr21:21845557:C:T rs2150389 C T c EBF1_EBF_1 14 0 - 0 0 . chr21 21845571 21845572 chr21:21845572:G:A rs9975339 G A g EBF1_EBF_1 -1 0 - 0 0 . chr21 21951566 21951567 chr21:21951567:C:T rs2827150 C T C EBF1_EBF_1 4 1 + 6.39382052774832 2.0244335828033964 AAACCCTCGGGAAA chr21 21971578 21971579 chr21:21971579:C:T rs9979426 C T . EBF1_EBF_1 -8 0 - 0 0 . chr21 22041224 22041225 chr21:22041225:C:G rs9982691 C G C EBF1_EBF_1 20 0 - 0 0 . chr21 22076024 22076025 chr21:22076025:G:C rs35425358 G C C EBF1_EBF_1 12 1 - 4.851829823711546 3.463193880033394 GACCCCAAGAGACG chr21 22201260 22201261 chr21:22201261:C:T rs933145 C T . EBF1_EBF_1 22 0 - 0 0 . chr21 22409599 22409600 chr21:22409600:C:T rs2827496 C T T EBF1_EBF_1 17 0 - 0 0 . chr21 22702637 22702638 chr21:22702638:C:G rs73332046 C G C EBF1_EBF_1 -7 0 + 0 0 . chr21 22892700 22892701 chr21:22892701:A:G rs75028600 A G A EBF1_EBF_1 -16 0 - 0 0 . chr21 23294132 23294133 chr21:23294133:T:A rs76035056 T A T EBF1_EBF_1 11 1 - 11.941130371979723 7.843216115880996 ACTCCCCAGGGAGT chr21 23445397 23445398 chr21:23445398:A:G rs1699980 A G A EBF1_EBF_1 -14 0 + 0 0 . chr21 23645656 23645657 chr21:23645657:T:C rs2212892 T C T EBF1_EBF_1 27 0 - 0 0 . chr21 23646810 23646811 chr21:23646811:C:T rs542102495 C T C EBF1_EBF_1 -15 0 + 0 0 . chr21 23646820 23646821 chr21:23646821:A:G rs2828360 A G A EBF1_EBF_1 -5 0 + 0 0 . chr21 23801397 23801398 chr21:23801398:T:G rs13046239 T G T EBF1_EBF_1 -5 0 + 0 0 . chr21 23801424 23801425 chr21:23801425:T:A rs13046315 T A T EBF1_EBF_1 22 0 + 0 0 . chr21 23936634 23936635 chr21:23936635:G:A rs138490050 G A g EBF1_EBF_1 -3 0 + 0 0 . chr21 23969471 23969472 chr21:23969472:G:A rs114842187 G A G EBF1_EBF_1 5 1 - 5.8875144504343835 0.5657272348937136 AGTCCCTAAGGGAC chr21 23969472 23969473 chr21:23969473:G:A rs56201804 G A G EBF1_EBF_1 4 1 - 5.8875144504343835 1.51812750548946 AGTCCCTAAGGGAC chr21 24020127 24020128 chr21:24020128:G:A rs6516600 G A G EBF1_EBF_1 -8 0 + 0 0 . chr21 24045530 24045531 chr21:24045531:T:A rs73349901 T A A EBF1_EBF_1 -4 0 + 0 0 . chr21 24045550 24045551 chr21:24045551:A:G rs2455949 A G A EBF1_EBF_1 16 0 + 0 0 . chr21 24085435 24085436 chr21:24085436:C:T rs9980756 C T T EBF1_EBF_1 2 1 + 9.768612087641433 11.657435499083583 GGCCCCCAGGGAAT chr21 24085482 24085483 chr21:24085483:G:A rs9980941 G A G EBF1_EBF_1 20 0 + 0 0 . chr21 24085860 24085861 chr21:24085861:A:G rs1074251 A G G EBF1_EBF_1 -10 0 + 0 0 . chr21 24155669 24155670 chr21:24155670:A:G rs73336669 A G A EBF1_EBF_1 24 0 + 0 0 . chr21 24375428 24375429 chr21:24375429:T:C rs9636743 T C - EBF1_EBF_1 -12 0 + 0 0 . chr21 24462594 24462595 chr21:24462595:T:C rs57295496 T C T EBF1_EBF_1 14 0 - 0 0 . chr21 24509338 24509339 chr21:24509339:A:G rs8129642 A G A EBF1_EBF_1 -11 0 + 0 0 . chr21 24798279 24798280 chr21:24798280:G:A rs4816988 G A G EBF1_EBF_1 -2 0 + 0 0 . chr21 25147377 25147378 chr21:25147378:G:A rs36021803 G A G EBF1_EBF_1 9 1 + 4.662435250146068 2.0074959846984624 CTCCCCCTGGGTCC chr21 25323534 25323535 chr21:25323535:G:A rs116187062 G A G EBF1_EBF_1 25 0 - 0 0 . chr21 25472182 25472183 chr21:25472183:T:C rs9975324 T C T EBF1_EBF_1 7 1 + 6.9984090727687605 5.180904326486138 GGTCCCCTGGTAAT chr21 25497402 25497403 chr21:25497403:A:G rs78552777 A G A EBF1_EBF_1 -7 0 + 0 0 . chr21 25514021 25514022 chr21:25514022:C:T rs117240675 C T C EBF1_EBF_1 9 1 - 5.2609173147831925 2.6059780493355884 ATCCCCCAGGTGCT chr21 25607307 25607308 chr21:25607308:C:T rs61100311 C T C EBF1_EBF_1 2 1 + 4.714192971390021 6.603016382832171 TGCCCCGCGGGACC chr21 25607315 25607316 chr21:25607316:G:A rs9653688 G A G EBF1_EBF_1 10 1 + 4.714192971390021 -0.6038354878769459 TGCCCCGCGGGACC chr21 25607323 25607324 chr21:25607324:C:A chr21:25607324:C:A C A C EBF1_EBF_1 18 0 + 0 0 . chr21 25627108 25627109 chr21:25627109:G:A rs56789179 G A G EBF1_EBF_1 8 1 + 5.389130039104884 1.1628399502186249 ATTCCCAGGAGCAC chr21 25638423 25638424 chr21:25638424:T:C rs2409143 T C C EBF1_EBF_1 2 1 + 6.208571489890456 4.319748078448305 GGTCCCCTGGGTCC chr21 25660432 25660433 chr21:25660433:T:G rs2026223 T G G EBF1_EBF_1 12 1 - 6.349005618734475 6.318824220313149 ACCCCCTGGGGGAA chr21 25866002 25866003 chr21:25866003:C:A rs2829959 C A C EBF1_EBF_1 12 1 + 7.0913915851938585 7.121572983615184 CATCCCTTGGGGCC chr21 25878427 25878428 chr21:25878428:G:T rs117200968 G T G EBF1_EBF_1 19 0 - 0 0 . chr21 25913650 25913651 chr21:25913651:G:C rs188355125 G C G EBF1_EBF_1 -2 0 + 0 0 . chr21 25975254 25975255 chr21:25975255:G:A rs45533942 G A G EBF1_EBF_1 6 1 - 5.4098656173784025 5.7111517462401595 AGTCCCCATGGACA chr21 26061029 26061030 chr21:26061030:C:T rs554738941 C T C EBF1_EBF_1 -6 0 - 0 0 . chr21 26071365 26071366 chr21:26071366:G:A rs113494828 G A G EBF1_EBF_1 9 1 + 4.47199082875268 1.8170515633050754 CCTCTCCAGGGAGC chr21 26073191 26073192 chr21:26073192:T:A rs117458216 T A T EBF1_EBF_1 31 0 + 0 0 . chr21 26140734 26140735 chr21:26140735:T:C rs2830087 T C t EBF1_EBF_1 25 0 - 0 0 . chr21 26170137 26170138 chr21:26170138:G:T rs114660499 G T G EBF1_EBF_1 28 0 + 0 0 . chr21 26195957 26195958 chr21:26195958:G:C rs11910894 G C g EBF1_EBF_1 30 0 + 0 0 . chr21 26320791 26320792 chr21:26320792:G:A rs2830173 G A G EBF1_EBF_1 8 1 + 7.872027016261293 3.645736927375032 CTTCCCCAGGGTCT chr21 26513390 26513391 chr21:26513391:C:T chr21:26513391:C:T C T C EBF1_EBF_1 -18 0 - 0 0 . chr21 26515175 26515176 chr21:26515176:T:G rs222925 T G T EBF1_EBF_1 -5 0 - 0 0 . chr21 26527774 26527775 chr21:26527775:G:A rs7282149 G A G EBF1_EBF_1 7 1 - 6.717539710155996 8.535044456438618 ATTCTCCCGGGACC chr21 26686572 26686573 chr21:26686573:C:T rs2830383 C T T EBF1_EBF_1 -4 0 - 0 0 . chr21 26715301 26715302 chr21:26715302:G:C rs117006103 G C G EBF1_EBF_1 30 0 - 0 0 . chr21 26761747 26761748 chr21:26761748:T:A chr21:26761748:T:A T A T EBF1_EBF_1 23 0 + 0 0 . chr21 26843211 26843212 chr21:26843212:C:G rs1053673710 C G C EBF1_EBF_1 -17 0 - 0 0 . chr21 26858802 26858803 chr21:26858803:A:G rs148770052 A G A EBF1_EBF_1 31 0 + 0 0 . chr21 26910063 26910064 chr21:26910064:C:T rs162524 C T C EBF1_EBF_1 24 0 + 0 0 . chr21 26943948 26943949 chr21:26943949:C:A rs229053 C A C EBF1_EBF_1 20 0 + 0 0 . chr21 26971157 26971158 chr21:26971158:T:C rs66666114 T C C EBF1_EBF_1 0 1 + 6.477107112860327 6.194905052129749 TGCCCCTCGGGAAA chr21 27105994 27105995 chr21:27105995:C:G rs955742 C G C EBF1_EBF_1 16 0 + 0 0 . chr21 27258175 27258176 chr21:27258176:T:C rs1557365 T C T EBF1_EBF_1 -8 0 + 0 0 . chr21 27277339 27277340 chr21:27277340:C:T rs1034355 C T C EBF1_EBF_1 27 0 + 0 0 . chr21 27314319 27314320 chr21:27314320:G:A rs764187643 G A G EBF1_EBF_1 -5 0 + 0 0 . chr21 27359476 27359477 chr21:27359477:A:T rs13052258 A T T EBF1_EBF_1 7 1 + 7.880137720912777 7.40386348082522 ATTCCCAAGGAAGT chr21 27403361 27403362 chr21:27403362:T:C rs239674 T C C EBF1_EBF_1 1 1 - 10.121008126073942 10.381706957995243 AATCCCAAGAGACC chr21 27420639 27420640 chr21:27420640:C:T rs2840361 C T C EBF1_EBF_1 -10 0 + 0 0 . chr21 27454020 27454021 chr21:27454021:G:C rs242325 G C C EBF1_EBF_1 25 0 - 0 0 . chr21 27721954 27721955 chr21:27721955:T:C rs113384570 T C T EBF1_EBF_1 6 1 - 7.17397587344871 5.007181442174233 CACCCCAAGGGAAG chr21 27802808 27802809 chr21:27802809:C:G rs117002832 C G C EBF1_EBF_1 25 0 + 0 0 . chr21 28003332 28003333 chr21:28003333:T:C rs7277162 T C T EBF1_EBF_1 -16 0 + 0 0 . chr21 28018227 28018228 chr21:28018228:T:C rs2831354 T C T EBF1_EBF_1 -9 0 + 0 0 . chr21 28018241 28018242 chr21:28018242:C:G rs2831355 C G C EBF1_EBF_1 5 1 + 4.731320436114179 -2.1735607165209885 CCTCCCAAGGGTGA chr21 28078897 28078898 chr21:28078898:A:T rs2831472 A T a EBF1_EBF_1 14 0 - 0 0 . chr21 28078921 28078922 chr21:28078922:T:C rs2831473 T C t EBF1_EBF_1 -10 0 - 0 0 . chr21 28115330 28115331 chr21:28115331:G:T rs113332449 G T G EBF1_EBF_1 32 0 + 0 0 . chr21 28196128 28196129 chr21:28196129:C:T rs114443153 C T C EBF1_EBF_1 17 0 + 0 0 . chr21 28228031 28228032 chr21:28228032:T:C rs185838171 T C T EBF1_EBF_1 26 0 - 0 0 . chr21 28357718 28357719 chr21:28357719:G:C rs2409302 G C T EBF1_EBF_1 -6 0 + 0 0 . chr21 28393997 28393998 chr21:28393998:G:A rs1022640257 G A G EBF1_EBF_1 12 1 - 7.014589129073799 5.988322558522949 ATCCCTCAGGGACT chr21 28475507 28475508 chr21:28475508:T:C rs9974938 T C T EBF1_EBF_1 29 0 - 0 0 . chr21 28517288 28517289 chr21:28517289:T:C rs62209684 T C T EBF1_EBF_1 2 1 + 7.637547251438949 5.748723839996799 TCTCCCAAGGGGAA chr21 28538007 28538008 chr21:28538008:T:C rs9305373 T C T EBF1_EBF_1 -19 0 + 0 0 . chr21 28538034 28538035 chr21:28538035:G:A rs114552170 G A G EBF1_EBF_1 8 1 + 5.561045293134068 1.3347552042478064 TGTCCCTAGGGCTC chr21 28554869 28554870 chr21:28554870:A:G rs2737056 A G G EBF1_EBF_1 -11 0 + 0 0 . chr21 28586491 28586492 chr21:28586492:A:G rs2831912 A G G EBF1_EBF_1 -3 0 + 0 0 . chr21 28586963 28586964 chr21:28586964:C:T rs6516858 C T T EBF1_EBF_1 -20 0 - 0 0 . chr21 28592614 28592615 chr21:28592615:A:G rs2091945 A G G EBF1_EBF_1 27 0 - 0 0 . chr21 28634419 28634420 chr21:28634420:C:A rs1153264 C A C EBF1_EBF_1 5 1 + 6.8377950787541675 -0.06708607388100024 TTTCCCCAAGGAAA chr21 28700125 28700126 chr21:28700126:C:T rs7276179 C T T EBF1_EBF_1 24 0 + 0 0 . chr21 28738643 28738644 chr21:28738644:T:A rs2832014 T A A EBF1_EBF_1 11 1 - 9.023839051235296 4.925924795136569 AGCCCCCAGGGAGA chr21 28772188 28772189 chr21:28772189:C:A rs9754234 C A A EBF1_EBF_1 19 0 + 0 0 . chr21 28783952 28783953 chr21:28783953:G:A rs113629996 G A G EBF1_EBF_1 -15 0 - 0 0 . chr21 28823298 28823299 chr21:28823299:A:C rs114584956 A C A EBF1_EBF_1 16 0 - 0 0 . chr21 28899313 28899314 chr21:28899314:C:A rs2266562 C A C EBF1_EBF_1 3 1 - 5.22150218517858 4.643867891740481 CTTGCCAGGGGAAT chr21 28910690 28910691 chr21:28910691:A:G rs79740640 A G A EBF1_EBF_1 16 0 + 0 0 . chr21 28975667 28975668 chr21:28975668:T:C rs2255564 T C T EBF1_EBF_1 -17 0 + 0 0 . chr21 28975707 28975708 chr21:28975708:T:C rs191175626 T C T EBF1_EBF_1 23 0 + 0 0 . chr21 28975714 28975715 chr21:28975715:G:T rs62224015 G T G EBF1_EBF_1 30 0 + 0 0 . chr21 28992925 28992926 chr21:28992926:G:A rs544303891 G A G EBF1_EBF_1 20 0 + 0 0 . chr21 29007369 29007370 chr21:29007370:G:T rs149347698 G T G EBF1_EBF_1 9 1 + 5.624599263051259 -1.212390145037551 CAACCCCTGGGACA chr21 29076180 29076181 chr21:29076181:G:A rs563079075 G A G EBF1_EBF_1 26 0 - 0 0 . chr21 29118905 29118906 chr21:29118906:T:A rs9983651 T A A EBF1_EBF_1 2 1 + 5.839665338791781 2.155197751192904 AATCCCAAGAGGGC chr21 29130701 29130702 chr21:29130702:G:A rs561272674 G A g EBF1_EBF_1 31 0 - 0 0 . chr21 29155471 29155472 chr21:29155472:G:A rs116783861 G A G EBF1_EBF_1 -6 0 + 0 0 . chr21 29176646 29176647 chr21:29176647:C:A rs7364112 C A C EBF1_EBF_1 -9 0 + 0 0 . chr21 29180517 29180518 chr21:29180518:A:G rs7276548 A G A EBF1_EBF_1 -14 0 + 0 0 . chr21 29182020 29182021 chr21:29182021:A:G rs73354829 A G A EBF1_EBF_1 6 1 - 7.121207063528793 6.819920934667036 ACTCCTTAGGGAAA chr21 29187874 29187875 chr21:29187875:T:C rs148594739 T C T EBF1_EBF_1 -1 0 - 0 0 . chr21 29332713 29332714 chr21:29332714:A:T rs79609035 A T A EBF1_EBF_1 23 0 - 0 0 . chr21 29348577 29348578 chr21:29348578:C:T chr21:29348578:C:T C T C EBF1_EBF_1 28 0 - 0 0 . chr21 29476668 29476669 chr21:29476669:C:T chr21:29476669:C:T C T C EBF1_EBF_1 9 1 - 5.1823014738653255 2.527362208417721 AGTCCCCAAGGGCA chr21 29476670 29476671 chr21:29476671:T:C rs565557106 T C T EBF1_EBF_1 7 1 - 5.1823014738653255 3.8698430685764986 AGTCCCCAAGGGCA chr21 29499699 29499700 chr21:29499700:G:A rs4817294 G A G EBF1_EBF_1 28 0 - 0 0 . chr21 29611099 29611100 chr21:29611100:C:T rs2051182 C T C EBF1_EBF_1 -19 0 + 0 0 . chr21 29826389 29826390 chr21:29826390:A:G rs466013 A G G EBF1_EBF_1 -7 0 - 0 0 . chr21 29826973 29826974 chr21:29826974:G:T rs146662 G T C EBF1_EBF_1 20 0 + 0 0 . chr21 29879993 29879994 chr21:29879994:G:A rs464500 G A A EBF1_EBF_1 -9 0 + 0 0 . chr21 30240391 30240392 chr21:30240392:C:T rs2832676 C T T EBF1_EBF_1 24 0 - 0 0 . chr21 30240413 30240414 chr21:30240414:A:G rs2832677 A G A EBF1_EBF_1 2 1 - 9.043083530576435 7.1542601191342845 GATCCCTAGAGAAT chr21 30312472 30312473 chr21:30312473:T:C rs7277640 T C T EBF1_EBF_1 16 0 - 0 0 . chr21 30312504 30312505 chr21:30312505:C:T rs2212504 C T C EBF1_EBF_1 -16 0 - 0 0 . chr21 30327886 30327887 chr21:30327887:G:A rs1893093 G A G EBF1_EBF_1 33 0 - 0 0 . chr21 30329546 30329547 chr21:30329547:C:A rs13049297 C A C EBF1_EBF_1 -18 0 - 0 0 . chr21 30936068 30936069 chr21:30936069:C:G rs2833166 C G C EBF1_EBF_1 11 1 + 5.497780969439549 7.021035823687927 AGTCCCAGGAGCCT chr21 30953850 30953851 chr21:30953851:G:A rs2833171 G A G EBF1_EBF_1 -4 0 + 0 0 . chr21 30979260 30979261 chr21:30979261:G:A rs16987452 G A G EBF1_EBF_1 -14 0 + 0 0 . chr21 30979280 30979281 chr21:30979281:C:G rs75486310 C G C EBF1_EBF_1 6 1 + 4.685074220361467 2.879882156888269 ATCCCCCAGTGAAC chr21 30981624 30981625 chr21:30981625:T:C rs1892673 T C T EBF1_EBF_1 -14 0 - 0 0 . chr21 31051037 31051038 chr21:31051038:C:T rs2833216 C T T EBF1_EBF_1 4 1 + 4.397948744472775 0.028561799527852108 TGTGCCCTGGGACC chr21 31140861 31140862 chr21:31140862:C:T rs68079655 C T C EBF1_EBF_1 28 0 - 0 0 . chr21 31201788 31201789 chr21:31201789:A:T rs7277015 A T T EBF1_EBF_1 22 0 + 0 0 . chr21 31219094 31219095 chr21:31219095:G:A rs116592792 G A G EBF1_EBF_1 -15 0 + 0 0 . chr21 31257289 31257290 chr21:31257290:G:C rs76096786 G C G EBF1_EBF_1 15 0 - 0 0 . chr21 31305946 31305947 chr21:31305947:C:T rs77183526 C T C EBF1_EBF_1 10 1 - 5.362926024722597 0.0448975654556308 CTCCCCTGGGGGAC chr21 31331410 31331411 chr21:31331411:C:T rs845956 C T C EBF1_EBF_1 3 1 + 11.190852112720156 4.78545337116684 ACTCCCAGGGGAAA chr21 31331429 31331430 chr21:31331430:A:C rs546805975 A C A EBF1_EBF_1 22 0 + 0 0 . chr21 31338019 31338020 chr21:31338020:G:A rs1016310183 G A G EBF1_EBF_1 19 0 + 0 0 . chr21 31355016 31355017 chr21:31355017:G:T rs185988711 G T G EBF1_EBF_1 -5 0 - 0 0 . chr21 31363155 31363156 chr21:31363156:C:T rs1414192 C T T EBF1_EBF_1 -19 0 - 0 0 . chr21 31426617 31426618 chr21:31426618:G:C rs76394198 G C G EBF1_EBF_1 5 1 - 4.580875496109972 -2.3240056565251983 CTTACCAAGGGACC chr21 31442485 31442486 chr21:31442486:G:A rs492322 G A G EBF1_EBF_1 -1 0 + 0 0 . chr21 31468923 31468924 chr21:31468924:T:G rs6517038 T G G EBF1_EBF_1 -20 0 - 0 0 . chr21 31486747 31486748 chr21:31486748:G:A rs9978906 G A G EBF1_EBF_1 20 0 - 0 0 . chr21 31537000 31537001 chr21:31537001:G:C rs2284548 G C G EBF1_EBF_1 6 1 - 5.217087750813691 3.4118956873404915 CTTCCCCAGAGTCT chr21 31556356 31556357 chr21:31556357:G:A rs11088178 G A A EBF1_EBF_1 -1 0 - 0 0 . chr21 31557714 31557715 chr21:31557715:C:T rs185734217 C T C EBF1_EBF_1 -13 0 + 0 0 . chr21 31641634 31641635 chr21:31641635:T:A rs111497183 T A T EBF1_EBF_1 -15 0 - 0 0 . chr21 31672064 31672065 chr21:31672065:G:A rs73201505 G A G EBF1_EBF_1 22 0 + 0 0 . chr21 31777601 31777602 chr21:31777602:G:A rs1892775 G A G EBF1_EBF_1 -19 0 - 0 0 . chr21 31873492 31873493 chr21:31873493:A:G rs8126866 A G - EBF1_EBF_1 26 0 + 0 0 . chr21 31881101 31881102 chr21:31881102:T:G rs8128608 T G G EBF1_EBF_1 -13 0 - 0 0 . chr21 31909407 31909408 chr21:31909408:C:T rs73361258 C T C EBF1_EBF_1 15 0 + 0 0 . chr21 31912987 31912988 chr21:31912988:G:A rs2833556 G A A EBF1_EBF_1 -11 0 - 0 0 . chr21 31986827 31986828 chr21:31986828:C:T rs2105474 C T C EBF1_EBF_1 17 0 + 0 0 . chr21 32000858 32000859 chr21:32000859:G:C rs3787694 G C C EBF1_EBF_1 17 0 - 0 0 . chr21 32002776 32002777 chr21:32002777:A:T rs16988745 A T A EBF1_EBF_1 26 0 - 0 0 . chr21 32133686 32133687 chr21:32133687:C:T rs2833672 C T T EBF1_EBF_1 24 0 - 0 0 . chr21 32169487 32169488 chr21:32169488:C:T rs17669323 C T C EBF1_EBF_1 18 0 - 0 0 . chr21 32198650 32198651 chr21:32198651:A:C rs2249432 A C C EBF1_EBF_1 5 1 - 4.534481052549109 2.9513871154546103 CCTCCTCAGGGACA chr21 32198672 32198673 chr21:32198673:A:C rs8131083 A C C EBF1_EBF_1 -17 0 - 0 0 . chr21 32253409 32253410 chr21:32253410:C:G rs369581749 C G C EBF1_EBF_1 16 0 - 0 0 . chr21 32253428 32253429 chr21:32253429:G:A rs373705464 G A G EBF1_EBF_1 -3 0 - 0 0 . chr21 32281680 32281681 chr21:32281681:A:G rs7281700 A G A EBF1_EBF_1 13 1 - 7.301914910780472 6.457420796194954 AACCTCTAGGGACT chr21 32314645 32314646 chr21:32314646:C:T rs140113354 C T C EBF1_EBF_1 20 0 + 0 0 . chr21 32329382 32329383 chr21:32329383:A:G rs2833770 A G G EBF1_EBF_1 21 0 + 0 0 . chr21 32335950 32335951 chr21:32335951:C:T rs2186264 C T C EBF1_EBF_1 -3 0 - 0 0 . chr21 32347385 32347386 chr21:32347386:C:T rs2070378 C T T EBF1_EBF_1 13 1 - 8.662676414416001 9.77700198648544 AATCCCCCGGGAAG chr21 32351638 32351639 chr21:32351639:G:A rs115845933 G A G EBF1_EBF_1 32 0 - 0 0 . chr21 32351682 32351683 chr21:32351683:C:T rs73903308 C T C EBF1_EBF_1 -12 0 - 0 0 . chr21 32353169 32353170 chr21:32353170:C:T rs16989309 C T C EBF1_EBF_1 3 1 + 4.485386580658397 -1.9200121608949208 AGCCACCTGGGACC chr21 32382507 32382508 chr21:32382508:C:T rs74978135 C T C EBF1_EBF_1 -19 0 + 0 0 . chr21 32411549 32411550 chr21:32411550:C:T rs9975080 C T C EBF1_EBF_1 10 1 - 7.318132056903361 2.000103597636395 GTCCCCGTGGGACC chr21 32413488 32413489 chr21:32413489:G:T rs149590401 G T G EBF1_EBF_1 3 1 - 3.995713860419568 -2.910460169046238 AGTCCCCGCGGGCT chr21 32456992 32456993 chr21:32456993:G:C rs9983927 G C G EBF1_EBF_1 -1 0 + 0 0 . chr21 32460633 32460634 chr21:32460634:C:T rs762174 C T T EBF1_EBF_1 18 0 - 0 0 . chr21 32508874 32508875 chr21:32508875:C:A rs113612895 C A C EBF1_EBF_1 11 1 + 6.937030274375695 11.352991972228084 GCTCCCATGGGCAT chr21 32581231 32581232 chr21:32581232:G:A rs4547609 G A G EBF1_EBF_1 -17 0 + 0 0 . chr21 32593291 32593292 chr21:32593292:C:T rs1044435984 C T C EBF1_EBF_1 -2 0 + 0 0 . chr21 32593326 32593327 chr21:32593327:G:A rs75896970 G A G EBF1_EBF_1 33 0 + 0 0 . chr21 32594488 32594489 chr21:32594489:T:C rs112438080 T C T EBF1_EBF_1 21 0 - 0 0 . chr21 32595008 32595009 chr21:32595009:G:A rs1782954 G A A EBF1_EBF_1 -19 0 + 0 0 . chr21 32595033 32595034 chr21:32595034:A:G rs1720131 A G A EBF1_EBF_1 6 1 + 6.349176244984304 4.182381813709828 GAACCCATGGGACA chr21 32826786 32826787 chr21:32826787:T:C rs59107325 T C T EBF1_EBF_1 32 0 - 0 0 . chr21 32908852 32908853 chr21:32908853:A:G rs75893311 A G A EBF1_EBF_1 17 0 - 0 0 . chr21 32919187 32919188 chr21:32919188:A:C rs7281293 A C A EBF1_EBF_1 20 0 + 0 0 . chr21 32931676 32931677 chr21:32931677:A:G rs2014283 A G A EBF1_EBF_1 28 0 + 0 0 . chr21 32947438 32947439 chr21:32947439:G:A rs2834024 G A G EBF1_EBF_1 15 0 + 0 0 . chr21 32974650 32974651 chr21:32974651:A:T rs928778 A T A EBF1_EBF_1 1 1 - 6.525411619602264 5.559051001851432 CTTCCCCAAGGACA chr21 32990821 32990822 chr21:32990822:C:G rs181033902 C G C EBF1_EBF_1 26 0 - 0 0 . chr21 33005176 33005177 chr21:33005177:G:A rs2834062 G A G EBF1_EBF_1 3 1 - 6.1561346673331965 -0.24926407422011965 AACCTCCAGGGACC chr21 33005285 33005286 chr21:33005286:A:G rs2834063 A G A EBF1_EBF_1 6 1 - 4.983310121994624 4.682023993132866 ATCCCTTAGGGACG chr21 33026858 33026859 chr21:33026859:G:T rs199942816 G T G EBF1_EBF_1 10 1 + 7.756466074082932 3.573713887855666 CTTCCCCTGGGGCC chr21 33029256 33029257 chr21:33029257:A:G rs7278343 A G G EBF1_EBF_1 2 1 - 5.9353435970999024 4.0465201856577515 GGTCCCAAGGTATC chr21 33039804 33039805 chr21:33039805:G:A rs750335108 G A G EBF1_EBF_1 28 0 - 0 0 . chr21 33039822 33039823 chr21:33039823:C:T rs78452995 C T C EBF1_EBF_1 10 1 - 6.700673018586397 1.382644559319432 TCTCCCTAGAGAAG chr21 33039826 33039827 chr21:33039827:A:G rs6517138 A G g EBF1_EBF_1 6 1 - 6.700673018586397 6.39938688972464 TCTCCCTAGAGAAG chr21 33039827 33039828 chr21:33039828:G:T rs752880849 G T G EBF1_EBF_1 5 1 - 6.700673018586397 -0.2042081340487698 TCTCCCTAGAGAAG chr21 33059533 33059534 chr21:33059534:T:C rs724070 T C C EBF1_EBF_1 16 0 + 0 0 . chr21 33075988 33075989 chr21:33075989:G:A rs73900179 G A G EBF1_EBF_1 -16 0 + 0 0 . chr21 33101344 33101345 chr21:33101345:C:G rs9981986 C G G EBF1_EBF_1 -10 0 + 0 0 . chr21 33101354 33101355 chr21:33101355:G:A rs9982156 G A G EBF1_EBF_1 0 1 + 4.569067982368349 6.461351851933183 GCTCCCCTGAGGCC chr21 33101953 33101954 chr21:33101954:G:A rs776316309 G A G EBF1_EBF_1 -14 0 - 0 0 . chr21 33108947 33108948 chr21:33108948:A:T rs10775651 A T T EBF1_EBF_1 33 0 - 0 0 . chr21 33125780 33125781 chr21:33125781:A:G rs6517144 A G G EBF1_EBF_1 -10 0 - 0 0 . chr21 33171539 33171540 chr21:33171540:G:A rs77224013 G A G EBF1_EBF_1 19 0 - 0 0 . chr21 33171714 33171715 chr21:33171715:G:C rs2834121 G C C EBF1_EBF_1 16 0 - 0 0 . chr21 33197146 33197147 chr21:33197147:G:T rs529083021 G T G EBF1_EBF_1 12 1 - 5.826434528235379 5.856615926656705 CATCCCAGAGGACT chr21 33201683 33201684 chr21:33201684:G:C rs77097658 G C G EBF1_EBF_1 -3 0 + 0 0 . chr21 33211707 33211708 chr21:33211708:T:C rs2834148 T C C EBF1_EBF_1 -17 0 + 0 0 . chr21 33212878 33212879 chr21:33212879:T:C rs7275219 T C t EBF1_EBF_1 -2 0 - 0 0 . chr21 33266539 33266540 chr21:33266540:C:A rs777368132 C A C EBF1_EBF_1 -6 0 - 0 0 . chr21 33266544 33266545 chr21:33266545:G:C rs28385653 G C G EBF1_EBF_1 -11 0 - 0 0 . chr21 33266553 33266554 chr21:33266554:G:A rs2239573 G A G EBF1_EBF_1 -20 0 - 0 0 . chr21 33289327 33289328 chr21:33289328:C:T rs759465433 C T - EBF1_EBF_1 14 0 - 0 0 . chr21 33305085 33305086 chr21:33305086:T:C rs2834178 T C T EBF1_EBF_1 -14 0 - 0 0 . chr21 33361396 33361397 chr21:33361397:G:A rs114252068 G A G EBF1_EBF_1 4 1 - 7.579826217905666 3.2104392729607434 ATTCCCTGGGGCCA chr21 33364639 33364640 chr21:33364640:C:A rs9978280 C A A EBF1_EBF_1 24 0 + 0 0 . chr21 33364645 33364646 chr21:33364646:T:C rs9978964 T C C EBF1_EBF_1 30 0 + 0 0 . chr21 33387569 33387570 chr21:33387570:A:G rs11702844 A G A EBF1_EBF_1 30 0 - 0 0 . chr21 33413011 33413012 chr21:33413012:G:A rs552896752 G A G EBF1_EBF_1 26 0 - 0 0 . chr21 33452463 33452464 chr21:33452464:G:A rs2834222 G A G EBF1_EBF_1 31 0 + 0 0 . chr21 33543217 33543218 chr21:33543218:A:G rs1405979072 A G A EBF1_EBF_1 25 0 + 0 0 . chr21 33853101 33853102 chr21:33853102:G:A rs111595705 G A G EBF1_EBF_1 22 0 + 0 0 . chr21 33859137 33859138 chr21:33859138:C:A rs67668917 C A C EBF1_EBF_1 22 0 - 0 0 . chr21 33859143 33859144 chr21:33859144:C:T rs555846117 C T c EBF1_EBF_1 16 0 - 0 0 . chr21 33916542 33916543 chr21:33916543:G:A rs2284574 G A G EBF1_EBF_1 24 0 - 0 0 . chr21 33936399 33936400 chr21:33936400:A:G rs74623517 A G A EBF1_EBF_1 7 1 + 6.170666151441513 4.858207746152687 TCTCCCAAGAGGCT chr21 33940611 33940612 chr21:33940612:C:T rs78314212 C T T EBF1_EBF_1 24 0 - 0 0 . chr21 33966850 33966851 chr21:33966851:G:A rs111395566 G A G EBF1_EBF_1 -13 0 + 0 0 . chr21 33968681 33968682 chr21:33968682:C:T rs114773765 C T C EBF1_EBF_1 9 1 - 5.157007649614909 2.502068384167305 GCTGCCAAGGGAAC chr21 33972177 33972178 chr21:33972178:G:A rs74324246 G A A EBF1_EBF_1 -11 0 - 0 0 . chr21 33976198 33976199 chr21:33976199:C:T rs743418 C T T EBF1_EBF_1 21 0 + 0 0 . chr21 33977124 33977125 chr21:33977125:A:G rs56996632 A G A EBF1_EBF_1 -3 0 - 0 0 . chr21 33977879 33977880 chr21:33977880:C:T rs62226715 C T C EBF1_EBF_1 -6 0 - 0 0 . chr21 33978095 33978096 chr21:33978096:C:T rs4817604 C T C EBF1_EBF_1 15 0 - 0 0 . chr21 33978099 33978100 chr21:33978100:T:C rs9975739 T C C EBF1_EBF_1 11 1 - 5.30053892306634 2.4078320794623287 ACTCCCACAGGATC chr21 34005289 34005290 chr21:34005290:C:T rs11702230 C T C EBF1_EBF_1 28 0 + 0 0 . chr21 34019105 34019106 chr21:34019106:C:A rs56090303 C A C EBF1_EBF_1 -3 0 + 0 0 . chr21 34019119 34019120 chr21:34019120:G:C rs4610143 G C C EBF1_EBF_1 11 1 + 5.634075672414435 4.110820818166057 TCTCCCTTGAGGCT chr21 34064513 34064514 chr21:34064514:G:C rs35686112 G C G EBF1_EBF_1 6 1 - 5.621595184351127 3.8164031208779283 AGACCCCTGGGGCC chr21 34067413 34067414 chr21:34067414:G:A rs13047864 G A G EBF1_EBF_1 -18 0 + 0 0 . chr21 34072914 34072915 chr21:34072915:G:C rs78001298 G C G EBF1_EBF_1 25 0 + 0 0 . chr21 34072918 34072919 chr21:34072919:C:A rs1230253896 C A C EBF1_EBF_1 29 0 + 0 0 . chr21 34145143 34145144 chr21:34145144:T:C rs55659831 T C C EBF1_EBF_1 -10 0 - 0 0 . chr21 34195648 34195649 chr21:34195649:G:C rs73203205 G C C EBF1_EBF_1 -13 0 - 0 0 . chr21 34219524 34219525 chr21:34219525:T:C rs8134775 T C C EBF1_EBF_1 -5 0 - 0 0 . chr21 34227773 34227774 chr21:34227774:G:A rs73364503 G A G EBF1_EBF_1 20 0 + 0 0 . chr21 34243800 34243801 chr21:34243801:T:C rs145014050 T C T EBF1_EBF_1 -13 0 - 0 0 . chr21 34306555 34306556 chr21:34306556:G:A rs113725656 G A G EBF1_EBF_1 -17 0 - 0 0 . chr21 34340057 34340058 chr21:34340058:G:T rs8127394 G T G EBF1_EBF_1 3 1 - 8.806517145284815 1.9003431158190107 ATTCCCCTGGGTCC chr21 34341337 34341338 chr21:34341338:G:A rs28801981 G A G EBF1_EBF_1 10 1 + 5.040408417417859 -0.27762004184910744 ATTCCCATGTGAGC chr21 34342604 34342605 chr21:34342605:T:C rs80010630 T C T EBF1_EBF_1 21 0 - 0 0 . chr21 34346021 34346022 chr21:34346022:C:T rs373089431 C T C EBF1_EBF_1 -15 0 - 0 0 . chr21 34512226 34512227 chr21:34512227:G:A rs11702354 G A G EBF1_EBF_1 0 1 - 5.890808170852157 6.173010231582735 CCTCTCCAGGGAAC chr21 34526875 34526876 chr21:34526876:C:G rs373151975 C G C EBF1_EBF_1 3 1 + 11.130535873780637 5.302771425665421 ACTCCCTGGGGAAA chr21 34534503 34534504 chr21:34534504:C:T rs9976520 C T C EBF1_EBF_1 -14 0 + 0 0 . chr21 34534504 34534505 chr21:34534505:A:G rs9976444 A G G EBF1_EBF_1 -13 0 + 0 0 . chr21 34534757 34534758 chr21:34534758:C:T rs9976800 C T C EBF1_EBF_1 10 1 - 5.101526808635328 -0.21650165063163873 CTTCCCCTGAGGCC chr21 34534769 34534770 chr21:34534770:A:G rs9976713 A G G EBF1_EBF_1 -2 0 - 0 0 . chr21 34534786 34534787 chr21:34534787:C:T rs138683800 C T C EBF1_EBF_1 -19 0 - 0 0 . chr21 34544219 34544220 chr21:34544220:A:C rs112919012 A C A EBF1_EBF_1 26 0 - 0 0 . chr21 34551827 34551828 chr21:34551828:G:A rs2247884 G A G EBF1_EBF_1 20 0 - 0 0 . chr21 34565846 34565847 chr21:34565847:C:T rs2834544 C T C EBF1_EBF_1 3 1 + 6.049758011429037 -0.35564073012428066 CTTCCCCAGCGACC chr21 34569831 34569832 chr21:34569832:C:T rs2186284 C T T EBF1_EBF_1 -18 0 + 0 0 . chr21 34615145 34615146 chr21:34615146:G:A rs149048873 G A G EBF1_EBF_1 32 0 + 0 0 . chr21 34615246 34615247 chr21:34615247:C:G rs7278890 C G C EBF1_EBF_1 -2 0 + 0 0 . chr21 34615247 34615248 chr21:34615248:C:A rs533866757 C A C EBF1_EBF_1 -1 0 + 0 0 . chr21 34615268 34615269 chr21:34615269:G:C rs115200312 G C G EBF1_EBF_1 20 0 + 0 0 . chr21 34659531 34659532 chr21:34659532:T:G rs58477391 T G T EBF1_EBF_1 16 0 + 0 0 . chr21 34668981 34668982 chr21:34668982:G:C rs78000608 G C G EBF1_EBF_1 -14 0 - 0 0 . chr21 34690816 34690817 chr21:34690817:T:G rs7281426 T G T EBF1_EBF_1 -3 0 + 0 0 . chr21 34712068 34712069 chr21:34712069:C:T rs2834599 C T C EBF1_EBF_1 17 0 + 0 0 . chr21 34736214 34736215 chr21:34736215:C:T rs538205952 C T C EBF1_EBF_1 23 0 - 0 0 . chr21 34764297 34764298 chr21:34764298:T:C rs8127331 T C T EBF1_EBF_1 7 1 - 9.89849019650475 8.586031791215925 TTTCCCTAGAGACT chr21 34792878 34792879 chr21:34792879:C:T rs73362834 C T C EBF1_EBF_1 5 1 + 5.9186454891322615 0.5968582735915917 CCTCCCAAGAGGAT chr21 34808716 34808717 chr21:34808717:G:A rs2268276 G A G EBF1_EBF_1 14 0 - 0 0 . chr21 34835152 34835153 chr21:34835153:C:G rs79609088 C G G EBF1_EBF_1 -4 0 - 0 0 . chr21 34844750 34844751 chr21:34844751:G:A rs192206684 G A G EBF1_EBF_1 15 0 + 0 0 . chr21 34852583 34852584 chr21:34852584:A:G rs546624611 A G A EBF1_EBF_1 15 0 - 0 0 . chr21 34865627 34865628 chr21:34865628:G:T rs1313800778 G T G EBF1_EBF_1 25 0 + 0 0 . chr21 34866209 34866210 chr21:34866210:G:A rs2834655 G A G EBF1_EBF_1 -13 0 - 0 0 . chr21 34870424 34870425 chr21:34870425:T:C rs115433284 T C T EBF1_EBF_1 -3 0 + 0 0 . chr21 34870444 34870445 chr21:34870445:C:G rs9982450 C G G EBF1_EBF_1 17 0 + 0 0 . chr21 34890600 34890601 chr21:34890601:C:T rs76056678 C T C EBF1_EBF_1 0 1 + 4.374569206813729 4.656771267544307 CTCCCCCTGGGCAC chr21 34933977 34933978 chr21:34933978:A:G rs73900599 A G A EBF1_EBF_1 -10 0 - 0 0 . chr21 34966008 34966009 chr21:34966009:C:T rs9976122 C T C EBF1_EBF_1 -18 0 + 0 0 . chr21 34987904 34987905 chr21:34987905:A:G rs762249 A G G EBF1_EBF_1 -6 0 + 0 0 . chr21 34989843 34989844 chr21:34989844:C:G rs8129389 C G C EBF1_EBF_1 8 1 - 5.035475456291535 -0.2831834046231507 AGTCTCTAGGGCCT chr21 35162732 35162733 chr21:35162733:A:G rs7279191 A G G EBF1_EBF_1 27 0 - 0 0 . chr21 35229662 35229663 chr21:35229663:C:T rs6517286 C T T EBF1_EBF_1 -20 0 + 0 0 . chr21 35244361 35244362 chr21:35244362:T:C rs2003623 T C T EBF1_EBF_1 -10 0 + 0 0 . chr21 35366519 35366520 chr21:35366520:T:C rs76780121 T C T EBF1_EBF_1 7 1 + 7.529241233455095 5.711736487172471 AATCCCATAGGAGT chr21 35421041 35421042 chr21:35421042:G:A rs4817722 G A G EBF1_EBF_1 15 0 - 0 0 . chr21 35476902 35476903 chr21:35476903:C:T rs116951441 C T C EBF1_EBF_1 -16 0 - 0 0 . chr21 35476905 35476906 chr21:35476906:G:A rs192609115 G A G EBF1_EBF_1 -19 0 - 0 0 . chr21 35492158 35492159 chr21:35492159:T:C rs7281771 T C T EBF1_EBF_1 1 1 - 9.747262676787967 10.007961508709268 AATCCCAAGAGACA chr21 35503129 35503130 chr21:35503130:G:A rs9982659 G A G EBF1_EBF_1 6 1 - 5.319284443764308 5.6205705726260655 ATTTCCCGGGGAAA chr21 35521401 35521402 chr21:35521402:G:C rs1734898 G C G EBF1_EBF_1 -16 0 + 0 0 . chr21 35598051 35598052 chr21:35598052:G:T rs9976876 G T G EBF1_EBF_1 28 0 - 0 0 . chr21 35598259 35598260 chr21:35598260:T:C rs79641503 T C T EBF1_EBF_1 4 1 - 5.583089595051611 5.781884117355534 TTTCACCAGGGACC chr21 35628538 35628539 chr21:35628539:G:A rs9979459 G A A EBF1_EBF_1 -18 0 - 0 0 . chr21 35645979 35645980 chr21:35645980:A:C rs1734522 A C A EBF1_EBF_1 -5 0 + 0 0 . chr21 35868720 35868721 chr21:35868721:C:G rs117567235 C G C EBF1_EBF_1 20 0 + 0 0 . chr21 35999784 35999785 chr21:35999785:C:T rs2032148 C T C EBF1_EBF_1 -13 0 + 0 0 . chr21 36021128 36021129 chr21:36021129:G:A rs2835232 G A G EBF1_EBF_1 -6 0 + 0 0 . chr21 36070461 36070462 chr21:36070462:G:A rs538650389 G A G EBF1_EBF_1 -19 0 + 0 0 . chr21 36079865 36079866 chr21:36079866:T:A rs56286428 T A t EBF1_EBF_1 9 1 - 5.225402526442379 1.0433523838011733 AGTCCCACGAGGAC chr21 36079866 36079867 chr21:36079867:C:T rs55746802 C T C EBF1_EBF_1 8 1 - 5.225402526442379 0.999112437556118 AGTCCCACGAGGAC chr21 36096977 36096978 chr21:36096978:G:A rs9631189 G A G EBF1_EBF_1 8 1 + 4.822969281865479 0.5966791929792175 CCTCCCCAGGGGTG chr21 36098405 36098406 chr21:36098406:A:G rs11702763 A G A EBF1_EBF_1 19 0 - 0 0 . chr21 36100598 36100599 chr21:36100599:T:C rs68167861 T C T EBF1_EBF_1 31 0 - 0 0 . chr21 36101233 36101234 chr21:36101234:C:G rs9636629 C G C EBF1_EBF_1 -2 0 + 0 0 . chr21 36104770 36104771 chr21:36104771:C:T rs67422184 C T C EBF1_EBF_1 -11 0 + 0 0 . chr21 36104800 36104801 chr21:36104801:C:T rs4817773 C T T EBF1_EBF_1 19 0 + 0 0 . chr21 36105252 36105253 chr21:36105253:G:A rs1008244 G A A EBF1_EBF_1 -14 0 - 0 0 . chr21 36105541 36105542 chr21:36105542:G:C rs192240191 G C G EBF1_EBF_1 21 0 + 0 0 . chr21 36126695 36126696 chr21:36126696:A:G rs11088339 A G A EBF1_EBF_1 17 0 + 0 0 . chr21 36135607 36135608 chr21:36135608:C:G rs45581835 C G C EBF1_EBF_1 -5 0 - 0 0 . chr21 36187206 36187207 chr21:36187207:T:C rs62229325 T C c EBF1_EBF_1 32 0 - 0 0 . chr21 36245425 36245426 chr21:36245426:C:A rs3746866 C A C EBF1_EBF_1 9 1 - 6.208487103050157 -0.6285023050386525 CCCCCCATGGGGAT chr21 36303384 36303385 chr21:36303385:G:A rs8132672 G A G EBF1_EBF_1 -13 0 - 0 0 . chr21 36329363 36329364 chr21:36329364:A:G rs1018735862 A G A EBF1_EBF_1 16 0 - 0 0 . chr21 36408523 36408524 chr21:36408524:C:T rs218652 C T C EBF1_EBF_1 9 1 - 11.101886618431443 8.446947352983841 AATCCCCGGGGACC chr21 36422526 36422527 chr21:36422527:G:T rs80126321 G T G EBF1_EBF_1 -17 0 + 0 0 . chr21 36427501 36427502 chr21:36427502:A:G rs218637 A G A EBF1_EBF_1 16 0 - 0 0 . chr21 36459868 36459869 chr21:36459869:G:T rs149629737 G T G EBF1_EBF_1 16 0 - 0 0 . chr21 36517520 36517521 chr21:36517521:T:C rs2835377 T C C EBF1_EBF_1 -14 0 + 0 0 . chr21 36542561 36542562 chr21:36542562:C:T rs73902571 C T C EBF1_EBF_1 21 0 + 0 0 . chr21 36542626 36542627 chr21:36542627:G:C rs928839 G C C EBF1_EBF_1 -2 0 + 0 0 . chr21 36547263 36547264 chr21:36547264:T:G rs448687 T G G EBF1_EBF_1 -4 0 - 0 0 . chr21 36589560 36589561 chr21:36589561:C:G rs146579459 C G C EBF1_EBF_1 -2 0 + 0 0 . chr21 36640199 36640200 chr21:36640200:G:A rs12329699 G A A EBF1_EBF_1 -6 0 + 0 0 . chr21 36640219 36640220 chr21:36640220:C:T rs410474 C T C EBF1_EBF_1 14 0 + 0 0 . chr21 36640707 36640708 chr21:36640708:C:T rs417557 C T C EBF1_EBF_1 16 0 - 0 0 . chr21 36642710 36642711 chr21:36642711:A:C rs2070521 A C A EBF1_EBF_1 16 0 + 0 0 . chr21 36660014 36660015 chr21:36660015:G:A rs4816545 G A G EBF1_EBF_1 -10 0 + 0 0 . chr21 36697464 36697465 chr21:36697465:C:A rs112154599 C A C EBF1_EBF_1 -3 0 + 0 0 . chr21 36702749 36702750 chr21:36702750:G:A rs112259105 G A G EBF1_EBF_1 17 0 - 0 0 . chr21 36706252 36706253 chr21:36706253:C:T rs2409840 C T C EBF1_EBF_1 21 0 - 0 0 . chr21 36900974 36900975 chr21:36900975:C:T rs151165109 C T C EBF1_EBF_1 6 1 - 6.240926160539284 8.40772059181376 GCCCCCGTGGGATT chr21 36915906 36915907 chr21:36915907:T:C rs71332557 T C T EBF1_EBF_1 -15 0 - 0 0 . chr21 36939551 36939552 chr21:36939552:C:G rs8126740 C G C EBF1_EBF_1 -9 0 - 0 0 . chr21 36959491 36959492 chr21:36959492:C:T rs28873986 C T C EBF1_EBF_1 15 0 - 0 0 . chr21 36965057 36965058 chr21:36965058:G:A rs4816555 G A G EBF1_EBF_1 2 1 - 5.646736662823714 7.535560074265864 TCCCCCAAGGTACT chr21 36980695 36980696 chr21:36980696:G:A rs529768803 G A G EBF1_EBF_1 27 0 - 0 0 . chr21 36989802 36989803 chr21:36989803:C:T rs185485494 C T c EBF1_EBF_1 10 1 - 3.8818754009544607 -1.436153058312505 CCTCCCACGGGCCC chr21 37006831 37006832 chr21:37006832:C:G rs527816099 C G c EBF1_EBF_1 -7 0 + 0 0 . chr21 37042023 37042024 chr21:37042024:G:A rs76056177 G A G EBF1_EBF_1 10 1 + 4.391478434106329 -0.9265500251606369 GCTGCCCAGGGACA chr21 37047481 37047482 chr21:37047482:C:T rs12482635 C T C EBF1_EBF_1 -17 0 - 0 0 . chr21 37063339 37063340 chr21:37063340:G:A rs116284719 G A G EBF1_EBF_1 30 0 - 0 0 . chr21 37119456 37119457 chr21:37119457:T:C rs77377556 T C T EBF1_EBF_1 12 1 + 7.085260768557853 8.1115273391087 GTCCCCCAGGGATG chr21 37167054 37167055 chr21:37167055:C:T rs2156077 C T C EBF1_EBF_1 17 0 + 0 0 . chr21 37199438 37199439 chr21:37199439:G:A rs140611423 G A G EBF1_EBF_1 10 1 + 6.274753222522584 0.9567247632556182 AGTCCCAGGCGACA chr21 37207612 37207613 chr21:37207613:G:A rs2835667 G A A EBF1_EBF_1 3 1 - 4.697827884169653 -1.7075708573836639 GGCCCCCAAGGAAC chr21 37209319 37209320 chr21:37209320:A:G rs116160212 A G A EBF1_EBF_1 -5 0 - 0 0 . chr21 37238694 37238695 chr21:37238695:C:T rs58526204 C T C EBF1_EBF_1 -5 0 + 0 0 . chr21 37267352 37267353 chr21:37267353:G:A rs970187356 G A G EBF1_EBF_1 19 0 + 0 0 . chr21 37299115 37299116 chr21:37299116:C:T rs16994935 C T C EBF1_EBF_1 5 1 + 5.733628441980462 0.4118412264397934 CACCCCTCGGGAGT chr21 37339385 37339386 chr21:37339386:G:T rs545284390 G T G EBF1_EBF_1 3 1 - 6.808289153590934 -0.09788487587487316 ACTCCCCGGGTATT chr21 37339401 37339402 chr21:37339402:C:T rs13051737 C T C EBF1_EBF_1 -13 0 - 0 0 . chr21 37450957 37450958 chr21:37450958:A:G rs1137600 A G A EBF1_EBF_1 16 0 + 0 0 . chr21 37530761 37530762 chr21:37530762:C:G rs2835784 C G C EBF1_EBF_1 19 0 + 0 0 . chr21 37533456 37533457 chr21:37533457:G:A rs547372031 G A G EBF1_EBF_1 -7 0 - 0 0 . chr21 37533507 37533508 chr21:37533508:G:C rs12481823 G C C EBF1_EBF_1 4 1 - 9.185684420519122 3.5599193193695777 CCCCCCCAGGGACT chr21 37563795 37563796 chr21:37563796:C:A chr21:37563796:C:A C A C EBF1_EBF_1 20 0 - 0 0 . chr21 37563825 37563826 chr21:37563826:T:G rs8131240 T G T EBF1_EBF_1 -10 0 - 0 0 . chr21 37563828 37563829 chr21:37563829:G:C rs540450877 G C G EBF1_EBF_1 -13 0 - 0 0 . chr21 37564321 37564322 chr21:37564322:C:T rs1011047613 C T C EBF1_EBF_1 -20 0 + 0 0 . chr21 37564324 37564325 chr21:37564325:C:G rs73906047 C G C EBF1_EBF_1 -17 0 + 0 0 . chr21 37587824 37587825 chr21:37587825:G:A rs113581779 G A G EBF1_EBF_1 20 0 - 0 0 . chr21 37593841 37593842 chr21:37593842:C:T rs62224271 C T C EBF1_EBF_1 32 0 + 0 0 . chr21 37594219 37594220 chr21:37594220:C:T rs1892564 C T C EBF1_EBF_1 -13 0 + 0 0 . chr21 37623849 37623850 chr21:37623850:A:G rs951904881 A G A EBF1_EBF_1 -2 0 + 0 0 . chr21 37656952 37656953 chr21:37656953:T:C rs2835876 T C T EBF1_EBF_1 11 1 - 5.209277955254665 2.316571111650653 CATCCCCTGGAACT chr21 37659329 37659330 chr21:37659330:A:T rs2835879 A T A EBF1_EBF_1 2 1 - 5.583365900765252 1.8988983131663755 CCTCCCTTGGGTCA chr21 37660174 37660175 chr21:37660175:A:G rs2835880 A G A EBF1_EBF_1 7 1 + 5.847860027968765 4.535401622679939 TTTCCCAAGAGGCA chr21 37667817 37667818 chr21:37667818:A:G rs73203809 A G A EBF1_EBF_1 16 0 + 0 0 . chr21 37683774 37683775 chr21:37683775:C:A rs1787409 C A C EBF1_EBF_1 32 0 - 0 0 . chr21 37683817 37683818 chr21:37683818:G:A rs1787410 G A G EBF1_EBF_1 -11 0 - 0 0 . chr21 37753878 37753879 chr21:37753879:C:T rs2835936 C T C EBF1_EBF_1 14 0 - 0 0 . chr21 37762241 37762242 chr21:37762242:C:T rs76041977 C T C EBF1_EBF_1 -13 0 - 0 0 . chr21 37832329 37832330 chr21:37832330:G:A rs2836003 G A G EBF1_EBF_1 5 1 - 7.77376416509809 2.45197694955742 TATCCCCCGGGACA chr21 37848088 37848089 chr21:37848089:G:T rs2836024 G T G EBF1_EBF_1 3 1 - 4.524549629689664 -2.3816243997761433 GGCCTCCAGGGACC chr21 37848928 37848929 chr21:37848929:C:A rs73423422 C A C EBF1_EBF_1 18 0 - 0 0 . chr21 37848946 37848947 chr21:37848947:T:G rs3787857 T G T EBF1_EBF_1 0 1 - 8.772727066516135 6.517468582819535 ACTCCCCTGGGGAA chr21 37868613 37868614 chr21:37868614:G:A rs57558558 G A G EBF1_EBF_1 -4 0 - 0 0 . chr21 37875111 37875112 chr21:37875112:T:C rs12626297 T C T EBF1_EBF_1 -15 0 - 0 0 . chr21 37885624 37885625 chr21:37885625:T:C rs12053719 T C C EBF1_EBF_1 11 1 - 4.34002323548664 1.447316391882629 CCCCTCCTGGGACT chr21 38172064 38172065 chr21:38172065:G:A rs2836179 G A G EBF1_EBF_1 -20 0 - 0 0 . chr21 38219241 38219242 chr21:38219242:A:C chr21:38219242:A:C A C A EBF1_EBF_1 -9 0 + 0 0 . chr21 38219251 38219252 chr21:38219252:T:G rs2836196 T G G EBF1_EBF_1 1 1 + 8.228800543621663 7.523138757792137 ATCCCCGAGGGAAG chr21 38225530 38225531 chr21:38225531:T:G rs2410010 T G G EBF1_EBF_1 -1 0 - 0 0 . chr21 38228220 38228221 chr21:38228221:C:T rs2836218 C T G EBF1_EBF_1 -15 0 - 0 0 . chr21 38228576 38228577 chr21:38228577:A:C rs2836220 A C a EBF1_EBF_1 20 0 - 0 0 . chr21 38281135 38281136 chr21:38281136:G:A rs9982723 G A G EBF1_EBF_1 16 0 + 0 0 . chr21 38343904 38343905 chr21:38343905:G:A rs75687490 G A G EBF1_EBF_1 6 1 + 4.85552051414569 7.022314945420166 ACTCACGAGGGACC chr21 38348556 38348557 chr21:38348557:C:A rs73211988 C A C EBF1_EBF_1 -11 0 - 0 0 . chr21 38349400 38349401 chr21:38349401:A:G rs2836323 A G G EBF1_EBF_1 -1 0 - 0 0 . chr21 38349402 38349403 chr21:38349403:G:A rs2836324 G A G EBF1_EBF_1 -3 0 - 0 0 . chr21 38356074 38356075 chr21:38356075:C:T rs73904556 C T C EBF1_EBF_1 -3 0 - 0 0 . chr21 38357044 38357045 chr21:38357045:C:G rs113343388 C G C EBF1_EBF_1 26 0 + 0 0 . chr21 38404562 38404563 chr21:38404563:A:T rs9976326 A T A EBF1_EBF_1 -13 0 + 0 0 . chr21 38404589 38404590 chr21:38404590:C:T rs2836374 C T C EBF1_EBF_1 14 0 + 0 0 . chr21 38503722 38503723 chr21:38503723:C:T rs78691325 C T C EBF1_EBF_1 24 0 - 0 0 . chr21 38509935 38509936 chr21:38509936:A:G rs7282902 A G G EBF1_EBF_1 6 1 - 4.814944640827331 4.513658511965574 AGCCCCTGGGGCGT chr21 38672176 38672177 chr21:38672177:T:C rs73432980 T C C EBF1_EBF_1 -11 0 - 0 0 . chr21 38753158 38753159 chr21:38753159:C:G rs2836666 C G C EBF1_EBF_1 -2 0 - 0 0 . chr21 38770761 38770762 chr21:38770762:G:T rs2156421 G T G EBF1_EBF_1 16 0 - 0 0 . chr21 38795126 38795127 chr21:38795127:C:T rs75688133 C T C EBF1_EBF_1 22 0 - 0 0 . chr21 38795137 38795138 chr21:38795138:T:G rs1209940 T G G EBF1_EBF_1 11 1 - 8.537486758223823 4.121525060371432 GCCCCCTGGGGACT chr21 38819506 38819507 chr21:38819507:T:G rs457705 T G G EBF1_EBF_1 -14 0 + 0 0 . chr21 38840300 38840301 chr21:38840301:G:C rs11088454 G C G EBF1_EBF_1 -17 0 - 0 0 . chr21 38858269 38858270 chr21:38858270:G:A rs455328 G A G EBF1_EBF_1 14 0 + 0 0 . chr21 38959213 38959214 chr21:38959214:T:G rs571548786 T G T EBF1_EBF_1 28 0 + 0 0 . chr21 38979921 38979922 chr21:38979922:A:G rs1384259573 A G A EBF1_EBF_1 -9 0 + 0 0 . chr21 38984721 38984722 chr21:38984722:T:C rs2836808 T C T EBF1_EBF_1 30 0 - 0 0 . chr21 38990646 38990647 chr21:38990647:C:T rs973192 C T C EBF1_EBF_1 -5 0 - 0 0 . chr21 38990660 38990661 chr21:38990661:C:T rs138740072 C T c EBF1_EBF_1 -19 0 - 0 0 . chr21 38991606 38991607 chr21:38991607:G:A rs2410072 G A G EBF1_EBF_1 -18 0 + 0 0 . chr21 39006845 39006846 chr21:39006846:G:C chr21:39006846:G:C G C G EBF1_EBF_1 15 0 - 0 0 . chr21 39011332 39011333 chr21:39011333:G:T rs141938690 G T G EBF1_EBF_1 26 0 - 0 0 . chr21 39018862 39018863 chr21:39018863:G:C rs55910511 G C G EBF1_EBF_1 -10 0 + 0 0 . chr21 39018898 39018899 chr21:39018899:C:G rs2836838 C G C EBF1_EBF_1 26 0 + 0 0 . chr21 39023179 39023180 chr21:39023180:A:G rs13052342 A G A EBF1_EBF_1 13 1 - 13.74892551596906 12.90443140138354 ATTCCCCTGGGACT chr21 39023184 39023185 chr21:39023185:C:T rs11911897 C T C EBF1_EBF_1 8 1 - 13.74892551596906 9.5226354270828 ATTCCCCTGGGACT chr21 39023416 39023417 chr21:39023417:C:T rs2836845 C T C EBF1_EBF_1 -13 0 - 0 0 . chr21 39025733 39025734 chr21:39025734:C:A rs8133785 C A C EBF1_EBF_1 -1 0 + 0 0 . chr21 39027125 39027126 chr21:39027126:C:G rs56176518 C G C EBF1_EBF_1 13 1 + 6.293745761547587 4.805674740192172 GACCCCAGGGGAGC chr21 39028973 39028974 chr21:39028974:C:T rs2242929 C T C EBF1_EBF_1 19 0 + 0 0 . chr21 39028975 39028976 chr21:39028976:C:A chr21:39028976:C:A C A C EBF1_EBF_1 21 0 + 0 0 . chr21 39029032 39029033 chr21:39029033:G:A rs2242930 G A G EBF1_EBF_1 -5 0 - 0 0 . chr21 39039287 39039288 chr21:39039288:T:C rs112194125 T C T EBF1_EBF_1 12 1 + 5.9561703004206334 6.982436870971482 CTTCCCCAGAGATG chr21 39041000 39041001 chr21:39041001:G:A rs149416456 G A G EBF1_EBF_1 12 1 - 7.436501907486081 6.410235336935231 ACTCCCAGGGGTCC chr21 39045777 39045778 chr21:39045778:C:T rs914155 C T T EBF1_EBF_1 -7 0 - 0 0 . chr21 39114767 39114768 chr21:39114768:G:A rs2836890 G A G EBF1_EBF_1 -19 0 - 0 0 . chr21 39121733 39121734 chr21:39121734:G:A rs431704 G A G EBF1_EBF_1 -17 0 + 0 0 . chr21 39176408 39176409 chr21:39176409:A:G rs73906147 A G A EBF1_EBF_1 7 1 - 5.499898578833507 3.6823938325508845 GTTCCCATGGGCAG chr21 39217608 39217609 chr21:39217609:C:A rs7280149 C A A EBF1_EBF_1 -8 0 - 0 0 . chr21 39250115 39250116 chr21:39250116:G:C rs188809279 G C A EBF1_EBF_1 8 1 + 5.89504518974621 0.5763863288315243 ACTCCCTCGGGCTT chr21 39318906 39318907 chr21:39318907:G:A rs146712911 G A G EBF1_EBF_1 9 1 + 6.601581918101764 3.94664265265416 ATTCCCTAGGAAGA chr21 39326449 39326450 chr21:39326450:C:T rs180980983 C T C EBF1_EBF_1 -10 0 + 0 0 . chr21 39350397 39350398 chr21:39350398:C:A rs13050927 C A C EBF1_EBF_1 19 0 - 0 0 . chr21 39364546 39364547 chr21:39364547:A:T rs2836993 A T A EBF1_EBF_1 26 0 - 0 0 . chr21 39370989 39370990 chr21:39370990:G:C rs1554933 G C G EBF1_EBF_1 27 0 - 0 0 . chr21 39373943 39373944 chr21:39373944:T:C rs73364035 T C T EBF1_EBF_1 24 0 - 0 0 . chr21 39388678 39388679 chr21:39388679:A:T rs13051949 A T T EBF1_EBF_1 -16 0 - 0 0 . chr21 39388819 39388820 chr21:39388820:C:G rs77914763 C G C EBF1_EBF_1 14 0 - 0 0 . chr21 39388850 39388851 chr21:39388851:T:G chr21:39388851:T:G T G T EBF1_EBF_1 -17 0 - 0 0 . chr21 39445822 39445823 chr21:39445823:G:A rs4281987 G A G EBF1_EBF_1 -13 0 - 0 0 . chr21 39633221 39633222 chr21:39633222:C:T rs8134159 C T C EBF1_EBF_1 -4 0 + 0 0 . chr21 39648824 39648825 chr21:39648825:A:G rs11700951 A G G EBF1_EBF_1 -14 0 - 0 0 . chr21 39656490 39656491 chr21:39656491:C:T rs2239387 C T C EBF1_EBF_1 -10 0 + 0 0 . chr21 39658829 39658830 chr21:39658830:C:T rs2238702 C T T EBF1_EBF_1 4 1 + 7.6202963700887505 3.2509094251438255 AGTCCCTGGAGAGC chr21 39714327 39714328 chr21:39714328:T:C rs62235490 T C C EBF1_EBF_1 25 0 - 0 0 . chr21 39714364 39714365 chr21:39714365:T:C rs62235491 T C T EBF1_EBF_1 -12 0 - 0 0 . chr21 39716081 39716082 chr21:39716082:G:C rs57845654 G C C EBF1_EBF_1 5 1 - 5.708509682594831 -1.1963714700403367 ACCCTCTTGGGAAA chr21 39716095 39716096 chr21:39716096:G:A rs140048287 G A G EBF1_EBF_1 -9 0 - 0 0 . chr21 39738193 39738194 chr21:39738194:G:T rs463044 G T T EBF1_EBF_1 4 1 - 5.8364118594304175 0.011852235976948622 AGCCCCCTGGAACT chr21 39750709 39750710 chr21:39750710:G:A rs1734860 G A G EBF1_EBF_1 -16 0 + 0 0 . chr21 39750725 39750726 chr21:39750726:G:A rs10427524 G A G EBF1_EBF_1 0 1 + 5.7605220009857385 7.652805870550573 GCACCCTCGGGACT chr21 39843358 39843359 chr21:39843359:G:A rs59123531 G A G EBF1_EBF_1 14 0 - 0 0 . chr21 39861190 39861191 chr21:39861191:C:T rs408654 C T c EBF1_EBF_1 15 0 + 0 0 . chr21 39911682 39911683 chr21:39911683:G:A rs928294 G A A EBF1_EBF_1 -3 0 - 0 0 . chr21 39973244 39973245 chr21:39973245:A:G rs1235555 A G G EBF1_EBF_1 -18 0 - 0 0 . chr21 40075671 40075672 chr21:40075672:A:G rs378439 A G A EBF1_EBF_1 20 0 - 0 0 . chr21 40089503 40089504 chr21:40089504:C:A rs60556288 C A C EBF1_EBF_1 6 1 + 4.605378082518058 4.966980450319335 CTTCCCCCAGGACC chr21 40144428 40144429 chr21:40144429:G:A rs78292319 G A G EBF1_EBF_1 -4 0 + 0 0 . chr21 40158586 40158587 chr21:40158587:C:T rs560770409 C T C EBF1_EBF_1 14 0 - 0 0 . chr21 40162414 40162415 chr21:40162415:C:T rs9984623 C T C EBF1_EBF_1 13 1 - 5.983089947241534 7.097415519310973 CTACCCCAGGGAAG chr21 40170149 40170150 chr21:40170150:G:A rs1888501 G A G EBF1_EBF_1 27 0 - 0 0 . chr21 40205145 40205146 chr21:40205146:A:C rs2837498 A C C EBF1_EBF_1 -5 0 + 0 0 . chr21 40299776 40299777 chr21:40299777:A:T rs2837561 A T T EBF1_EBF_1 17 0 + 0 0 . chr21 40348348 40348349 chr21:40348349:T:G rs111262413 T G T EBF1_EBF_1 -11 0 + 0 0 . chr21 40355225 40355226 chr21:40355226:C:T rs7283653 C T T EBF1_EBF_1 21 0 - 0 0 . chr21 40548341 40548342 chr21:40548342:G:T rs13047873 G T T EBF1_EBF_1 -3 0 - 0 0 . chr21 40553684 40553685 chr21:40553685:C:A rs2837703 C A A EBF1_EBF_1 19 0 + 0 0 . chr21 40554418 40554419 chr21:40554419:T:C rs35360492 T C T EBF1_EBF_1 6 1 - 6.065895863134902 3.8991014318604265 TTCCCCATGGTACT chr21 40681103 40681104 chr21:40681104:A:G rs9979024 A G A EBF1_EBF_1 -3 0 + 0 0 . chr21 40696326 40696327 chr21:40696327:A:T rs2410286 A T A EBF1_EBF_1 -20 0 + 0 0 . chr21 40752435 40752436 chr21:40752436:C:T rs111866945 C T C EBF1_EBF_1 -1 0 - 0 0 . chr21 40854221 40854222 chr21:40854222:G:T rs8130871 G T G EBF1_EBF_1 -12 0 - 0 0 . chr21 40863948 40863949 chr21:40863949:T:A rs143654879 T A T EBF1_EBF_1 27 0 + 0 0 . chr21 40937333 40937334 chr21:40937334:T:G rs2837884 T G G EBF1_EBF_1 -18 0 - 0 0 . chr21 41064075 41064076 chr21:41064076:C:T rs2837921 C T T EBF1_EBF_1 -15 0 - 0 0 . chr21 41113213 41113214 chr21:41113214:T:C rs140982615 T C T EBF1_EBF_1 -15 0 - 0 0 . chr21 41125177 41125178 chr21:41125178:C:T rs57429406 C T C EBF1_EBF_1 -11 0 + 0 0 . chr21 41174492 41174493 chr21:41174493:A:G rs75064141 A G A EBF1_EBF_1 -1 0 - 0 0 . chr21 41222489 41222490 chr21:41222490:C:A rs4816715 C A G EBF1_EBF_1 9 1 - 8.22542993713896 1.3884405290501536 ATCCCCCAGGGGCA chr21 41229098 41229099 chr21:41229099:A:G rs8130265 A G A EBF1_EBF_1 -5 0 + 0 0 . chr21 41238290 41238291 chr21:41238291:C:T rs79579071 C T C EBF1_EBF_1 22 0 + 0 0 . chr21 41363791 41363792 chr21:41363792:G:A rs2838029 G A G EBF1_EBF_1 31 0 - 0 0 . chr21 41363826 41363827 chr21:41363827:C:T rs17765580 C T C EBF1_EBF_1 -4 0 - 0 0 . chr21 41374640 41374641 chr21:41374641:C:G rs376364 C G C EBF1_EBF_1 -8 0 - 0 0 . chr21 41375506 41375507 chr21:41375507:T:G rs577229984 T G t EBF1_EBF_1 -13 0 - 0 0 . chr21 41380779 41380780 chr21:41380780:T:A rs144081713 T A T EBF1_EBF_1 0 1 + 3.9254934595869733 5.898549882552996 TCCCCCGAGGGGCC chr21 41390104 41390105 chr21:41390105:C:T rs117533798 C T C EBF1_EBF_1 -16 0 - 0 0 . chr21 41411461 41411462 chr21:41411462:C:G rs9982725 C G C EBF1_EBF_1 -2 0 - 0 0 . chr21 41449766 41449767 chr21:41449767:T:C rs469218 T C C EBF1_EBF_1 -11 0 - 0 0 . chr21 41449768 41449769 chr21:41449769:C:G rs2075809 C G C EBF1_EBF_1 -13 0 - 0 0 . chr21 41473455 41473456 chr21:41473456:A:G rs17854725 A G G EBF1_EBF_1 -18 0 - 0 0 . chr21 41473710 41473711 chr21:41473711:C:T rs55964536 C T C EBF1_EBF_1 22 0 - 0 0 . chr21 41473714 41473715 chr21:41473715:C:A rs2298661 C A C EBF1_EBF_1 18 0 - 0 0 . chr21 41484410 41484411 chr21:41484411:C:T rs139222305 C T C EBF1_EBF_1 -7 0 + 0 0 . chr21 41508557 41508558 chr21:41508558:A:G rs4303795 A G G EBF1_EBF_1 -8 0 - 0 0 . chr21 41515962 41515963 chr21:41515963:A:G rs8130911 A G a EBF1_EBF_1 -17 0 - 0 0 . chr21 41529493 41529494 chr21:41529494:A:G rs1041449 A G A EBF1_EBF_1 30 0 - 0 0 . chr21 41536528 41536529 chr21:41536529:G:C rs139041054 G C G EBF1_EBF_1 6 1 + 4.970840203854165 6.776032267327363 CACCCCGGGGGATT chr21 41594217 41594218 chr21:41594218:G:A rs80229496 G A G EBF1_EBF_1 17 0 + 0 0 . chr21 41597324 41597325 chr21:41597325:G:C rs11911492 G C G EBF1_EBF_1 3 1 - 5.384780965107544 -0.4429834830076723 AGACCCAGGAGACC chr21 41606014 41606015 chr21:41606015:C:G rs9285727 C G C EBF1_EBF_1 -6 0 + 0 0 . chr21 41634403 41634404 chr21:41634404:G:T rs12627737 G T G EBF1_EBF_1 -2 0 + 0 0 . chr21 41636369 41636370 chr21:41636370:C:T rs4643630 C T C EBF1_EBF_1 15 0 + 0 0 . chr21 41636764 41636765 chr21:41636765:A:C rs12482544 A C A EBF1_EBF_1 -8 0 + 0 0 . chr21 41666783 41666784 chr21:41666784:A:C rs2776348 A C C EBF1_EBF_1 -2 0 + 0 0 . chr21 41668122 41668123 chr21:41668123:G:A rs2776351 G A G EBF1_EBF_1 31 0 - 0 0 . chr21 41668941 41668942 chr21:41668942:G:A rs569670651 G A G EBF1_EBF_1 -18 0 + 0 0 . chr21 41668958 41668959 chr21:41668959:G:A rs559046965 G A G EBF1_EBF_1 -1 0 + 0 0 . chr21 41673033 41673034 chr21:41673034:C:A rs35459835 C A C EBF1_EBF_1 -17 0 + 0 0 . chr21 41680557 41680558 chr21:41680558:T:C rs56170581 T C T EBF1_EBF_1 23 0 + 0 0 . chr21 41683877 41683878 chr21:41683878:G:T rs2776367 G T T EBF1_EBF_1 30 0 - 0 0 . chr21 41701461 41701462 chr21:41701462:G:A rs9976464 G A G EBF1_EBF_1 21 0 - 0 0 . chr21 41701483 41701484 chr21:41701484:T:C rs8129111 T C T EBF1_EBF_1 -1 0 - 0 0 . chr21 41720623 41720624 chr21:41720624:T:C rs7275856 T C T EBF1_EBF_1 -17 0 - 0 0 . chr21 41727168 41727169 chr21:41727169:C:T rs34679796 C T C EBF1_EBF_1 -6 0 + 0 0 . chr21 41727206 41727207 chr21:41727207:G:C rs114121804 G C G EBF1_EBF_1 32 0 + 0 0 . chr21 41736579 41736580 chr21:41736580:C:A rs2838111 C A C EBF1_EBF_1 -12 0 + 0 0 . chr21 41754468 41754469 chr21:41754469:T:C rs61537445 T C T EBF1_EBF_1 24 0 + 0 0 . chr21 41756638 41756639 chr21:41756639:T:G rs13049286 T G G EBF1_EBF_1 24 0 - 0 0 . chr21 41756671 41756672 chr21:41756672:A:G rs2277791 A G G EBF1_EBF_1 -9 0 - 0 0 . chr21 41764256 41764257 chr21:41764257:G:A rs3787960 G A G EBF1_EBF_1 24 0 - 0 0 . chr21 41765219 41765220 chr21:41765220:T:C rs115756221 T C T EBF1_EBF_1 12 1 + 7.798533312545798 8.824799883096647 ATCCCCAAGAGATA chr21 41766493 41766494 chr21:41766494:G:A rs541576717 G A G EBF1_EBF_1 29 0 + 0 0 . chr21 41767006 41767007 chr21:41767007:G:C rs6586239 G C c EBF1_EBF_1 17 0 - 0 0 . chr21 41767034 41767035 chr21:41767035:C:T rs199825512 C T c EBF1_EBF_1 -11 0 - 0 0 . chr21 41769336 41769337 chr21:41769337:C:T rs8127239 C T T EBF1_EBF_1 13 1 + 4.5952480812951615 5.4397421958806795 AGCCCCGAGGGCAC chr21 41769347 41769348 chr21:41769348:G:A rs8130852 G A A EBF1_EBF_1 24 0 + 0 0 . chr21 41770067 41770068 chr21:41770068:T:C rs115041663 T C t EBF1_EBF_1 -3 0 + 0 0 . chr21 41770113 41770114 chr21:41770114:G:A rs145329514 G A G EBF1_EBF_1 -18 0 + 0 0 . chr21 41770158 41770159 chr21:41770159:G:A rs543052059 G A G EBF1_EBF_1 27 0 + 0 0 . chr21 41778546 41778547 chr21:41778547:G:C rs571628440 G C G EBF1_EBF_1 6 1 - 4.426303397622981 2.621111334149782 AGTCCCCGGAGGGC chr21 41800307 41800308 chr21:41800308:G:A rs61730195 G A G EBF1_EBF_1 18 0 - 0 0 . chr21 41801394 41801395 chr21:41801395:G:A rs2236694 G A G EBF1_EBF_1 8 1 + 6.212210466548096 1.985920377661834 GCTCCCCAGGGGCG chr21 41804522 41804523 chr21:41804523:A:G rs2298687 A G G EBF1_EBF_1 15 0 + 0 0 . chr21 41824225 41824226 chr21:41824226:G:C rs28694651 G C C EBF1_EBF_1 1 1 - 6.61248827362947 6.802259928198687 TCTCCCTAGCGAAT chr21 41831430 41831431 chr21:41831431:G:A rs79901159 G A G EBF1_EBF_1 -4 0 - 0 0 . chr21 41833659 41833660 chr21:41833660:A:G rs2839386 A G G EBF1_EBF_1 12 1 - 5.479896060333075 6.5061626308839235 CTTCCCCTGAGATG chr21 41834068 41834069 chr21:41834069:G:C rs17113960 G C G EBF1_EBF_1 -16 0 - 0 0 . chr21 41834353 41834354 chr21:41834354:A:G rs2839388 A G G EBF1_EBF_1 26 0 - 0 0 . chr21 41844149 41844150 chr21:41844150:G:C rs148473921 G C G EBF1_EBF_1 17 0 + 0 0 . chr21 41862382 41862383 chr21:41862383:G:A rs117806770 G A G EBF1_EBF_1 21 0 + 0 0 . chr21 41866903 41866904 chr21:41866904:C:A rs1893587 C A A EBF1_EBF_1 23 0 - 0 0 . chr21 41894564 41894565 chr21:41894565:G:A rs138937736 G A G EBF1_EBF_1 -8 0 - 0 0 . chr21 41913036 41913037 chr21:41913037:C:T rs8134375 C T c EBF1_EBF_1 10 1 - 7.734688176698409 2.416659717431442 GTCCCCCTGGGAGC chr21 41916573 41916574 chr21:41916574:A:G rs4919995 A G A EBF1_EBF_1 31 0 - 0 0 . chr21 41942754 41942755 chr21:41942755:C:T rs142539516 C T C EBF1_EBF_1 -19 0 + 0 0 . chr21 41947952 41947953 chr21:41947953:G:A rs76383191 G A G EBF1_EBF_1 -11 0 - 0 0 . chr21 41958047 41958048 chr21:41958048:C:A rs148033194 C A C EBF1_EBF_1 -12 0 - 0 0 . chr21 41959308 41959309 chr21:41959309:C:T rs9974843 C T C EBF1_EBF_1 22 0 + 0 0 . chr21 41959505 41959506 chr21:41959506:C:T rs79899606 C T C EBF1_EBF_1 4 1 + 10.929701119156798 6.560314174211875 CCTCCCTTGGGAAT chr21 41974246 41974247 chr21:41974247:A:G rs1395071 A G G EBF1_EBF_1 29 0 - 0 0 . chr21 41974280 41974281 chr21:41974281:A:T rs114134539 A T A EBF1_EBF_1 -5 0 - 0 0 . chr21 41976057 41976058 chr21:41976058:C:G rs7281612 C G C EBF1_EBF_1 17 0 - 0 0 . chr21 41976864 41976865 chr21:41976865:C:T rs80121004 C T C EBF1_EBF_1 21 0 - 0 0 . chr21 42006469 42006470 chr21:42006470:C:T rs4919969 C T C EBF1_EBF_1 3 1 + 5.818735791693198 -0.5866629498601184 ATTCCCTAGTGATC chr21 42007705 42007706 chr21:42007706:G:A rs112048209 G A G EBF1_EBF_1 25 0 + 0 0 . chr21 42010676 42010677 chr21:42010677:C:T rs573988519 C T C EBF1_EBF_1 -5 0 - 0 0 . chr21 42021835 42021836 chr21:42021836:C:T rs141203417 C T C EBF1_EBF_1 11 1 - 4.779535461488963 7.672242305092974 TCACCCCAGGGGCT chr21 42034680 42034681 chr21:42034681:G:A rs220238 G A G EBF1_EBF_1 20 0 + 0 0 . chr21 42039475 42039476 chr21:42039476:C:T rs147303705 C T C EBF1_EBF_1 -14 0 - 0 0 . chr21 42040200 42040201 chr21:42040201:G:A rs220246 G A G EBF1_EBF_1 18 0 + 0 0 . chr21 42041897 42041898 chr21:42041898:G:A rs189352333 G A G EBF1_EBF_1 8 1 + 3.928271413192846 -0.298018675693416 CACCCCCCGGGGCT chr21 42046257 42046258 chr21:42046258:C:T rs73223503 C T C EBF1_EBF_1 -4 0 + 0 0 . chr21 42050637 42050638 chr21:42050638:C:T rs145097122 C T C EBF1_EBF_1 28 0 + 0 0 . chr21 42051848 42051849 chr21:42051849:C:G rs2298688 C G C EBF1_EBF_1 23 0 + 0 0 . chr21 42057928 42057929 chr21:42057929:T:C rs17114242 T C C EBF1_EBF_1 6 1 - 5.63852715006866 3.4717327187941853 CCTGCCAAGGGAAT chr21 42059478 42059479 chr21:42059479:A:C rs150754127 A C A EBF1_EBF_1 32 0 + 0 0 . chr21 42061284 42061285 chr21:42061285:G:T rs220261 G T G EBF1_EBF_1 25 0 + 0 0 . chr21 42061790 42061791 chr21:42061791:G:C rs73371516 G C G EBF1_EBF_1 -19 0 - 0 0 . chr21 42078820 42078821 chr21:42078821:C:G rs220297 C G G EBF1_EBF_1 29 0 + 0 0 . chr21 42082767 42082768 chr21:42082768:A:G rs56712315 A G A EBF1_EBF_1 29 0 - 0 0 . chr21 42084437 42084438 chr21:42084438:G:A rs9982856 G A G EBF1_EBF_1 7 1 - 5.019355697195914 6.836860443478538 TCTCCCCCGAGAGT chr21 42085946 42085947 chr21:42085947:C:T rs7276814 C T C EBF1_EBF_1 23 0 - 0 0 . chr21 42092028 42092029 chr21:42092029:C:A rs115627489 C A C EBF1_EBF_1 2 1 + 4.683497721977084 2.887853545820357 AGCCCCAAGCGAGC chr21 42095013 42095014 chr21:42095014:C:T rs1011763668 C T C EBF1_EBF_1 30 0 + 0 0 . chr21 42098166 42098167 chr21:42098167:G:A rs111316433 G A g EBF1_EBF_1 -1 0 + 0 0 . chr21 42101939 42101940 chr21:42101940:C:T rs112274184 C T C EBF1_EBF_1 -14 0 - 0 0 . chr21 42107666 42107667 chr21:42107667:C:T rs568818863 C T C EBF1_EBF_1 15 0 + 0 0 . chr21 42108111 42108112 chr21:42108112:G:A rs535785722 G A G EBF1_EBF_1 9 1 - 4.490265609285183 2.728965347541837 GCTCCCAAGCGAGC chr21 42108131 42108132 chr21:42108132:G:A rs576980538 G A G EBF1_EBF_1 -11 0 - 0 0 . chr21 42108408 42108409 chr21:42108409:G:C rs192374598 G C G EBF1_EBF_1 31 0 - 0 0 . chr21 42108416 42108417 chr21:42108417:C:T rs115959685 C T C EBF1_EBF_1 23 0 - 0 0 . chr21 42108449 42108450 chr21:42108450:T:C chr21:42108450:T:C T C T EBF1_EBF_1 -10 0 - 0 0 . chr21 42108456 42108457 chr21:42108457:C:T rs148304938 C T C EBF1_EBF_1 -17 0 - 0 0 . chr21 42108709 42108710 chr21:42108710:A:G rs60147771 A G A EBF1_EBF_1 11 1 + 6.766955312617088 3.8742484690130765 CACCCCATGGGAGC chr21 42108711 42108712 chr21:42108712:C:T rs57966423 C T C EBF1_EBF_1 13 1 + 6.766955312617088 7.611449427202606 CACCCCATGGGAGC chr21 42118277 42118278 chr21:42118278:T:C rs2381036 T C T EBF1_EBF_1 6 1 + 5.403129245580541 5.101843116718783 TCTCCCTGGGGTCC chr21 42129405 42129406 chr21:42129406:C:A rs220162 C A C EBF1_EBF_1 2 1 + 5.591813783320471 3.796169607163743 GTCCTCAAGGGACC chr21 42147519 42147520 chr21:42147520:G:A rs75423381 G A G EBF1_EBF_1 16 0 - 0 0 . chr21 42167451 42167452 chr21:42167452:C:T rs468320 C T c EBF1_EBF_1 -11 0 + 0 0 . chr21 42190696 42190697 chr21:42190697:A:C rs61207594 A C a EBF1_EBF_1 20 0 + 0 0 . chr21 42193859 42193860 chr21:42193860:G:A rs113871782 G A G EBF1_EBF_1 21 0 + 0 0 . chr21 42193870 42193871 chr21:42193871:T:C rs184830402 T C T EBF1_EBF_1 32 0 + 0 0 . chr21 42210061 42210062 chr21:42210062:C:T rs4148089 C T C EBF1_EBF_1 21 0 - 0 0 . chr21 42222989 42222990 chr21:42222990:T:G rs225412 T G T EBF1_EBF_1 31 0 - 0 0 . chr21 42223077 42223078 chr21:42223078:C:G rs62215149 C G C EBF1_EBF_1 4 1 + 6.541628489593963 0.9158633884444182 TTCCCCATGGGGAC chr21 42250264 42250265 chr21:42250265:G:A rs139214903 G A G EBF1_EBF_1 5 1 - 10.255894591009318 4.934107375468647 TGTCCCCTGGGAAC chr21 42250546 42250547 chr21:42250547:C:G rs3787979 C G C EBF1_EBF_1 1 1 + 5.065725561083731 5.255497215652949 ACCTCCCAGGGAAT chr21 42254748 42254749 chr21:42254749:G:A rs7281093 G A G EBF1_EBF_1 15 0 - 0 0 . chr21 42254759 42254760 chr21:42254760:G:C rs146451134 G C G EBF1_EBF_1 4 1 - 6.599722797821373 0.9739576966718295 CTCCCCAAGAGAAA chr21 42257256 42257257 chr21:42257257:G:A rs148288266 G A G EBF1_EBF_1 -9 0 + 0 0 . chr21 42257580 42257581 chr21:42257581:C:T rs563769709 C T C EBF1_EBF_1 -3 0 - 0 0 . chr21 42257780 42257781 chr21:42257781:G:A rs114052249 G A G EBF1_EBF_1 24 0 + 0 0 . chr21 42258697 42258698 chr21:42258698:C:T rs3787986 C T C EBF1_EBF_1 32 0 - 0 0 . chr21 42258735 42258736 chr21:42258736:G:T rs186625090 G T G EBF1_EBF_1 -6 0 - 0 0 . chr21 42262942 42262943 chr21:42262943:A:G rs225387 A G C EBF1_EBF_1 6 1 + 4.578089407089087 2.411294975814611 TCTGCCAGGGGACT chr21 42263813 42263814 chr21:42263814:G:T rs151270569 G T G EBF1_EBF_1 12 1 - 5.099673462863734 5.12985486128506 ACCCCTTTGGGACC chr21 42269759 42269760 chr21:42269760:A:G rs691687 A G A EBF1_EBF_1 -12 0 + 0 0 . chr21 42278803 42278804 chr21:42278804:G:C rs3787995 G C G EBF1_EBF_1 10 1 + 8.635162512855926 1.74436012552645 TCTCCCATGGGAGA chr21 42280450 42280451 chr21:42280451:T:C rs4148129 T C C EBF1_EBF_1 -17 0 - 0 0 . chr21 42280482 42280483 chr21:42280483:G:A rs4148130 G A G EBF1_EBF_1 5 1 - 6.17967795095932 0.8578907354186496 GCCCCCCTGGGGCT chr21 42281235 42281236 chr21:42281236:G:A rs3788002 G A G EBF1_EBF_1 -10 0 + 0 0 . chr21 42287435 42287436 chr21:42287436:T:C rs77352021 T C T EBF1_EBF_1 32 0 + 0 0 . chr21 42317024 42317025 chr21:42317025:A:G rs225362 A G A EBF1_EBF_1 7 1 - 6.0066567538365385 4.189152007553916 GCTCCCATGGGTCA chr21 42318264 42318265 chr21:42318265:G:A rs186528 G A G EBF1_EBF_1 4 1 - 8.54721184218348 4.1778248972385565 TCCCCCAGGGGAAT chr21 42347839 42347840 chr21:42347840:T:C rs983679151 T C T EBF1_EBF_1 21 0 + 0 0 . chr21 42361484 42361485 chr21:42361485:T:C rs9978991 T C C EBF1_EBF_1 1 1 - 6.8396462241071045 7.100345056028408 GATCCCCCGGGAGC chr21 42366076 42366077 chr21:42366077:G:C rs2839488 G C C EBF1_EBF_1 -16 0 - 0 0 . chr21 42366766 42366767 chr21:42366767:T:A rs149123788 T A T EBF1_EBF_1 15 0 - 0 0 . chr21 42390237 42390238 chr21:42390238:G:A rs117977141 G A G EBF1_EBF_1 17 0 - 0 0 . chr21 42396438 42396439 chr21:42396439:A:G rs3814902 A G A EBF1_EBF_1 -1 0 + 0 0 . chr21 42396449 42396450 chr21:42396450:G:A rs117381866 G A G EBF1_EBF_1 10 1 + 5.81116028608636 0.49313182681939377 ATTCCAAAGGGATC chr21 42397642 42397643 chr21:42397643:G:A rs58621965 G A G EBF1_EBF_1 30 0 - 0 0 . chr21 42397659 42397660 chr21:42397660:G:A rs12483582 G A g EBF1_EBF_1 13 1 - 5.265855797916475 6.110349912501993 TCTCCCTAGAGGCC chr21 42398826 42398827 chr21:42398827:A:G rs73905636 A G A EBF1_EBF_1 20 0 - 0 0 . chr21 42404814 42404815 chr21:42404815:C:T rs113876354 C T C EBF1_EBF_1 -11 0 + 0 0 . chr21 42404856 42404857 chr21:42404857:G:A chr21:42404857:G:A G A G EBF1_EBF_1 31 0 + 0 0 . chr21 42416122 42416123 chr21:42416123:G:A rs115913020 G A G EBF1_EBF_1 -18 0 + 0 0 . chr21 42427762 42427763 chr21:42427763:A:T rs115411312 A T T EBF1_EBF_1 -13 0 + 0 0 . chr21 42427787 42427788 chr21:42427788:C:T rs75678806 C T C EBF1_EBF_1 12 1 + 8.259082157789182 7.232815587238333 AGTCCCCAGGGCCC chr21 42454431 42454432 chr21:42454432:C:T rs1573415 C T T EBF1_EBF_1 -20 0 - 0 0 . chr21 42455284 42455285 chr21:42455285:A:C rs62622821 A C A EBF1_EBF_1 -16 0 + 0 0 . chr21 42457853 42457854 chr21:42457854:A:G rs2839526 A G G EBF1_EBF_1 -15 0 + 0 0 . chr21 42461028 42461029 chr21:42461029:C:T rs568362723 C T C EBF1_EBF_1 19 0 + 0 0 . chr21 42461755 42461756 chr21:42461756:C:G rs76614090 C G C EBF1_EBF_1 -8 0 + 0 0 . chr21 42462301 42462302 chr21:42462302:G:C rs112056139 G C G EBF1_EBF_1 24 0 + 0 0 . chr21 42475785 42475786 chr21:42475786:C:T rs78900688 C T C EBF1_EBF_1 -2 0 + 0 0 . chr21 42476353 42476354 chr21:42476354:G:C rs112095888 G C G EBF1_EBF_1 -20 0 - 0 0 . chr21 42479621 42479622 chr21:42479622:C:T rs77415242 C T C EBF1_EBF_1 -2 0 + 0 0 . chr21 42487952 42487953 chr21:42487953:G:A rs12482771 G A G EBF1_EBF_1 12 1 + 4.897389791145009 6.316207133244486 TGTCCCCAGGGCGC chr21 42545515 42545516 chr21:42545516:T:C rs228077 T C C EBF1_EBF_1 -19 0 + 0 0 . chr21 42545551 42545552 chr21:42545552:C:T rs62215928 C T C EBF1_EBF_1 17 0 + 0 0 . chr21 42557296 42557297 chr21:42557297:T:C rs111331397 T C t EBF1_EBF_1 21 0 + 0 0 . chr21 42558950 42558951 chr21:42558951:C:A rs75643163 C A C EBF1_EBF_1 -3 0 - 0 0 . chr21 42559016 42559017 chr21:42559017:C:T rs118032072 C T C EBF1_EBF_1 -9 0 + 0 0 . chr21 42559521 42559522 chr21:42559522:C:T rs74567293 C T C EBF1_EBF_1 -1 0 - 0 0 . chr21 42561018 42561019 chr21:42561019:T:C rs116943525 T C t EBF1_EBF_1 -8 0 - 0 0 . chr21 42564079 42564080 chr21:42564080:A:G rs112603915 A G A EBF1_EBF_1 31 0 + 0 0 . chr21 42573035 42573036 chr21:42573036:A:G rs113714896 A G G EBF1_EBF_1 -16 0 - 0 0 . chr21 42584011 42584012 chr21:42584012:A:G rs381899 A G G EBF1_EBF_1 -15 0 + 0 0 . chr21 42584038 42584039 chr21:42584039:C:T rs388831 C T C EBF1_EBF_1 12 1 + 6.097869424438684 5.071602853887835 AGACCCCAGGGGCC chr21 42590910 42590911 chr21:42590911:C:G rs73227601 C G C EBF1_EBF_1 -8 0 + 0 0 . chr21 42596816 42596817 chr21:42596817:T:G rs73229506 T G T EBF1_EBF_1 -3 0 + 0 0 . chr21 42598203 42598204 chr21:42598204:C:T rs7276270 C T c EBF1_EBF_1 19 0 - 0 0 . chr21 42598769 42598770 chr21:42598770:A:G rs397620 A G G EBF1_EBF_1 26 0 + 0 0 . chr21 42610114 42610115 chr21:42610115:G:C rs142434647 G C G EBF1_EBF_1 31 0 + 0 0 . chr21 42613070 42613071 chr21:42613071:G:T chr21:42613071:G:T G T G EBF1_EBF_1 33 0 - 0 0 . chr21 42633174 42633175 chr21:42633175:G:A rs555065308 G A - EBF1_EBF_1 2 1 - 8.16390120567949 10.05272461712164 CACCCCAGGGGACT chr21 42634257 42634258 chr21:42634258:C:T rs11909895 C T - EBF1_EBF_1 0 1 + 4.5156894464825905 4.797891507213168 CCTCCCTGGAGGCT chr21 42636388 42636389 chr21:42636389:G:T rs408967 G T G EBF1_EBF_1 13 1 + 5.302034434976686 7.634599570917619 CATCCCCTGGGGCG chr21 42646497 42646498 chr21:42646498:C:T rs111773618 C T c EBF1_EBF_1 -16 0 - 0 0 . chr21 42650043 42650044 chr21:42650044:A:G rs228033 A G G EBF1_EBF_1 33 0 + 0 0 . chr21 42650833 42650834 chr21:42650834:G:A rs181911461 G A G EBF1_EBF_1 10 1 + 4.770469143758498 -0.5475593155084677 CCTGCCCTGGGACT chr21 42654064 42654065 chr21:42654065:G:A chr21:42654065:G:A G A G EBF1_EBF_1 11 1 - 4.418105698813688 4.736153140567352 ACCCCCCAGGGCGA chr21 42654601 42654602 chr21:42654602:A:G rs9981388 A G A EBF1_EBF_1 29 0 + 0 0 . chr21 42668572 42668573 chr21:42668573:C:T rs71320537 C T C EBF1_EBF_1 -7 0 + 0 0 . chr21 42669254 42669255 chr21:42669255:G:A rs35960782 G A G EBF1_EBF_1 7 1 + 5.087453619630589 6.399912024919414 CTCCCCCGGAGATT chr21 42678796 42678797 chr21:42678797:C:A rs57176621 C A C EBF1_EBF_1 33 0 + 0 0 . chr21 42683684 42683685 chr21:42683685:C:T rs62215394 C T C EBF1_EBF_1 -1 0 + 0 0 . chr21 42749509 42749510 chr21:42749510:G:T rs4920147 G T G EBF1_EBF_1 -13 0 - 0 0 . chr21 42758881 42758882 chr21:42758882:A:G rs11702144 A G A EBF1_EBF_1 -17 0 - 0 0 . chr21 42761129 42761130 chr21:42761130:C:G rs2269163 C G G EBF1_EBF_1 12 1 + 6.681664707102901 5.29302876342475 AAACCCCAGGGGCT chr21 42779965 42779966 chr21:42779966:G:A rs35264496 G A G EBF1_EBF_1 -12 0 - 0 0 . chr21 42781504 42781505 chr21:42781505:G:C rs73231624 G C G EBF1_EBF_1 30 0 + 0 0 . chr21 42785466 42785467 chr21:42785467:C:A rs55687523 C A C EBF1_EBF_1 -5 0 + 0 0 . chr21 42802433 42802434 chr21:42802434:G:A rs548307617 G A g EBF1_EBF_1 -16 0 - 0 0 . chr21 42809930 42809931 chr21:42809931:C:T rs76871350 C T T EBF1_EBF_1 0 1 - 5.379703943622471 7.271987813187306 GAACCCCAGGGAAG chr21 42841202 42841203 chr21:42841203:C:T rs66838220 C T C EBF1_EBF_1 -10 0 + 0 0 . chr21 42847249 42847250 chr21:42847250:G:A rs4920003 G A A EBF1_EBF_1 0 1 - 3.951015838354123 4.233217899084701 CGTCCCCTGTGACT chr21 42851836 42851837 chr21:42851837:C:A rs76269659 C A C EBF1_EBF_1 24 0 + 0 0 . chr21 42852216 42852217 chr21:42852217:G:A rs8133179 G A G EBF1_EBF_1 -8 0 - 0 0 . chr21 42856196 42856197 chr21:42856197:G:A rs71320565 G A G EBF1_EBF_1 -5 0 - 0 0 . chr21 42857185 42857186 chr21:42857186:C:T rs7281701 C T C EBF1_EBF_1 -1 0 - 0 0 . chr21 42857274 42857275 chr21:42857275:A:G rs7282366 A G A EBF1_EBF_1 6 1 + 9.461618957425896 7.294824526151423 AGCCCCAGGGGACA chr21 42874639 42874640 chr21:42874640:A:G rs6586255 A G G EBF1_EBF_1 -5 0 - 0 0 . chr21 42874649 42874650 chr21:42874650:C:T rs6586256 C T C EBF1_EBF_1 -15 0 - 0 0 . chr21 42893180 42893181 chr21:42893181:T:A rs549039847 T A T EBF1_EBF_1 -4 0 - 0 0 . chr21 42893182 42893183 chr21:42893183:A:G rs4148970 A G A EBF1_EBF_1 -6 0 - 0 0 . chr21 42925855 42925856 chr21:42925856:G:A rs6586267 G A G EBF1_EBF_1 12 1 - 5.989892157351913 4.963625586801063 TCTCCCAGGGGCCT chr21 42925867 42925868 chr21:42925868:A:T chr21:42925868:A:T A T A EBF1_EBF_1 0 1 - 5.989892157351913 7.962948580317935 TCTCCCAGGGGCCT chr21 42931552 42931553 chr21:42931553:A:G rs11909033 A G G EBF1_EBF_1 -9 0 - 0 0 . chr21 42973943 42973944 chr21:42973944:C:G rs234750 C G G EBF1_EBF_1 3 1 + 6.344742137236047 0.5169776891208313 AGCCCCCAGGGTAA chr21 42973967 42973968 chr21:42973968:A:G rs141725017 A G A EBF1_EBF_1 27 0 + 0 0 . chr21 43022085 43022086 chr21:43022086:C:G rs34520496 C G C EBF1_EBF_1 8 1 - 5.707556186062246 0.3888973251475601 TGTCCCAGGAGAGC chr21 43038615 43038616 chr21:43038616:C:T rs928876 C T C EBF1_EBF_1 -8 0 - 0 0 . chr21 43046690 43046691 chr21:43046691:T:C rs78660766 T C T EBF1_EBF_1 1 1 + 6.991687520652689 6.096254080253943 TTTCCCCAGGGCCC chr21 43188894 43188895 chr21:43188895:T:C rs2839650 T C . EBF1_EBF_1 -13 0 + 0 0 . chr21 43195761 43195762 chr21:43195762:T:A rs67900830 T A . EBF1_EBF_1 11 1 - 5.0944313615976275 0.9965171054989024 ATTCCCCCGTGACT chr21 43195791 43195792 chr21:43195792:C:A rs77750605 C A . EBF1_EBF_1 -19 0 - 0 0 . chr21 43210111 43210112 chr21:43210112:T:C rs28718858 T C . EBF1_EBF_1 21 0 - 0 0 . chr21 43275696 43275697 chr21:43275697:G:A rs113743762 G A . EBF1_EBF_1 19 0 - 0 0 . chr21 43278381 43278382 chr21:43278382:C:T rs111970942 C T . EBF1_EBF_1 18 0 - 0 0 . chr21 43278736 43278737 chr21:43278737:T:C rs9976667 T C . EBF1_EBF_1 15 0 + 0 0 . chr21 43281500 43281501 chr21:43281501:G:A rs12482212 G A . EBF1_EBF_1 -11 0 - 0 0 . chr21 43281816 43281817 chr21:43281817:A:G rs56113956 A G . EBF1_EBF_1 14 0 + 0 0 . chr21 43290671 43290672 chr21:43290672:C:T rs78450302 C T . EBF1_EBF_1 -6 0 + 0 0 . chr21 43297122 43297123 chr21:43297123:C:T rs9976679 C T . EBF1_EBF_1 24 0 + 0 0 . chr21 43299294 43299295 chr21:43299295:G:A rs114183837 G A . EBF1_EBF_1 13 1 + 4.577744198136119 5.692069770205558 ACCCCCATGGGCCG chr21 43299862 43299863 chr21:43299863:A:C rs2051404 A C . EBF1_EBF_1 7 1 + 5.102040732379073 2.808261746008891 AGCCCCCAAGGAAG chr21 43301459 43301460 chr21:43301460:G:C rs116872962 G C . EBF1_EBF_1 27 0 + 0 0 . chr21 43301698 43301699 chr21:43301699:T:C rs8130715 T C . EBF1_EBF_1 32 0 - 0 0 . chr21 43301724 43301725 chr21:43301725:T:C rs74570255 T C . EBF1_EBF_1 6 1 - 5.166328791506514 2.9995343602320395 CCTCCCAGGAGAAG chr21 43307415 43307416 chr21:43307416:C:G rs575536551 C G . EBF1_EBF_1 0 1 + 9.088008310796582 9.450982924928347 CTTCCCTGGGGATC chr21 43307491 43307492 chr21:43307492:A:G rs1124817 A G . EBF1_EBF_1 14 0 - 0 0 . chr21 43311453 43311454 chr21:43311454:T:G rs147766169 T G . EBF1_EBF_1 21 0 - 0 0 . chr21 43311457 43311458 chr21:43311458:G:C chr21:43311458:G:C G C . EBF1_EBF_1 17 0 - 0 0 . chr21 43321670 43321671 chr21:43321671:C:T rs688515 C T . EBF1_EBF_1 16 0 - 0 0 . chr21 43343034 43343035 chr21:43343035:C:A rs80120355 C A . EBF1_EBF_1 22 0 + 0 0 . chr21 43343254 43343255 chr21:43343255:C:T rs996585320 C T . EBF1_EBF_1 -13 0 + 0 0 . chr21 43343282 43343283 chr21:43343283:A:C chr21:43343283:A:C A C . EBF1_EBF_1 15 0 + 0 0 . chr21 43343295 43343296 chr21:43343296:G:A rs78140394 G A . EBF1_EBF_1 28 0 + 0 0 . chr21 43346576 43346577 chr21:43346577:A:G rs148442999 A G . EBF1_EBF_1 7 1 + 5.0807393785223205 3.7682809732334954 GCTCCCAAGGGCAG chr21 43350088 43350089 chr21:43350089:A:G rs13050924 A G . EBF1_EBF_1 -7 0 + 0 0 . chr21 43351717 43351718 chr21:43351718:T:C rs67971476 T C . EBF1_EBF_1 -17 0 + 0 0 . chr21 43353931 43353932 chr21:43353932:G:A rs7277802 G A . EBF1_EBF_1 22 0 - 0 0 . chr21 43354469 43354470 chr21:43354470:G:A rs954867798 G A . EBF1_EBF_1 0 1 + 4.723562998111645 6.615846867676477 GGCCCCTAGGGTCA chr21 43358259 43358260 chr21:43358260:T:C rs781662026 T C . EBF1_EBF_1 -11 0 - 0 0 . chr21 43367672 43367673 chr21:43367673:T:C rs653435 T C . EBF1_EBF_1 -13 0 + 0 0 . chr21 43372917 43372918 chr21:43372918:T:C rs478075 T C . EBF1_EBF_1 -5 0 + 0 0 . chr21 43612270 43612271 chr21:43612271:T:C rs8134178 T C T EBF1_EBF_1 6 1 - 8.093896251678562 5.9271018204040855 TTTCCCATGAGAGT chr21 43612294 43612295 chr21:43612295:C:T rs79593008 C T C EBF1_EBF_1 -18 0 - 0 0 . chr21 43619898 43619899 chr21:43619899:C:T rs1153367 C T . EBF1_EBF_1 3 1 + 5.920705446558118 -0.4846932949951998 GGTCCCCTGGGCAC chr21 43687884 43687885 chr21:43687885:G:A rs61737067 G A G EBF1_EBF_1 -5 0 + 0 0 . chr21 43728969 43728970 chr21:43728970:T:C rs111919944 T C T EBF1_EBF_1 30 0 + 0 0 . chr21 43733824 43733825 chr21:43733825:C:T rs142471995 C T c EBF1_EBF_1 -10 0 + 0 0 . chr21 43741530 43741531 chr21:43741531:C:T rs73375214 C T C EBF1_EBF_1 28 0 - 0 0 . chr21 43741550 43741551 chr21:43741551:A:G rs73375216 A G A EBF1_EBF_1 8 1 - 4.183075042984078 5.7558489710465865 CCTCCCCATGGACT chr21 43742032 43742033 chr21:43742033:G:T rs80267445 G T G EBF1_EBF_1 5 1 - 5.3679591778571325 -1.536921974778035 CCTCCCCTGGGCAC chr21 43754683 43754684 chr21:43754684:G:T rs1182862498 G T G EBF1_EBF_1 12 1 - 5.479797941456601 5.509979339877927 ACTCCCATGGCACC chr21 43757373 43757374 chr21:43757374:C:A rs3746954 C A C EBF1_EBF_1 -1 0 - 0 0 . chr21 43759113 43759114 chr21:43759114:C:T rs79015423 C T C EBF1_EBF_1 16 0 - 0 0 . chr21 43776746 43776747 chr21:43776747:T:C rs62229223 T C N EBF1_EBF_1 24 0 - 0 0 . chr21 43798558 43798559 chr21:43798559:C:T rs2838380 C T C EBF1_EBF_1 -2 0 + 0 0 . chr21 43798566 43798567 chr21:43798567:C:T rs144601950 C T C EBF1_EBF_1 6 1 + 4.994751067476044 5.296037196337801 TCTCCCCAGAGGAC chr21 43814615 43814616 chr21:43814616:G:T rs56177442 G T T EBF1_EBF_1 -11 0 - 0 0 . chr21 43817407 43817408 chr21:43817408:T:C rs2838398 T C C EBF1_EBF_1 -19 0 + 0 0 . chr21 43835503 43835504 chr21:43835504:C:T rs60094038 C T C EBF1_EBF_1 10 1 - 4.7044189525093225 -0.6136095067576441 TCCCCCCAGGGGTC chr21 43855797 43855798 chr21:43855798:G:A rs735698 G A G EBF1_EBF_1 27 0 - 0 0 . chr21 43860835 43860836 chr21:43860836:G:A rs12483101 G A G EBF1_EBF_1 31 0 + 0 0 . chr21 43865836 43865837 chr21:43865837:C:T rs556086462 C T C EBF1_EBF_1 0 1 + 5.448483462329593 5.730685523060172 CCCCCCCAGGGGCC chr21 43873135 43873136 chr21:43873136:C:T rs188533564 C T C EBF1_EBF_1 -6 0 + 0 0 . chr21 43882730 43882731 chr21:43882731:G:A rs2838426 G A G EBF1_EBF_1 6 1 + 6.067175085600828 8.233969516875304 CATCCCGTGAGACT chr21 43907966 43907967 chr21:43907967:C:T rs11089107 C T c EBF1_EBF_1 13 1 + 6.701061124144383 7.545555238729901 GACCCCACGGGACC chr21 43914220 43914221 chr21:43914221:T:C rs945918678 T C t EBF1_EBF_1 1 1 - 4.7896748616217275 5.050373693543029 GACCCCAGGGGGCC chr21 43914226 43914227 chr21:43914227:C:T rs149262302 C T c EBF1_EBF_1 -5 0 - 0 0 . chr21 43921964 43921965 chr21:43921965:G:A rs8127341 G A g EBF1_EBF_1 -8 0 - 0 0 . chr21 43921972 43921973 chr21:43921973:G:T rs2838435 G T g EBF1_EBF_1 -16 0 - 0 0 . chr21 43939215 43939216 chr21:43939216:C:T rs562408724 C T C EBF1_EBF_1 -16 0 + 0 0 . chr21 43939216 43939217 chr21:43939217:G:A rs115994976 G A G EBF1_EBF_1 -15 0 + 0 0 . chr21 43961312 43961313 chr21:43961313:G:A rs112618763 G A G EBF1_EBF_1 32 0 - 0 0 . chr21 43961495 43961496 chr21:43961496:A:G rs59443212 A G G EBF1_EBF_1 27 0 - 0 0 . chr21 43961523 43961524 chr21:43961524:C:T rs61639188 C T - EBF1_EBF_1 -1 0 - 0 0 . chr21 43969379 43969380 chr21:43969380:A:G rs73906692 A G A EBF1_EBF_1 11 1 + 6.3672745308660845 3.474567687262073 AACCCCATGAGAGC chr21 43977387 43977388 chr21:43977388:G:A rs117438459 G A T EBF1_EBF_1 -15 0 + 0 0 . chr21 43977453 43977454 chr21:43977454:C:G rs2838457 C G C EBF1_EBF_1 10 1 - 5.038971412501244 -1.851830974828231 AACCCCCAGGGTGC chr21 43983476 43983477 chr21:43983477:A:G rs11537799 A G G EBF1_EBF_1 1 1 - 6.525411619602264 5.629978179203517 CTTCCCCAAGGACA chr21 43993459 43993460 chr21:43993460:T:A rs743469 T A T EBF1_EBF_1 31 0 - 0 0 . chr21 44000507 44000508 chr21:44000508:C:T rs113160543 C T C EBF1_EBF_1 32 0 + 0 0 . chr21 44003343 44003344 chr21:44003344:A:G rs8131617 A G A EBF1_EBF_1 7 1 + 4.847335785977602 3.5348773806887763 GCTCCCTATGGACT chr21 44012995 44012996 chr21:44012996:C:T chr21:44012996:C:T C T C EBF1_EBF_1 -3 0 - 0 0 . chr21 44028911 44028912 chr21:44028912:T:C rs2238707 T C C EBF1_EBF_1 -13 0 - 0 0 . chr21 44028912 44028913 chr21:44028913:A:G rs150599082 A G A EBF1_EBF_1 -14 0 - 0 0 . chr21 44043872 44043873 chr21:44043873:G:A rs1208879068 G A G EBF1_EBF_1 -8 0 - 0 0 . chr21 44048180 44048181 chr21:44048181:C:T chr21:44048181:C:T C T C EBF1_EBF_1 -4 0 - 0 0 . chr21 44051773 44051774 chr21:44051774:C:T rs117874714 C T C EBF1_EBF_1 0 1 - 7.357861342641158 9.250145212205991 GTACCCCTGGGAAC chr21 44071801 44071802 chr21:44071802:G:A rs146041514 G A G EBF1_EBF_1 25 0 - 0 0 . chr21 44163749 44163750 chr21:44163750:C:T rs2838504 C T C EBF1_EBF_1 -17 0 - 0 0 . chr21 44255993 44255994 chr21:44255994:G:T rs2070563 G T G EBF1_EBF_1 5 1 - 6.820978256796857 -0.08390289583831101 CACCCCCCGGGACT chr21 44261693 44261694 chr21:44261694:C:T rs536238764 C T C EBF1_EBF_1 -14 0 - 0 0 . chr21 44261695 44261696 chr21:44261696:C:T rs2838535 C T C EBF1_EBF_1 -16 0 - 0 0 . chr21 44267328 44267329 chr21:44267329:C:T rs9983471 C T c EBF1_EBF_1 27 0 - 0 0 . chr21 44272708 44272709 chr21:44272709:C:T rs17183209 C T C EBF1_EBF_1 -16 0 + 0 0 . chr21 44279870 44279871 chr21:44279871:T:C rs9784216 T C T EBF1_EBF_1 31 0 + 0 0 . chr21 44283459 44283460 chr21:44283460:G:A rs2850169 G A G EBF1_EBF_1 23 0 + 0 0 . chr21 44283527 44283528 chr21:44283528:C:A rs112397921 C A C EBF1_EBF_1 -10 0 + 0 0 . chr21 44286104 44286105 chr21:44286105:T:C rs3746964 T C C EBF1_EBF_1 -12 0 + 0 0 . chr21 44286197 44286198 chr21:44286198:G:C rs578064722 G C G EBF1_EBF_1 5 1 - 10.657482867071192 3.7526017144360244 CTTCCCCGGGGACT chr21 44290022 44290023 chr21:44290023:C:G rs1800520 C G G EBF1_EBF_1 -18 0 + 0 0 . chr21 44295736 44295737 chr21:44295737:A:G rs3788113 A G G EBF1_EBF_1 -17 0 + 0 0 . chr21 44304102 44304103 chr21:44304103:G:C rs79934442 G C G EBF1_EBF_1 -19 0 + 0 0 . chr21 44304714 44304715 chr21:44304715:G:T rs34391295 G T G EBF1_EBF_1 6 1 - 5.4637567430956615 5.8253591108969385 AGCCCCCCGAGACA chr21 44317311 44317312 chr21:44317312:A:T rs2838550 A T A EBF1_EBF_1 -8 0 + 0 0 . chr21 44322231 44322232 chr21:44322232:G:A rs2277808 G A G EBF1_EBF_1 2 1 - 4.630411835006136 6.519235246448286 TGCCCCCAGGTACC chr21 44327520 44327521 chr21:44327521:C:T rs10460741 C T C EBF1_EBF_1 -5 0 + 0 0 . chr21 44334223 44334224 chr21:44334224:C:T rs59596996 C T T EBF1_EBF_1 6 1 + 4.40555695923106 4.706843088092818 ACACCCCTGGGGTC chr21 44338347 44338348 chr21:44338348:G:A rs73231264 G A G EBF1_EBF_1 10 1 + 12.705225254062897 7.3871967947959325 AGTCCCCAGGGAAC chr21 44339275 44339276 chr21:44339276:G:C chr21:44339276:G:C G C G EBF1_EBF_1 5 1 - 4.4911049693421745 -2.4137761832929936 CGCCCCTGGAGACA chr21 44357260 44357261 chr21:44357261:A:G rs11908957 A G G EBF1_EBF_1 -13 0 - 0 0 . chr21 44358469 44358470 chr21:44358470:G:C rs9984977 G C G EBF1_EBF_1 -17 0 - 0 0 . chr21 44367558 44367559 chr21:44367559:C:T rs76822054 C T C EBF1_EBF_1 27 0 - 0 0 . chr21 44369333 44369334 chr21:44369334:C:A rs1407960862 C A C EBF1_EBF_1 32 0 - 0 0 . chr21 44406919 44406920 chr21:44406920:A:G rs1785440 A G a EBF1_EBF_1 24 0 + 0 0 . chr21 44418339 44418340 chr21:44418340:C:T rs879359773 C T C EBF1_EBF_1 7 1 + 5.40513025520284 7.222635001485464 CCTCCCACGGGGCC chr21 44436915 44436916 chr21:44436916:G:A rs4818920 G A G EBF1_EBF_1 5 1 - 9.815522489159019 4.493735273618349 CATCCCCAGGGAAA chr21 44438800 44438801 chr21:44438801:G:A rs759988189 G A G EBF1_EBF_1 15 0 - 0 0 . chr21 44442059 44442060 chr21:44442060:G:A rs41276638 G A G EBF1_EBF_1 11 1 - 5.4159422507368005 5.733989692490464 GGTCCCAGGGGCCC chr21 44442178 44442179 chr21:44442179:T:C rs45617143 T C C EBF1_EBF_1 -13 0 + 0 0 . chr21 44442209 44442210 chr21:44442210:G:A rs9975657 G A G EBF1_EBF_1 18 0 + 0 0 . chr21 44444024 44444025 chr21:44444025:T:C rs6518188 T C C EBF1_EBF_1 0 1 + 4.531821233951238 4.24961917322066 TGCCCCCAGGGGGC chr21 44446127 44446128 chr21:44446128:T:G rs2838560 T G g EBF1_EBF_1 -7 0 - 0 0 . chr21 44446134 44446135 chr21:44446135:G:C rs142036177 G C g EBF1_EBF_1 -14 0 - 0 0 . chr21 44458125 44458126 chr21:44458126:A:G rs11702242 A G A EBF1_EBF_1 21 0 - 0 0 . chr21 44463124 44463125 chr21:44463125:A:G rs762430 A G G EBF1_EBF_1 -6 0 + 0 0 . chr21 44464412 44464413 chr21:44464413:T:G rs79766373 T G T EBF1_EBF_1 26 0 - 0 0 . chr21 44512549 44512550 chr21:44512550:G:A rs139573277 G A G EBF1_EBF_1 -17 0 - 0 0 . chr21 44522211 44522212 chr21:44522212:C:A rs587679999 C A C EBF1_EBF_1 12 1 + 4.691265223200668 4.721446621621994 CCTCCCCGAGGACC chr21 44522227 44522228 chr21:44522228:A:G rs233230 A G G EBF1_EBF_1 28 0 + 0 0 . chr21 44522557 44522558 chr21:44522558:T:C rs115626141 T C T EBF1_EBF_1 -10 0 - 0 0 . chr21 44525462 44525463 chr21:44525463:G:A rs146784380 G A G EBF1_EBF_1 19 0 - 0 0 . chr21 44550686 44550687 chr21:44550687:G:A rs117070879 G A G EBF1_EBF_1 -4 0 - 0 0 . chr21 44568457 44568458 chr21:44568458:T:C rs233273 T C C EBF1_EBF_1 26 0 + 0 0 . chr21 44571505 44571506 chr21:44571506:C:T rs465883 C T C EBF1_EBF_1 -17 0 - 0 0 . chr21 44589654 44589655 chr21:44589655:C:A rs149654291 C A C EBF1_EBF_1 29 0 - 0 0 . chr21 44589678 44589679 chr21:44589679:G:C rs77794106 G C G EBF1_EBF_1 5 1 - 7.282379574990103 0.37749842235493514 CATCCCCAGGGAGG chr21 44590012 44590013 chr21:44590013:G:A rs587755788 G A G EBF1_EBF_1 33 0 - 0 0 . chr21 44590582 44590583 chr21:44590583:G:C rs114480957 G C G EBF1_EBF_1 1 1 - 4.554438756704831 4.7442104112740475 CCTCCCCCAGGACT chr21 44590585 44590586 chr21:44590586:C:T rs233297 C T C EBF1_EBF_1 -2 0 - 0 0 . chr21 44591102 44591103 chr21:44591103:G:C rs233298 G C G EBF1_EBF_1 -17 0 - 0 0 . chr21 44603559 44603560 chr21:44603560:G:A rs1211130 G A G EBF1_EBF_1 -18 0 - 0 0 . chr21 44612920 44612921 chr21:44612921:G:A rs138752361 G A G EBF1_EBF_1 0 1 + 4.809891213325751 6.702175082890584 GTCCCCCAGGGCCA chr21 44615657 44615658 chr21:44615658:G:A rs367771 G A G EBF1_EBF_1 3 1 - 5.613024605247216 -0.7923741363061012 ACTCCCAGGAGGCA chr21 44615674 44615675 chr21:44615675:C:T rs186453452 C T C EBF1_EBF_1 -14 0 - 0 0 . chr21 44628053 44628054 chr21:44628054:T:C rs463217 T C C EBF1_EBF_1 -4 0 + 0 0 . chr21 44636224 44636225 chr21:44636225:C:A rs769609095 C A C EBF1_EBF_1 25 0 - 0 0 . chr21 44637114 44637115 chr21:44637115:T:C rs2838601 T C C EBF1_EBF_1 -19 0 + 0 0 . chr21 44686756 44686757 chr21:44686757:T:C rs2838638 T C t EBF1_EBF_1 -15 0 - 0 0 . chr21 44687735 44687736 chr21:44687736:T:A rs60681728 T A A EBF1_EBF_1 2 1 + 6.464473954751904 2.7800063671530264 TCTCCCCTGGGCCT chr21 44698102 44698103 chr21:44698103:G:A rs111709443 G A g EBF1_EBF_1 3 1 - 6.188486290381701 -0.21691245117161606 AGCCCCCAGGGCTT chr21 44702808 44702809 chr21:44702809:G:A rs13050276 G A G EBF1_EBF_1 0 1 + 4.809891213325751 6.702175082890584 GTCCCCCAGGGCCA chr21 44703950 44703951 chr21:44703951:A:G rs77659106 A G A EBF1_EBF_1 -8 0 + 0 0 . chr21 44707075 44707076 chr21:44707076:C:T rs741947 C T T EBF1_EBF_1 8 1 - 6.519288668179051 2.2929985792927905 GACCCCACGGGATT chr21 44709851 44709852 chr21:44709852:G:A rs192443997 G A g EBF1_EBF_1 12 1 - 9.144145548446712 8.117878977895863 GCTCCCAAGAGACT chr21 44711611 44711612 chr21:44711612:G:A rs572613045 G A G EBF1_EBF_1 17 0 - 0 0 . chr21 44726464 44726465 chr21:44726465:G:A rs170965 G A G EBF1_EBF_1 -6 0 - 0 0 . chr21 44736543 44736544 chr21:44736544:C:G rs35464346 C G c EBF1_EBF_1 32 0 + 0 0 . chr21 44740868 44740869 chr21:44740869:C:T rs2225432 C T C EBF1_EBF_1 32 0 + 0 0 . chr21 44743950 44743951 chr21:44743951:C:T rs1816460 C T T EBF1_EBF_1 17 0 - 0 0 . chr21 44751655 44751656 chr21:44751656:A:G rs647688 A G A EBF1_EBF_1 -12 0 - 0 0 . chr21 44765768 44765769 chr21:44765769:G:C rs150319760 G C G EBF1_EBF_1 4 1 - 7.235777655322887 1.6100125541733414 ACCCCCCGGGGGCT chr21 44768067 44768068 chr21:44768068:C:T rs235351 C T C EBF1_EBF_1 6 1 + 4.917264403397357 5.218550532259115 AGCCCCCGGGTACA chr21 44768085 44768086 chr21:44768086:G:A rs9977676 G A G EBF1_EBF_1 24 0 + 0 0 . chr21 44804963 44804964 chr21:44804964:C:T rs17289745 C T C EBF1_EBF_1 -11 0 + 0 0 . chr21 44835315 44835316 chr21:44835316:C:T rs8132841 C T C EBF1_EBF_1 29 0 - 0 0 . chr21 44835326 44835327 chr21:44835327:T:A rs9808742 T A A EBF1_EBF_1 18 0 - 0 0 . chr21 44835680 44835681 chr21:44835681:A:G rs4818979 A G G EBF1_EBF_1 21 0 - 0 0 . chr21 44841474 44841475 chr21:44841475:G:A rs235260 G A G EBF1_EBF_1 12 1 - 6.183380108474513 5.157113537923663 AATCCCCAGGTGCT chr21 44846689 44846690 chr21:44846690:C:T rs114631953 C T C EBF1_EBF_1 9 1 - 7.793988888493962 5.1390496230463585 CACCCCCTGGGACC chr21 44846701 44846702 chr21:44846702:C:G rs74653823 C G C EBF1_EBF_1 -3 0 - 0 0 . chr21 44856427 44856428 chr21:44856428:C:T rs35432315 C T C EBF1_EBF_1 -7 0 + 0 0 . chr21 44886556 44886557 chr21:44886557:C:G rs235375 C G C EBF1_EBF_1 5 1 + 4.243276049834878 -2.66160510280029 CCCCCCCAGGGTCC chr21 44891348 44891349 chr21:44891349:A:G rs235325 A G A EBF1_EBF_1 -9 0 - 0 0 . chr21 44907285 44907286 chr21:44907286:G:A rs80269739 G A G EBF1_EBF_1 23 0 - 0 0 . chr21 44908110 44908111 chr21:44908111:G:A rs3746973 G A A EBF1_EBF_1 -16 0 + 0 0 . chr21 44912062 44912063 chr21:44912063:C:T chr21:44912063:C:T C T C EBF1_EBF_1 14 0 - 0 0 . chr21 44914403 44914404 chr21:44914404:G:C rs79250555 G C G EBF1_EBF_1 -13 0 + 0 0 . chr21 44915845 44915846 chr21:44915846:G:A rs11702367 G A G EBF1_EBF_1 -4 0 + 0 0 . chr21 44918934 44918935 chr21:44918935:A:G rs8130796 A G G EBF1_EBF_1 31 0 + 0 0 . chr21 44919436 44919437 chr21:44919437:T:C rs73376539 T C T EBF1_EBF_1 28 0 - 0 0 . chr21 44920507 44920508 chr21:44920508:G:A rs7278533 G A G EBF1_EBF_1 -1 0 + 0 0 . chr21 44921281 44921282 chr21:44921282:T:C rs2070946 T C T EBF1_EBF_1 -8 0 - 0 0 . chr21 44921502 44921503 chr21:44921503:G:A rs79717025 G A G EBF1_EBF_1 17 0 + 0 0 . chr21 44930863 44930864 chr21:44930864:G:A rs991340 G A A EBF1_EBF_1 22 0 - 0 0 . chr21 44932755 44932756 chr21:44932756:T:A rs7282571 T A T EBF1_EBF_1 24 0 + 0 0 . chr21 44932759 44932760 chr21:44932760:G:C rs540253064 G C G EBF1_EBF_1 28 0 + 0 0 . chr21 44932764 44932765 chr21:44932765:C:T rs1181584365 C T C EBF1_EBF_1 33 0 + 0 0 . chr21 44942467 44942468 chr21:44942468:A:G rs13046102 A G G EBF1_EBF_1 13 1 - 6.254307285826599 5.409813171241081 ACTCCCCAGGTGCT chr21 44954682 44954683 chr21:44954683:T:C rs7277529 T C C EBF1_EBF_1 0 1 - 5.205352722320573 3.313068852755738 ACTACCCAGGGACA chr21 44958853 44958854 chr21:44958854:A:G rs2877001 A G G EBF1_EBF_1 26 0 + 0 0 . chr21 44971341 44971342 chr21:44971342:C:T rs55859454 C T T EBF1_EBF_1 -12 0 + 0 0 . chr21 44985081 44985082 chr21:44985082:A:G rs928309 A G G EBF1_EBF_1 32 0 - 0 0 . chr21 44986186 44986187 chr21:44986187:C:T rs883909 C T C EBF1_EBF_1 -6 0 - 0 0 . chr21 44989633 44989634 chr21:44989634:A:G rs11088976 A G G EBF1_EBF_1 0 1 + 10.34012760253319 8.447843732968357 AGCCCCCTGGGAAC chr21 44989656 44989657 chr21:44989657:G:A rs73909914 G A G EBF1_EBF_1 23 0 + 0 0 . chr21 44990046 44990047 chr21:44990047:C:G rs55637746 C G C EBF1_EBF_1 27 0 - 0 0 . chr21 44990078 44990079 chr21:44990079:C:T rs182424181 C T C EBF1_EBF_1 -5 0 - 0 0 . chr21 44991055 44991056 chr21:44991056:T:C rs13052607 T C T EBF1_EBF_1 17 0 - 0 0 . chr21 44997212 44997213 chr21:44997213:A:G rs9680287 A G a EBF1_EBF_1 -12 0 + 0 0 . chr21 44997735 44997736 chr21:44997736:C:T rs75222517 C T C EBF1_EBF_1 -14 0 - 0 0 . chr21 44999766 44999767 chr21:44999767:G:A rs114478653 G A G EBF1_EBF_1 11 1 - 5.929015395942368 6.247062837696033 GGTCCCCGGGGCAT chr21 44999770 44999771 chr21:44999771:C:T rs8130673 C T C EBF1_EBF_1 7 1 - 5.929015395942368 7.241473801231193 GGTCCCCGGGGCAT chr21 44999789 44999790 chr21:44999790:G:A rs114151342 G A G EBF1_EBF_1 -12 0 - 0 0 . chr21 45001956 45001957 chr21:45001957:T:A rs181071910 T A A EBF1_EBF_1 23 0 - 0 0 . chr21 45005023 45005024 chr21:45005024:C:T rs150983587 C T C EBF1_EBF_1 -8 0 + 0 0 . chr21 45010312 45010313 chr21:45010313:T:A rs34281657 T A T EBF1_EBF_1 18 0 - 0 0 . chr21 45013274 45013275 chr21:45013275:G:A rs56377556 G A G EBF1_EBF_1 -20 0 - 0 0 . chr21 45040055 45040056 chr21:45040056:C:T rs11909023 C T C EBF1_EBF_1 3 1 - 5.664117781913734 4.585708200563143 ATCGCCCAGGGACC chr21 45041701 45041702 chr21:45041702:A:G rs79004928 A G G EBF1_EBF_1 28 0 + 0 0 . chr21 45075121 45075122 chr21:45075122:C:T rs139579807 C T C EBF1_EBF_1 8 1 - 4.978162344546198 0.7518722556599372 GGTCCCCAGGGCGC chr21 45075308 45075309 chr21:45075309:C:G rs4819027 C G G EBF1_EBF_1 -5 0 - 0 0 . chr21 45085004 45085005 chr21:45085005:G:C rs113336043 G C g EBF1_EBF_1 32 0 - 0 0 . chr21 45093270 45093271 chr21:45093271:C:T rs116579270 C T c EBF1_EBF_1 -3 0 - 0 0 . chr21 45136039 45136040 chr21:45136040:A:G rs117197123 A G G EBF1_EBF_1 29 0 - 0 0 . chr21 45220760 45220761 chr21:45220761:T:C rs57020111 T C T EBF1_EBF_1 33 0 - 0 0 . chr21 45220770 45220771 chr21:45220771:G:T rs59804232 G T C EBF1_EBF_1 23 0 - 0 0 . chr21 45248708 45248709 chr21:45248709:C:T rs115284186 C T C EBF1_EBF_1 27 0 - 0 0 . chr21 45250485 45250486 chr21:45250486:C:G rs1999333 C G C EBF1_EBF_1 17 0 - 0 0 . chr21 45258014 45258015 chr21:45258015:A:G rs111809481 A G A EBF1_EBF_1 14 0 + 0 0 . chr21 45270855 45270856 chr21:45270856:C:T rs191332866 C T C EBF1_EBF_1 -10 0 - 0 0 . chr21 45288289 45288290 chr21:45288290:G:T chr21:45288290:G:T G T G EBF1_EBF_1 17 0 - 0 0 . chr21 45288292 45288293 chr21:45288293:G:A rs371103426 G A G EBF1_EBF_1 14 0 - 0 0 . chr21 45291589 45291590 chr21:45291590:C:G chr21:45291590:C:G C G C EBF1_EBF_1 33 0 + 0 0 . chr21 45293287 45293288 chr21:45293288:A:G chr21:45293288:A:G A G A EBF1_EBF_1 -13 0 - 0 0 . chr21 45294644 45294645 chr21:45294645:C:T rs541525496 C T C EBF1_EBF_1 10 1 - 4.449418503874612 -0.8686099553923542 AGTCCCCAGTGAGC chr21 45294670 45294671 chr21:45294671:G:A rs7282557 G A G EBF1_EBF_1 -16 0 - 0 0 . chr21 45296307 45296308 chr21:45296308:G:A rs117903119 G A G EBF1_EBF_1 5 1 - 5.004505176928233 -0.3172820386124362 ACTCCCGCAGGACT chr21 45300566 45300567 chr21:45300567:C:T rs118132689 C T C EBF1_EBF_1 14 0 + 0 0 . chr21 45302459 45302460 chr21:45302460:C:T rs1018839025 C T C EBF1_EBF_1 1 1 - 5.630078224806606 5.369379392885303 CGTCCCCAGGGCCA chr21 45303014 45303015 chr21:45303015:C:T rs371170411 C T C EBF1_EBF_1 -2 0 - 0 0 . chr21 45304667 45304668 chr21:45304668:C:G rs559527332 C G C EBF1_EBF_1 11 1 + 4.721181730590003 6.244436584838382 GATCCCTGGGGCCA chr21 45313098 45313099 chr21:45313099:A:G rs9981237 A G G EBF1_EBF_1 31 0 - 0 0 . chr21 45313146 45313147 chr21:45313147:G:A rs10854469 G A G EBF1_EBF_1 -17 0 - 0 0 . chr21 45319078 45319079 chr21:45319079:C:G rs141216263 C G C EBF1_EBF_1 17 0 - 0 0 . chr21 45320957 45320958 chr21:45320958:C:T rs73373051 C T C EBF1_EBF_1 -10 0 + 0 0 . chr21 45322405 45322406 chr21:45322406:C:T rs13051375 C T T EBF1_EBF_1 1 1 - 6.81399006900982 6.553291237088518 CGTCCCAGGAGACC chr21 45323659 45323660 chr21:45323660:G:A rs55654356 G A G EBF1_EBF_1 -19 0 + 0 0 . chr21 45324283 45324284 chr21:45324284:A:G rs73238104 A G G EBF1_EBF_1 -17 0 - 0 0 . chr21 45324299 45324300 chr21:45324300:C:T rs923869406 C T c EBF1_EBF_1 9 1 - 4.754142325079051 2.0992030596314475 AGCCCCCTGGGTAG chr21 45324644 45324645 chr21:45324645:G:A rs111380387 G A g EBF1_EBF_1 22 0 - 0 0 . chr21 45324655 45324656 chr21:45324656:C:G rs76536010 C G c EBF1_EBF_1 11 1 - 6.882341324168343 5.359086469919965 AGCCCCTGGGGGCC chr21 45324655 45324656 chr21:45324656:C:T chr21:45324656:C:T C T c EBF1_EBF_1 11 1 - 6.882341324168343 9.775048167772352 AGCCCCTGGGGGCC chr21 45327289 45327290 chr21:45327290:G:C rs538177178 G C G EBF1_EBF_1 17 0 - 0 0 . chr21 45327310 45327311 chr21:45327311:A:G rs747403 A G G EBF1_EBF_1 -4 0 - 0 0 . chr21 45327456 45327457 chr21:45327457:G:C rs117376569 G C G EBF1_EBF_1 -17 0 - 0 0 . chr21 45330923 45330924 chr21:45330924:G:A rs73373070 G A G EBF1_EBF_1 -14 0 + 0 0 . chr21 45344622 45344623 chr21:45344623:A:G rs2838882 A G A EBF1_EBF_1 -9 0 - 0 0 . chr21 45348142 45348143 chr21:45348143:G:A rs2838885 G A G EBF1_EBF_1 13 1 + 8.556343646381972 9.670669218451412 CATCCCATGGGACG chr21 45348391 45348392 chr21:45348392:C:T rs139234433 C T C EBF1_EBF_1 33 0 - 0 0 . chr21 45348576 45348577 chr21:45348577:G:A rs2838886 G A G EBF1_EBF_1 14 0 - 0 0 . chr21 45352587 45352588 chr21:45352588:A:G rs2838893 A G G EBF1_EBF_1 1 1 - 7.632227824682013 6.736794384283267 GTTCCCTTGAGATC chr21 45352711 45352712 chr21:45352712:T:A rs114799902 T A T EBF1_EBF_1 15 0 + 0 0 . chr21 45357140 45357141 chr21:45357141:G:A rs79769538 G A G EBF1_EBF_1 15 0 - 0 0 . chr21 45357936 45357937 chr21:45357937:C:T rs2838896 C T T EBF1_EBF_1 -17 0 + 0 0 . chr21 45359862 45359863 chr21:45359863:C:T rs36080459 C T C EBF1_EBF_1 29 0 + 0 0 . chr21 45360452 45360453 chr21:45360453:G:A rs112830187 G A G EBF1_EBF_1 31 0 - 0 0 . chr21 45367477 45367478 chr21:45367478:A:T rs8130914 A T T EBF1_EBF_1 -19 0 - 0 0 . chr21 45376687 45376688 chr21:45376688:C:T rs58607962 C T C EBF1_EBF_1 -13 0 - 0 0 . chr21 45376915 45376916 chr21:45376916:A:G rs4818773 A G G EBF1_EBF_1 -2 0 - 0 0 . chr21 45377138 45377139 chr21:45377139:C:T rs11701192 C T C EBF1_EBF_1 -9 0 + 0 0 . chr21 45378785 45378786 chr21:45378786:C:T rs74557175 C T C EBF1_EBF_1 10 1 - 5.46364310018035 0.14561464091338383 AGCCCCAAAGGAAG chr21 45379329 45379330 chr21:45379330:G:A rs7281409 G A G EBF1_EBF_1 -14 0 + 0 0 . chr21 45394636 45394637 chr21:45394637:G:A rs11701170 G A G EBF1_EBF_1 -12 0 + 0 0 . chr21 45414395 45414396 chr21:45414396:C:G rs2838907 C G C EBF1_EBF_1 24 0 + 0 0 . chr21 45420298 45420299 chr21:45420299:G:A rs143666228 G A G EBF1_EBF_1 6 1 + 5.727608239213018 7.894402670487492 AGCCCCGAGGGTCT chr21 45441043 45441044 chr21:45441044:C:G rs138710573 C G C EBF1_EBF_1 4 1 + 7.043673581546592 1.4179084803970476 AAACCCCGGGGACA chr21 45443671 45443672 chr21:45443672:C:T rs114451752 C T C EBF1_EBF_1 26 0 - 0 0 . chr21 45450170 45450171 chr21:45450171:C:T rs9985167 C T C EBF1_EBF_1 17 0 - 0 0 . chr21 45451788 45451789 chr21:45451789:C:T rs6518245 C T C EBF1_EBF_1 -2 0 + 0 0 . chr21 45455649 45455650 chr21:45455650:T:C rs914230 T C C EBF1_EBF_1 -20 0 + 0 0 . chr21 45455671 45455672 chr21:45455672:C:G rs61749002 C G C EBF1_EBF_1 2 1 + 5.419402708859484 0.559900430101167 AGCCCCAGGGGCCC chr21 45466192 45466193 chr21:45466193:G:A rs145520572 G A G EBF1_EBF_1 -5 0 - 0 0 . chr21 45466699 45466700 chr21:45466700:G:T rs113918058 G T T EBF1_EBF_1 27 0 - 0 0 . chr21 45468064 45468065 chr21:45468065:A:T rs7279077 A T T EBF1_EBF_1 33 0 - 0 0 . chr21 45468092 45468093 chr21:45468093:G:A rs541291336 G A G EBF1_EBF_1 5 1 - 4.60355864566464 -0.7182285698760309 GATCCCCTGGGCTC chr21 45472032 45472033 chr21:45472033:C:T rs148415073 C T C EBF1_EBF_1 14 0 - 0 0 . chr21 45475592 45475593 chr21:45475593:G:A rs77596926 G A G EBF1_EBF_1 -9 0 - 0 0 . chr21 45479684 45479685 chr21:45479685:A:G rs117449703 A G A EBF1_EBF_1 11 1 + 5.910484061996294 3.0177772183922817 CCTCCCGAGGGATG chr21 45479927 45479928 chr21:45479928:C:T rs1131100 C T C EBF1_EBF_1 -19 0 + 0 0 . chr21 45479942 45479943 chr21:45479943:C:T rs1131101 C T C EBF1_EBF_1 -4 0 + 0 0 . chr21 45479957 45479958 chr21:45479958:C:A rs1131102 C A C EBF1_EBF_1 11 1 + 4.966019263061499 9.38198096091389 GGTCCCAAGGGCGA chr21 45481145 45481146 chr21:45481146:G:A rs2838941 G A G EBF1_EBF_1 26 0 - 0 0 . chr21 45488093 45488094 chr21:45488094:G:A rs11089009 G A G EBF1_EBF_1 7 1 + 4.684054891772232 5.996513297061057 AGCCCCCGGGGCCA chr21 45499351 45499352 chr21:45499352:G:C rs8128660 G C G EBF1_EBF_1 -16 0 + 0 0 . chr21 45501688 45501689 chr21:45501689:C:T rs112896253 C T T EBF1_EBF_1 5 1 + 6.585025013694794 1.2632377981541232 GACCCCCAGGGGCT chr21 45501903 45501904 chr21:45501904:C:T rs36191798 C T - EBF1_EBF_1 5 1 + 6.585025013694794 1.2632377981541232 GACCCCCAGGGGCT chr21 45502067 45502068 chr21:45502068:C:A rs9789900 C A A EBF1_EBF_1 -5 0 + 0 0 . chr21 45502088 45502089 chr21:45502089:A:G rs141528378 A G a EBF1_EBF_1 16 0 + 0 0 . chr21 45502187 45502188 chr21:45502188:G:A rs11702255 G A G EBF1_EBF_1 13 1 + 4.986547931069378 6.100873503138817 CAACCCCAGGGACG chr21 45504918 45504919 chr21:45504919:A:T rs866809069 A T A EBF1_EBF_1 -15 0 + 0 0 . chr21 45504940 45504941 chr21:45504941:C:T rs79186394 C T C EBF1_EBF_1 7 1 + 7.192170221185302 9.009674967467925 TCTCCCCCGGGATC chr21 45505119 45505120 chr21:45505120:G:A rs200884317 G A G EBF1_EBF_1 27 0 - 0 0 . chr21 45510469 45510470 chr21:45510470:G:C rs2838953 G C C EBF1_EBF_1 0 1 + 6.058312175618571 5.695337561486804 GTCCCCCAGGGCAT chr21 45510498 45510499 chr21:45510499:C:T rs9306130 C T C EBF1_EBF_1 29 0 + 0 0 . chr21 45511812 45511813 chr21:45511813:A:G rs9975694 A G G EBF1_EBF_1 19 0 - 0 0 . chr21 45516572 45516573 chr21:45516573:G:A rs4818786 G A G EBF1_EBF_1 15 0 + 0 0 . chr21 45526054 45526055 chr21:45526055:G:T rs2039276 G T G EBF1_EBF_1 28 0 - 0 0 . chr21 45529385 45529386 chr21:45529386:G:A rs142087242 G A G EBF1_EBF_1 -9 0 - 0 0 . chr21 45533934 45533935 chr21:45533935:A:G rs118175016 A G A EBF1_EBF_1 6 1 + 4.732362851883712 2.5655684206092375 CTTCCCAGGGGCTC chr21 45535399 45535400 chr21:45535400:G:A rs1056983589 G A G EBF1_EBF_1 14 0 + 0 0 . chr21 45542216 45542217 chr21:45542217:T:C rs554394362 T C - EBF1_EBF_1 2 1 + 5.814052019523365 3.9252286080812135 CCTCCCCAGGGCCC chr21 45542662 45542663 chr21:45542663:G:T rs1189893988 G T G EBF1_EBF_1 9 1 + 4.071998486484054 -2.764990921604757 CTACCCCTGGGGCC chr21 45556878 45556879 chr21:45556879:G:A rs28470800 G A G EBF1_EBF_1 14 0 - 0 0 . chr21 45576783 45576784 chr21:45576784:T:C rs59732881 T C T EBF1_EBF_1 -4 0 + 0 0 . chr21 45579168 45579169 chr21:45579169:A:C rs62214343 A C G EBF1_EBF_1 -1 0 - 0 0 . chr21 45579491 45579492 chr21:45579492:T:C rs73910124 T C c EBF1_EBF_1 -18 0 - 0 0 . chr21 45579623 45579624 chr21:45579624:G:A rs75159040 G A a EBF1_EBF_1 -15 0 - 0 0 . chr21 45579644 45579645 chr21:45579645:G:A rs73374816 G A g EBF1_EBF_1 -2 0 - 0 0 . chr21 45601761 45601762 chr21:45601762:C:T rs8129873 C T C EBF1_EBF_1 27 0 + 0 0 . chr21 45613361 45613362 chr21:45613362:G:A rs919494282 G A G EBF1_EBF_1 -20 0 - 0 0 . chr21 45613693 45613694 chr21:45613694:T:C rs117188250 T C T EBF1_EBF_1 -10 0 - 0 0 . chr21 45615632 45615633 chr21:45615633:G:A rs1292738259 G A G EBF1_EBF_1 -3 0 - 0 0 . chr21 45617380 45617381 chr21:45617381:A:G rs529712670 A G A EBF1_EBF_1 1 1 - 6.539115580103519 5.643682139704773 CTTCCCATGGGTCA chr21 45642698 45642699 chr21:45642699:C:T rs9977301 C T c EBF1_EBF_1 2 1 + 5.057800341058208 6.946623752500357 AGCCCCCGGGGCCC chr21 45699209 45699210 chr21:45699210:C:T rs1964928 C T C EBF1_EBF_1 9 1 + 4.7226477442625425 2.961347482519197 AGTCCCCAGCGAGG chr21 45737381 45737382 chr21:45737382:A:C rs73378535 A C A EBF1_EBF_1 25 0 - 0 0 . chr21 45755491 45755492 chr21:45755492:C:T rs7281669 C T C EBF1_EBF_1 12 1 + 6.040775088251252 5.014508517700402 ACTCCCAAGGAACG chr21 45846108 45846109 chr21:45846109:G:A rs75164391 G A G EBF1_EBF_1 26 0 + 0 0 . chr21 45858548 45858549 chr21:45858549:G:A rs540416331 G A G EBF1_EBF_1 1 1 - 7.700281487903511 8.595714928302252 GCTCCCCAGGGGCC chr21 45866574 45866575 chr21:45866575:G:A rs741955 G A G EBF1_EBF_1 -20 0 - 0 0 . chr21 45868958 45868959 chr21:45868959:C:G rs2183599 C G G EBF1_EBF_1 17 0 + 0 0 . chr21 45871218 45871219 chr21:45871219:G:A rs11089027 G A G EBF1_EBF_1 17 0 + 0 0 . chr21 45871279 45871280 chr21:45871280:C:T rs62211895 C T T EBF1_EBF_1 32 0 + 0 0 . chr21 45871911 45871912 chr21:45871912:G:A rs2839029 G A G EBF1_EBF_1 -7 0 - 0 0 . chr21 45871978 45871979 chr21:45871979:G:A rs3788224 G A G EBF1_EBF_1 25 0 + 0 0 . chr21 45873606 45873607 chr21:45873607:C:A rs753092 C A A EBF1_EBF_1 30 0 + 0 0 . chr21 45875623 45875624 chr21:45875624:C:T rs2839032 C T C EBF1_EBF_1 30 0 + 0 0 . chr21 45877911 45877912 chr21:45877912:T:C rs182539720 T C T EBF1_EBF_1 6 1 + 10.41800022028172 10.116714091419961 GCTCCCTTGGGACC chr21 45877928 45877929 chr21:45877929:C:T rs7277704 C T C EBF1_EBF_1 23 0 + 0 0 . chr21 45880925 45880926 chr21:45880926:C:T rs62211925 C T C EBF1_EBF_1 7 1 - 5.5922959815450906 6.904754386833915 AGCCCCTGGGTACC chr21 45880939 45880940 chr21:45880940:C:T rs148115121 C T A EBF1_EBF_1 -7 0 - 0 0 . chr21 45901428 45901429 chr21:45901429:G:A rs2075886 G A G EBF1_EBF_1 10 1 + 6.268395052727517 0.9503665934605503 CTTCCCCTGGGGCG chr21 45917906 45917907 chr21:45917907:G:A rs369245 G A G EBF1_EBF_1 26 0 + 0 0 . chr21 45940423 45940424 chr21:45940424:C:T rs8133858 C T C EBF1_EBF_1 0 1 + 5.676652977714072 5.958855038444651 CGTCTCCAGGGACA chr21 45953871 45953872 chr21:45953872:G:A rs62213858 G A g EBF1_EBF_1 15 0 - 0 0 . chr21 45956983 45956984 chr21:45956984:T:C rs2150457 T C T EBF1_EBF_1 1 1 + 4.050137916358158 3.154704475959411 CTCCCCCCGGGGCC chr21 45973039 45973040 chr21:45973040:C:T rs1005467 C T C EBF1_EBF_1 15 0 + 0 0 . chr21 45973042 45973043 chr21:45973043:C:T rs8129006 C T C EBF1_EBF_1 18 0 + 0 0 . chr21 45975073 45975074 chr21:45975074:G:A rs143116902 G A G EBF1_EBF_1 -7 0 - 0 0 . chr21 45977671 45977672 chr21:45977672:T:C rs1883048 T C T EBF1_EBF_1 -11 0 + 0 0 . chr21 45983958 45983959 chr21:45983959:C:T rs62215497 C T C EBF1_EBF_1 7 1 - 4.569795768068969 5.882254173357794 GCACCCCGGGGATC chr21 45989836 45989837 chr21:45989837:G:A rs369511036 G A G EBF1_EBF_1 18 0 - 0 0 . chr21 45991016 45991017 chr21:45991017:T:C rs1980982 T C C EBF1_EBF_1 20 0 + 0 0 . chr21 45994864 45994865 chr21:45994865:G:A chr21:45994865:G:A G A G EBF1_EBF_1 -6 0 - 0 0 . chr21 45999362 45999363 chr21:45999363:G:T rs13048481 G T g EBF1_EBF_1 2 1 - 4.698795545314648 2.9031513691579214 CACCCCCAGGGCCT chr21 45999832 45999833 chr21:45999833:A:G rs8131632 A G G EBF1_EBF_1 6 1 - 7.512578531671624 7.2112924028098675 GGTCCCTAGGGCCT chr21 45999855 45999856 chr21:45999856:G:A rs73908116 G A G EBF1_EBF_1 -17 0 - 0 0 . chr21 46001878 46001879 chr21:46001879:C:T rs8132377 C T C EBF1_EBF_1 27 0 - 0 0 . chr21 46001882 46001883 chr21:46001883:G:A rs8131313 G A G EBF1_EBF_1 23 0 - 0 0 . chr21 46003318 46003319 chr21:46003319:C:T rs59769541 C T C EBF1_EBF_1 -6 0 - 0 0 . chr21 46004431 46004432 chr21:46004432:A:G rs9254 A G G EBF1_EBF_1 24 0 + 0 0 . chr21 46006430 46006431 chr21:46006431:A:G rs4818816 A G G EBF1_EBF_1 33 0 - 0 0 . chr21 46006475 46006476 chr21:46006476:T:C rs13052665 T C T EBF1_EBF_1 -12 0 - 0 0 . chr21 46006477 46006478 chr21:46006478:C:T rs113107188 C T C EBF1_EBF_1 -14 0 - 0 0 . chr21 46007167 46007168 chr21:46007168:G:A rs141808151 G A G EBF1_EBF_1 -4 0 + 0 0 . chr21 46039215 46039216 chr21:46039216:T:C rs72613654 T C C EBF1_EBF_1 -9 0 + 0 0 . chr21 46039255 46039256 chr21:46039256:G:A rs542066580 G A G EBF1_EBF_1 31 0 + 0 0 . chr21 46039303 46039304 chr21:46039304:C:A rs1044248496 C A C EBF1_EBF_1 -15 0 + 0 0 . chr21 46055203 46055204 chr21:46055204:G:A rs60272127 G A g EBF1_EBF_1 29 0 - 0 0 . chr21 46056440 46056441 chr21:46056441:G:A rs62211831 G A G EBF1_EBF_1 22 0 - 0 0 . chr21 46056442 46056443 chr21:46056443:G:A rs2839095 G A G EBF1_EBF_1 20 0 - 0 0 . chr21 46060898 46060899 chr21:46060899:G:A rs12627716 G A G EBF1_EBF_1 13 1 - 6.314736103655141 7.159230218240659 ATCCCCAGAGGACC chr21 46062769 46062770 chr21:46062770:T:A rs8132404 T A T EBF1_EBF_1 -20 0 + 0 0 . chr21 46062818 46062819 chr21:46062819:C:T rs34991819 C T C EBF1_EBF_1 29 0 + 0 0 . chr21 46065788 46065789 chr21:46065789:G:A rs73908154 G A N EBF1_EBF_1 6 1 - 4.783795674965118 5.085081803826876 TCTCCCCGGGGCCC chr21 46067808 46067809 chr21:46067809:T:C rs1777 T C C EBF1_EBF_1 28 0 + 0 0 . chr21 46067963 46067964 chr21:46067964:G:C rs1004368172 G C G EBF1_EBF_1 33 0 + 0 0 . chr21 46079245 46079246 chr21:46079246:C:T rs143048513 C T C EBF1_EBF_1 0 1 - 6.765199561143636 8.657483430708469 GGTCCCCTGGGCAT chr21 46080235 46080236 chr21:46080236:T:C rs73380476 T C C EBF1_EBF_1 -1 0 - 0 0 . chr21 46082266 46082267 chr21:46082267:C:T rs112776513 C T C EBF1_EBF_1 19 0 - 0 0 . chr21 46083366 46083367 chr21:46083367:T:C rs57749414 T C T EBF1_EBF_1 -17 0 - 0 0 . chr21 46098271 46098272 chr21:46098272:T:C rs2277817 T C c EBF1_EBF_1 11 1 + 4.329378258337822 4.011330816584159 CGTCCCTCGGGTCC chr21 46117231 46117232 chr21:46117232:C:T rs75988761 C T c EBF1_EBF_1 3 1 + 5.88887059735335 -0.5165281441999678 CCTCCCCGGAGACA chr21 46117645 46117646 chr21:46117646:G:A rs141960812 G A G EBF1_EBF_1 21 0 + 0 0 . chr21 46120927 46120928 chr21:46120928:G:C rs55964853 G C a EBF1_EBF_1 6 1 - 4.214403317620833 2.4092112541476345 CCTCCCCGGAGGCT chr21 46123116 46123117 chr21:46123117:C:T rs78767263 C T c EBF1_EBF_1 -16 0 + 0 0 . chr21 46123289 46123290 chr21:46123290:C:A rs73908535 C A c EBF1_EBF_1 26 0 + 0 0 . chr21 46123371 46123372 chr21:46123372:T:C rs10854478 T C t EBF1_EBF_1 13 1 + 6.079936253015497 5.2354421384299785 ATTCCCCAAGGGTT chr21 46124588 46124589 chr21:46124589:C:T rs575731478 C T c EBF1_EBF_1 -17 0 + 0 0 . chr21 46124613 46124614 chr21:46124614:G:T rs79205686 G T t EBF1_EBF_1 8 1 + 4.901108009110116 -1.990324779867079 TGTCCCTGGGGCCA chr21 46124626 46124627 chr21:46124627:C:G rs3746995 C G C EBF1_EBF_1 21 0 + 0 0 . chr21 46124923 46124924 chr21:46124924:G:A rs9981981 G A G EBF1_EBF_1 28 0 + 0 0 . chr21 46125240 46125241 chr21:46125241:A:G rs2839113 A G a EBF1_EBF_1 -2 0 - 0 0 . chr21 46126431 46126432 chr21:46126432:T:C rs200714264 T C T EBF1_EBF_1 33 0 - 0 0 . chr21 46126454 46126455 chr21:46126455:C:T rs201367713 C T C EBF1_EBF_1 10 1 - 7.930822236579193 2.612793777312227 AGTCCCTAGCGAAC chr21 46127559 46127560 chr21:46127560:G:A rs112153300 G A G EBF1_EBF_1 -3 0 + 0 0 . chr21 46132667 46132668 chr21:46132668:T:C rs3087667 T C C EBF1_EBF_1 -15 0 + 0 0 . chr21 46132670 46132671 chr21:46132671:A:G rs1043962 A G a EBF1_EBF_1 -12 0 + 0 0 . chr21 46132709 46132710 chr21:46132710:C:T rs11554666 C T c EBF1_EBF_1 27 0 + 0 0 . chr21 46137667 46137668 chr21:46137668:C:T rs563910338 C T C EBF1_EBF_1 -18 0 + 0 0 . chr21 46150277 46150278 chr21:46150278:C:G rs112773027 C G C EBF1_EBF_1 5 1 + 7.537063784184643 0.6321826315494754 ACCCCCTGGGGGCT chr21 46150717 46150718 chr21:46150718:G:A rs145459195 G A G EBF1_EBF_1 -3 0 + 0 0 . chr21 46150737 46150738 chr21:46150738:A:G rs7277617 A G a EBF1_EBF_1 17 0 + 0 0 . chr21 46151507 46151508 chr21:46151508:C:G rs144738793 C G C EBF1_EBF_1 14 0 - 0 0 . chr21 46151514 46151515 chr21:46151515:G:A rs58309404 G A G EBF1_EBF_1 7 1 - 8.450163535826348 10.267668282108971 AGCCCCTCGGGAAA chr21 46152122 46152123 chr21:46152123:C:T rs111900599 C T C EBF1_EBF_1 33 0 - 0 0 . chr21 46155322 46155323 chr21:46155323:T:C rs62214040 T C T EBF1_EBF_1 26 0 + 0 0 . chr21 46161014 46161015 chr21:46161015:A:T rs192054705 A T T EBF1_EBF_1 -3 0 - 0 0 . chr21 46161021 46161022 chr21:46161022:G:A rs543025079 G A g EBF1_EBF_1 -10 0 - 0 0 . chr21 46161024 46161025 chr21:46161025:G:C rs562021745 G C g EBF1_EBF_1 -13 0 - 0 0 . chr21 46161028 46161029 chr21:46161029:A:G rs11912003 A G G EBF1_EBF_1 -17 0 - 0 0 . chr21 46161778 46161779 chr21:46161779:T:C rs113835421 T C C EBF1_EBF_1 32 0 - 0 0 . chr21 46161819 46161820 chr21:46161820:G:A rs3747001 G A G EBF1_EBF_1 -9 0 - 0 0 . chr21 46162046 46162047 chr21:46162047:C:A rs76486812 C A C EBF1_EBF_1 29 0 - 0 0 . chr21 46181008 46181009 chr21:46181009:T:C rs9979331 T C C EBF1_EBF_1 -20 0 - 0 0 . chr21 46182626 46182627 chr21:46182627:C:T rs549793422 C T C EBF1_EBF_1 14 0 - 0 0 . chr21 46184442 46184443 chr21:46184443:C:T rs9647242 C T C EBF1_EBF_1 -4 0 - 0 0 . chr21 46184446 46184447 chr21:46184447:C:A rs116170889 C A G EBF1_EBF_1 -8 0 - 0 0 . chr21 46184451 46184452 chr21:46184452:G:C rs191606610 G C G EBF1_EBF_1 -13 0 - 0 0 . chr21 46191507 46191508 chr21:46191508:C:T rs117082075 C T C EBF1_EBF_1 8 1 - 7.239401885381931 3.0131117964956706 CCTCCCCTGGGATG chr21 46196822 46196823 chr21:46196823:T:G rs76723404 T G t EBF1_EBF_1 7 1 + 6.139635413540319 5.30345124833905 ACTCCCATGGTGCT chr21 46208167 46208168 chr21:46208168:C:T rs140139047 C T C EBF1_EBF_1 18 0 + 0 0 . chr21 46213874 46213875 chr21:46213875:C:G rs9974665 C G C EBF1_EBF_1 -7 0 + 0 0 . chr21 46213891 46213892 chr21:46213892:G:A rs143958308 G A G EBF1_EBF_1 10 1 + 6.043088049968523 0.7250595907015565 AGCCTCCAGGGACA chr21 46222902 46222903 chr21:46222903:G:T rs117806396 G T G EBF1_EBF_1 20 0 + 0 0 . chr21 46223918 46223919 chr21:46223919:C:T rs192873340 C T C EBF1_EBF_1 31 0 - 0 0 . chr21 46224364 46224365 chr21:46224365:G:A rs6518282 G A g EBF1_EBF_1 -16 0 + 0 0 . chr21 46226981 46226982 chr21:46226982:C:T rs116885460 C T C EBF1_EBF_1 20 0 + 0 0 . chr21 46227524 46227525 chr21:46227525:C:A rs76428496 C A C EBF1_EBF_1 -17 0 - 0 0 . chr21 46228002 46228003 chr21:46228003:G:C rs558263803 G C G EBF1_EBF_1 27 0 - 0 0 . chr21 46266237 46266238 chr21:46266238:C:T rs2839182 C T T EBF1_EBF_1 24 0 - 0 0 . chr21 46266259 46266260 chr21:46266260:T:C rs4818831 T C C EBF1_EBF_1 2 1 - 7.114194252256263 4.0503361496546715 ACACCCAAGGGGCT chr21 46288299 46288300 chr21:46288300:G:C rs75186330 G C G EBF1_EBF_1 17 0 - 0 0 . chr21 46295381 46295382 chr21:46295382:T:C rs12626184 T C C EBF1_EBF_1 -13 0 + 0 0 . chr21 46298092 46298093 chr21:46298093:G:C rs116387654 G C G EBF1_EBF_1 7 1 + 6.60449578335057 5.6231752022692145 GTTCCCCGGGGCCT chr21 46298108 46298109 chr21:46298109:G:C rs80099311 G C G EBF1_EBF_1 23 0 + 0 0 . chr21 46323313 46323314 chr21:46323314:C:T rs574479151 C T C EBF1_EBF_1 -17 0 + 0 0 . chr21 46323659 46323660 chr21:46323660:C:T rs145225596 C T C EBF1_EBF_1 17 0 + 0 0 . chr21 46323670 46323671 chr21:46323671:C:T rs76328736 C T C EBF1_EBF_1 28 0 + 0 0 . chr21 46324813 46324814 chr21:46324814:G:C rs188004524 G C g EBF1_EBF_1 -13 0 + 0 0 . chr21 46324814 46324815 chr21:46324815:T:C rs555135926 T C t EBF1_EBF_1 -12 0 + 0 0 . chr21 46324850 46324851 chr21:46324851:C:T rs919158174 C T C EBF1_EBF_1 24 0 + 0 0 . chr21 46324854 46324855 chr21:46324855:C:T rs147023145 C T C EBF1_EBF_1 28 0 + 0 0 . chr21 46344422 46344423 chr21:46344423:A:G rs13048286 A G G EBF1_EBF_1 2 1 + 4.046302831994395 0.9824447293928036 CCACCCTGGGGACG chr21 46347567 46347568 chr21:46347568:C:T rs79850630 C T c EBF1_EBF_1 -5 0 + 0 0 . chr21 46366172 46366173 chr21:46366173:C:T rs67986301 C T C EBF1_EBF_1 26 0 + 0 0 . chr21 46371618 46371619 chr21:46371619:G:A rs117923281 G A G EBF1_EBF_1 15 0 + 0 0 . chr21 46375915 46375916 chr21:46375916:C:T rs118048279 C T c EBF1_EBF_1 27 0 + 0 0 . chr21 46413040 46413041 chr21:46413041:C:T rs112334245 C T C EBF1_EBF_1 23 0 + 0 0 . chr21 46413282 46413283 chr21:46413283:G:A rs181798474 G A - EBF1_EBF_1 26 0 + 0 0 . chr21 46421876 46421877 chr21:46421877:G:A rs111962882 G A G EBF1_EBF_1 -3 0 - 0 0 . chr21 46428435 46428436 chr21:46428436:G:A rs61735818 G A G EBF1_EBF_1 25 0 + 0 0 . chr21 46447404 46447405 chr21:46447405:G:A rs2839265 G A G EBF1_EBF_1 -18 0 + 0 0 . chr21 46459572 46459573 chr21:46459573:G:A chr21:46459573:G:A G A - EBF1_EBF_1 -6 0 + 0 0 . chr21 46534305 46534306 chr21:46534306:A:C rs2839306 A C A EBF1_EBF_1 29 0 - 0 0 . chr21 46560598 46560599 chr21:46560599:A:G rs79534140 A G A EBF1_EBF_1 33 0 - 0 0 . chr21 46560846 46560847 chr21:46560847:T:G rs2839324 T G T EBF1_EBF_1 -12 0 + 0 0 . chr21 46560886 46560887 chr21:46560887:C:T rs76005530 C T C EBF1_EBF_1 28 0 + 0 0 . chr21 46560999 46561000 chr21:46561000:G:T rs2839325 G T G EBF1_EBF_1 -6 0 + 0 0 . chr21 46561016 46561017 chr21:46561017:A:T rs191865138 A T A EBF1_EBF_1 11 1 + 7.890521736210056 3.79260748011133 CCTCCCAGGGGAGC chr21 46561034 46561035 chr21:46561035:G:C rs34529071 G C G EBF1_EBF_1 29 0 + 0 0 . chr21 46582335 46582336 chr21:46582336:C:T rs28718195 C T C EBF1_EBF_1 -6 0 + 0 0 . chr21 46599131 46599132 chr21:46599132:C:A rs2839354 C A A EBF1_EBF_1 5 1 + 6.874164432076139 -0.03071672055902952 AGTCCCTGGGGCCA chr21 46607486 46607487 chr21:46607487:T:C rs76306381 T C T EBF1_EBF_1 -20 0 + 0 0 . chr21 46634741 46634742 chr21:46634742:C:A rs188234072 C A C EBF1_EBF_1 23 0 + 0 0 . chr21 46636241 46636242 chr21:46636242:C:T rs140713583 C T C EBF1_EBF_1 31 0 + 0 0 . chr21 46667412 46667413 chr21:46667413:C:G rs13052824 C G c EBF1_EBF_1 5 1 + 4.859554092684804 -2.045327059950365 CGTCCCCCGGGGCA chr22 11054835 11054836 chr22:11054836:G:A rs1353424696 G A . EBF1_EBF_1 -17 0 + 0 0 . chr22 11285553 11285554 chr22:11285554:C:A rs1241917600 C A . EBF1_EBF_1 20 0 + 0 0 . chr22 11569525 11569526 chr22:11569526:G:A rs1192403539 G A G EBF1_EBF_1 -18 0 - 0 0 . chr22 11611544 11611545 chr22:11611545:C:T rs1425221258 C T . EBF1_EBF_1 -19 0 + 0 0 . chr22 12693754 12693755 chr22:12693755:C:T rs36140252 C T . EBF1_EBF_1 -20 0 + 0 0 . chr22 12693760 12693761 chr22:12693761:C:T rs892096674 C T . EBF1_EBF_1 -14 0 + 0 0 . chr22 15665751 15665752 chr22:15665752:G:C rs72485236 G C . EBF1_EBF_1 -11 0 + 0 0 . chr22 15921696 15921697 chr22:15921697:G:A chr22:15921697:G:A G A . EBF1_EBF_1 20 0 + 0 0 . chr22 16419892 16419893 chr22:16419893:C:T rs199617980 C T . EBF1_EBF_1 5 1 + 6.2832986091429905 0.9615113936023203 CACCCCGAGGGATT chr22 16602856 16602857 chr22:16602857:G:A rs140612226 G A . EBF1_EBF_1 18 0 - 0 0 . chr22 17036307 17036308 chr22:17036308:C:T rs62236167 C T - EBF1_EBF_1 13 1 - 7.476672922263295 8.590998494332734 ATTCCCCAGGGCCG chr22 17037599 17037600 chr22:17037600:C:T rs766854128 C T - EBF1_EBF_1 -2 0 + 0 0 . chr22 17087732 17087733 chr22:17087733:G:A rs41523647 G A G EBF1_EBF_1 -17 0 - 0 0 . chr22 17109853 17109854 chr22:17109854:T:C rs1468488 T C C EBF1_EBF_1 28 0 + 0 0 . chr22 17138825 17138826 chr22:17138826:A:G rs741139 A G A EBF1_EBF_1 -14 0 + 0 0 . chr22 17158994 17158995 chr22:17158995:G:A rs372179805 G A G EBF1_EBF_1 33 0 - 0 0 . chr22 17159027 17159028 chr22:17159028:T:G rs71312076 T G G EBF1_EBF_1 0 1 - 5.970740657588267 3.715482173891666 AGCCTCCTGGGAAC chr22 17159029 17159030 chr22:17159030:G:A chr22:17159030:G:A G A G EBF1_EBF_1 -2 0 - 0 0 . chr22 17159041 17159042 chr22:17159042:G:A rs71312077 G A G EBF1_EBF_1 -14 0 - 0 0 . chr22 17171718 17171719 chr22:17171719:G:T rs34466883 G T g EBF1_EBF_1 24 0 + 0 0 . chr22 17173036 17173037 chr22:17173037:G:A rs2159067 G A A EBF1_EBF_1 15 0 + 0 0 . chr22 17194282 17194283 chr22:17194283:C:G rs3788276 C G C EBF1_EBF_1 32 0 - 0 0 . chr22 17231251 17231252 chr22:17231252:G:A rs2019180 G A g EBF1_EBF_1 10 1 + 7.3276279059996225 2.0095994467326563 CATCCCGAGGGATC chr22 17244318 17244319 chr22:17244319:C:G rs4819566 C G g EBF1_EBF_1 1 1 - 7.691773522111874 7.502001867542657 AGTGCCCAGGGACT chr22 17258620 17258621 chr22:17258621:G:A rs73153435 G A G EBF1_EBF_1 10 1 + 8.105189715890663 2.787161256623697 AGTCCCCAAGGAAA chr22 17380531 17380532 chr22:17380532:G:C rs778592522 G C G EBF1_EBF_1 -1 0 - 0 0 . chr22 17437319 17437320 chr22:17437320:G:A rs780386071 G A G EBF1_EBF_1 11 1 - 8.259082157789182 8.577129599542845 AGTCCCCAGGGCCC chr22 17455717 17455718 chr22:17455718:A:G rs114911382 A G A EBF1_EBF_1 -16 0 + 0 0 . chr22 17498703 17498704 chr22:17498704:A:G rs2041942 A G A EBF1_EBF_1 12 1 - 7.67222557839627 8.698492148947118 TGCCCCATGGGATC chr22 17541914 17541915 chr22:17541915:C:T rs1296794 C T C EBF1_EBF_1 -7 0 + 0 0 . chr22 17551858 17551859 chr22:17551859:G:A rs113837 G A G EBF1_EBF_1 24 0 - 0 0 . chr22 17557086 17557087 chr22:17557087:T:C rs174349 T C T EBF1_EBF_1 0 1 + 8.970092246551152 8.687890185820574 TTCCCCTTGGGACA chr22 17808431 17808432 chr22:17808432:T:C rs9617619 T C T EBF1_EBF_1 0 1 - 5.793370874000697 3.9010870044358628 AGTTCCCTGGGACC chr22 17830787 17830788 chr22:17830788:C:G rs548950494 C G c EBF1_EBF_1 0 1 - 6.667984371483017 6.305009757351251 GCTCCCTAAGGACC chr22 17830905 17830906 chr22:17830906:C:G rs3804050 C G c EBF1_EBF_1 -5 0 - 0 0 . chr22 17853169 17853170 chr22:17853170:A:G rs76786667 A G G EBF1_EBF_1 -4 0 - 0 0 . chr22 17867645 17867646 chr22:17867646:G:A rs148407984 G A G EBF1_EBF_1 13 1 - 5.634324290762905 6.478818405348423 AGTCCCCAGAGCAC chr22 17867747 17867748 chr22:17867748:C:A rs5992126 C A A EBF1_EBF_1 -4 0 - 0 0 . chr22 17894332 17894333 chr22:17894333:A:G rs141670511 A G A EBF1_EBF_1 30 0 + 0 0 . chr22 17915440 17915441 chr22:17915441:C:A rs9604803 C A C EBF1_EBF_1 -12 0 - 0 0 . chr22 17919696 17919697 chr22:17919697:C:G rs73388433 C G C EBF1_EBF_1 13 1 + 5.570512204457678 4.082441183102263 CTCCCCTGGAGACC chr22 17961523 17961524 chr22:17961524:C:T rs34486385 C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 17966271 17966272 chr22:17966272:A:G rs7291169 A G G EBF1_EBF_1 -18 0 + 0 0 . chr22 17983035 17983036 chr22:17983036:C:T rs145831731 C T C EBF1_EBF_1 11 1 - 5.983197552152404 8.875904395756415 AGACCCATGGGGCC chr22 17983048 17983049 chr22:17983049:T:G rs5992945 T G T EBF1_EBF_1 -2 0 - 0 0 . chr22 17990123 17990124 chr22:17990124:C:A rs73876548 C A C EBF1_EBF_1 -3 0 + 0 0 . chr22 18001323 18001324 chr22:18001324:G:C rs918347655 G C g EBF1_EBF_1 -18 0 + 0 0 . chr22 18001338 18001339 chr22:18001339:G:A rs777864181 G A g EBF1_EBF_1 -3 0 + 0 0 . chr22 18001374 18001375 chr22:18001375:C:T rs1041343257 C T C EBF1_EBF_1 33 0 + 0 0 . chr22 18023306 18023307 chr22:18023307:A:G rs9605497 A G G EBF1_EBF_1 6 1 - 8.566461025880267 8.265174897018511 AATCCCTGGAGATT chr22 18023997 18023998 chr22:18023998:C:A rs539265727 C A C EBF1_EBF_1 26 0 - 0 0 . chr22 18024006 18024007 chr22:18024007:T:C rs9604822 T C C EBF1_EBF_1 17 0 - 0 0 . chr22 18024808 18024809 chr22:18024809:T:G rs4819478 T G G EBF1_EBF_1 -1 0 + 0 0 . chr22 18024813 18024814 chr22:18024814:C:T chr22:18024814:C:T C T C EBF1_EBF_1 4 1 + 6.924433524435881 2.5550465794909574 CTTCCCCAGCGAAT chr22 18033552 18033553 chr22:18033553:G:A rs424962 G A G EBF1_EBF_1 28 0 + 0 0 . chr22 18035963 18035964 chr22:18035964:C:G rs998566765 C G C EBF1_EBF_1 8 1 - 6.628816951940311 1.3101580910256245 CGTCCCAAGGTAAC chr22 18035963 18035964 chr22:18035964:C:T chr22:18035964:C:T C T C EBF1_EBF_1 8 1 - 6.628816951940311 2.4025268630540495 CGTCCCAAGGTAAC chr22 18063433 18063434 chr22:18063434:T:G chr22:18063434:T:G T G T EBF1_EBF_1 -10 0 - 0 0 . chr22 18107034 18107035 chr22:18107035:A:G rs9647297 A G - EBF1_EBF_1 -6 0 - 0 0 . chr22 18157166 18157167 chr22:18157167:A:C rs150174238 A C . EBF1_EBF_1 15 0 - 0 0 . chr22 18974268 18974269 chr22:18974269:G:A rs7288481 G A g EBF1_EBF_1 22 0 - 0 0 . chr22 18984080 18984081 chr22:18984081:G:A rs548516131 G A G EBF1_EBF_1 -7 0 + 0 0 . chr22 18984279 18984280 chr22:18984280:A:G rs4819763 A G G EBF1_EBF_1 21 0 + 0 0 . chr22 18986814 18986815 chr22:18986815:A:G rs112556966 A G A EBF1_EBF_1 -14 0 + 0 0 . chr22 18986818 18986819 chr22:18986819:C:T rs35288242 C T C EBF1_EBF_1 -10 0 + 0 0 . chr22 18987831 18987832 chr22:18987832:A:C rs2518802 A C A EBF1_EBF_1 -1 0 + 0 0 . chr22 18994297 18994298 chr22:18994298:C:T rs141041638 C T C EBF1_EBF_1 12 1 + 6.771011136433768 5.744744565882918 AGTCCCCAGGGCCG chr22 18997392 18997393 chr22:18997393:G:T rs199619240 G T C EBF1_EBF_1 25 0 - 0 0 . chr22 19029324 19029325 chr22:19029325:T:C rs114428173 T C - EBF1_EBF_1 6 1 - 10.537565734418717 8.370771303144243 GTTCCCAGGGGACC chr22 19030586 19030587 chr22:19030587:G:A rs112198327 G A g EBF1_EBF_1 18 0 + 0 0 . chr22 19038252 19038253 chr22:19038253:C:T rs56375048 C T C EBF1_EBF_1 -3 0 + 0 0 . chr22 19038780 19038781 chr22:19038781:A:G rs41277588 A G A EBF1_EBF_1 -14 0 + 0 0 . chr22 19038818 19038819 chr22:19038819:A:G rs73384823 A G A EBF1_EBF_1 24 0 + 0 0 . chr22 19039099 19039100 chr22:19039100:A:G rs2072123 A G G EBF1_EBF_1 29 0 + 0 0 . chr22 19062357 19062358 chr22:19062358:G:A rs2238744 G A G EBF1_EBF_1 25 0 - 0 0 . chr22 19069206 19069207 chr22:19069207:G:A rs112820880 G A G EBF1_EBF_1 -14 0 + 0 0 . chr22 19124670 19124671 chr22:19124671:G:A rs3747049 G A G EBF1_EBF_1 19 0 + 0 0 . chr22 19179166 19179167 chr22:19179167:A:G rs762528 A G a EBF1_EBF_1 25 0 + 0 0 . chr22 19182031 19182032 chr22:19182032:C:T rs142152310 C T C EBF1_EBF_1 -16 0 + 0 0 . chr22 19184767 19184768 chr22:19184768:G:A rs5748028 G A G EBF1_EBF_1 24 0 + 0 0 . chr22 19291319 19291320 chr22:19291320:C:G rs147719375 C G C EBF1_EBF_1 29 0 - 0 0 . chr22 19294235 19294236 chr22:19294236:T:C rs13057255 T C T EBF1_EBF_1 32 0 - 0 0 . chr22 19361252 19361253 chr22:19361253:C:G rs774490830 C G C EBF1_EBF_1 10 1 - 6.742645235073668 -0.14815715225580733 AGCCCCCAGAGAGC chr22 19478711 19478712 chr22:19478712:C:T rs13447177 C T C EBF1_EBF_1 9 1 - 8.830606938543395 6.1756676730957905 GCTCCCCAGGGAGA chr22 19518600 19518601 chr22:19518601:T:C rs13447287 T C T EBF1_EBF_1 16 0 - 0 0 . chr22 19518611 19518612 chr22:19518612:G:C rs13447288 G C G EBF1_EBF_1 5 1 - 4.871723757359912 -2.033157395275255 TCCCCCCCGGGAAG chr22 19526474 19526475 chr22:19526475:G:C rs739371 G C C EBF1_EBF_1 8 1 + 6.051862832883766 0.7332039719690799 TGCCCCAGGAGACT chr22 19526489 19526490 chr22:19526490:A:G rs9604969 A G A EBF1_EBF_1 23 0 + 0 0 . chr22 19551003 19551004 chr22:19551004:A:G rs2157728 A G G EBF1_EBF_1 16 0 + 0 0 . chr22 19576900 19576901 chr22:19576901:G:A rs192976790 G A G EBF1_EBF_1 -20 0 - 0 0 . chr22 19605077 19605078 chr22:19605078:A:G rs141909549 A G G EBF1_EBF_1 -5 0 - 0 0 . chr22 19639005 19639006 chr22:19639006:T:C rs7290944 T C C EBF1_EBF_1 12 1 - 7.7219549205332 6.303137578433723 AGTGCCCAGGGAAT chr22 19655721 19655722 chr22:19655722:G:A rs1985884 G A G EBF1_EBF_1 33 0 - 0 0 . chr22 19657400 19657401 chr22:19657401:A:G rs9606114 A G A EBF1_EBF_1 6 1 + 6.99194135448701 4.825146923212535 GGCCCCACGGGAAC chr22 19680808 19680809 chr22:19680809:A:G rs193235116 A G A EBF1_EBF_1 -3 0 - 0 0 . chr22 19700474 19700475 chr22:19700475:C:T rs13058562 C T C EBF1_EBF_1 -20 0 - 0 0 . chr22 19716703 19716704 chr22:19716704:T:G rs183651179 T G T EBF1_EBF_1 -4 0 + 0 0 . chr22 19740491 19740492 chr22:19740492:G:C rs5748414 G C C EBF1_EBF_1 -5 0 - 0 0 . chr22 19763121 19763122 chr22:19763122:G:A rs72646958 G A G EBF1_EBF_1 -8 0 + 0 0 . chr22 19766984 19766985 chr22:19766985:G:A rs72646969 G A G EBF1_EBF_1 18 0 + 0 0 . chr22 19807488 19807489 chr22:19807489:A:G rs5993835 A G G EBF1_EBF_1 13 1 + 6.568949522261261 5.454623950191822 CTTCCCCAGGTACA chr22 19818645 19818646 chr22:19818646:T:C rs75893098 T C T EBF1_EBF_1 15 0 + 0 0 . chr22 19821910 19821911 chr22:19821911:A:G rs5993844 A G A EBF1_EBF_1 29 0 - 0 0 . chr22 19844996 19844997 chr22:19844997:G:A rs57550365 G A G EBF1_EBF_1 29 0 + 0 0 . chr22 19852599 19852600 chr22:19852600:A:G rs11704009 A G G EBF1_EBF_1 23 0 - 0 0 . chr22 19872393 19872394 chr22:19872394:A:G rs34462686 A G G EBF1_EBF_1 27 0 - 0 0 . chr22 19876833 19876834 chr22:19876834:G:A rs187566830 G A G EBF1_EBF_1 19 0 - 0 0 . chr22 19876849 19876850 chr22:19876850:G:C rs375088263 G C G EBF1_EBF_1 3 1 - 6.118973277570326 0.2912088294551104 CTCCCCGGGGGACC chr22 19879665 19879666 chr22:19879666:T:C rs13054371 T C C EBF1_EBF_1 -4 0 - 0 0 . chr22 19880738 19880739 chr22:19880739:C:G rs112233186 C G C EBF1_EBF_1 -13 0 - 0 0 . chr22 19941619 19941620 chr22:19941620:G:A rs540207628 G A G EBF1_EBF_1 20 0 + 0 0 . chr22 19942478 19942479 chr22:19942479:C:G rs9306231 C G C EBF1_EBF_1 1 1 + 6.899286923618214 7.089058578187431 ACTCCCCAGGGTCG chr22 19962904 19962905 chr22:19962905:A:G rs2239393 A G G EBF1_EBF_1 13 1 - 5.8665932578517435 5.022099143266225 AGCCCCCTGGAAAT chr22 19970898 19970899 chr22:19970899:T:C rs145553605 T C T EBF1_EBF_1 14 0 + 0 0 . chr22 19973392 19973393 chr22:19973393:G:C rs560203785 G C G EBF1_EBF_1 -18 0 + 0 0 . chr22 19996777 19996778 chr22:19996778:C:T rs73880061 C T T EBF1_EBF_1 -3 0 + 0 0 . chr22 19997088 19997089 chr22:19997089:C:G rs2531693 C G C EBF1_EBF_1 2 1 + 6.568912113821886 1.7094098350635694 AGCCCCAGGGGGCA chr22 20012904 20012905 chr22:20012905:G:T rs55902548 G T G EBF1_EBF_1 21 0 + 0 0 . chr22 20024465 20024466 chr22:20024466:G:A rs2518828 G A A EBF1_EBF_1 27 0 - 0 0 . chr22 20024494 20024495 chr22:20024495:C:A rs7287655 C A C EBF1_EBF_1 -2 0 - 0 0 . chr22 20024498 20024499 chr22:20024499:C:T rs113192851 C T C EBF1_EBF_1 -6 0 - 0 0 . chr22 20029744 20029745 chr22:20029745:A:G rs2531721 A G G EBF1_EBF_1 23 0 - 0 0 . chr22 20061930 20061931 chr22:20061931:A:G rs426894 A G G EBF1_EBF_1 -9 0 - 0 0 . chr22 20061941 20061942 chr22:20061942:T:C rs2286483 T C T EBF1_EBF_1 -20 0 - 0 0 . chr22 20108871 20108872 chr22:20108872:A:G rs77725161 A G A EBF1_EBF_1 -10 0 + 0 0 . chr22 20112367 20112368 chr22:20112368:C:G rs1048659 C G G EBF1_EBF_1 -3 0 - 0 0 . chr22 20129522 20129523 chr22:20129523:T:C rs2871053 T C T EBF1_EBF_1 -1 0 + 0 0 . chr22 20129526 20129527 chr22:20129527:C:T chr22:20129527:C:T C T C EBF1_EBF_1 3 1 + 6.961759956065684 0.5563612145123664 GGCCCCACGGGACC chr22 20130786 20130787 chr22:20130787:G:A rs139564597 G A G EBF1_EBF_1 -20 0 + 0 0 . chr22 20130828 20130829 chr22:20130829:G:C rs41281437 G C C EBF1_EBF_1 22 0 + 0 0 . chr22 20132399 20132400 chr22:20132400:C:T rs1302862803 C T C EBF1_EBF_1 5 1 + 5.928731280974322 0.6069440654336516 ACCCCCGAGGGGAC chr22 20132406 20132407 chr22:20132407:A:G rs62219902 A G A EBF1_EBF_1 12 1 + 5.928731280974322 4.509913938874845 ACCCCCGAGGGGAC chr22 20137676 20137677 chr22:20137677:C:T rs117746982 C T C EBF1_EBF_1 14 0 - 0 0 . chr22 20157333 20157334 chr22:20157334:G:A rs738078 G A G EBF1_EBF_1 -3 0 - 0 0 . chr22 20158974 20158975 chr22:20158975:G:A rs62218076 G A G EBF1_EBF_1 5 1 - 9.615457911624462 4.293670696083793 ACCCCCTGGGGAAC chr22 20171525 20171526 chr22:20171526:A:G rs1153415 A G G EBF1_EBF_1 -1 0 + 0 0 . chr22 20203552 20203553 chr22:20203553:T:G chr22:20203553:T:G T G T EBF1_EBF_1 18 0 - 0 0 . chr22 20227667 20227668 chr22:20227668:G:A rs67698406 G A G EBF1_EBF_1 5 1 - 8.2103571757815 2.88856996024083 CTCCCCCAGGGATC chr22 20230076 20230077 chr22:20230077:G:A rs928656538 G A G EBF1_EBF_1 7 1 - 6.850366562076531 8.667871308359155 GCTCCCACGAGACT chr22 20238180 20238181 chr22:20238181:C:T rs189226180 C T C EBF1_EBF_1 26 0 - 0 0 . chr22 20238588 20238589 chr22:20238589:A:T rs115769370 A T A EBF1_EBF_1 -16 0 + 0 0 . chr22 20239425 20239426 chr22:20239426:G:A rs80024082 G A G EBF1_EBF_1 10 1 + 6.766955312617088 1.448926853350122 CACCCCATGGGAGC chr22 20247137 20247138 chr22:20247138:G:A rs142081947 G A G EBF1_EBF_1 21 0 - 0 0 . chr22 20249177 20249178 chr22:20249178:C:A rs71312743 C A C EBF1_EBF_1 -19 0 + 0 0 . chr22 20249581 20249582 chr22:20249582:T:C rs79002492 T C T EBF1_EBF_1 -9 0 - 0 0 . chr22 20270685 20270686 chr22:20270686:C:G rs2102668 C G C EBF1_EBF_1 5 1 + 6.180487091777816 -0.7243940608573536 ACCCCCCAGGGCCC chr22 20270685 20270686 chr22:20270686:C:T chr22:20270686:C:T C T C EBF1_EBF_1 5 1 + 6.180487091777816 0.8586998762371443 ACCCCCCAGGGCCC chr22 20285371 20285372 chr22:20285372:C:T rs9617870 C T . EBF1_EBF_1 -8 0 - 0 0 . chr22 20285376 20285377 chr22:20285377:C:T rs9617871 C T . EBF1_EBF_1 -13 0 - 0 0 . chr22 20286092 20286093 chr22:20286093:G:A rs151255882 G A . EBF1_EBF_1 3 1 - 10.810133671875862 4.404734930322546 AGTCCCCTGGGAGC chr22 20310991 20310992 chr22:20310992:A:G rs112074972 A G . EBF1_EBF_1 7 1 + 4.839577244583476 3.5271188392946495 GGCCCCAAGGGCCC chr22 20318637 20318638 chr22:20318638:T:C rs143147248 T C T EBF1_EBF_1 17 0 - 0 0 . chr22 20367038 20367039 chr22:20367039:G:A rs1011343332 G A g EBF1_EBF_1 24 0 + 0 0 . chr22 20373384 20373385 chr22:20373385:C:T rs60469696 C T c EBF1_EBF_1 7 1 - 6.074125168875786 7.386583574164611 ACCCCCAGGGGCCT chr22 20400914 20400915 chr22:20400915:C:T rs539811763 C T c EBF1_EBF_1 -14 0 + 0 0 . chr22 20400935 20400936 chr22:20400936:A:G rs4581986 A G N EBF1_EBF_1 7 1 + 9.587455278979418 8.274996873690593 GTCCCCAAGGGACA chr22 20400950 20400951 chr22:20400951:G:A rs4599229 G A g EBF1_EBF_1 22 0 + 0 0 . chr22 20438779 20438780 chr22:20438780:C:T rs62219027 C T C EBF1_EBF_1 9 1 - 7.391706514776546 4.736767249328942 GCCCCCCGGGGACC chr22 20442161 20442162 chr22:20442162:G:A rs1237718542 G A G EBF1_EBF_1 14 0 - 0 0 . chr22 20456817 20456818 chr22:20456818:T:A rs73879379 T A T EBF1_EBF_1 15 0 - 0 0 . chr22 20458959 20458960 chr22:20458960:T:C rs5753802 T C C EBF1_EBF_1 30 0 + 0 0 . chr22 20473222 20473223 chr22:20473223:G:C rs62219865 G C G EBF1_EBF_1 -1 0 - 0 0 . chr22 20473240 20473241 chr22:20473241:A:T rs73384274 A T A EBF1_EBF_1 -19 0 - 0 0 . chr22 20493184 20493185 chr22:20493185:A:T rs5755677 A T A EBF1_EBF_1 1 1 - 7.443474316450024 6.477113698699192 CTTCCCAAGAGAGC chr22 20530444 20530445 chr22:20530445:G:C rs139066047 G C G EBF1_EBF_1 11 1 - 4.833061141517624 6.3563159957660025 CCTCCCTGGGGCAC chr22 20531948 20531949 chr22:20531949:G:A rs71312769 G A G EBF1_EBF_1 26 0 - 0 0 . chr22 20531990 20531991 chr22:20531991:G:A rs73156978 G A g EBF1_EBF_1 -16 0 - 0 0 . chr22 20536852 20536853 chr22:20536853:G:A rs77859693 G A G EBF1_EBF_1 30 0 + 0 0 . chr22 20545786 20545787 chr22:20545787:T:C rs4821963 T C T EBF1_EBF_1 -6 0 - 0 0 . chr22 20546788 20546789 chr22:20546789:C:T rs35724035 C T C EBF1_EBF_1 -7 0 - 0 0 . chr22 20546798 20546799 chr22:20546799:A:G rs115100122 A G A EBF1_EBF_1 -17 0 - 0 0 . chr22 20553015 20553016 chr22:20553016:T:C rs165829 T C C EBF1_EBF_1 28 0 - 0 0 . chr22 20567605 20567606 chr22:20567606:G:T rs165727 G T T EBF1_EBF_1 6 1 - 6.890925744968045 7.252528112769322 AGTCCCCGGGGTCA chr22 20569608 20569609 chr22:20569609:C:T rs34157424 C T C EBF1_EBF_1 21 0 - 0 0 . chr22 20579447 20579448 chr22:20579448:C:T rs17757688 C T . EBF1_EBF_1 24 0 - 0 0 . chr22 20585112 20585113 chr22:20585113:G:A rs61741073 G A . EBF1_EBF_1 21 0 + 0 0 . chr22 20606161 20606162 chr22:20606162:G:A rs116951611 G A . EBF1_EBF_1 -19 0 - 0 0 . chr22 20606649 20606650 chr22:20606650:T:C rs879955 T C . EBF1_EBF_1 -12 0 + 0 0 . chr22 20607315 20607316 chr22:20607316:C:G rs182601456 C G . EBF1_EBF_1 19 0 - 0 0 . chr22 20611567 20611568 chr22:20611568:G:A rs493316 G A . EBF1_EBF_1 -20 0 + 0 0 . chr22 20611581 20611582 chr22:20611582:G:A rs493349 G A . EBF1_EBF_1 -6 0 + 0 0 . chr22 20611611 20611612 chr22:20611612:C:T rs5759559 C T . EBF1_EBF_1 24 0 + 0 0 . chr22 20622979 20622980 chr22:20622980:C:T rs34404739 C T c EBF1_EBF_1 16 0 + 0 0 . chr22 20635769 20635770 chr22:20635770:T:C rs661440 T C N EBF1_EBF_1 -12 0 + 0 0 . chr22 20636231 20636232 chr22:20636232:G:A rs648936 G A N EBF1_EBF_1 28 0 - 0 0 . chr22 20644920 20644921 chr22:20644921:T:C rs7290923 T C t EBF1_EBF_1 30 0 - 0 0 . chr22 20809038 20809039 chr22:20809039:C:T rs165811 C T C EBF1_EBF_1 28 0 + 0 0 . chr22 20836707 20836708 chr22:20836708:G:A rs140798378 G A G EBF1_EBF_1 -1 0 - 0 0 . chr22 20866362 20866363 chr22:20866363:C:G rs78649 C G C EBF1_EBF_1 10 1 - 10.942907696483145 4.05210530915367 CTTCCCAAGGGAGT chr22 20866380 20866381 chr22:20866381:T:G rs25188 T G T EBF1_EBF_1 -8 0 - 0 0 . chr22 20917643 20917644 chr22:20917644:A:G rs530324110 A G A EBF1_EBF_1 28 0 + 0 0 . chr22 20966284 20966285 chr22:20966285:A:T rs5761569 A T T EBF1_EBF_1 -5 0 + 0 0 . chr22 20979215 20979216 chr22:20979216:A:C rs8139975 A C A EBF1_EBF_1 25 0 + 0 0 . chr22 20980583 20980584 chr22:20980584:G:C rs13057408 G C G EBF1_EBF_1 -6 0 + 0 0 . chr22 20980589 20980590 chr22:20980590:A:T rs8137006 A T A EBF1_EBF_1 0 1 + 5.225315817231623 3.2522593942656 AGCTCCCAGGGACT chr22 21018115 21018116 chr22:21018116:G:T rs2073598 G T T EBF1_EBF_1 9 1 + 6.942657563107862 0.10566815501905226 AGCCCCAGGGGGCC chr22 21044988 21044989 chr22:21044989:T:C rs2002814 T C C EBF1_EBF_1 24 0 - 0 0 . chr22 21083731 21083732 chr22:21083732:A:T rs390719 A T N EBF1_EBF_1 28 0 + 0 0 . chr22 21094210 21094211 chr22:21094211:G:A rs8139510 G A N EBF1_EBF_1 0 1 + 4.864257477585943 6.756541347150774 GGTCCCCTGGGCTC chr22 21095434 21095435 chr22:21095435:T:C rs6005623 T C t EBF1_EBF_1 22 0 + 0 0 . chr22 21096402 21096403 chr22:21096403:A:G rs5997305 A G N EBF1_EBF_1 -16 0 + 0 0 . chr22 21311018 21311019 chr22:21311019:G:T rs201933104 G T g EBF1_EBF_1 13 1 - 5.193556917191647 4.819811467905671 TCTCCCCACGGACC chr22 21361435 21361436 chr22:21361436:G:A rs452941 G A g EBF1_EBF_1 5 1 - 5.9277874584604 0.6060002429197289 AACCCCCAGGGCTT chr22 21451267 21451268 chr22:21451268:C:T rs183020584 C T c EBF1_EBF_1 33 0 - 0 0 . chr22 21624325 21624326 chr22:21624326:G:A rs78487211 G A G EBF1_EBF_1 27 0 - 0 0 . chr22 21625294 21625295 chr22:21625295:C:T rs12158299 C T T EBF1_EBF_1 3 1 + 9.225404101917672 2.8200053603643545 GGCCCCTAGGGAAC chr22 21629748 21629749 chr22:21629749:G:T chr22:21629749:G:T G T g EBF1_EBF_1 5 1 - 5.988238028193175 -0.9166431244419933 ATCCCCACGGGCCT chr22 21642780 21642781 chr22:21642781:G:A rs13054355 G A G EBF1_EBF_1 9 1 + 9.932740296703205 7.277801031255602 GGTCCCCTGGGACA chr22 21643095 21643096 chr22:21643096:C:T rs150055904 C T C EBF1_EBF_1 22 0 + 0 0 . chr22 21652853 21652854 chr22:21652854:G:A rs395006 G A A EBF1_EBF_1 8 1 + 7.502505246687281 3.27621515780102 AGACCCCAGGGACG chr22 21657719 21657720 chr22:21657720:A:T rs138259296 A T A EBF1_EBF_1 -19 0 + 0 0 . chr22 21692028 21692029 chr22:21692029:C:T rs861825 C T C EBF1_EBF_1 -9 0 - 0 0 . chr22 21698524 21698525 chr22:21698525:A:G rs1045681 A G A EBF1_EBF_1 -20 0 + 0 0 . chr22 21727903 21727904 chr22:21727904:G:C rs75343504 G C G EBF1_EBF_1 21 0 + 0 0 . chr22 21887600 21887601 chr22:21887601:A:G rs112632508 A G A EBF1_EBF_1 33 0 + 0 0 . chr22 21902434 21902435 chr22:21902435:C:G rs8143115 C G C EBF1_EBF_1 5 1 + 6.698562067776702 -0.20631908485846617 TATCCCCTGGGGCA chr22 21919160 21919161 chr22:21919161:T:C rs62237481 T C T EBF1_EBF_1 18 0 - 0 0 . chr22 21923197 21923198 chr22:21923198:A:C rs2070507 A C A EBF1_EBF_1 26 0 - 0 0 . chr22 21927383 21927384 chr22:21927384:C:T chr22:21927384:C:T C T C EBF1_EBF_1 14 0 - 0 0 . chr22 21933591 21933592 chr22:21933592:T:C rs2027790 T C C EBF1_EBF_1 -13 0 + 0 0 . chr22 21934026 21934027 chr22:21934027:C:T rs34639823 C T C EBF1_EBF_1 -20 0 - 0 0 . chr22 21960939 21960940 chr22:21960940:C:T rs2283797 C T C EBF1_EBF_1 20 0 - 0 0 . chr22 21961787 21961788 chr22:21961788:C:T rs17759934 C T C EBF1_EBF_1 -17 0 - 0 0 . chr22 21982556 21982557 chr22:21982557:G:A rs770196154 G A G EBF1_EBF_1 10 1 + 4.924868001391916 -0.3931604578750499 AGCCCCGCGGGAGA chr22 21982565 21982566 chr22:21982566:A:C chr22:21982566:A:C A C A EBF1_EBF_1 19 0 + 0 0 . chr22 22032218 22032219 chr22:22032219:C:T rs9610900 C T c EBF1_EBF_1 -5 0 - 0 0 . chr22 22032522 22032523 chr22:22032523:T:C rs56940115 T C t EBF1_EBF_1 -2 0 + 0 0 . chr22 22045762 22045763 chr22:22045763:G:C rs738864 G C g EBF1_EBF_1 -4 0 + 0 0 . chr22 22058075 22058076 chr22:22058076:C:G rs6000751 C G c EBF1_EBF_1 26 0 + 0 0 . chr22 22061821 22061822 chr22:22061822:C:T rs59949128 C T t EBF1_EBF_1 -17 0 - 0 0 . chr22 22069890 22069891 chr22:22069891:C:A rs6519111 C A c EBF1_EBF_1 10 1 - 6.152929173519059 1.9701769872917945 ATTGCCCAGGGATA chr22 22111133 22111134 chr22:22111134:C:T rs5750526 C T . EBF1_EBF_1 1 1 + 5.554972911313701 6.450406351712446 ACTCCCCAGGGCTG chr22 22111149 22111150 chr22:22111150:A:C rs371322236 A C . EBF1_EBF_1 17 0 + 0 0 . chr22 22115374 22115375 chr22:22115375:G:A rs182875018 G A . EBF1_EBF_1 -16 0 + 0 0 . chr22 22124560 22124561 chr22:22124561:G:A rs5756944 G A . EBF1_EBF_1 -4 0 - 0 0 . chr22 22154321 22154322 chr22:22154322:A:G rs5757098 A G . EBF1_EBF_1 18 0 + 0 0 . chr22 22167871 22167872 chr22:22167872:C:T rs743518 C T . EBF1_EBF_1 -14 0 - 0 0 . chr22 22196000 22196001 chr22:22196001:C:G rs11705157 C G C EBF1_EBF_1 27 0 - 0 0 . chr22 22199600 22199601 chr22:22199601:A:G rs113343691 A G A EBF1_EBF_1 31 0 - 0 0 . chr22 22199604 22199605 chr22:22199605:G:A rs9607668 G A G EBF1_EBF_1 27 0 - 0 0 . chr22 22207730 22207731 chr22:22207731:A:C rs738867 A C . EBF1_EBF_1 0 1 - 5.989892157351913 6.070664710753102 TCTCCCAGGGGCCT chr22 22329939 22329940 chr22:22329940:G:A rs62224964 G A . EBF1_EBF_1 11 1 + 7.155534417806412 10.048241261410423 CCTCCCCAGGGGTT chr22 22343051 22343052 chr22:22343052:G:T rs575935809 G T . EBF1_EBF_1 9 1 + 7.454160175980878 0.6171707678920679 AATCCTAAGGGACC chr22 22357009 22357010 chr22:22357010:A:G rs9623381 A G . EBF1_EBF_1 -18 0 + 0 0 . chr22 22357049 22357050 chr22:22357050:G:T rs12169829 G T . EBF1_EBF_1 22 0 + 0 0 . chr22 22357604 22357605 chr22:22357605:A:C rs1048448911 A C . EBF1_EBF_1 24 0 + 0 0 . chr22 22410414 22410415 chr22:22410415:G:A rs555895962 G A g EBF1_EBF_1 5 1 - 6.156105711183074 0.8343184956424046 ATGCCCCTGGGACC chr22 22431785 22431786 chr22:22431786:C:A rs6002292 C A c EBF1_EBF_1 5 1 + 7.793988888493962 0.889107735858794 CACCCCCTGGGACC chr22 22573381 22573382 chr22:22573382:C:T rs2078843 C T c EBF1_EBF_1 -9 0 - 0 0 . chr22 22573665 22573666 chr22:22573666:C:T rs2330191 C T g EBF1_EBF_1 18 0 + 0 0 . chr22 22588717 22588718 chr22:22588718:G:A rs2236732 G A G EBF1_EBF_1 -4 0 - 0 0 . chr22 22679553 22679554 chr22:22679554:T:C rs438844 T C . EBF1_EBF_1 24 0 - 0 0 . chr22 22686632 22686633 chr22:22686633:G:A rs453529 G A . EBF1_EBF_1 18 0 - 0 0 . chr22 22759208 22759209 chr22:22759209:C:T rs16989343 C T . EBF1_EBF_1 28 0 - 0 0 . chr22 22768263 22768264 chr22:22768264:A:T rs6003292 A T . EBF1_EBF_1 9 1 + 8.470507892326896 4.2884577496856915 CTTCCCCAGAGACC chr22 22850323 22850324 chr22:22850324:G:A rs76035441 G A . EBF1_EBF_1 10 1 + 8.187144901047054 2.869116441780089 GACCCCCTGGGAAC chr22 22884038 22884039 chr22:22884039:G:A rs5751560 G A . EBF1_EBF_1 -12 0 + 0 0 . chr22 22884051 22884052 chr22:22884052:C:T rs565730756 C T . EBF1_EBF_1 1 1 + 6.500319335774988 7.395752776173736 CCTCCCCTGGGTCT chr22 22914341 22914342 chr22:22914342:C:T chr22:22914342:C:T C T . EBF1_EBF_1 4 1 + 6.259968042491881 1.890581097546957 CTCCCCAAGGTACT chr22 22924978 22924979 chr22:22924979:C:A rs5759534 C A . EBF1_EBF_1 4 1 + 6.607217183684945 0.7826575602314776 GGCCCCCTGAGACT chr22 22941353 22941354 chr22:22941354:A:G rs756737 A G G EBF1_EBF_1 -11 0 + 0 0 . chr22 22941354 22941355 chr22:22941355:A:G rs756736 A G G EBF1_EBF_1 -10 0 + 0 0 . chr22 22943185 22943186 chr22:22943186:G:A rs113266039 G A G EBF1_EBF_1 19 0 - 0 0 . chr22 22943272 22943273 chr22:22943273:C:G rs376550074 C G C EBF1_EBF_1 6 1 - 7.112363248613732 8.917555312086929 CCTCCCGGGGGACC chr22 22943617 22943618 chr22:22943618:C:T rs111934781 C T C EBF1_EBF_1 -13 0 - 0 0 . chr22 23012777 23012778 chr22:23012778:G:A rs112847873 G A G EBF1_EBF_1 17 0 - 0 0 . chr22 23065082 23065083 chr22:23065083:A:C rs13056137 A C C EBF1_EBF_1 24 0 + 0 0 . chr22 23070964 23070965 chr22:23070965:T:G rs550741217 T G T EBF1_EBF_1 13 1 - 7.162297102561815 7.536042551847791 TCCCCCTAGGGAGA chr22 23075571 23075572 chr22:23075572:A:G rs3788341 A G A EBF1_EBF_1 31 0 - 0 0 . chr22 23083743 23083744 chr22:23083744:G:C rs1989777 G C G EBF1_EBF_1 -17 0 + 0 0 . chr22 23086246 23086247 chr22:23086247:T:C rs6003499 T C C EBF1_EBF_1 -5 0 - 0 0 . chr22 23097911 23097912 chr22:23097912:A:G rs2266996 A G G EBF1_EBF_1 11 1 + 5.177444848852801 2.284738005248789 ATTCCCCGGGCACC chr22 23103471 23103472 chr22:23103472:C:T rs74635474 C T C EBF1_EBF_1 3 1 + 5.1329518642712095 -1.272446877282108 CTTCCCCAGTGACT chr22 23113178 23113179 chr22:23113179:C:T rs2267000 C T C EBF1_EBF_1 18 0 + 0 0 . chr22 23159098 23159099 chr22:23159099:T:G rs2246716 T G T EBF1_EBF_1 -1 0 + 0 0 . chr22 23178906 23178907 chr22:23178907:A:G rs3761418 A G G EBF1_EBF_1 -7 0 - 0 0 . chr22 23178916 23178917 chr22:23178917:A:G chr22:23178917:A:G A G A EBF1_EBF_1 -17 0 - 0 0 . chr22 23187980 23187981 chr22:23187981:T:G rs2267009 T G T EBF1_EBF_1 29 0 - 0 0 . chr22 23196549 23196550 chr22:23196550:T:C rs5759637 T C C EBF1_EBF_1 1 1 + 7.331866209458821 6.436432769060076 ATTCCCTTGGGCAG chr22 23198725 23198726 chr22:23198726:C:T rs2071433 C T C EBF1_EBF_1 -14 0 + 0 0 . chr22 23220003 23220004 chr22:23220004:A:G rs16998648 A G G EBF1_EBF_1 21 0 - 0 0 . chr22 23220913 23220914 chr22:23220914:G:A rs12160841 G A G EBF1_EBF_1 7 1 + 9.015783578100748 10.328241983389573 TGTCCCCGGGGACA chr22 23237322 23237323 chr22:23237323:C:G rs2213172 C G C EBF1_EBF_1 24 0 - 0 0 . chr22 23237361 23237362 chr22:23237362:G:A chr22:23237362:G:A G A G EBF1_EBF_1 -15 0 - 0 0 . chr22 23242378 23242379 chr22:23242379:G:A rs115904764 G A G EBF1_EBF_1 -10 0 + 0 0 . chr22 23289451 23289452 chr22:23289452:T:C rs11090228 T C C EBF1_EBF_1 29 0 + 0 0 . chr22 23298282 23298283 chr22:23298283:G:C rs5759687 G C g EBF1_EBF_1 19 0 + 0 0 . chr22 23310567 23310568 chr22:23310568:G:A rs558788696 G A - EBF1_EBF_1 -3 0 - 0 0 . chr22 23338085 23338086 chr22:23338086:A:G rs80254572 A G N EBF1_EBF_1 -11 0 + 0 0 . chr22 23357469 23357470 chr22:23357470:G:A rs114753621 G A g EBF1_EBF_1 21 0 - 0 0 . chr22 23357490 23357491 chr22:23357491:A:G rs143397109 A G a EBF1_EBF_1 0 1 - 8.081853618087692 7.799651557357112 TTCCCCGTGGGACT chr22 23382521 23382522 chr22:23382522:C:A rs5996530 C A c EBF1_EBF_1 -3 0 - 0 0 . chr22 23389396 23389397 chr22:23389397:A:G rs1195228774 A G a EBF1_EBF_1 7 1 - 5.25211357753702 3.4346088312543954 GACCCCATGGGGCA chr22 23389422 23389423 chr22:23389423:G:T rs7349041 G T N EBF1_EBF_1 -19 0 - 0 0 . chr22 23391684 23391685 chr22:23391685:C:T rs12483771 C T c EBF1_EBF_1 -13 0 - 0 0 . chr22 23392742 23392743 chr22:23392743:G:A rs1807113 G A g EBF1_EBF_1 14 0 + 0 0 . chr22 23398366 23398367 chr22:23398367:G:T rs75834927 G T g EBF1_EBF_1 13 1 - 5.066510012254063 4.692764562968087 GCTGCCTAGGGACC chr22 23398812 23398813 chr22:23398813:C:G rs116892261 C G c EBF1_EBF_1 13 1 + 5.867642662640781 4.3795716412853665 CTCCCCCTGGGGCC chr22 23398813 23398814 chr22:23398814:G:A rs78915345 G A g EBF1_EBF_1 14 0 + 0 0 . chr22 23400820 23400821 chr22:23400821:T:C rs41277535 T C t EBF1_EBF_1 -11 0 + 0 0 . chr22 23401226 23401227 chr22:23401227:C:T rs115991750 C T N EBF1_EBF_1 -18 0 - 0 0 . chr22 23401939 23401940 chr22:23401940:C:T rs144235690 C T c EBF1_EBF_1 22 0 - 0 0 . chr22 23401940 23401941 chr22:23401941:G:A rs116475579 G A N EBF1_EBF_1 21 0 - 0 0 . chr22 23401942 23401943 chr22:23401943:C:T rs58741550 C T c EBF1_EBF_1 19 0 - 0 0 . chr22 23402575 23402576 chr22:23402576:A:G rs926851144 A G a EBF1_EBF_1 -18 0 + 0 0 . chr22 23402581 23402582 chr22:23402582:G:A rs116750520 G A g EBF1_EBF_1 -12 0 + 0 0 . chr22 23402624 23402625 chr22:23402625:G:A rs143191322 G A g EBF1_EBF_1 31 0 + 0 0 . chr22 23402626 23402627 chr22:23402627:G:A rs8135792 G A g EBF1_EBF_1 33 0 + 0 0 . chr22 23404140 23404141 chr22:23404141:C:T rs6003691 C T c EBF1_EBF_1 -3 0 + 0 0 . chr22 23425560 23425561 chr22:23425561:C:T rs73389555 C T - EBF1_EBF_1 16 0 - 0 0 . chr22 23449923 23449924 chr22:23449924:C:G rs5759816 C G N EBF1_EBF_1 28 0 + 0 0 . chr22 23458967 23458968 chr22:23458968:G:A rs6003724 G A N EBF1_EBF_1 -16 0 + 0 0 . chr22 23510754 23510755 chr22:23510755:G:A rs990741297 G A g EBF1_EBF_1 -12 0 + 0 0 . chr22 23522937 23522938 chr22:23522938:G:A rs529295006 G A g EBF1_EBF_1 -20 0 + 0 0 . chr22 23522943 23522944 chr22:23522944:T:C rs550754867 T C t EBF1_EBF_1 -14 0 + 0 0 . chr22 23532989 23532990 chr22:23532990:G:T rs7292958 G T G EBF1_EBF_1 -16 0 - 0 0 . chr22 23536457 23536458 chr22:23536458:C:G rs147079850 C G C EBF1_EBF_1 -13 0 - 0 0 . chr22 23536909 23536910 chr22:23536910:C:G rs113048164 C G C EBF1_EBF_1 -1 0 + 0 0 . chr22 23537629 23537630 chr22:23537630:A:T rs25271 A T T EBF1_EBF_1 -2 0 - 0 0 . chr22 23538625 23538626 chr22:23538626:T:C rs131397 T C C EBF1_EBF_1 15 0 + 0 0 . chr22 23539475 23539476 chr22:23539476:C:A rs747885918 C A C EBF1_EBF_1 3 1 + 7.888620663767144 0.9824466343013376 AACCCCAAGAGAAA chr22 23554249 23554250 chr22:23554250:G:A rs118014173 G A g EBF1_EBF_1 12 1 - 5.413527151198589 4.387260580647739 TCCCCCAAGGGCCT chr22 23580553 23580554 chr22:23580554:C:T rs113797960 C T . EBF1_EBF_1 32 0 - 0 0 . chr22 23587513 23587514 chr22:23587514:A:C rs9612384 A C . EBF1_EBF_1 -15 0 + 0 0 . chr22 23605306 23605307 chr22:23605307:T:C rs2186362 T C . EBF1_EBF_1 -1 0 + 0 0 . chr22 23632012 23632013 chr22:23632013:A:G rs3827318 A G . EBF1_EBF_1 -3 0 + 0 0 . chr22 23640371 23640372 chr22:23640372:C:T rs149082697 C T c EBF1_EBF_1 2 1 + 5.735814465139756 7.624637876581904 AACCCCCAGGGCCA chr22 23675329 23675330 chr22:23675330:C:G rs6003838 C G . EBF1_EBF_1 20 0 - 0 0 . chr22 23675339 23675340 chr22:23675340:C:G rs3859856 C G . EBF1_EBF_1 10 1 - 9.326346311419984 2.4355439240905117 ATTCCCAAGGGCCC chr22 23675355 23675356 chr22:23675356:C:T rs142600996 C T . EBF1_EBF_1 -6 0 - 0 0 . chr22 23695898 23695899 chr22:23695899:A:C rs5759964 A C A EBF1_EBF_1 -1 0 + 0 0 . chr22 23696659 23696660 chr22:23696660:T:C rs1007298 T C C EBF1_EBF_1 13 1 + 7.3264405177378835 6.4819464031523655 AACCCCCAGAGAGT chr22 23716929 23716930 chr22:23716930:T:C rs372762546 T C N EBF1_EBF_1 19 0 + 0 0 . chr22 23717201 23717202 chr22:23717202:C:G rs376963982 C G c EBF1_EBF_1 8 1 - 5.643114957429997 0.32445609651531143 ATCCCCGAGAGAGC chr22 23752566 23752567 chr22:23752567:C:A rs112806121 C A C EBF1_EBF_1 13 1 + 6.50487765691726 6.131132207631284 AGCCCCCAGGGGGC chr22 23759781 23759782 chr22:23759782:T:C rs138228804 T C T EBF1_EBF_1 -6 0 + 0 0 . chr22 23765613 23765614 chr22:23765614:T:C rs61117377 T C T EBF1_EBF_1 7 1 - 7.342377337111169 6.029918931822344 GATCCCAAGGGCAT chr22 23768446 23768447 chr22:23768447:A:G rs555114361 A G A EBF1_EBF_1 2 1 - 5.221414438566696 3.332591027124545 CTTCCCCAGGGCCG chr22 23773127 23773128 chr22:23773128:A:T rs139613154 A T A EBF1_EBF_1 30 0 - 0 0 . chr22 23773155 23773156 chr22:23773156:G:A rs943656164 G A G EBF1_EBF_1 2 1 - 9.114757243167038 11.003580654609186 CACCCCCAGGGACT chr22 23802092 23802093 chr22:23802093:C:T rs5760029 C T C EBF1_EBF_1 -20 0 - 0 0 . chr22 23829117 23829118 chr22:23829118:A:G rs2186370 A G A EBF1_EBF_1 -13 0 + 0 0 . chr22 23831437 23831438 chr22:23831438:C:T rs533319278 C T C EBF1_EBF_1 -2 0 - 0 0 . chr22 23831445 23831446 chr22:23831446:G:A rs6003905 G A A EBF1_EBF_1 -10 0 - 0 0 . chr22 23832484 23832485 chr22:23832485:T:C rs17003991 T C T EBF1_EBF_1 0 1 - 10.436388222589887 8.544104353025055 AGTCCCCTGGGAGA chr22 23835608 23835609 chr22:23835609:T:A rs75193028 T A T EBF1_EBF_1 16 0 + 0 0 . chr22 23841815 23841816 chr22:23841816:G:A rs79546038 G A G EBF1_EBF_1 16 0 - 0 0 . chr22 23849414 23849415 chr22:23849415:G:A rs4820568 G A G EBF1_EBF_1 10 1 + 6.495074075408796 1.1770456161418301 GCTCCCCAGGGTCC chr22 23849799 23849800 chr22:23849800:T:C rs6003925 T C T EBF1_EBF_1 -11 0 + 0 0 . chr22 23895865 23895866 chr22:23895866:C:T rs886829736 C T C EBF1_EBF_1 -6 0 - 0 0 . chr22 23907385 23907386 chr22:23907386:G:A rs5760104 G A G EBF1_EBF_1 -19 0 - 0 0 . chr22 23915591 23915592 chr22:23915592:C:T rs9608216 C T C EBF1_EBF_1 28 0 + 0 0 . chr22 23947650 23947651 chr22:23947651:T:C rs1396301409 T C t EBF1_EBF_1 -12 0 + 0 0 . chr22 23947653 23947654 chr22:23947654:G:A rs1408901564 G A g EBF1_EBF_1 -9 0 + 0 0 . chr22 23953987 23953988 chr22:23953988:C:G rs12483950 C G c EBF1_EBF_1 -11 0 - 0 0 . chr22 23993532 23993533 chr22:23993533:T:C rs1361002194 T C c EBF1_EBF_1 -17 0 + 0 0 . chr22 24120122 24120123 chr22:24120123:C:T rs7287871 C T C EBF1_EBF_1 -17 0 - 0 0 . chr22 24143400 24143401 chr22:24143401:G:A rs117001360 G A G EBF1_EBF_1 19 0 - 0 0 . chr22 24176884 24176885 chr22:24176885:C:T rs9620361 C T C EBF1_EBF_1 25 0 + 0 0 . chr22 24182681 24182682 chr22:24182682:G:A rs140576280 G A G EBF1_EBF_1 25 0 + 0 0 . chr22 24183392 24183393 chr22:24183393:G:A chr22:24183393:G:A G A G EBF1_EBF_1 30 0 - 0 0 . chr22 24183770 24183771 chr22:24183771:T:G rs150402930 T G T EBF1_EBF_1 -3 0 - 0 0 . chr22 24188836 24188837 chr22:24188837:C:A rs73167545 C A C EBF1_EBF_1 19 0 + 0 0 . chr22 24196624 24196625 chr22:24196625:C:T rs5760247 C T C EBF1_EBF_1 -4 0 + 0 0 . chr22 24231447 24231448 chr22:24231448:G:T rs191662210 G T G EBF1_EBF_1 -6 0 + 0 0 . chr22 24250604 24250605 chr22:24250605:C:T rs143283354 C T N EBF1_EBF_1 -13 0 - 0 0 . chr22 24418977 24418978 chr22:24418978:C:T rs7285351 C T C EBF1_EBF_1 21 0 + 0 0 . chr22 24424815 24424816 chr22:24424816:A:G rs528512986 A G A EBF1_EBF_1 -18 0 - 0 0 . chr22 24429548 24429549 chr22:24429549:C:T chr22:24429549:C:T C T C EBF1_EBF_1 12 1 + 5.346087728820058 4.3198211582692085 CCTCCCCGGGGCCT chr22 24445154 24445155 chr22:24445155:G:A rs111661152 G A G EBF1_EBF_1 3 1 - 5.962745828451342 -0.44265291310197324 ATTCCCCCGGGTTC chr22 24503381 24503382 chr22:24503382:T:G rs114445986 T G T EBF1_EBF_1 -14 0 - 0 0 . chr22 24514379 24514380 chr22:24514380:A:G rs73398395 A G A EBF1_EBF_1 -18 0 - 0 0 . chr22 24602308 24602309 chr22:24602309:T:A rs73404977 T A T EBF1_EBF_1 21 0 + 0 0 . chr22 24694197 24694198 chr22:24694198:G:A rs1964960 G A g EBF1_EBF_1 5 1 - 6.493501580758585 1.1717143652179152 AGCCCCCAGGGGTA chr22 24804630 24804631 chr22:24804631:G:A rs143671747 G A G EBF1_EBF_1 -18 0 + 0 0 . chr22 24805778 24805779 chr22:24805779:C:T rs6004287 C T C EBF1_EBF_1 -9 0 + 0 0 . chr22 24820066 24820067 chr22:24820067:G:A rs538796588 G A G EBF1_EBF_1 17 0 - 0 0 . chr22 24820215 24820216 chr22:24820216:G:A rs571837324 G A G EBF1_EBF_1 6 1 + 6.014142544550159 8.180936975824634 GTCCCCGAGAGAAT chr22 24848660 24848661 chr22:24848661:T:A rs139666 T A T EBF1_EBF_1 -3 0 + 0 0 . chr22 24953045 24953046 chr22:24953046:G:T rs117057081 G T g EBF1_EBF_1 -6 0 - 0 0 . chr22 24976157 24976158 chr22:24976158:C:T rs111518311 C T C EBF1_EBF_1 -7 0 + 0 0 . chr22 24996668 24996669 chr22:24996669:A:G rs753963891 A G A EBF1_EBF_1 -11 0 - 0 0 . chr22 25050246 25050247 chr22:25050247:A:C rs6004406 A C C EBF1_EBF_1 22 0 + 0 0 . chr22 25050246 25050247 chr22:25050247:A:G chr22:25050247:A:G A G C EBF1_EBF_1 22 0 + 0 0 . chr22 25060604 25060605 chr22:25060605:C:T rs9624715 C T T EBF1_EBF_1 -10 0 + 0 0 . chr22 25072438 25072439 chr22:25072439:A:G rs9612851 A G A EBF1_EBF_1 14 0 - 0 0 . chr22 25072464 25072465 chr22:25072465:T:C rs738324 T C C EBF1_EBF_1 -12 0 - 0 0 . chr22 25086800 25086801 chr22:25086801:G:A rs111343124 G A G EBF1_EBF_1 -18 0 + 0 0 . chr22 25154665 25154666 chr22:25154666:C:T rs181922026 C T C EBF1_EBF_1 18 0 - 0 0 . chr22 25155034 25155035 chr22:25155035:C:T rs190729144 C T C EBF1_EBF_1 24 0 - 0 0 . chr22 25179028 25179029 chr22:25179029:G:A rs2236505 G A A EBF1_EBF_1 -17 0 + 0 0 . chr22 25202133 25202134 chr22:25202134:T:G rs739314 T G t EBF1_EBF_1 23 0 - 0 0 . chr22 25268440 25268441 chr22:25268441:C:T rs138558 C T N EBF1_EBF_1 -2 0 - 0 0 . chr22 25320382 25320383 chr22:25320383:G:A rs112073346 G A g EBF1_EBF_1 -9 0 - 0 0 . chr22 25354504 25354505 chr22:25354505:C:A rs77437350 C A . EBF1_EBF_1 26 0 + 0 0 . chr22 25354509 25354510 chr22:25354510:C:G rs73879246 C G . EBF1_EBF_1 31 0 + 0 0 . chr22 25358632 25358633 chr22:25358633:A:G rs133195 A G . EBF1_EBF_1 13 1 + 5.735814465139756 4.621488893070317 AACCCCCAGGGCCA chr22 25390664 25390665 chr22:25390665:C:T rs1302945460 C T C EBF1_EBF_1 -12 0 + 0 0 . chr22 25405043 25405044 chr22:25405044:T:G rs181120080 T G N EBF1_EBF_1 1 1 - 7.358557113379429 7.429484290731515 CATCCCCGGGGACG chr22 25405049 25405050 chr22:25405050:G:A rs145698514 G A g EBF1_EBF_1 -5 0 - 0 0 . chr22 25407862 25407863 chr22:25407863:A:G rs20021 A G a EBF1_EBF_1 6 1 + 5.791789197586119 3.624994766311644 AGCCCCAGGAGAGC chr22 25421790 25421791 chr22:25421791:T:C rs116639381 T C N EBF1_EBF_1 32 0 - 0 0 . chr22 25429887 25429888 chr22:25429888:A:C rs1207624 A C - EBF1_EBF_1 -15 0 + 0 0 . chr22 25448686 25448687 chr22:25448687:C:T rs12168747 C T c EBF1_EBF_1 15 0 + 0 0 . chr22 25489385 25489386 chr22:25489386:T:C rs6004673 T C C EBF1_EBF_1 24 0 - 0 0 . chr22 25529661 25529662 chr22:25529662:G:C rs9624871 G C G EBF1_EBF_1 17 0 + 0 0 . chr22 25529673 25529674 chr22:25529674:C:G rs565308 C G G EBF1_EBF_1 29 0 + 0 0 . chr22 25569697 25569698 chr22:25569698:C:T rs16980488 C T C EBF1_EBF_1 -7 0 + 0 0 . chr22 25625565 25625566 chr22:25625566:C:A rs113410061 C A c EBF1_EBF_1 2 1 + 6.748477778840015 4.952833602683287 TTCCCCCGGGGAAG chr22 25691057 25691058 chr22:25691058:C:G rs186894081 C G C EBF1_EBF_1 26 0 - 0 0 . chr22 25708514 25708515 chr22:25708515:C:T rs114192404 C T C EBF1_EBF_1 22 0 - 0 0 . chr22 25732872 25732873 chr22:25732873:G:T rs2748226 G T t EBF1_EBF_1 -1 0 + 0 0 . chr22 25775314 25775315 chr22:25775315:A:T rs9624895 A T A EBF1_EBF_1 -18 0 - 0 0 . chr22 25777516 25777517 chr22:25777517:G:A rs12170856 G A G EBF1_EBF_1 26 0 - 0 0 . chr22 25798223 25798224 chr22:25798224:C:T rs5761204 C T T EBF1_EBF_1 9 1 - 8.140336491199538 5.485397225751934 CTCCCCAAGGGAAG chr22 25877081 25877082 chr22:25877082:C:T rs17633130 C T C EBF1_EBF_1 -12 0 - 0 0 . chr22 25942972 25942973 chr22:25942973:T:C rs9613045 T C T EBF1_EBF_1 29 0 - 0 0 . chr22 25976030 25976031 chr22:25976031:C:G rs4822677 C G C EBF1_EBF_1 -20 0 + 0 0 . chr22 26089701 26089702 chr22:26089702:C:T rs11090428 C T c EBF1_EBF_1 8 1 - 6.4541367666878395 2.227846677801579 AAACCCACGGGAAA chr22 26089838 26089839 chr22:26089839:C:T rs8137302 C T C EBF1_EBF_1 -9 0 - 0 0 . chr22 26170963 26170964 chr22:26170964:C:A rs186172038 C A C EBF1_EBF_1 -20 0 - 0 0 . chr22 26229638 26229639 chr22:26229639:C:G rs9620594 C G C EBF1_EBF_1 -7 0 + 0 0 . chr22 26243829 26243830 chr22:26243830:A:G rs985175088 A G A EBF1_EBF_1 21 0 + 0 0 . chr22 26243830 26243831 chr22:26243831:G:A chr22:26243831:G:A G A G EBF1_EBF_1 22 0 + 0 0 . chr22 26243840 26243841 chr22:26243841:C:T rs1207404 C T C EBF1_EBF_1 32 0 + 0 0 . chr22 26245268 26245269 chr22:26245269:C:T rs4822697 C T C EBF1_EBF_1 26 0 + 0 0 . chr22 26292421 26292422 chr22:26292422:A:C rs35377445 A C A EBF1_EBF_1 11 1 + 7.557873701337177 3.1419120034847863 CTTCCCGAGGGAGA chr22 26314805 26314806 chr22:26314806:C:T rs6005011 C T T EBF1_EBF_1 3 1 + 4.98820910081248 -1.4171896407408369 CCCCCCCTGGGAGG chr22 26326690 26326691 chr22:26326691:A:G rs4822703 A G G EBF1_EBF_1 -10 0 + 0 0 . chr22 26404042 26404043 chr22:26404043:C:T rs615508 C T C EBF1_EBF_1 5 1 + 10.064457928370183 4.742670712829514 ATACCCCTGGGACT chr22 26405560 26405561 chr22:26405561:A:G rs598573 A G A EBF1_EBF_1 22 0 + 0 0 . chr22 26448691 26448692 chr22:26448692:A:T rs8137053 A T A EBF1_EBF_1 13 1 - 8.868909165246183 7.650669601374689 CTTCCCCTGAGAAT chr22 26464568 26464569 chr22:26464569:G:C rs116769827 G C G EBF1_EBF_1 13 1 + 8.248740192740936 9.736811214096349 CTTCCCCAGGGAGG chr22 26464569 26464570 chr22:26464570:A:T rs114685298 A T A EBF1_EBF_1 14 0 + 0 0 . chr22 26485164 26485165 chr22:26485165:C:T rs13054869 C T C EBF1_EBF_1 -13 0 + 0 0 . chr22 26485179 26485180 chr22:26485180:T:C rs5761560 T C T EBF1_EBF_1 2 1 + 6.01446445318564 4.12564104174349 ATTCCCCAGGAGCT chr22 26550521 26550522 chr22:26550522:G:A rs140202831 G A G EBF1_EBF_1 23 0 + 0 0 . chr22 26552520 26552521 chr22:26552521:C:T rs6005079 C T C EBF1_EBF_1 32 0 - 0 0 . chr22 26567063 26567064 chr22:26567064:G:C rs12628442 G C G EBF1_EBF_1 1 1 - 6.37914045925267 6.568912113821886 ACCCCCAGGGGGCA chr22 26573095 26573096 chr22:26573096:A:C rs2283839 A C A EBF1_EBF_1 -19 0 + 0 0 . chr22 26674340 26674341 chr22:26674341:C:T rs55857368 C T C EBF1_EBF_1 -19 0 - 0 0 . chr22 26680341 26680342 chr22:26680342:C:T rs744018 C T T EBF1_EBF_1 13 1 + 5.793425897583187 6.637920012168705 ACACCCATGGGGCC chr22 26751900 26751901 chr22:26751901:G:A rs761290164 G A G EBF1_EBF_1 -6 0 + 0 0 . chr22 26790147 26790148 chr22:26790148:T:C rs4820710 T C C EBF1_EBF_1 24 0 - 0 0 . chr22 26809570 26809571 chr22:26809571:G:A rs5752420 G A G EBF1_EBF_1 3 1 - 10.278600212920095 3.8732014713667784 CATCCCTAGGGATT chr22 26811475 26811476 chr22:26811476:A:G rs7287199 A G A EBF1_EBF_1 22 0 + 0 0 . chr22 26836085 26836086 chr22:26836086:G:A rs136496 G A A EBF1_EBF_1 -19 0 - 0 0 . chr22 26845717 26845718 chr22:26845718:G:A rs17421649 G A G EBF1_EBF_1 10 1 + 7.231054944085417 1.9130264848184517 ATTCTCCAGAGAAT chr22 26850106 26850107 chr22:26850107:T:C rs136535 T C C EBF1_EBF_1 17 0 + 0 0 . chr22 26854231 26854232 chr22:26854232:G:A rs9625099 G A G EBF1_EBF_1 25 0 + 0 0 . chr22 26934368 26934369 chr22:26934369:A:T rs739252 A T T EBF1_EBF_1 -1 0 - 0 0 . chr22 26943442 26943443 chr22:26943443:T:G rs739258 T G G EBF1_EBF_1 -9 0 - 0 0 . chr22 26981605 26981606 chr22:26981606:G:A rs11090463 G A . EBF1_EBF_1 2 1 - 6.4598179777194344 8.348641389161584 CACCCCCAGAGACT chr22 27042233 27042234 chr22:27042234:A:G rs1157611 A G A EBF1_EBF_1 -2 0 - 0 0 . chr22 27083210 27083211 chr22:27083211:G:C rs5761946 G C C EBF1_EBF_1 -9 0 - 0 0 . chr22 27094765 27094766 chr22:27094766:C:T rs4820735 C T C EBF1_EBF_1 9 1 - 6.822976544407535 4.1680372789599325 CTTCCCAGAGGAAT chr22 27138557 27138558 chr22:27138558:A:G rs74903581 A G A EBF1_EBF_1 -20 0 - 0 0 . chr22 27142362 27142363 chr22:27142363:A:G rs16983778 A G A EBF1_EBF_1 17 0 + 0 0 . chr22 27170800 27170801 chr22:27170801:G:T rs142484222 G T G EBF1_EBF_1 22 0 + 0 0 . chr22 27183465 27183466 chr22:27183466:A:G rs79453715 A G A EBF1_EBF_1 7 1 + 8.077278493270768 6.764820087981943 CCTCCCAAGGGATG chr22 27202752 27202753 chr22:27202753:C:T rs865849807 C T C EBF1_EBF_1 -10 0 + 0 0 . chr22 27299095 27299096 chr22:27299096:C:T rs480666 C T C EBF1_EBF_1 3 1 + 6.123705534129671 -0.2816932074236456 ATCCCCAGGAGAGA chr22 27310043 27310044 chr22:27310044:T:C rs516155 T C T EBF1_EBF_1 2 1 + 7.421587275203115 5.532763863760964 ACTCCCAGGAGAAG chr22 27335508 27335509 chr22:27335509:C:T rs490362 C T T EBF1_EBF_1 32 0 - 0 0 . chr22 27373229 27373230 chr22:27373230:C:T rs73158434 C T C EBF1_EBF_1 4 1 + 8.295849854354106 3.9264629094091843 CCTCCCCTGGGAAG chr22 27381790 27381791 chr22:27381791:C:T rs80223227 C T C EBF1_EBF_1 22 0 + 0 0 . chr22 27391660 27391661 chr22:27391661:C:A rs60129675 C A C EBF1_EBF_1 5 1 + 7.237198897346461 0.3323177447112927 AATCCCAGAGGACC chr22 27407980 27407981 chr22:27407981:G:A rs75800646 G A G EBF1_EBF_1 28 0 + 0 0 . chr22 27417739 27417740 chr22:27417740:G:A rs136955 G A A EBF1_EBF_1 -7 0 - 0 0 . chr22 27421155 27421156 chr22:27421156:C:G rs73158458 C G C EBF1_EBF_1 -11 0 - 0 0 . chr22 27421554 27421555 chr22:27421555:T:G rs4820756 T G T EBF1_EBF_1 22 0 - 0 0 . chr22 27446020 27446021 chr22:27446021:T:C rs5752548 T C T EBF1_EBF_1 -4 0 + 0 0 . chr22 27463007 27463008 chr22:27463008:T:C rs185304330 T C T EBF1_EBF_1 20 0 - 0 0 . chr22 27463026 27463027 chr22:27463027:A:C rs4822883 A C A EBF1_EBF_1 1 1 - 6.717989853927373 6.012328068097845 GTTCCCCAAGGAGT chr22 27480853 27480854 chr22:27480854:A:G rs5752562 A G A EBF1_EBF_1 27 0 + 0 0 . chr22 27481010 27481011 chr22:27481011:A:G rs5762183 A G G EBF1_EBF_1 12 1 + 7.924584068908818 6.50576672680934 AGCCCCAAGGGTAT chr22 27495030 27495031 chr22:27495031:G:A rs555387837 G A G EBF1_EBF_1 -10 0 + 0 0 . chr22 27519099 27519100 chr22:27519100:C:G rs9613465 C G C EBF1_EBF_1 -2 0 - 0 0 . chr22 27534940 27534941 chr22:27534941:T:G rs17381914 T G T EBF1_EBF_1 27 0 - 0 0 . chr22 27539759 27539760 chr22:27539760:T:C rs137144 T C C EBF1_EBF_1 6 1 - 11.802545272017 9.635750840742524 ACCCCCAAGGGACT chr22 27561457 27561458 chr22:27561458:G:A rs118071164 G A A EBF1_EBF_1 28 0 + 0 0 . chr22 27630598 27630599 chr22:27630599:C:T rs568200268 C T C EBF1_EBF_1 -6 0 + 0 0 . chr22 27630603 27630604 chr22:27630604:C:A rs73427081 C A C EBF1_EBF_1 -1 0 + 0 0 . chr22 27636865 27636866 chr22:27636866:G:A rs4822926 G A A EBF1_EBF_1 26 0 - 0 0 . chr22 27645813 27645814 chr22:27645814:A:T rs16985253 A T A EBF1_EBF_1 16 0 + 0 0 . chr22 27654559 27654560 chr22:27654560:A:G rs113295896 A G A EBF1_EBF_1 27 0 + 0 0 . chr22 27654733 27654734 chr22:27654734:G:A rs134033 G A G EBF1_EBF_1 -5 0 + 0 0 . chr22 27669502 27669503 chr22:27669503:T:C rs134083 T C C EBF1_EBF_1 -15 0 + 0 0 . chr22 27669541 27669542 chr22:27669542:C:T rs150672824 C T C EBF1_EBF_1 24 0 + 0 0 . chr22 27670927 27670928 chr22:27670928:G:A rs11090501 G A A EBF1_EBF_1 27 0 + 0 0 . chr22 27670927 27670928 chr22:27670928:G:C chr22:27670928:G:C G C A EBF1_EBF_1 27 0 + 0 0 . chr22 27674137 27674138 chr22:27674138:A:G rs134096 A G A EBF1_EBF_1 2 1 - 5.888022001987081 3.99919859054493 CCTCCCCCGGGGCT chr22 27721024 27721025 chr22:27721025:T:C rs9625312 T C C EBF1_EBF_1 -6 0 - 0 0 . chr22 27774701 27774702 chr22:27774702:G:A rs738456 G A G EBF1_EBF_1 23 0 - 0 0 . chr22 27797108 27797109 chr22:27797109:C:T rs45471598 C T C EBF1_EBF_1 -17 0 - 0 0 . chr22 27876860 27876861 chr22:27876861:T:A rs8142319 T A T EBF1_EBF_1 17 0 - 0 0 . chr22 27892492 27892493 chr22:27892493:G:T rs116597880 G T G EBF1_EBF_1 -8 0 + 0 0 . chr22 27892498 27892499 chr22:27892499:G:A rs5762395 G A G EBF1_EBF_1 -2 0 + 0 0 . chr22 27892523 27892524 chr22:27892524:G:C rs5762396 G C G EBF1_EBF_1 23 0 + 0 0 . chr22 27919497 27919498 chr22:27919498:C:T chr22:27919498:C:T C T C EBF1_EBF_1 25 0 - 0 0 . chr22 27919499 27919500 chr22:27919500:C:T rs527540184 C T C EBF1_EBF_1 23 0 - 0 0 . chr22 27991186 27991187 chr22:27991187:G:A rs550880128 G A G EBF1_EBF_1 -6 0 + 0 0 . chr22 28034963 28034964 chr22:28034964:A:T rs73166782 A T A EBF1_EBF_1 30 0 + 0 0 . chr22 28442732 28442733 chr22:28442733:G:A rs11703980 G A G EBF1_EBF_1 -12 0 + 0 0 . chr22 28443068 28443069 chr22:28443069:T:G rs139794309 T G T EBF1_EBF_1 22 0 + 0 0 . chr22 28505890 28505891 chr22:28505891:G:C rs74794874 G C G EBF1_EBF_1 14 0 - 0 0 . chr22 28506408 28506409 chr22:28506409:T:G rs79280144 T G T EBF1_EBF_1 26 0 - 0 0 . chr22 28679752 28679753 chr22:28679753:G:C rs373678743 G C G EBF1_EBF_1 31 0 + 0 0 . chr22 28750563 28750564 chr22:28750564:A:C rs145399340 A C A EBF1_EBF_1 6 1 + 6.3393308689352 5.977728501133922 TCACCCATGGGACA chr22 28817065 28817066 chr22:28817066:A:T rs133441 A T A EBF1_EBF_1 -13 0 - 0 0 . chr22 28829501 28829502 chr22:28829502:G:A rs1372770586 G A G EBF1_EBF_1 11 1 - 4.852425146881608 5.170472588635273 GCTCCCAGGGGCCA chr22 28829511 28829512 chr22:28829512:G:A rs5752809 G A A EBF1_EBF_1 1 1 - 4.852425146881608 5.747858587280353 GCTCCCAGGGGCCA chr22 28829527 28829528 chr22:28829528:G:T rs73881155 G T G EBF1_EBF_1 -15 0 - 0 0 . chr22 28894916 28894917 chr22:28894917:G:A rs1045372938 G A G EBF1_EBF_1 11 1 + 6.638980425017701 9.531687268621711 AGTCCCATGAGGCA chr22 29034859 29034860 chr22:29034860:C:T rs73882442 C T C EBF1_EBF_1 -13 0 + 0 0 . chr22 29055597 29055598 chr22:29055598:C:T rs1883198 C T C EBF1_EBF_1 -15 0 - 0 0 . chr22 29073803 29073804 chr22:29073804:C:T rs114780941 C T C EBF1_EBF_1 13 1 + 7.599354709296109 8.443848823881627 AGTCCCCAGCGACC chr22 29073928 29073929 chr22:29073929:A:G rs576052074 A G A EBF1_EBF_1 -15 0 + 0 0 . chr22 29114135 29114136 chr22:29114136:C:T rs134684 C T T EBF1_EBF_1 -10 0 - 0 0 . chr22 29115740 29115741 chr22:29115741:G:A rs134690 G A G EBF1_EBF_1 -13 0 + 0 0 . chr22 29129880 29129881 chr22:29129881:G:C rs13055379 G C G EBF1_EBF_1 -20 0 + 0 0 . chr22 29137147 29137148 chr22:29137148:T:G rs67700680 T G T EBF1_EBF_1 7 1 - 5.968453101216579 3.674674114846397 TCCCCCCAGAGACC chr22 29146875 29146876 chr22:29146876:G:A rs2301444 G A G EBF1_EBF_1 23 0 + 0 0 . chr22 29146876 29146877 chr22:29146877:C:T rs2301445 C T C EBF1_EBF_1 24 0 + 0 0 . chr22 29165837 29165838 chr22:29165838:T:G rs132314 T G g EBF1_EBF_1 -3 0 - 0 0 . chr22 29184455 29184456 chr22:29184456:A:G rs59125412 A G A EBF1_EBF_1 28 0 - 0 0 . chr22 29184495 29184496 chr22:29184496:A:G rs8138697 A G A EBF1_EBF_1 -12 0 - 0 0 . chr22 29185307 29185308 chr22:29185308:A:G rs469985 A G G EBF1_EBF_1 7 1 - 6.84653263701485 5.029027890732226 GCTCCCTTGGGCCT chr22 29186168 29186169 chr22:29186169:C:T rs186771927 C T C EBF1_EBF_1 23 0 + 0 0 . chr22 29202273 29202274 chr22:29202274:G:T rs1573711 G T G EBF1_EBF_1 3 1 - 7.893513600595411 0.9873395711296038 AGCCCCCAGGGGCC chr22 29203024 29203025 chr22:29203025:G:A rs1353843821 G A G EBF1_EBF_1 -8 0 - 0 0 . chr22 29203027 29203028 chr22:29203028:C:T rs141933723 C T C EBF1_EBF_1 -11 0 - 0 0 . chr22 29204343 29204344 chr22:29204344:G:C rs28450817 G C G EBF1_EBF_1 -11 0 + 0 0 . chr22 29206662 29206663 chr22:29206663:A:G rs76235991 A G A EBF1_EBF_1 33 0 + 0 0 . chr22 29254915 29254916 chr22:29254916:C:T rs909797 C T C EBF1_EBF_1 4 1 + 6.083068449733326 1.7136815047884013 AGCCCCCAGAGGCT chr22 29260441 29260442 chr22:29260442:C:T rs138870856 C T C EBF1_EBF_1 22 0 - 0 0 . chr22 29263807 29263808 chr22:29263808:C:T rs2231395 C T C EBF1_EBF_1 7 1 + 5.143743913607657 6.96124865989028 ATCCCCACGGGCCC chr22 29306757 29306758 chr22:29306758:G:C rs2301585 G C G EBF1_EBF_1 8 1 + 5.630828443397198 0.31216958248251137 CTCCCCAGGAGACC chr22 29339657 29339658 chr22:29339658:C:T rs34583478 C T C EBF1_EBF_1 32 0 - 0 0 . chr22 29352118 29352119 chr22:29352119:A:G rs5997464 A G A EBF1_EBF_1 -10 0 - 0 0 . chr22 29388738 29388739 chr22:29388739:T:C rs773285058 T C T EBF1_EBF_1 7 1 - 6.327023317903116 5.01456491261429 TTTCCCAAGGGCTC chr22 29428134 29428135 chr22:29428135:A:G rs5752916 A G A EBF1_EBF_1 -20 0 - 0 0 . chr22 29475745 29475746 chr22:29475746:G:T rs165869 G T G EBF1_EBF_1 20 0 - 0 0 . chr22 29489362 29489363 chr22:29489363:C:T rs6006164 C T C EBF1_EBF_1 29 0 + 0 0 . chr22 29489379 29489380 chr22:29489380:C:T rs114263951 C T c EBF1_EBF_1 4 1 + 6.2684359808896986 1.8990490359447754 AGTCCCCCGAGAAG chr22 29489604 29489605 chr22:29489605:A:T rs79235463 A T - EBF1_EBF_1 7 1 + 8.56221496725988 8.085940727172321 AGTCCCCAGAGAAG chr22 29489648 29489649 chr22:29489649:T:A rs190692435 T A T EBF1_EBF_1 27 0 + 0 0 . chr22 29489871 29489872 chr22:29489872:T:C rs165923 T C c EBF1_EBF_1 -2 0 + 0 0 . chr22 29582759 29582760 chr22:29582760:C:G rs16987832 C G t EBF1_EBF_1 31 0 - 0 0 . chr22 29619689 29619690 chr22:29619690:C:T rs13054076 C T C EBF1_EBF_1 18 0 - 0 0 . chr22 29689665 29689666 chr22:29689666:C:G rs2283863 C G C EBF1_EBF_1 5 1 + 7.083491423772502 0.17861027113733496 GGCCCCCAGAGACT chr22 29701268 29701269 chr22:29701269:G:C rs9614030 G C g EBF1_EBF_1 6 1 - 5.996671638512861 4.191479575039663 ATCCCCCGGGTACC chr22 29702502 29702503 chr22:29702503:G:T rs1402898810 G T G EBF1_EBF_1 3 1 - 7.99838332586788 1.0922092964020735 AATCCCCAGGGCCC chr22 29705000 29705001 chr22:29705001:G:A rs544993481 G A G EBF1_EBF_1 1 1 - 7.257649104359961 8.153082544758707 ACCCCCCTGGGGAC chr22 29726667 29726668 chr22:29726668:C:T rs7287478 C T C EBF1_EBF_1 13 1 + 5.624871490710656 6.469365605296174 CCACCCAGGGGAAC chr22 29738295 29738296 chr22:29738296:G:T rs55706109 G T G EBF1_EBF_1 10 1 + 4.531821233951238 0.34906904772397246 TGCCCCCAGGGGGC chr22 30079164 30079165 chr22:30079165:G:A rs7286205 G A G EBF1_EBF_1 30 0 - 0 0 . chr22 30169002 30169003 chr22:30169003:T:C rs138243757 T C T EBF1_EBF_1 -6 0 + 0 0 . chr22 30223609 30223610 chr22:30223610:G:A rs2073946 G A G EBF1_EBF_1 5 1 - 8.081853618087692 2.7600664025470207 TTCCCCGTGGGACT chr22 30223625 30223626 chr22:30223626:G:A rs28651972 G A G EBF1_EBF_1 -11 0 - 0 0 . chr22 30238928 30238929 chr22:30238929:C:T rs16988425 C T c EBF1_EBF_1 32 0 - 0 0 . chr22 30242674 30242675 chr22:30242675:C:T rs8136774 C T C EBF1_EBF_1 8 1 - 6.023234237359699 1.7969441484734392 GGTCCCCAGGGCAA chr22 30244605 30244606 chr22:30244606:G:A rs929273 G A G EBF1_EBF_1 -18 0 - 0 0 . chr22 30298644 30298645 chr22:30298645:T:C rs79386338 T C T EBF1_EBF_1 28 0 + 0 0 . chr22 30362373 30362374 chr22:30362374:G:A rs5753095 G A G EBF1_EBF_1 19 0 - 0 0 . chr22 30398395 30398396 chr22:30398396:G:A rs139636778 G A G EBF1_EBF_1 29 0 - 0 0 . chr22 30420292 30420293 chr22:30420293:C:A rs368323007 C A C EBF1_EBF_1 -7 0 - 0 0 . chr22 30423983 30423984 chr22:30423984:T:C chr22:30423984:T:C T C T EBF1_EBF_1 -12 0 + 0 0 . chr22 30424010 30424011 chr22:30424011:C:T rs12165816 C T C EBF1_EBF_1 15 0 + 0 0 . chr22 30453848 30453849 chr22:30453849:G:C rs75645541 G C g EBF1_EBF_1 -2 0 - 0 0 . chr22 30469856 30469857 chr22:30469857:C:T rs9620998 C T C EBF1_EBF_1 -6 0 + 0 0 . chr22 30489112 30489113 chr22:30489113:G:A rs13750 G A G EBF1_EBF_1 -4 0 + 0 0 . chr22 30592308 30592309 chr22:30592309:T:G rs541376685 T G T EBF1_EBF_1 25 0 - 0 0 . chr22 30592335 30592336 chr22:30592336:G:A chr22:30592336:G:A G A G EBF1_EBF_1 -2 0 - 0 0 . chr22 30592337 30592338 chr22:30592338:T:G chr22:30592338:T:G T G T EBF1_EBF_1 -4 0 - 0 0 . chr22 30626647 30626648 chr22:30626648:C:T rs12160073 C T C EBF1_EBF_1 6 1 + 7.310623210594992 7.6119093394567505 TGCCCCCTGGGATC chr22 30629201 30629202 chr22:30629202:A:G rs731991 A G g EBF1_EBF_1 0 1 + 11.761799493086242 9.869515623521409 AACCCCAAGGGAAT chr22 30635145 30635146 chr22:30635146:C:T rs9621053 C T C EBF1_EBF_1 20 0 - 0 0 . chr22 30647778 30647779 chr22:30647779:G:A rs3179442 G A G EBF1_EBF_1 -2 0 + 0 0 . chr22 30648298 30648299 chr22:30648299:G:A rs8138797 G A G EBF1_EBF_1 31 0 + 0 0 . chr22 30671255 30671256 chr22:30671256:C:T rs5994332 C T C EBF1_EBF_1 7 1 + 5.965203125815913 7.782707872098537 ACTCCCCCAGGACC chr22 30769005 30769006 chr22:30769006:G:A rs470061 G A A EBF1_EBF_1 14 0 - 0 0 . chr22 30778395 30778396 chr22:30778396:T:C rs136311 T C T EBF1_EBF_1 -13 0 - 0 0 . chr22 30817109 30817110 chr22:30817110:C:T rs136232 C T T EBF1_EBF_1 -13 0 - 0 0 . chr22 30831765 30831766 chr22:30831766:T:C rs11089478 T C C EBF1_EBF_1 14 0 + 0 0 . chr22 30838302 30838303 chr22:30838303:C:G rs9621111 C G C EBF1_EBF_1 10 1 - 11.003580654609186 4.112778267279713 CATCCCCAGGGACT chr22 30855993 30855994 chr22:30855994:A:G rs28574647 A G A EBF1_EBF_1 -3 0 - 0 0 . chr22 30878112 30878113 chr22:30878113:G:A chr22:30878113:G:A G A G EBF1_EBF_1 -20 0 + 0 0 . chr22 30889692 30889693 chr22:30889693:G:A rs5749186 G A A EBF1_EBF_1 -13 0 - 0 0 . chr22 31046687 31046688 chr22:31046688:C:G chr22:31046688:C:G C G C EBF1_EBF_1 27 0 + 0 0 . chr22 31055207 31055208 chr22:31055208:C:T rs79502375 C T C EBF1_EBF_1 13 1 - 6.7056262218784015 7.8199517939478405 ATACCCCTGGGATG chr22 31079564 31079565 chr22:31079565:G:A rs75802456 G A G EBF1_EBF_1 17 0 - 0 0 . chr22 31085377 31085378 chr22:31085378:A:C chr22:31085378:A:C A C A EBF1_EBF_1 -12 0 + 0 0 . chr22 31085553 31085554 chr22:31085554:C:T rs73881321 C T C EBF1_EBF_1 -8 0 - 0 0 . chr22 31100539 31100540 chr22:31100540:T:A rs56095120 T A T EBF1_EBF_1 22 0 + 0 0 . chr22 31102773 31102774 chr22:31102774:G:A rs945842982 G A G EBF1_EBF_1 -11 0 - 0 0 . chr22 31126217 31126218 chr22:31126218:G:A rs144190644 G A G EBF1_EBF_1 14 0 + 0 0 . chr22 31179359 31179360 chr22:31179360:C:T rs5749225 C T C EBF1_EBF_1 24 0 + 0 0 . chr22 31192666 31192667 chr22:31192667:C:T rs761590458 C T C EBF1_EBF_1 9 1 - 7.915518106924534 5.260578841476929 AGTCCCCAGGGCAA chr22 31192689 31192690 chr22:31192690:G:A rs118153223 G A G EBF1_EBF_1 -14 0 - 0 0 . chr22 31212493 31212494 chr22:31212494:A:G rs566388650 A G A EBF1_EBF_1 19 0 - 0 0 . chr22 31223883 31223884 chr22:31223884:C:T rs181165914 C T C EBF1_EBF_1 32 0 - 0 0 . chr22 31249562 31249563 chr22:31249563:C:T rs921830815 C T C EBF1_EBF_1 24 0 + 0 0 . chr22 31291812 31291813 chr22:31291813:T:A rs552201313 T A T EBF1_EBF_1 -2 0 + 0 0 . chr22 31331197 31331198 chr22:31331198:G:A rs17820958 G A G EBF1_EBF_1 27 0 - 0 0 . chr22 31345080 31345081 chr22:31345081:G:A rs714909 G A G EBF1_EBF_1 -5 0 - 0 0 . chr22 31618263 31618264 chr22:31618264:G:A rs56219558 G A G EBF1_EBF_1 0 1 - 10.046039922658995 10.328241983389573 CGTCCCCAGGGACA chr22 31630824 31630825 chr22:31630825:G:A rs183149548 G A G EBF1_EBF_1 -8 0 + 0 0 . chr22 31630863 31630864 chr22:31630864:C:T rs574315269 C T C EBF1_EBF_1 31 0 + 0 0 . chr22 31752313 31752314 chr22:31752314:G:A rs9621332 G A G EBF1_EBF_1 -12 0 - 0 0 . chr22 31829512 31829513 chr22:31829513:T:C rs116372508 T C C EBF1_EBF_1 -14 0 + 0 0 . chr22 31883193 31883194 chr22:31883194:A:C rs181014799 A C A EBF1_EBF_1 -10 0 - 0 0 . chr22 31883197 31883198 chr22:31883198:C:T rs185295111 C T C EBF1_EBF_1 -14 0 - 0 0 . chr22 31917740 31917741 chr22:31917741:G:A rs5753817 G A G EBF1_EBF_1 -2 0 - 0 0 . chr22 31917757 31917758 chr22:31917758:G:A rs115317410 G A G EBF1_EBF_1 -19 0 - 0 0 . chr22 31945105 31945106 chr22:31945106:A:G rs13055495 A G G EBF1_EBF_1 32 0 - 0 0 . chr22 31945154 31945155 chr22:31945155:T:C rs13057194 T C C EBF1_EBF_1 -17 0 - 0 0 . chr22 31972179 31972180 chr22:31972180:G:A rs80100377 G A G EBF1_EBF_1 21 0 - 0 0 . chr22 31991101 31991102 chr22:31991102:G:T rs76372906 G T G EBF1_EBF_1 19 0 - 0 0 . chr22 32053054 32053055 chr22:32053055:C:G rs16989807 C G C EBF1_EBF_1 -18 0 - 0 0 . chr22 32070024 32070025 chr22:32070025:T:C rs130405 T C C EBF1_EBF_1 -10 0 - 0 0 . chr22 32076414 32076415 chr22:32076415:A:G rs112532909 A G A EBF1_EBF_1 0 1 + 7.348221462008773 5.455937592443939 AATCCCTGGAGATA chr22 32137165 32137166 chr22:32137166:T:C chr22:32137166:T:C T C T EBF1_EBF_1 23 0 + 0 0 . chr22 32151638 32151639 chr22:32151639:T:C rs5998254 T C - EBF1_EBF_1 -18 0 - 0 0 . chr22 32176537 32176538 chr22:32176538:G:T rs148376789 G T g EBF1_EBF_1 21 0 - 0 0 . chr22 32193035 32193036 chr22:32193036:C:T rs136478 C T C EBF1_EBF_1 -17 0 + 0 0 . chr22 32193046 32193047 chr22:32193047:T:C rs136479 T C T EBF1_EBF_1 -6 0 + 0 0 . chr22 32200489 32200490 chr22:32200490:G:C rs115167216 G C g EBF1_EBF_1 21 0 - 0 0 . chr22 32212614 32212615 chr22:32212615:T:C rs5998307 T C T EBF1_EBF_1 7 1 - 9.675480126480323 8.363021721191497 ACACCCCAGGGAAT chr22 32324043 32324044 chr22:32324044:T:C rs77011484 T C t EBF1_EBF_1 6 1 + 10.074087904808462 9.772801775946704 ACTCCCTGGGGATA chr22 32341243 32341244 chr22:32341244:G:T rs7285471 G T g EBF1_EBF_1 5 1 - 11.474099924645285 4.569218772010119 ACTCCCTGGGGACC chr22 32341246 32341247 chr22:32341247:A:G rs5994549 A G g EBF1_EBF_1 2 1 - 11.474099924645285 9.585276513203135 ACTCCCTGGGGACC chr22 32476054 32476055 chr22:32476055:A:C rs17698230 A C A EBF1_EBF_1 -10 0 - 0 0 . chr22 32534663 32534664 chr22:32534664:G:A rs116712337 G A G EBF1_EBF_1 14 0 - 0 0 . chr22 32542771 32542772 chr22:32542772:G:C rs372331854 G C G EBF1_EBF_1 17 0 - 0 0 . chr22 32572106 32572107 chr22:32572107:A:C rs5998545 A C C EBF1_EBF_1 33 0 - 0 0 . chr22 32603781 32603782 chr22:32603782:C:T rs16990827 C T C EBF1_EBF_1 10 1 - 10.571207590962727 5.253179131695763 ATCCCCAGGGGAAC chr22 32624269 32624270 chr22:32624270:T:C rs78847452 T C T EBF1_EBF_1 -16 0 + 0 0 . chr22 32697944 32697945 chr22:32697945:G:A rs149561977 G A G EBF1_EBF_1 -17 0 + 0 0 . chr22 32723817 32723818 chr22:32723818:C:T rs183959333 C T C EBF1_EBF_1 31 0 - 0 0 . chr22 32723834 32723835 chr22:32723835:G:A rs536406645 G A G EBF1_EBF_1 14 0 - 0 0 . chr22 32753108 32753109 chr22:32753109:A:G rs12157546 A G A EBF1_EBF_1 32 0 + 0 0 . chr22 32778662 32778663 chr22:32778663:A:G rs7291454 A G A EBF1_EBF_1 18 0 + 0 0 . chr22 32779731 32779732 chr22:32779732:G:A rs2001048 G A G EBF1_EBF_1 3 1 - 8.718328560637755 2.312929819084438 ATCCCCTGGGGAGA chr22 32795076 32795077 chr22:32795077:C:T rs568281257 C T C EBF1_EBF_1 17 0 - 0 0 . chr22 32829662 32829663 chr22:32829663:C:T rs77097802 C T C EBF1_EBF_1 30 0 - 0 0 . chr22 32840408 32840409 chr22:32840409:G:A rs55873325 G A G EBF1_EBF_1 6 1 - 5.183636662611727 5.484922791473484 GTCCCCCAGGGCCC chr22 32888347 32888348 chr22:32888348:G:A rs241895 G A G EBF1_EBF_1 5 1 - 7.255670307837928 1.9338830922972579 TTCCCCAAGAGAAC chr22 32977340 32977341 chr22:32977341:G:A rs73162032 G A G EBF1_EBF_1 27 0 - 0 0 . chr22 33000477 33000478 chr22:33000478:G:A rs133939 G A G EBF1_EBF_1 28 0 + 0 0 . chr22 33000773 33000774 chr22:33000774:C:T rs73162045 C T C EBF1_EBF_1 3 1 + 7.9913580843240695 1.5859593427707521 CCTCCCCTGGGAGA chr22 33188707 33188708 chr22:33188708:G:C rs137406 G C G EBF1_EBF_1 30 0 - 0 0 . chr22 33203348 33203349 chr22:33203349:C:T rs117825103 C T C EBF1_EBF_1 5 1 + 7.781095988178024 2.459308772637356 TCTCCCAGGAGAAT chr22 33220248 33220249 chr22:33220249:G:T rs9619334 G T G EBF1_EBF_1 18 0 - 0 0 . chr22 33221022 33221023 chr22:33221023:C:A rs137464 C A C EBF1_EBF_1 13 1 + 5.777452122369675 5.403706673083699 ATTCCTGTGGGACC chr22 33302045 33302046 chr22:33302046:G:C rs536142623 G C g EBF1_EBF_1 -5 0 - 0 0 . chr22 33302057 33302058 chr22:33302058:T:C rs193032039 T C t EBF1_EBF_1 -17 0 - 0 0 . chr22 33310295 33310296 chr22:33310296:G:A rs8138713 G A g EBF1_EBF_1 11 1 + 5.829564248691055 8.722271092295065 ATCCCCATGAGGCC chr22 33350637 33350638 chr22:33350638:G:A rs17723309 G A G EBF1_EBF_1 -8 0 - 0 0 . chr22 33350640 33350641 chr22:33350641:G:C chr22:33350641:G:C G C G EBF1_EBF_1 -11 0 - 0 0 . chr22 33409354 33409355 chr22:33409355:T:C rs17802641 T C T EBF1_EBF_1 17 0 + 0 0 . chr22 33741279 33741280 chr22:33741280:T:C rs239332 T C T EBF1_EBF_1 6 1 - 6.413945994298749 4.247151563024274 CTACCCAAGGGAGC chr22 33822183 33822184 chr22:33822184:C:A rs9621774 C A C EBF1_EBF_1 -3 0 - 0 0 . chr22 33823179 33823180 chr22:33823180:T:A rs5754701 T A A EBF1_EBF_1 -7 0 + 0 0 . chr22 33864749 33864750 chr22:33864750:C:T rs1009730 C T C EBF1_EBF_1 -6 0 + 0 0 . chr22 33904563 33904564 chr22:33904564:C:A rs34455747 C A C EBF1_EBF_1 17 0 + 0 0 . chr22 33918565 33918566 chr22:33918566:T:C rs75121872 T C T EBF1_EBF_1 28 0 - 0 0 . chr22 33958956 33958957 chr22:33958957:C:T rs73882374 C T C EBF1_EBF_1 5 1 + 9.645282001362292 4.323494785821622 AGCCCCATGGGATC chr22 33984929 33984930 chr22:33984930:C:T rs148364449 C T C EBF1_EBF_1 12 1 + 5.499122007216955 4.472855436666105 GCTCCCAGGAGACG chr22 34064740 34064741 chr22:34064741:G:T rs111363401 G T G EBF1_EBF_1 25 0 - 0 0 . chr22 34113689 34113690 chr22:34113690:C:A rs117181679 C A C EBF1_EBF_1 33 0 + 0 0 . chr22 34193880 34193881 chr22:34193881:T:C rs400363 T C T EBF1_EBF_1 -15 0 + 0 0 . chr22 34193898 34193899 chr22:34193899:C:T rs448846 C T C EBF1_EBF_1 3 1 + 11.335189898080857 4.929791156527543 TTTCCCTAGGGACA chr22 34340725 34340726 chr22:34340726:T:C rs442239 T C C EBF1_EBF_1 6 1 + 10.188331810422646 9.887045681560888 TTCCCCTTGGGACT chr22 34429695 34429696 chr22:34429696:G:A rs9610016 G A G EBF1_EBF_1 31 0 - 0 0 . chr22 34591915 34591916 chr22:34591916:T:C rs5749888 T C T EBF1_EBF_1 27 0 - 0 0 . chr22 34696717 34696718 chr22:34696718:C:T rs739066 C T T EBF1_EBF_1 -7 0 + 0 0 . chr22 34704705 34704706 chr22:34704706:C:T rs737761 C T C EBF1_EBF_1 26 0 - 0 0 . chr22 34759341 34759342 chr22:34759342:C:T rs137256 C T T EBF1_EBF_1 -14 0 + 0 0 . chr22 34799901 34799902 chr22:34799902:T:C rs5755298 T C C EBF1_EBF_1 26 0 - 0 0 . chr22 34804865 34804866 chr22:34804866:T:C rs73164179 T C T EBF1_EBF_1 -16 0 - 0 0 . chr22 34842559 34842560 chr22:34842560:C:T rs5749976 C T C EBF1_EBF_1 8 1 - 8.635186864480925 4.408896775594664 AGTCCCTGGAGACA chr22 34967215 34967216 chr22:34967216:T:C rs8143050 T C T EBF1_EBF_1 -8 0 + 0 0 . chr22 35065626 35065627 chr22:35065627:G:C rs361805 G C G EBF1_EBF_1 -11 0 + 0 0 . chr22 35065959 35065960 chr22:35065960:C:A rs5755566 C A C EBF1_EBF_1 3 1 + 5.647373857681816 -1.2588001717839912 CCTCCCTGGGGCCT chr22 35085782 35085783 chr22:35085783:T:C rs3810619 T C C EBF1_EBF_1 -6 0 - 0 0 . chr22 35158191 35158192 chr22:35158192:G:A rs11089734 G A a EBF1_EBF_1 14 0 + 0 0 . chr22 35159530 35159531 chr22:35159531:G:A rs739302 G A g EBF1_EBF_1 -10 0 - 0 0 . chr22 35298301 35298302 chr22:35298302:A:G rs138726 A G a EBF1_EBF_1 33 0 + 0 0 . chr22 35300020 35300021 chr22:35300021:C:G rs113925847 C G C EBF1_EBF_1 26 0 - 0 0 . chr22 35311242 35311243 chr22:35311243:G:A rs3788509 G A g EBF1_EBF_1 7 1 - 10.037764653791115 11.855269400073738 CTTCCCACGGGACT chr22 35312796 35312797 chr22:35312797:C:T rs4465 C T C EBF1_EBF_1 -18 0 + 0 0 . chr22 35312805 35312806 chr22:35312806:G:A rs138774 G A G EBF1_EBF_1 -9 0 + 0 0 . chr22 35361315 35361316 chr22:35361316:C:T rs11703757 C T C EBF1_EBF_1 27 0 - 0 0 . chr22 35361345 35361346 chr22:35361346:G:A rs9622181 G A g EBF1_EBF_1 -3 0 - 0 0 . chr22 35379285 35379286 chr22:35379286:C:T chr22:35379286:C:T C T c EBF1_EBF_1 -9 0 - 0 0 . chr22 35380935 35380936 chr22:35380936:T:C rs56161244 T C t EBF1_EBF_1 11 1 + 7.297591880921654 6.97954443916799 TTTCCCAAGGGTCA chr22 35426100 35426101 chr22:35426101:G:C rs8140062 G C G EBF1_EBF_1 6 1 - 6.994886728509391 5.1896946650361935 CTACCCCTGGGAAC chr22 35450174 35450175 chr22:35450175:T:C rs28459838 T C C EBF1_EBF_1 13 1 - 5.802796259816433 4.688470687746994 AGTCCCAGGAGGCA chr22 35454934 35454935 chr22:35454935:G:A rs77752426 G A . EBF1_EBF_1 -2 0 + 0 0 . chr22 35458739 35458740 chr22:35458740:C:A rs139723318 C A C EBF1_EBF_1 30 0 - 0 0 . chr22 35527057 35527058 chr22:35527058:G:A rs1883299 G A A EBF1_EBF_1 24 0 + 0 0 . chr22 35549683 35549684 chr22:35549684:G:A rs78899921 G A G EBF1_EBF_1 11 1 - 6.940748194839461 7.258795636593125 TCTCCCCAGGGCCT chr22 35552387 35552388 chr22:35552388:C:T rs736210 C T T EBF1_EBF_1 16 0 + 0 0 . chr22 35587716 35587717 chr22:35587717:T:C rs1411562455 T C T EBF1_EBF_1 -8 0 + 0 0 . chr22 35600517 35600518 chr22:35600518:G:C rs17795553 G C - EBF1_EBF_1 2 1 - 8.22542993713896 3.365927658380645 ATCCCCCAGGGGCA chr22 35696995 35696996 chr22:35696996:G:A rs8139010 G A G EBF1_EBF_1 -10 0 + 0 0 . chr22 35932148 35932149 chr22:35932149:C:T rs7287214 C T C EBF1_EBF_1 2 1 + 8.228800543621663 10.117623955063813 ATCCCCGAGGGAAG chr22 36028470 36028471 chr22:36028471:G:A rs568484824 G A G EBF1_EBF_1 -6 0 + 0 0 . chr22 36028472 36028473 chr22:36028473:C:G rs1475078549 C G C EBF1_EBF_1 -4 0 + 0 0 . chr22 36052779 36052780 chr22:36052780:A:G chr22:36052780:A:G A G A EBF1_EBF_1 16 0 - 0 0 . chr22 36128824 36128825 chr22:36128825:A:G rs5995225 A G g EBF1_EBF_1 29 0 + 0 0 . chr22 36131263 36131264 chr22:36131264:G:C rs5756079 G C g EBF1_EBF_1 6 1 - 7.834135582728716 6.0289435192555185 GTACCCCAGGGAAC chr22 36146252 36146253 chr22:36146253:T:C rs132633 T C C EBF1_EBF_1 19 0 + 0 0 . chr22 36148967 36148968 chr22:36148968:C:G rs9610412 C G C EBF1_EBF_1 -1 0 - 0 0 . chr22 36161574 36161575 chr22:36161575:T:C rs6000157 T C t EBF1_EBF_1 -16 0 + 0 0 . chr22 36199197 36199198 chr22:36199198:A:G rs132712 A G a EBF1_EBF_1 29 0 - 0 0 . chr22 36245258 36245259 chr22:36245259:G:A rs184404420 G A A EBF1_EBF_1 23 0 - 0 0 . chr22 36302945 36302946 chr22:36302946:C:A rs2239787 C A C EBF1_EBF_1 -8 0 - 0 0 . chr22 36318613 36318614 chr22:36318614:G:A rs1002246 G A A EBF1_EBF_1 31 0 + 0 0 . chr22 36331988 36331989 chr22:36331989:T:C rs6000240 T C T EBF1_EBF_1 7 1 - 7.703741946026194 6.391283540737369 ACCCCCCAGGGGCC chr22 36379839 36379840 chr22:36379840:G:C rs79735817 G C G EBF1_EBF_1 16 0 - 0 0 . chr22 36386776 36386777 chr22:36386777:T:C rs192796511 T C T EBF1_EBF_1 1 1 + 8.334011128906674 7.4385776885079276 CTTCCCTGGAGAAT chr22 36406059 36406060 chr22:36406060:G:A rs6000275 G A G EBF1_EBF_1 3 1 - 8.921310260433714 2.515911518880395 AGCCCCCTGGGAGC chr22 36432587 36432588 chr22:36432588:A:C rs949614117 A C A EBF1_EBF_1 -7 0 + 0 0 . chr22 36455446 36455447 chr22:36455447:C:T chr22:36455447:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 36455464 36455465 chr22:36455465:C:A rs186549843 C A C EBF1_EBF_1 -1 0 + 0 0 . chr22 36455471 36455472 chr22:36455472:C:T chr22:36455472:C:T C T C EBF1_EBF_1 6 1 + 7.2064737436196395 7.507759872481395 CTTCCCCAGGGGTC chr22 36455496 36455497 chr22:36455497:G:C rs58270277 G C G EBF1_EBF_1 31 0 + 0 0 . chr22 36458186 36458187 chr22:36458187:G:T rs117469962 G T G EBF1_EBF_1 -14 0 - 0 0 . chr22 36462188 36462189 chr22:36462189:C:G rs4471 C G G EBF1_EBF_1 -17 0 + 0 0 . chr22 36466051 36466052 chr22:36466052:G:A rs738291 G A G EBF1_EBF_1 15 0 - 0 0 . chr22 36467023 36467024 chr22:36467024:C:G rs16996760 C G C EBF1_EBF_1 33 0 + 0 0 . chr22 36527432 36527433 chr22:36527433:G:C rs6000298 G C C EBF1_EBF_1 -16 0 - 0 0 . chr22 36538750 36538751 chr22:36538751:G:A rs6000318 G A A EBF1_EBF_1 6 1 + 6.863614054216197 9.030408485490671 TTCCCCGTGGGACA chr22 36538773 36538774 chr22:36538774:G:A rs6000319 G A g EBF1_EBF_1 29 0 + 0 0 . chr22 36552425 36552426 chr22:36552426:C:T rs11913223 C T C EBF1_EBF_1 -4 0 + 0 0 . chr22 36558511 36558512 chr22:36558512:G:T rs5756240 G T G EBF1_EBF_1 -17 0 - 0 0 . chr22 36577077 36577078 chr22:36577078:C:T rs73413784 C T C EBF1_EBF_1 9 1 - 5.586514451303348 2.9315751858557437 GCCCCCGGGGGACC chr22 36585208 36585209 chr22:36585209:T:C rs9622423 T C T EBF1_EBF_1 11 1 - 10.043039446968141 7.150332603364131 ATCCCCAAGAGACT chr22 36642466 36642467 chr22:36642467:T:C rs41310250 T C T EBF1_EBF_1 -9 0 - 0 0 . chr22 36673982 36673983 chr22:36673983:C:T rs2284006 C T C EBF1_EBF_1 -7 0 - 0 0 . chr22 36741537 36741538 chr22:36741538:G:A rs9610577 G A G EBF1_EBF_1 23 0 - 0 0 . chr22 36767284 36767285 chr22:36767285:C:T rs1048012 C T T EBF1_EBF_1 7 1 - 6.802506991839979 8.114965397128804 TTACCCAGGGGAAC chr22 36770412 36770413 chr22:36770413:C:T rs5756339 C T T EBF1_EBF_1 22 0 + 0 0 . chr22 36781593 36781594 chr22:36781594:T:C rs5756341 T C C EBF1_EBF_1 27 0 - 0 0 . chr22 36863490 36863491 chr22:36863491:C:T rs73158114 C T C EBF1_EBF_1 30 0 + 0 0 . chr22 36863491 36863492 chr22:36863492:G:A rs73158117 G A G EBF1_EBF_1 31 0 + 0 0 . chr22 36867803 36867804 chr22:36867804:T:C rs729749 T C C EBF1_EBF_1 8 1 - 8.665998231012583 12.892288319898842 ATTCCCATAGGACA chr22 36885692 36885693 chr22:36885693:G:C rs147119212 G C G EBF1_EBF_1 4 1 - 6.072533641289743 0.4467685401401988 CCTCCCCTGAGATC chr22 36885707 36885708 chr22:36885708:T:C rs569087390 T C T EBF1_EBF_1 -11 0 - 0 0 . chr22 36910856 36910857 chr22:36910857:C:A rs713869 C A A EBF1_EBF_1 17 0 - 0 0 . chr22 36913775 36913776 chr22:36913776:G:T rs535236300 G T g EBF1_EBF_1 30 0 - 0 0 . chr22 36938207 36938208 chr22:36938208:G:A rs1801116 G A G EBF1_EBF_1 -5 0 - 0 0 . chr22 36939241 36939242 chr22:36939242:G:A rs965592166 G A G EBF1_EBF_1 18 0 + 0 0 . chr22 36946676 36946677 chr22:36946677:T:C rs131849 T C C EBF1_EBF_1 -18 0 + 0 0 . chr22 37003420 37003421 chr22:37003421:C:T rs530401415 C T C EBF1_EBF_1 24 0 + 0 0 . chr22 37044170 37044171 chr22:37044171:C:T rs187602097 C T C EBF1_EBF_1 -14 0 + 0 0 . chr22 37064408 37064409 chr22:37064409:C:T rs760719 C T C EBF1_EBF_1 -16 0 + 0 0 . chr22 37088296 37088297 chr22:37088297:T:C rs9607412 T C C EBF1_EBF_1 18 0 - 0 0 . chr22 37088333 37088334 chr22:37088334:T:G rs9610646 T G T EBF1_EBF_1 -19 0 - 0 0 . chr22 37163013 37163014 chr22:37163014:C:T rs147814231 C T C EBF1_EBF_1 25 0 + 0 0 . chr22 37170529 37170530 chr22:37170530:G:A rs116696419 G A G EBF1_EBF_1 15 0 - 0 0 . chr22 37180321 37180322 chr22:37180322:G:A rs8279 G A G EBF1_EBF_1 -19 0 + 0 0 . chr22 37184293 37184294 chr22:37184294:G:A rs229525 G A A EBF1_EBF_1 15 0 + 0 0 . chr22 37187653 37187654 chr22:37187654:G:A rs111830933 G A G EBF1_EBF_1 15 0 + 0 0 . chr22 37195220 37195221 chr22:37195221:T:C rs229539 T C T EBF1_EBF_1 -2 0 + 0 0 . chr22 37195249 37195250 chr22:37195250:T:G rs229540 T G G EBF1_EBF_1 27 0 + 0 0 . chr22 37203970 37203971 chr22:37203971:G:C rs35593514 G C G EBF1_EBF_1 -8 0 - 0 0 . chr22 37226269 37226270 chr22:37226270:C:A rs4820276 C A C EBF1_EBF_1 9 1 - 7.274573899651415 0.43758449156260554 ATCCCCAGGGGGCA chr22 37230172 37230173 chr22:37230173:G:A chr22:37230173:G:A G A G EBF1_EBF_1 -17 0 - 0 0 . chr22 37230240 37230241 chr22:37230241:G:C rs943815906 G C G EBF1_EBF_1 10 1 + 8.259082157789182 1.3682797704597083 AGTCCCCAGGGCCC chr22 37232104 37232105 chr22:37232105:A:G rs2285110 A G G EBF1_EBF_1 11 1 + 9.675480126480323 6.782773282876311 ACACCCCAGGGAAT chr22 37283601 37283602 chr22:37283602:C:G rs6000651 C G C EBF1_EBF_1 -2 0 - 0 0 . chr22 37284796 37284797 chr22:37284797:G:A rs55681519 G A G EBF1_EBF_1 -19 0 + 0 0 . chr22 37287238 37287239 chr22:37287239:G:C rs4566465 G C G EBF1_EBF_1 -10 0 + 0 0 . chr22 37299214 37299215 chr22:37299215:T:C rs2301435 T C C EBF1_EBF_1 -2 0 + 0 0 . chr22 37314693 37314694 chr22:37314694:G:A rs56298536 G A G EBF1_EBF_1 -5 0 - 0 0 . chr22 37321271 37321272 chr22:37321272:A:G rs7511542 A G A EBF1_EBF_1 29 0 + 0 0 . chr22 37361088 37361089 chr22:37361089:C:G rs117336757 C G C EBF1_EBF_1 1 1 + 7.564303616773942 7.75407527134316 GCTCCCCAGAGACA chr22 37383499 37383500 chr22:37383500:C:T chr22:37383500:C:T C T C EBF1_EBF_1 -17 0 - 0 0 . chr22 37408135 37408136 chr22:37408136:G:A rs9610744 G A G EBF1_EBF_1 16 0 + 0 0 . chr22 37449504 37449505 chr22:37449505:A:G rs74433527 A G A EBF1_EBF_1 -2 0 - 0 0 . chr22 37495702 37495703 chr22:37495703:C:A rs2295155 C A C EBF1_EBF_1 27 0 - 0 0 . chr22 37501200 37501201 chr22:37501201:C:T rs5756704 C T C EBF1_EBF_1 4 1 + 5.807418698507535 1.4380317535626104 CTTCCCCAGGAACC chr22 37502736 37502737 chr22:37502737:G:A rs140056 G A G EBF1_EBF_1 16 0 + 0 0 . chr22 37549816 37549817 chr22:37549817:C:A rs771005789 C A C EBF1_EBF_1 0 1 + 5.566521499093443 7.821779982790044 CTCCCCGTGGGAGC chr22 37571507 37571508 chr22:37571508:G:C rs73418190 G C G EBF1_EBF_1 27 0 - 0 0 . chr22 37608555 37608556 chr22:37608556:C:G rs182020079 C G C EBF1_EBF_1 23 0 - 0 0 . chr22 37609239 37609240 chr22:37609240:G:A rs34634188 G A G EBF1_EBF_1 30 0 - 0 0 . chr22 37609258 37609259 chr22:37609259:T:C rs71317059 T C C EBF1_EBF_1 11 1 - 5.192341945557294 2.299635101953282 CAACCCCGGGGAAC chr22 37609262 37609263 chr22:37609263:C:T rs144753507 C T C EBF1_EBF_1 7 1 - 5.192341945557294 6.504800350846119 CAACCCCGGGGAAC chr22 37681710 37681711 chr22:37681711:A:G rs2899292 A G a EBF1_EBF_1 -16 0 - 0 0 . chr22 37726114 37726115 chr22:37726115:T:C rs5756795 T C T EBF1_EBF_1 -10 0 + 0 0 . chr22 37734377 37734378 chr22:37734378:A:C rs13054052 A C A EBF1_EBF_1 25 0 + 0 0 . chr22 37735387 37735388 chr22:37735388:G:T rs41283241 G T G EBF1_EBF_1 15 0 - 0 0 . chr22 37735390 37735391 chr22:37735391:C:G chr22:37735391:C:G C G C EBF1_EBF_1 12 1 - 6.311645544225359 7.700281487903511 GCTCCCCAGGGGGC chr22 37804116 37804117 chr22:37804117:C:T rs11703407 C T c EBF1_EBF_1 3 1 + 6.462475870940095 0.057077129386777115 CAACCCAAGGGACA chr22 37807781 37807782 chr22:37807782:T:G rs4821716 T G g EBF1_EBF_1 17 0 - 0 0 . chr22 37807788 37807789 chr22:37807789:C:T rs6000899 C T c EBF1_EBF_1 10 1 - 5.866117128879406 0.5480886696124394 ATCCGCCAGGGACC chr22 37893768 37893769 chr22:37893769:T:G rs185621520 T G T EBF1_EBF_1 -7 0 + 0 0 . chr22 37910885 37910886 chr22:37910886:C:T rs74832565 C T C EBF1_EBF_1 27 0 - 0 0 . chr22 37913341 37913342 chr22:37913342:G:A rs117014149 G A A EBF1_EBF_1 7 1 - 7.535709862680797 9.35321460896342 TCCCCCACGGGACT chr22 37931970 37931971 chr22:37931971:G:A rs140112588 G A G EBF1_EBF_1 25 0 + 0 0 . chr22 37934009 37934010 chr22:37934010:C:G rs3026630 C G C EBF1_EBF_1 -18 0 - 0 0 . chr22 37996495 37996496 chr22:37996496:T:C rs3026655 T C T EBF1_EBF_1 -17 0 + 0 0 . chr22 38000212 38000213 chr22:38000213:C:T rs3026659 C T C EBF1_EBF_1 32 0 + 0 0 . chr22 38052724 38052725 chr22:38052725:C:A rs6000987 C A A EBF1_EBF_1 24 0 - 0 0 . chr22 38052745 38052746 chr22:38052746:G:C rs115871396 G C G EBF1_EBF_1 3 1 - 6.83126416911871 1.0034997210034942 ACTCCCAGGAGGCT chr22 38052757 38052758 chr22:38052758:G:A rs9622722 G A G EBF1_EBF_1 -9 0 - 0 0 . chr22 38056474 38056475 chr22:38056475:G:A rs3026682 G A G EBF1_EBF_1 -20 0 + 0 0 . chr22 38068016 38068017 chr22:38068017:C:T rs41307233 C T C EBF1_EBF_1 28 0 - 0 0 . chr22 38068040 38068041 chr22:38068041:G:T rs761746741 G T G EBF1_EBF_1 4 1 - 5.669508123655868 -0.1550514997975987 AGTCCCCAGAGGAG chr22 38071776 38071777 chr22:38071777:G:C rs760975 G C C EBF1_EBF_1 -6 0 + 0 0 . chr22 38085019 38085020 chr22:38085020:T:C rs146229052 T C T EBF1_EBF_1 -17 0 + 0 0 . chr22 38115055 38115056 chr22:38115056:T:A rs11570754 T A T EBF1_EBF_1 -11 0 + 0 0 . chr22 38127203 38127204 chr22:38127204:G:A rs3788532 G A G EBF1_EBF_1 -15 0 + 0 0 . chr22 38127238 38127239 chr22:38127239:C:G rs3788533 C G G EBF1_EBF_1 20 0 + 0 0 . chr22 38127239 38127240 chr22:38127240:C:T rs569262025 C T C EBF1_EBF_1 21 0 + 0 0 . chr22 38148564 38148565 chr22:38148565:C:T rs116604972 C T T EBF1_EBF_1 30 0 - 0 0 . chr22 38163071 38163072 chr22:38163072:G:C rs73172642 G C G EBF1_EBF_1 -19 0 + 0 0 . chr22 38165903 38165904 chr22:38165904:T:G rs132971 T G T EBF1_EBF_1 28 0 - 0 0 . chr22 38168449 38168450 chr22:38168450:T:C rs4821751 T C C EBF1_EBF_1 18 0 - 0 0 . chr22 38203193 38203194 chr22:38203194:G:A rs9610913 G A G EBF1_EBF_1 10 1 + 9.935614116329013 4.617585657062049 AGTCCCATGAGAAC chr22 38261124 38261125 chr22:38261125:G:A rs1028625104 G A G EBF1_EBF_1 33 0 + 0 0 . chr22 38299400 38299401 chr22:38299401:C:T rs5750581 C T T EBF1_EBF_1 -19 0 - 0 0 . chr22 38304216 38304217 chr22:38304217:C:T chr22:38304217:C:T C T C EBF1_EBF_1 6 1 + 10.151776162992144 10.4530622918539 AACCCCCAGGGACA chr22 38315966 38315967 chr22:38315967:C:T rs77335705 C T C EBF1_EBF_1 27 0 - 0 0 . chr22 38316077 38316078 chr22:38316078:G:A chr22:38316078:G:A G A G EBF1_EBF_1 27 0 - 0 0 . chr22 38316110 38316111 chr22:38316111:G:T rs113437014 G T G EBF1_EBF_1 -6 0 - 0 0 . chr22 38443868 38443869 chr22:38443869:G:A rs9610956 G A G EBF1_EBF_1 16 0 + 0 0 . chr22 38444738 38444739 chr22:38444739:C:T rs75456621 C T C EBF1_EBF_1 33 0 + 0 0 . chr22 38601318 38601319 chr22:38601319:G:A rs73157155 G A G EBF1_EBF_1 27 0 + 0 0 . chr22 38606622 38606623 chr22:38606623:G:T rs144018074 G T G EBF1_EBF_1 -14 0 + 0 0 . chr22 38606627 38606628 chr22:38606628:C:T rs550163412 C T C EBF1_EBF_1 -9 0 + 0 0 . chr22 38752469 38752470 chr22:38752470:G:A rs80090802 G A G EBF1_EBF_1 -19 0 + 0 0 . chr22 38752477 38752478 chr22:38752478:G:A rs2072798 G A G EBF1_EBF_1 -11 0 + 0 0 . chr22 38753112 38753113 chr22:38753113:C:T rs116009820 C T . EBF1_EBF_1 25 0 + 0 0 . chr22 38761066 38761067 chr22:38761067:G:A rs146280676 G A G EBF1_EBF_1 32 0 - 0 0 . chr22 38761100 38761101 chr22:38761101:C:T rs969588854 C T C EBF1_EBF_1 -2 0 - 0 0 . chr22 38766306 38766307 chr22:38766307:G:C chr22:38766307:G:C G C G EBF1_EBF_1 -12 0 + 0 0 . chr22 38797922 38797923 chr22:38797923:C:A rs6001228 C A C EBF1_EBF_1 -11 0 - 0 0 . chr22 38853202 38853203 chr22:38853203:G:A rs79511420 G A G EBF1_EBF_1 1 1 - 6.122469289282775 7.01790272968152 TCCCCCAAGGGGAC chr22 38865070 38865071 chr22:38865071:G:A rs75218720 G A G EBF1_EBF_1 30 0 - 0 0 . chr22 38868930 38868931 chr22:38868931:T:G rs115948952 T G T EBF1_EBF_1 -8 0 + 0 0 . chr22 38871185 38871186 chr22:38871186:C:T rs1122770 C T C EBF1_EBF_1 16 0 + 0 0 . chr22 38879650 38879651 chr22:38879651:A:T rs5757318 A T A EBF1_EBF_1 23 0 - 0 0 . chr22 38927428 38927429 chr22:38927429:G:A rs115020940 G A G EBF1_EBF_1 28 0 - 0 0 . chr22 38994655 38994656 chr22:38994656:G:A rs6001360 G A N EBF1_EBF_1 -6 0 + 0 0 . chr22 39012835 39012836 chr22:39012836:G:A rs4443098 G A g EBF1_EBF_1 23 0 - 0 0 . chr22 39131791 39131792 chr22:39131792:C:G rs12166266 C G C EBF1_EBF_1 9 1 - 8.629356784846262 3.5536676385008015 ATCCCCCAGGGGAC chr22 39145907 39145908 chr22:39145908:A:C rs1023807617 A C A EBF1_EBF_1 26 0 - 0 0 . chr22 39153327 39153328 chr22:39153328:C:T rs56821694 C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 39169541 39169542 chr22:39169542:C:T rs59680031 C T C EBF1_EBF_1 26 0 - 0 0 . chr22 39175042 39175043 chr22:39175043:C:G rs557010447 C G C EBF1_EBF_1 2 1 + 5.223539837171581 0.36403755841326235 CCCCCCGGGGGACC chr22 39176644 39176645 chr22:39176645:G:A rs5995691 G A g EBF1_EBF_1 13 1 - 10.144456279768757 10.988950394354276 CTTCCCTGGGGAAC chr22 39183443 39183444 chr22:39183444:C:T rs9622966 C T C EBF1_EBF_1 -7 0 - 0 0 . chr22 39199012 39199013 chr22:39199013:C:T rs5757533 C T C EBF1_EBF_1 23 0 + 0 0 . chr22 39219115 39219116 chr22:39219116:A:G rs75279419 A G A EBF1_EBF_1 25 0 + 0 0 . chr22 39253897 39253898 chr22:39253898:G:C rs35243573 G C G EBF1_EBF_1 -12 0 + 0 0 . chr22 39255327 39255328 chr22:39255328:G:A rs59297548 G A A EBF1_EBF_1 27 0 + 0 0 . chr22 39262698 39262699 chr22:39262699:C:T rs9622983 C T C EBF1_EBF_1 -5 0 - 0 0 . chr22 39269389 39269390 chr22:39269390:A:G rs1569497 A G G EBF1_EBF_1 -12 0 + 0 0 . chr22 39300037 39300038 chr22:39300038:C:T rs35543144 C T C EBF1_EBF_1 32 0 - 0 0 . chr22 39310630 39310631 chr22:39310631:C:T rs115642855 C T C EBF1_EBF_1 25 0 + 0 0 . chr22 39314658 39314659 chr22:39314659:C:T rs7285530 C T C EBF1_EBF_1 4 1 + 6.881627184903368 2.512240239958444 CACCCCCAGGGAGC chr22 39317082 39317083 chr22:39317083:G:C rs190874030 G C G EBF1_EBF_1 25 0 - 0 0 . chr22 39317099 39317100 chr22:39317100:C:T rs470081 C T T EBF1_EBF_1 8 1 - 5.251595836988022 1.0253057481017618 CTTCCCCAGGGCAG chr22 39317122 39317123 chr22:39317123:A:G rs2072872 A G G EBF1_EBF_1 -15 0 - 0 0 . chr22 39326471 39326472 chr22:39326472:C:A rs137636 C A C EBF1_EBF_1 1 1 + 6.593242363951472 6.522315186599387 TCTCCCCAGGGGTC chr22 39385007 39385008 chr22:39385008:G:A rs139018750 G A G EBF1_EBF_1 17 0 + 0 0 . chr22 39385011 39385012 chr22:39385012:G:A chr22:39385012:G:A G A G EBF1_EBF_1 21 0 + 0 0 . chr22 39400264 39400265 chr22:39400265:C:T rs34590456 C T C EBF1_EBF_1 2 1 + 6.254994918827435 8.143818330269585 AGCCCCCTGAGATA chr22 39470788 39470789 chr22:39470789:G:A rs115647037 G A G EBF1_EBF_1 -7 0 - 0 0 . chr22 39471655 39471656 chr22:39471656:C:G rs8137052 C G G EBF1_EBF_1 -13 0 - 0 0 . chr22 39478565 39478566 chr22:39478566:G:C rs150922374 G C G EBF1_EBF_1 4 1 - 7.188493655864695 1.5627285547151513 AGTCCCCAGGGTGC chr22 39521023 39521024 chr22:39521024:A:G rs1060142 A G G EBF1_EBF_1 -14 0 - 0 0 . chr22 39527491 39527492 chr22:39527492:T:C rs2179228 T C C EBF1_EBF_1 -5 0 + 0 0 . chr22 39528321 39528322 chr22:39528322:C:T rs116749407 C T c EBF1_EBF_1 -11 0 - 0 0 . chr22 39578802 39578803 chr22:39578803:T:C rs62228480 T C T EBF1_EBF_1 24 0 - 0 0 . chr22 39580122 39580123 chr22:39580123:C:A rs132570 C A C EBF1_EBF_1 28 0 - 0 0 . chr22 39580127 39580128 chr22:39580128:A:C rs132571 A C A EBF1_EBF_1 23 0 - 0 0 . chr22 39613574 39613575 chr22:39613575:C:A rs73169382 C A C EBF1_EBF_1 5 1 + 6.362066910615849 -0.5428142420193194 AGTCACATGGGACA chr22 39619651 39619652 chr22:39619652:T:C rs5995761 T C C EBF1_EBF_1 0 1 - 8.484105130625393 6.5918212610605575 ACTCTCCTGGGACT chr22 39621857 39621858 chr22:39621858:G:A rs73424141 G A G EBF1_EBF_1 29 0 + 0 0 . chr22 39640592 39640593 chr22:39640593:A:G rs136819 A G G EBF1_EBF_1 7 1 + 10.135938308506525 8.8234799032177 ACTCCCGAGGGAGT chr22 39661267 39661268 chr22:39661268:T:C rs136852 T C C EBF1_EBF_1 18 0 - 0 0 . chr22 39662993 39662994 chr22:39662994:C:T rs136854 C T C EBF1_EBF_1 28 0 - 0 0 . chr22 39675888 39675889 chr22:39675889:G:A rs3788576 G A G EBF1_EBF_1 16 0 - 0 0 . chr22 39705407 39705408 chr22:39705408:G:T rs142173198 G T G EBF1_EBF_1 17 0 + 0 0 . chr22 39705408 39705409 chr22:39705409:C:T rs146388885 C T C EBF1_EBF_1 18 0 + 0 0 . chr22 39724182 39724183 chr22:39724183:G:A rs573573415 G A G EBF1_EBF_1 3 1 - 6.3766089248840645 -0.02878981666925351 CCTCCCGAGGGGCT chr22 39899241 39899242 chr22:39899242:A:G rs115732456 A G A EBF1_EBF_1 20 0 - 0 0 . chr22 39911889 39911890 chr22:39911890:C:T rs137967 C T C EBF1_EBF_1 -10 0 + 0 0 . chr22 39980854 39980855 chr22:39980855:G:C chr22:39980855:G:C G C G EBF1_EBF_1 17 0 + 0 0 . chr22 39994765 39994766 chr22:39994766:T:C rs9611242 T C C EBF1_EBF_1 -16 0 - 0 0 . chr22 39994769 39994770 chr22:39994770:C:T rs569216628 C T C EBF1_EBF_1 -20 0 - 0 0 . chr22 40039640 40039641 chr22:40039641:C:T rs147095975 C T c EBF1_EBF_1 -11 0 + 0 0 . chr22 40238048 40238049 chr22:40238049:C:T rs189301269 C T C EBF1_EBF_1 17 0 - 0 0 . chr22 40441248 40441249 chr22:40441249:C:T rs56215843 C T C EBF1_EBF_1 1 1 + 7.594485015195268 8.489918455594013 GCTCCCCAGAGAAA chr22 40486112 40486113 chr22:40486113:C:T rs747863123 C T C EBF1_EBF_1 28 0 + 0 0 . chr22 40646155 40646156 chr22:40646156:C:T rs73887848 C T C EBF1_EBF_1 1 1 + 8.133210030104118 9.028643470502864 ACCCCCGTGGGATT chr22 40655104 40655105 chr22:40655105:G:A rs6002000 G A - EBF1_EBF_1 8 1 + 4.6593235283046095 0.43303343941834826 TTGCCCCAGGGACC chr22 40712097 40712098 chr22:40712098:A:T rs998483 A T A EBF1_EBF_1 13 1 - 5.307723217410942 4.089483653539448 CGCCCCCAGGGTAT chr22 40712130 40712131 chr22:40712131:G:A rs998482 G A A EBF1_EBF_1 -20 0 - 0 0 . chr22 40732955 40732956 chr22:40732956:G:A rs73172811 G A G EBF1_EBF_1 11 1 - 6.450406351712446 6.768453793466109 ATTCCCCAGGGCTG chr22 40736315 40736316 chr22:40736316:G:A rs17344680 G A G EBF1_EBF_1 9 1 + 6.848993998310956 4.1940547328633535 TTTCCCATCGGAAT chr22 40806131 40806132 chr22:40806132:C:T rs138312 C T C EBF1_EBF_1 -14 0 + 0 0 . chr22 40827201 40827202 chr22:40827202:T:C rs144283288 T C T EBF1_EBF_1 -9 0 + 0 0 . chr22 40856400 40856401 chr22:40856401:C:G rs114335736 C G C EBF1_EBF_1 26 0 + 0 0 . chr22 40967372 40967373 chr22:40967373:C:G rs140552987 C G C EBF1_EBF_1 16 0 - 0 0 . chr22 41231649 41231650 chr22:41231650:C:G rs139486 C G C EBF1_EBF_1 -8 0 - 0 0 . chr22 41302717 41302718 chr22:41302718:C:T rs8141517 C T C EBF1_EBF_1 13 1 - 6.6892285036425365 7.8035540757119755 TCCCCCCTGGGAAG chr22 41307403 41307404 chr22:41307404:A:G rs1883825 A G G EBF1_EBF_1 30 0 + 0 0 . chr22 41350207 41350208 chr22:41350208:T:C rs2281332 T C C EBF1_EBF_1 27 0 - 0 0 . chr22 41406026 41406027 chr22:41406027:G:A rs132909 G A g EBF1_EBF_1 28 0 + 0 0 . chr22 41413678 41413679 chr22:41413679:G:A rs116088695 G A G EBF1_EBF_1 20 0 - 0 0 . chr22 41414165 41414166 chr22:41414166:T:C rs132920 T C C EBF1_EBF_1 31 0 - 0 0 . chr22 41418396 41418397 chr22:41418397:A:G rs202665 A G A EBF1_EBF_1 22 0 + 0 0 . chr22 41448005 41448006 chr22:41448006:G:A rs188583560 G A G EBF1_EBF_1 -12 0 + 0 0 . chr22 41448650 41448651 chr22:41448651:T:C chr22:41448651:T:C T C T EBF1_EBF_1 29 0 - 0 0 . chr22 41469462 41469463 chr22:41469463:A:T rs778647765 A T A EBF1_EBF_1 28 0 - 0 0 . chr22 41481525 41481526 chr22:41481526:A:G rs13056499 A G A EBF1_EBF_1 33 0 - 0 0 . chr22 41504434 41504435 chr22:41504435:A:G rs5751117 A G A EBF1_EBF_1 18 0 + 0 0 . chr22 41508960 41508961 chr22:41508961:C:T rs146354519 C T C EBF1_EBF_1 23 0 + 0 0 . chr22 41527116 41527117 chr22:41527117:C:T rs62236521 C T C EBF1_EBF_1 32 0 + 0 0 . chr22 41529530 41529531 chr22:41529531:T:C rs4822032 T C C EBF1_EBF_1 1 1 + 7.600554327415095 6.705120887016349 CTTCTCCAGGGACT chr22 41539357 41539358 chr22:41539358:A:G rs12483998 A G A EBF1_EBF_1 2 1 - 5.598318129366806 3.709494717924656 CATCCCTTGGGCAC chr22 41563058 41563059 chr22:41563059:C:T rs144878706 C T C EBF1_EBF_1 -20 0 + 0 0 . chr22 41696766 41696767 chr22:41696767:C:G rs35413739 C G C EBF1_EBF_1 -11 0 + 0 0 . chr22 41697105 41697106 chr22:41697106:G:A rs73161349 G A G EBF1_EBF_1 -18 0 + 0 0 . chr22 41700056 41700057 chr22:41700057:C:T rs9619984 C T C EBF1_EBF_1 7 1 - 6.658533672171323 7.9709920774601475 AATCCCCGGGAAAT chr22 41801398 41801399 chr22:41801399:T:C rs1261599357 T C T EBF1_EBF_1 32 0 + 0 0 . chr22 41910151 41910152 chr22:41910152:G:A rs1005073170 G A G EBF1_EBF_1 -9 0 - 0 0 . chr22 41910918 41910919 chr22:41910919:G:A rs28451556 G A G EBF1_EBF_1 16 0 - 0 0 . chr22 41911221 41911222 chr22:41911222:T:C rs76140449 T C T EBF1_EBF_1 25 0 - 0 0 . chr22 41911234 41911235 chr22:41911235:G:A rs117492772 G A G EBF1_EBF_1 12 1 - 5.888022001987081 4.861755431436231 CCTCCCCCGGGGCT chr22 41933592 41933593 chr22:41933593:C:T rs74348413 C T C EBF1_EBF_1 27 0 + 0 0 . chr22 41936431 41936432 chr22:41936432:G:A rs5996089 G A G EBF1_EBF_1 14 0 - 0 0 . chr22 41937100 41937101 chr22:41937101:C:G rs75692143 C G C EBF1_EBF_1 -13 0 - 0 0 . chr22 41952306 41952307 chr22:41952307:C:G rs917992229 C G C EBF1_EBF_1 16 0 - 0 0 . chr22 41952330 41952331 chr22:41952331:C:T rs116295155 C T C EBF1_EBF_1 -8 0 - 0 0 . chr22 41979691 41979692 chr22:41979692:A:C rs559595491 A C A EBF1_EBF_1 2 1 - 6.658546134108883 -0.08977955609158528 CCTCCCCAGGGCCT chr22 42141393 42141394 chr22:42141394:C:G rs2854741 C G - EBF1_EBF_1 23 0 + 0 0 . chr22 42293363 42293364 chr22:42293364:C:T rs134906 C T C EBF1_EBF_1 5 1 + 8.239763501929618 2.917976286388948 ATTCCCTAGGGCTC chr22 42322474 42322475 chr22:42322475:T:A rs6002688 T A T EBF1_EBF_1 13 1 - 8.467632324411628 9.685871888283122 CCTCCCCAGGGAGA chr22 42322760 42322761 chr22:42322761:T:C rs568368137 T C T EBF1_EBF_1 -10 0 - 0 0 . chr22 42385064 42385065 chr22:42385065:G:A rs12484478 G A G EBF1_EBF_1 6 1 - 8.520191125348612 8.82147725421037 GGCCCCCAGGGACA chr22 42398230 42398231 chr22:42398231:G:A rs35282507 G A G EBF1_EBF_1 32 0 - 0 0 . chr22 42398263 42398264 chr22:42398264:G:A rs5758722 G A G EBF1_EBF_1 -1 0 - 0 0 . chr22 42405445 42405446 chr22:42405446:A:G rs2142831 A G G EBF1_EBF_1 2 1 - 6.628735582897616 4.739912171455466 AGTCCCCAGGCACT chr22 42444836 42444837 chr22:42444837:G:A rs73888307 G A G EBF1_EBF_1 12 1 + 6.4816739157532375 7.900491257852716 AACCCCGTGGGAGA chr22 42444850 42444851 chr22:42444851:G:C rs947130369 G C - EBF1_EBF_1 26 0 + 0 0 . chr22 42510907 42510908 chr22:42510908:A:G rs55803321 A G A EBF1_EBF_1 28 0 + 0 0 . chr22 42614505 42614506 chr22:42614506:G:A rs952704195 G A G EBF1_EBF_1 29 0 - 0 0 . chr22 42621712 42621713 chr22:42621713:C:T rs8190468 C T T EBF1_EBF_1 19 0 - 0 0 . chr22 42636321 42636322 chr22:42636322:A:G rs5758824 A G A EBF1_EBF_1 -16 0 - 0 0 . chr22 42644617 42644618 chr22:42644618:G:A rs578120569 G A G EBF1_EBF_1 7 1 + 6.714574175928248 8.027032581217075 ACTCCCTGGGGCAA chr22 42644633 42644634 chr22:42644634:C:T rs6002846 C T C EBF1_EBF_1 23 0 + 0 0 . chr22 42657753 42657754 chr22:42657754:C:T rs780786815 C T C EBF1_EBF_1 -18 0 - 0 0 . chr22 42662732 42662733 chr22:42662733:G:A rs61335672 G A G EBF1_EBF_1 11 1 + 4.4732659606710925 7.365972804275104 AGCCCCACGGGGCG chr22 42662734 42662735 chr22:42662735:G:C rs372488727 G C G EBF1_EBF_1 13 1 + 4.4732659606710925 5.961336982026507 AGCCCCACGGGGCG chr22 42686045 42686046 chr22:42686046:G:A rs130384 G A G EBF1_EBF_1 26 0 - 0 0 . chr22 42692428 42692429 chr22:42692429:C:T rs135106 C T C EBF1_EBF_1 26 0 - 0 0 . chr22 42692456 42692457 chr22:42692457:G:T rs3747193 G T G EBF1_EBF_1 -2 0 - 0 0 . chr22 42743148 42743149 chr22:42743149:C:T rs1026964133 C T C EBF1_EBF_1 3 1 + 5.869903978863353 -0.5354947626899658 GTCCCCCTGGGTCT chr22 42744571 42744572 chr22:42744572:C:T rs5758906 C T C EBF1_EBF_1 -10 0 + 0 0 . chr22 42765106 42765107 chr22:42765107:C:A rs112375179 C A N EBF1_EBF_1 12 1 + 5.349522545201145 5.379703943622471 GAACCCCAGGGACG chr22 42841632 42841633 chr22:42841633:G:A rs738534 G A G EBF1_EBF_1 14 0 + 0 0 . chr22 42853028 42853029 chr22:42853029:A:G rs5758987 A G G EBF1_EBF_1 11 1 + 7.253358013535286 4.360651169931275 CCTCCCAAGGTACT chr22 42871824 42871825 chr22:42871825:G:T rs4822211 G T G EBF1_EBF_1 -18 0 + 0 0 . chr22 42871866 42871867 chr22:42871867:G:T rs4822212 G T T EBF1_EBF_1 24 0 + 0 0 . chr22 42937782 42937783 chr22:42937783:C:T rs150218408 C T C EBF1_EBF_1 33 0 - 0 0 . chr22 42940678 42940679 chr22:42940679:C:T rs9611969 C T C EBF1_EBF_1 29 0 - 0 0 . chr22 42940721 42940722 chr22:42940722:C:T rs8138930 C T T EBF1_EBF_1 -14 0 - 0 0 . chr22 43015548 43015549 chr22:43015549:C:T rs182169205 C T C EBF1_EBF_1 1 1 + 11.718312260493127 12.613745700891872 TCTCCCAAGGGACT chr22 43015555 43015556 chr22:43015556:G:A rs569848235 G A G EBF1_EBF_1 8 1 + 11.718312260493127 7.492022171606867 TCTCCCAAGGGACT chr22 43023359 43023360 chr22:43023360:C:T rs28478492 C T C EBF1_EBF_1 -14 0 - 0 0 . chr22 43034112 43034113 chr22:43034113:G:A rs11914079 G A g EBF1_EBF_1 -18 0 + 0 0 . chr22 43034130 43034131 chr22:43034131:C:T rs199583580 C T c EBF1_EBF_1 0 1 + 6.335291201375666 6.617493262106244 CGTCCCTAGGGCAC chr22 43113839 43113840 chr22:43113840:G:C rs1883264 G C C EBF1_EBF_1 23 0 + 0 0 . chr22 43119360 43119361 chr22:43119361:G:T rs185755959 G T G EBF1_EBF_1 13 1 - 8.20355951509729 7.8298140658113144 ATTCCCAGAGGACC chr22 43126527 43126528 chr22:43126528:C:T rs73886404 C T C EBF1_EBF_1 23 0 + 0 0 . chr22 43151994 43151995 chr22:43151995:T:C rs9333315 T C T EBF1_EBF_1 23 0 + 0 0 . chr22 43159583 43159584 chr22:43159584:A:C rs8141880 A C A EBF1_EBF_1 33 0 - 0 0 . chr22 43159914 43159915 chr22:43159915:G:A rs138914 G A G EBF1_EBF_1 -8 0 - 0 0 . chr22 43187669 43187670 chr22:43187670:G:A rs59093929 G A g EBF1_EBF_1 19 0 - 0 0 . chr22 43187689 43187690 chr22:43187690:G:A chr22:43187690:G:A G A g EBF1_EBF_1 -1 0 - 0 0 . chr22 43200118 43200119 chr22:43200119:T:G rs138978 T G G EBF1_EBF_1 21 0 - 0 0 . chr22 43208916 43208917 chr22:43208917:G:C rs73163944 G C G EBF1_EBF_1 6 1 - 7.505300630956437 5.700108567483239 GGCCCCCAGGGAGC chr22 43223055 43223056 chr22:43223056:C:G rs2071726 C G C EBF1_EBF_1 -7 0 - 0 0 . chr22 43227388 43227389 chr22:43227389:C:G rs129415 C G G EBF1_EBF_1 -16 0 + 0 0 . chr22 43231639 43231640 chr22:43231640:G:A rs4820507 G A G EBF1_EBF_1 10 1 + 6.972251086110286 1.6542226268433198 ATTCCCTCGGGCCC chr22 43264468 43264469 chr22:43264469:G:C rs145202882 G C G EBF1_EBF_1 24 0 + 0 0 . chr22 43276356 43276357 chr22:43276357:C:T rs74364212 C T C EBF1_EBF_1 1 1 - 11.149607614244209 10.888908782322906 CGTCCCATGGGACT chr22 43287081 43287082 chr22:43287082:G:A rs739306 G A G EBF1_EBF_1 -11 0 - 0 0 . chr22 43320634 43320635 chr22:43320635:G:C rs6003154 G C G EBF1_EBF_1 19 0 + 0 0 . chr22 43329379 43329380 chr22:43329380:A:G rs73889212 A G A EBF1_EBF_1 -6 0 + 0 0 . chr22 43329414 43329415 chr22:43329415:T:C rs950553 T C C EBF1_EBF_1 29 0 + 0 0 . chr22 43341493 43341494 chr22:43341494:G:A rs1894718 G A G EBF1_EBF_1 33 0 + 0 0 . chr22 43343558 43343559 chr22:43343559:T:G rs9608017 T G G EBF1_EBF_1 32 0 + 0 0 . chr22 43344059 43344060 chr22:43344060:C:T rs2859446 C T C EBF1_EBF_1 -1 0 - 0 0 . chr22 43359627 43359628 chr22:43359628:G:C rs76059393 G C G EBF1_EBF_1 -5 0 + 0 0 . chr22 43377074 43377075 chr22:43377075:G:A rs541842795 G A G EBF1_EBF_1 -2 0 + 0 0 . chr22 43391022 43391023 chr22:43391023:C:T rs150943245 C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 43410947 43410948 chr22:43410948:T:G rs5759322 T G G EBF1_EBF_1 0 1 - 7.8143094855480335 5.559051001851432 AATCCCCAAGGACA chr22 43410957 43410958 chr22:43410958:G:C rs5759323 G C G EBF1_EBF_1 -10 0 - 0 0 . chr22 43411177 43411178 chr22:43411178:A:G rs73889244 A G A EBF1_EBF_1 32 0 + 0 0 . chr22 43418815 43418816 chr22:43418816:T:C rs118031208 T C T EBF1_EBF_1 31 0 - 0 0 . chr22 43436162 43436163 chr22:43436163:C:T rs135069 C T C EBF1_EBF_1 23 0 + 0 0 . chr22 43436336 43436337 chr22:43436337:C:T rs76461888 C T C EBF1_EBF_1 4 1 + 5.849235852416329 1.4798489074714047 CCTCCCCAGGGGCG chr22 43442897 43442898 chr22:43442898:G:A rs78740847 G A G EBF1_EBF_1 7 1 - 4.924868001391916 6.74237274767454 AGCCCCGCGGGAGA chr22 43478398 43478399 chr22:43478399:C:T rs73167988 C T C EBF1_EBF_1 33 0 + 0 0 . chr22 43486713 43486714 chr22:43486714:C:T rs28643008 C T T EBF1_EBF_1 23 0 - 0 0 . chr22 43500801 43500802 chr22:43500802:T:A rs73170016 T A t EBF1_EBF_1 16 0 + 0 0 . chr22 43502227 43502228 chr22:43502228:T:C rs28588871 T C T EBF1_EBF_1 28 0 - 0 0 . chr22 43503451 43503452 chr22:43503452:G:A rs55707495 G A G EBF1_EBF_1 -2 0 + 0 0 . chr22 43503480 43503481 chr22:43503481:G:T rs55813366 G T T EBF1_EBF_1 27 0 + 0 0 . chr22 43510740 43510741 chr22:43510741:G:A rs67457304 G A . EBF1_EBF_1 17 0 + 0 0 . chr22 43512288 43512289 chr22:43512289:T:C rs12157819 T C . EBF1_EBF_1 -11 0 + 0 0 . chr22 43617741 43617742 chr22:43617742:T:C rs6006729 T C T EBF1_EBF_1 -14 0 + 0 0 . chr22 43627292 43627293 chr22:43627293:G:A rs4823125 G A G EBF1_EBF_1 -3 0 - 0 0 . chr22 43638053 43638054 chr22:43638054:C:T rs5764174 C T C EBF1_EBF_1 -3 0 + 0 0 . chr22 43741872 43741873 chr22:43741873:C:A rs5764263 C A C EBF1_EBF_1 3 1 + 6.367830130404781 -0.5383438990610271 CTTCCCCTGGGGGC chr22 43812422 43812423 chr22:43812423:C:T chr22:43812423:C:T C T . EBF1_EBF_1 24 0 - 0 0 . chr22 43812446 43812447 chr22:43812447:C:T rs112007913 C T . EBF1_EBF_1 0 1 - 7.968230464481244 9.860514334046076 GCTCCCCAGAGAAC chr22 43838158 43838159 chr22:43838159:G:A rs34648249 G A G EBF1_EBF_1 17 0 + 0 0 . chr22 43841521 43841522 chr22:43841522:C:A rs4823098 C A C EBF1_EBF_1 26 0 + 0 0 . chr22 43845094 43845095 chr22:43845095:T:C rs138080 T C C EBF1_EBF_1 0 1 - 5.578613123839736 3.6863292542749013 AATCACTGGGGACC chr22 43848421 43848422 chr22:43848422:C:A rs138086 C A A EBF1_EBF_1 -3 0 + 0 0 . chr22 43855445 43855446 chr22:43855446:G:A rs2285168 G A G EBF1_EBF_1 3 1 - 7.639611016039874 1.2342122744865567 ACTCTCCTGGGACC chr22 43878233 43878234 chr22:43878234:C:A rs2213725 C A c EBF1_EBF_1 16 0 - 0 0 . chr22 43888973 43888974 chr22:43888974:A:G rs2401203 A G G EBF1_EBF_1 -10 0 + 0 0 . chr22 43904486 43904487 chr22:43904487:T:G rs5764397 T G g EBF1_EBF_1 11 1 + 5.750014907738798 6.955222320233513 ACTCCCCCGGGTAA chr22 43937489 43937490 chr22:43937490:A:G rs2076207 A G G EBF1_EBF_1 6 1 + 7.0918018713333595 4.925007440058884 CTTCCCATGGGGTC chr22 43945312 43945313 chr22:43945313:T:C rs4823179 T C C EBF1_EBF_1 31 0 - 0 0 . chr22 43951552 43951553 chr22:43951553:G:T rs9614293 G T G EBF1_EBF_1 1 1 - 8.021027953458397 7.950100776106312 ACCCCCTAGGGAGG chr22 43952235 43952236 chr22:43952236:G:A rs11912828 G A G EBF1_EBF_1 -12 0 + 0 0 . chr22 43952403 43952404 chr22:43952404:C:T rs117369516 C T C EBF1_EBF_1 5 1 + 6.457729649118618 1.135942433577947 CTTCCCGAGGGGAC chr22 43970254 43970255 chr22:43970255:G:A rs12168183 G A G EBF1_EBF_1 -18 0 - 0 0 . chr22 43975362 43975363 chr22:43975363:A:G rs4823106 A G G EBF1_EBF_1 -1 0 - 0 0 . chr22 43990400 43990401 chr22:43990401:C:T rs7587 C T C EBF1_EBF_1 -10 0 - 0 0 . chr22 43994355 43994356 chr22:43994356:T:C rs5764053 T C C EBF1_EBF_1 -12 0 - 0 0 . chr22 44025544 44025545 chr22:44025545:G:A rs2267591 G A g EBF1_EBF_1 10 1 + 6.2988264293271365 0.9807979700601699 TGCCCCCAGGGATG chr22 44039069 44039070 chr22:44039070:G:A rs2896022 G A g EBF1_EBF_1 -2 0 + 0 0 . chr22 44064408 44064409 chr22:44064409:A:G rs59481133 A G A EBF1_EBF_1 -13 0 + 0 0 . chr22 44067986 44067987 chr22:44067987:G:T rs7285717 G T G EBF1_EBF_1 13 1 - 7.148635864153743 6.774890414867767 ACTCCCAGGGGCAC chr22 44081675 44081676 chr22:44081676:G:T rs16991424 G T G EBF1_EBF_1 -16 0 - 0 0 . chr22 44090405 44090406 chr22:44090406:A:G rs16991437 A G G EBF1_EBF_1 -11 0 - 0 0 . chr22 44157124 44157125 chr22:44157125:G:A rs4823195 G A G EBF1_EBF_1 -17 0 + 0 0 . chr22 44163961 44163962 chr22:44163962:A:G rs139081 A G G EBF1_EBF_1 13 1 + 7.4322613925719585 6.31793582050252 GTTCCCCCGGGAGA chr22 44175199 44175200 chr22:44175200:C:T rs6006691 C T C EBF1_EBF_1 -17 0 + 0 0 . chr22 44187247 44187248 chr22:44187248:C:T rs541193443 C T C EBF1_EBF_1 -17 0 - 0 0 . chr22 44201204 44201205 chr22:44201205:C:A rs73163849 C A C EBF1_EBF_1 31 0 - 0 0 . chr22 44201421 44201422 chr22:44201422:C:T rs139159 C T C EBF1_EBF_1 24 0 - 0 0 . chr22 44206192 44206193 chr22:44206193:C:T rs186232877 C T C EBF1_EBF_1 22 0 - 0 0 . chr22 44274335 44274336 chr22:44274336:A:G rs135409 A G A EBF1_EBF_1 16 0 - 0 0 . chr22 44298731 44298732 chr22:44298732:A:T rs8140742 A T A EBF1_EBF_1 7 1 - 6.952409635364156 7.428683875451715 AGTCCCTTGAGGCC chr22 44349180 44349181 chr22:44349181:C:T rs117691882 C T C EBF1_EBF_1 18 0 - 0 0 . chr22 44349194 44349195 chr22:44349195:G:C rs8142369 G C G EBF1_EBF_1 4 1 - 7.31552897638722 1.6897638752376751 GCCCCCCAGGGAGC chr22 44358563 44358564 chr22:44358564:C:T rs71330746 C T C EBF1_EBF_1 -12 0 - 0 0 . chr22 44359165 44359166 chr22:44359166:G:C rs6006806 G C G EBF1_EBF_1 26 0 + 0 0 . chr22 44359769 44359770 chr22:44359770:A:G rs10854823 A G G EBF1_EBF_1 -17 0 + 0 0 . chr22 44361422 44361423 chr22:44361423:T:C rs5765702 T C C EBF1_EBF_1 -9 0 + 0 0 . chr22 44361428 44361429 chr22:44361429:T:A rs5765703 T A T EBF1_EBF_1 -3 0 + 0 0 . chr22 44363633 44363634 chr22:44363634:C:T rs71330747 C T C EBF1_EBF_1 28 0 - 0 0 . chr22 44363638 44363639 chr22:44363639:A:G rs9614823 A G G EBF1_EBF_1 23 0 - 0 0 . chr22 44364158 44364159 chr22:44364159:G:A rs8138173 G A G EBF1_EBF_1 7 1 + 8.000486311980952 9.312944717269776 ATCCCCGGGGGACA chr22 44364620 44364621 chr22:44364621:C:T rs5765777 C T C EBF1_EBF_1 7 1 - 8.274996873690593 9.587455278979418 GTCCCCAGGGGACA chr22 44366508 44366509 chr22:44366509:A:G rs5764916 A G G EBF1_EBF_1 18 0 + 0 0 . chr22 44370742 44370743 chr22:44370743:T:C rs5765858 T C C EBF1_EBF_1 7 1 - 5.577801048722886 4.26534264343406 CTTCCCCAGAGGCC chr22 44383505 44383506 chr22:44383506:T:A rs135901 T A T EBF1_EBF_1 2 1 - 7.605658542329651 11.290126129928527 AGACCCTGGGGACA chr22 44384136 44384137 chr22:44384137:G:A rs1807057 G A G EBF1_EBF_1 29 0 - 0 0 . chr22 44388919 44388920 chr22:44388920:C:T rs568943874 C T C EBF1_EBF_1 5 1 + 7.836181635238207 2.5143944196975374 TTTCCCCAGGGCCT chr22 44396732 44396733 chr22:44396733:C:T rs135910 C T C EBF1_EBF_1 -2 0 + 0 0 . chr22 44400095 44400096 chr22:44400096:G:A rs72619564 G A G EBF1_EBF_1 21 0 + 0 0 . chr22 44403504 44403505 chr22:44403505:G:T rs80263997 G T G EBF1_EBF_1 -5 0 - 0 0 . chr22 44425029 44425030 chr22:44425030:A:T rs7288923 A T A EBF1_EBF_1 -1 0 - 0 0 . chr22 44439694 44439695 chr22:44439695:C:T rs743768 C T c EBF1_EBF_1 12 1 + 7.626241299503337 6.599974728952488 TTTCCCAAGAGACG chr22 44440420 44440421 chr22:44440421:C:T rs2349250 C T C EBF1_EBF_1 1 1 + 5.229337030206607 6.124770470605352 ACACCCCAGGGCCT chr22 44441349 44441350 chr22:44441350:G:A rs129345 G A G EBF1_EBF_1 -19 0 + 0 0 . chr22 44441837 44441838 chr22:44441838:G:A rs133818 G A G EBF1_EBF_1 33 0 + 0 0 . chr22 44493461 44493462 chr22:44493462:G:C rs3747218 G C g EBF1_EBF_1 16 0 + 0 0 . chr22 44518830 44518831 chr22:44518831:A:G rs5765712 A G G EBF1_EBF_1 17 0 - 0 0 . chr22 44521962 44521963 chr22:44521963:C:A rs5765718 C A A EBF1_EBF_1 5 1 + 6.16775730313275 -0.737123849502417 AGCCACAAGGGACT chr22 44521968 44521969 chr22:44521969:A:G rs5765719 A G G EBF1_EBF_1 11 1 + 6.16775730313275 3.275050459528739 AGCCACAAGGGACT chr22 44541410 44541411 chr22:44541411:G:A rs57219831 G A G EBF1_EBF_1 32 0 + 0 0 . chr22 44544057 44544058 chr22:44544058:C:A rs1009059 C A C EBF1_EBF_1 24 0 + 0 0 . chr22 44572289 44572290 chr22:44572290:G:T rs4453 G T G EBF1_EBF_1 15 0 + 0 0 . chr22 44572304 44572305 chr22:44572305:G:A rs117818176 G A G EBF1_EBF_1 30 0 + 0 0 . chr22 44578694 44578695 chr22:44578695:T:C rs138600 T C T EBF1_EBF_1 2 1 + 7.932813739799742 6.043990328357591 ACTCCCTGGGGCAT chr22 44579455 44579456 chr22:44579456:A:G rs752019 A G A EBF1_EBF_1 16 0 + 0 0 . chr22 44580552 44580553 chr22:44580553:G:C rs112181786 G C G EBF1_EBF_1 4 1 - 6.0305702428281736 0.4048051416786275 ACCCCCCGGGGTCT chr22 44590528 44590529 chr22:44590529:C:T rs9614822 C T C EBF1_EBF_1 18 0 - 0 0 . chr22 44593519 44593520 chr22:44593520:G:T rs760757 G T T EBF1_EBF_1 18 0 + 0 0 . chr22 44609431 44609432 chr22:44609432:T:G rs982711140 T G T EBF1_EBF_1 15 0 - 0 0 . chr22 44621640 44621641 chr22:44621641:C:T rs738363 C T C EBF1_EBF_1 7 1 - 7.107110161224844 8.41956856651367 CCTCCCCGGAGACT chr22 44621645 44621646 chr22:44621646:A:G rs12484755 A G A EBF1_EBF_1 2 1 - 7.107110161224844 5.218286749782693 CCTCCCCGGAGACT chr22 44621647 44621648 chr22:44621648:G:C rs12484471 G C G EBF1_EBF_1 0 1 - 7.107110161224844 7.470084775356611 CCTCCCCGGAGACT chr22 44624867 44624868 chr22:44624868:T:C rs11913756 T C C EBF1_EBF_1 11 1 - 4.816826094917106 1.9241192513130942 GGACCCGTGGGACC chr22 44625541 44625542 chr22:44625542:G:A rs8136556 G A G EBF1_EBF_1 3 1 - 5.642625910719464 -0.7627728308338535 GACCCCCTGGGATG chr22 44634921 44634922 chr22:44634922:G:C rs111453724 G C G EBF1_EBF_1 33 0 - 0 0 . chr22 44659292 44659293 chr22:44659293:C:T rs886619650 C T C EBF1_EBF_1 19 0 + 0 0 . chr22 44668624 44668625 chr22:44668625:G:T rs563818449 G T G EBF1_EBF_1 19 0 - 0 0 . chr22 44677694 44677695 chr22:44677695:C:T rs763212 C T T EBF1_EBF_1 -10 0 - 0 0 . chr22 44685905 44685906 chr22:44685906:G:A rs12166616 G A A EBF1_EBF_1 27 0 - 0 0 . chr22 44689403 44689404 chr22:44689404:T:C rs1005518 T C T EBF1_EBF_1 6 1 - 6.692800091857972 4.526005660583498 CATCCCATAGGAAT chr22 44710687 44710688 chr22:44710688:T:G chr22:44710688:T:G T G T EBF1_EBF_1 -9 0 - 0 0 . chr22 44720023 44720024 chr22:44720024:G:A rs6006853 G A A EBF1_EBF_1 32 0 + 0 0 . chr22 44730251 44730252 chr22:44730252:C:T rs73889719 C T C EBF1_EBF_1 31 0 + 0 0 . chr22 44796912 44796913 chr22:44796913:C:G rs1001727 C G C EBF1_EBF_1 -4 0 - 0 0 . chr22 44816051 44816052 chr22:44816052:C:T rs5765031 C T T EBF1_EBF_1 8 1 - 5.998835438209453 1.772545349323192 TGTCCCCAGAGGCT chr22 44828885 44828886 chr22:44828886:T:C rs9637350 T C C EBF1_EBF_1 -4 0 - 0 0 . chr22 44837638 44837639 chr22:44837639:A:T rs2269537 A T a EBF1_EBF_1 -7 0 - 0 0 . chr22 44851132 44851133 chr22:44851133:C:T rs5766103 C T C EBF1_EBF_1 -12 0 - 0 0 . chr22 44860738 44860739 chr22:44860739:C:T rs3830110 C T C EBF1_EBF_1 29 0 + 0 0 . chr22 44881783 44881784 chr22:44881784:G:T rs56034233 G T G EBF1_EBF_1 -6 0 + 0 0 . chr22 44883920 44883921 chr22:44883921:C:T rs62232240 C T T EBF1_EBF_1 15 0 + 0 0 . chr22 44895569 44895570 chr22:44895570:G:A rs892064464 G A G EBF1_EBF_1 19 0 + 0 0 . chr22 44901991 44901992 chr22:44901992:T:C rs5765078 T C C EBF1_EBF_1 -13 0 + 0 0 . chr22 44914468 44914469 chr22:44914469:G:A rs58873335 G A G EBF1_EBF_1 33 0 + 0 0 . chr22 44925474 44925475 chr22:44925475:C:T rs112284722 C T C EBF1_EBF_1 8 1 - 10.108031468057947 5.881741379171687 ACCCCCATGGGACA chr22 44942062 44942063 chr22:44942063:G:A rs1894521 G A G EBF1_EBF_1 -3 0 - 0 0 . chr22 44952937 44952938 chr22:44952938:T:C rs75564887 T C T EBF1_EBF_1 -10 0 - 0 0 . chr22 44953635 44953636 chr22:44953636:G:C rs79121713 G C g EBF1_EBF_1 4 1 - 9.100126982912126 3.474361881762583 CTCCCCTGGGGAAT chr22 44987349 44987350 chr22:44987350:G:A rs1005368 G A G EBF1_EBF_1 25 0 + 0 0 . chr22 45020532 45020533 chr22:45020533:C:T rs140557 C T t EBF1_EBF_1 18 0 + 0 0 . chr22 45036005 45036006 chr22:45036006:T:C rs140564 T C C EBF1_EBF_1 13 1 + 9.433987162364609 8.58949304777909 GCCCCCATGGGACT chr22 45036580 45036581 chr22:45036581:G:A rs79611 G A G EBF1_EBF_1 33 0 - 0 0 . chr22 45047488 45047489 chr22:45047489:C:T rs6007428 C T c EBF1_EBF_1 -13 0 + 0 0 . chr22 45058582 45058583 chr22:45058583:A:T rs12628195 A T A EBF1_EBF_1 -16 0 - 0 0 . chr22 45077749 45077750 chr22:45077750:C:T rs16993380 C T C EBF1_EBF_1 18 0 - 0 0 . chr22 45164115 45164116 chr22:45164116:T:C rs132850 T C C EBF1_EBF_1 12 1 + 6.941178286096778 7.967444856647628 CCCCCCCAGGGATA chr22 45164519 45164520 chr22:45164520:A:C rs477067 A C C EBF1_EBF_1 -7 0 - 0 0 . chr22 45199181 45199182 chr22:45199182:C:T rs2235156 C T C EBF1_EBF_1 24 0 - 0 0 . chr22 45202398 45202399 chr22:45202399:T:C rs78538621 T C T EBF1_EBF_1 14 0 + 0 0 . chr22 45209817 45209818 chr22:45209818:C:A rs76077757 C A c EBF1_EBF_1 15 0 - 0 0 . chr22 45216669 45216670 chr22:45216670:C:T rs9626655 C T T EBF1_EBF_1 21 0 + 0 0 . chr22 45225273 45225274 chr22:45225274:G:A rs142396679 G A G EBF1_EBF_1 26 0 + 0 0 . chr22 45232748 45232749 chr22:45232749:T:C rs5766572 T C T EBF1_EBF_1 13 1 + 7.781095988178024 6.936601873592506 TCTCCCAGGAGAAT chr22 45233304 45233305 chr22:45233305:G:C rs5765225 G C G EBF1_EBF_1 28 0 + 0 0 . chr22 45236488 45236489 chr22:45236489:A:G rs5766580 A G a EBF1_EBF_1 6 1 + 5.325634697951109 3.1588402666766338 CCTCCCATGGGCCA chr22 45263327 45263328 chr22:45263328:C:T rs6006970 C T C EBF1_EBF_1 23 0 - 0 0 . chr22 45293320 45293321 chr22:45293321:C:G rs112177270 C G C EBF1_EBF_1 -19 0 + 0 0 . chr22 45309359 45309360 chr22:45309360:G:T rs226503 G T T EBF1_EBF_1 6 1 - 6.605597708561188 6.967200076362465 CTTCCCCTGAGAGC chr22 45442236 45442237 chr22:45442237:A:G rs148130752 A G A EBF1_EBF_1 -12 0 + 0 0 . chr22 45442274 45442275 chr22:45442275:G:A rs5765370 G A G EBF1_EBF_1 26 0 + 0 0 . chr22 45455293 45455294 chr22:45455294:C:T rs73889958 C T C EBF1_EBF_1 31 0 - 0 0 . chr22 45478206 45478207 chr22:45478207:A:G rs71313011 A G A EBF1_EBF_1 6 1 - 6.443069220348178 6.141783091486422 AATCCCTGGGGCGT chr22 45503921 45503922 chr22:45503922:A:C rs6007065 A C A EBF1_EBF_1 0 1 - 8.623392366664183 8.70416492006537 TCCCCCCAGGGACC chr22 45503924 45503925 chr22:45503925:G:A rs565242284 G A A EBF1_EBF_1 -3 0 - 0 0 . chr22 45524136 45524137 chr22:45524137:C:T rs144665924 C T C EBF1_EBF_1 -5 0 + 0 0 . chr22 45532865 45532866 chr22:45532866:A:C rs136743 A C A EBF1_EBF_1 16 0 - 0 0 . chr22 45532870 45532871 chr22:45532871:C:G rs136744 C G C EBF1_EBF_1 11 1 - 8.548236060611712 7.024981206363334 ACCCCCCAGGGGCT chr22 45546927 45546928 chr22:45546928:G:A rs114701528 G A G EBF1_EBF_1 -16 0 + 0 0 . chr22 45575841 45575842 chr22:45575842:C:T rs77104934 C T C EBF1_EBF_1 12 1 - 5.850276277204347 7.269093619303824 ACTCCCTAGAGGGC chr22 45585838 45585839 chr22:45585839:A:G rs5765507 A G A EBF1_EBF_1 27 0 + 0 0 . chr22 45587959 45587960 chr22:45587960:G:A rs149420405 G A G EBF1_EBF_1 25 0 - 0 0 . chr22 45605344 45605345 chr22:45605345:A:C rs117397851 A C A EBF1_EBF_1 -3 0 + 0 0 . chr22 45631561 45631562 chr22:45631562:C:G rs6007120 C G C EBF1_EBF_1 -11 0 - 0 0 . chr22 45671378 45671379 chr22:45671379:C:A rs150875898 C A c EBF1_EBF_1 -17 0 + 0 0 . chr22 45796143 45796144 chr22:45796144:G:T rs2097428 G T G EBF1_EBF_1 -1 0 - 0 0 . chr22 45839716 45839717 chr22:45839717:A:T rs5765660 A T A EBF1_EBF_1 -5 0 + 0 0 . chr22 45864135 45864136 chr22:45864136:C:T chr22:45864136:C:T C T C EBF1_EBF_1 8 1 - 5.800673065387416 1.574382976501155 ATCCCCTAGTGACT chr22 45864138 45864139 chr22:45864139:G:A rs188055281 G A G EBF1_EBF_1 5 1 - 5.800673065387416 0.4788858498467469 ATCCCCTAGTGACT chr22 45877460 45877461 chr22:45877461:C:T rs79000179 C T c EBF1_EBF_1 21 0 - 0 0 . chr22 45877475 45877476 chr22:45877476:G:A rs28422856 G A G EBF1_EBF_1 6 1 - 5.049113929771294 5.350400058633052 CGACCCCGGGGACA chr22 45877482 45877483 chr22:45877483:T:C rs28648773 T C T EBF1_EBF_1 -1 0 - 0 0 . chr22 45877759 45877760 chr22:45877760:C:T rs79248209 C T C EBF1_EBF_1 -2 0 - 0 0 . chr22 45877980 45877981 chr22:45877981:A:G rs73448181 A G A EBF1_EBF_1 -17 0 + 0 0 . chr22 45877991 45877992 chr22:45877992:C:T rs28464920 C T T EBF1_EBF_1 -6 0 + 0 0 . chr22 45878021 45878022 chr22:45878022:C:T rs74507317 C T C EBF1_EBF_1 24 0 + 0 0 . chr22 45881106 45881107 chr22:45881107:C:T rs4078368 C T C EBF1_EBF_1 14 0 + 0 0 . chr22 45888692 45888693 chr22:45888693:C:T rs181233989 C T C EBF1_EBF_1 2 1 + 4.505348562581324 6.394171974023473 AGCCCCCTGGGCGC chr22 45906546 45906547 chr22:45906547:C:T rs73888704 C T C EBF1_EBF_1 14 0 + 0 0 . chr22 45908000 45908001 chr22:45908001:G:A rs10448608 G A A EBF1_EBF_1 33 0 - 0 0 . chr22 45910761 45910762 chr22:45910762:G:A rs530870432 G A g EBF1_EBF_1 -2 0 + 0 0 . chr22 45919071 45919072 chr22:45919072:T:C rs9723065 T C C EBF1_EBF_1 27 0 - 0 0 . chr22 45921609 45921610 chr22:45921610:G:A rs73175048 G A g EBF1_EBF_1 23 0 - 0 0 . chr22 45924394 45924395 chr22:45924395:A:G rs9286452 A G G EBF1_EBF_1 22 0 - 0 0 . chr22 45929052 45929053 chr22:45929053:G:C rs56079916 G C C EBF1_EBF_1 25 0 - 0 0 . chr22 45930277 45930278 chr22:45930278:A:G rs76452691 A G A EBF1_EBF_1 22 0 - 0 0 . chr22 45941848 45941849 chr22:45941849:G:C rs10453453 G C G EBF1_EBF_1 -13 0 - 0 0 . chr22 45948353 45948354 chr22:45948354:G:A rs141527706 G A G EBF1_EBF_1 -1 0 - 0 0 . chr22 45948357 45948358 chr22:45948358:G:A rs73888720 G A G EBF1_EBF_1 -5 0 - 0 0 . chr22 45952866 45952867 chr22:45952867:G:A rs147488869 G A G EBF1_EBF_1 -1 0 - 0 0 . chr22 45960386 45960387 chr22:45960387:G:A rs145057392 G A G EBF1_EBF_1 -5 0 + 0 0 . chr22 45991269 45991270 chr22:45991270:T:C rs191756967 T C T EBF1_EBF_1 12 1 + 6.734493395254954 7.7607599658058035 GATCCCAGGAGATT chr22 45995879 45995880 chr22:45995880:C:T rs28633804 C T C EBF1_EBF_1 17 0 + 0 0 . chr22 46005311 46005312 chr22:46005312:G:A rs149476557 G A G EBF1_EBF_1 31 0 - 0 0 . chr22 46006404 46006405 chr22:46006405:C:A rs142257744 C A C EBF1_EBF_1 32 0 - 0 0 . chr22 46007242 46007243 chr22:46007243:G:A rs559671147 G A G EBF1_EBF_1 -11 0 + 0 0 . chr22 46007274 46007275 chr22:46007275:G:A rs776176194 G A G EBF1_EBF_1 21 0 + 0 0 . chr22 46007439 46007440 chr22:46007440:C:T rs115353123 C T C EBF1_EBF_1 25 0 + 0 0 . chr22 46011850 46011851 chr22:46011851:G:A rs28476571 G A G EBF1_EBF_1 -11 0 + 0 0 . chr22 46013897 46013898 chr22:46013898:C:T rs769874065 C T C EBF1_EBF_1 22 0 + 0 0 . chr22 46032093 46032094 chr22:46032094:G:C rs9627566 G C G EBF1_EBF_1 0 1 - 5.888022001987081 6.250996616118847 CCTCCCCCGGGGCT chr22 46045874 46045875 chr22:46045875:C:A rs139735681 C A C EBF1_EBF_1 10 1 - 7.677898349514522 3.4951461632872562 GCCCCCCAGGGATC chr22 46050873 46050874 chr22:46050874:T:G rs7510795 T G T EBF1_EBF_1 -15 0 + 0 0 . chr22 46050877 46050878 chr22:46050878:A:G rs7510754 A G A EBF1_EBF_1 -11 0 + 0 0 . chr22 46081509 46081510 chr22:46081510:C:G rs7410476 C G G EBF1_EBF_1 -11 0 - 0 0 . chr22 46088224 46088225 chr22:46088225:C:A rs138455936 C A C EBF1_EBF_1 -7 0 - 0 0 . chr22 46089234 46089235 chr22:46089235:G:A rs62225896 G A G EBF1_EBF_1 -7 0 + 0 0 . chr22 46104136 46104137 chr22:46104137:C:T rs112645636 C T C EBF1_EBF_1 4 1 + 7.48855802566738 3.119171080722456 AGTCCCCCGGGGCC chr22 46105128 46105129 chr22:46105129:A:G rs9627583 A G A EBF1_EBF_1 -12 0 + 0 0 . chr22 46108121 46108122 chr22:46108122:G:C rs146794022 G C G EBF1_EBF_1 -20 0 + 0 0 . chr22 46109771 46109772 chr22:46109772:C:G rs58819475 C G C EBF1_EBF_1 -11 0 + 0 0 . chr22 46111048 46111049 chr22:46111049:C:A rs11090909 C A C EBF1_EBF_1 6 1 - 5.1583693610125705 7.264847553347526 CCTCCCGAGGGGCA chr22 46112169 46112170 chr22:46112170:A:G rs9616146 A G G EBF1_EBF_1 15 0 - 0 0 . chr22 46112383 46112384 chr22:46112384:T:C rs745628867 T C T EBF1_EBF_1 8 1 - 6.505878742210615 10.732168831096875 TGTCCCCAAGGAAC chr22 46133327 46133328 chr22:46133328:G:A rs135571 G A G EBF1_EBF_1 33 0 - 0 0 . chr22 46157754 46157755 chr22:46157755:C:G rs135547 C G G EBF1_EBF_1 -19 0 + 0 0 . chr22 46237884 46237885 chr22:46237885:G:A rs6008259 G A A EBF1_EBF_1 8 1 + 5.626298286330086 1.400008197443825 TGTCCCCAGCGACC chr22 46241139 46241140 chr22:46241140:T:C rs10427717 T C T EBF1_EBF_1 -3 0 + 0 0 . chr22 46245976 46245977 chr22:46245977:C:G rs574154275 C G C EBF1_EBF_1 26 0 + 0 0 . chr22 46248270 46248271 chr22:46248271:A:G rs9627281 A G G EBF1_EBF_1 21 0 + 0 0 . chr22 46267845 46267846 chr22:46267846:C:A chr22:46267846:C:A C A C EBF1_EBF_1 17 0 + 0 0 . chr22 46267847 46267848 chr22:46267848:C:T chr22:46267848:C:T C T C EBF1_EBF_1 19 0 + 0 0 . chr22 46293167 46293168 chr22:46293168:T:C rs41279833 T C T EBF1_EBF_1 0 1 - 12.560867350518892 10.668583480954059 ATTCCCCTGGGAAA chr22 46318019 46318020 chr22:46318020:G:A rs6008662 G A G EBF1_EBF_1 31 0 + 0 0 . chr22 46326296 46326297 chr22:46326297:G:A rs11703564 G A A EBF1_EBF_1 14 0 + 0 0 . chr22 46357357 46357358 chr22:46357358:T:C rs9626855 T C C EBF1_EBF_1 21 0 - 0 0 . chr22 46358029 46358030 chr22:46358030:G:A rs142372194 G A G EBF1_EBF_1 3 1 - 7.6293543105514265 1.2239555689981076 GATCCCCAGGGGCC chr22 46364766 46364767 chr22:46364767:G:A rs79806198 G A G EBF1_EBF_1 -20 0 + 0 0 . chr22 46364767 46364768 chr22:46364768:C:T rs9615352 C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 46364805 46364806 chr22:46364806:G:C rs9627423 G C G EBF1_EBF_1 19 0 + 0 0 . chr22 46365025 46365026 chr22:46365026:G:A rs11703059 G A G EBF1_EBF_1 18 0 + 0 0 . chr22 46380338 46380339 chr22:46380339:A:G rs9615355 A G G EBF1_EBF_1 -20 0 - 0 0 . chr22 46384623 46384624 chr22:46384624:T:C rs6007897 T C C EBF1_EBF_1 -14 0 - 0 0 . chr22 46385600 46385601 chr22:46385601:G:A rs11090872 G A G EBF1_EBF_1 -4 0 - 0 0 . chr22 46395532 46395533 chr22:46395533:G:T rs9615981 G T T EBF1_EBF_1 19 0 + 0 0 . chr22 46418931 46418932 chr22:46418932:G:A rs9626869 G A G EBF1_EBF_1 -17 0 + 0 0 . chr22 46418964 46418965 chr22:46418965:G:A rs150783536 G A G EBF1_EBF_1 16 0 + 0 0 . chr22 46429145 46429146 chr22:46429146:T:C rs7288371 T C C EBF1_EBF_1 21 0 - 0 0 . chr22 46457394 46457395 chr22:46457395:T:C rs4823813 T C C EBF1_EBF_1 24 0 + 0 0 . chr22 46457584 46457585 chr22:46457585:G:A rs9616009 G A G EBF1_EBF_1 1 1 + 6.958400465282453 6.697701633361151 CGCCCCATGGGAAG chr22 46457599 46457600 chr22:46457600:G:A rs567896131 G A G EBF1_EBF_1 16 0 + 0 0 . chr22 46472793 46472794 chr22:46472794:G:C rs713963 G C G EBF1_EBF_1 -4 0 - 0 0 . chr22 46483625 46483626 chr22:46483626:C:T rs5768816 C T C EBF1_EBF_1 25 0 + 0 0 . chr22 46511689 46511690 chr22:46511690:G:A rs5768865 G A G EBF1_EBF_1 26 0 + 0 0 . chr22 46511718 46511719 chr22:46511719:G:A rs2337053 G A G EBF1_EBF_1 26 0 + 0 0 . chr22 46523434 46523435 chr22:46523435:C:T rs5768888 C T C EBF1_EBF_1 20 0 + 0 0 . chr22 46537793 46537794 chr22:46537794:C:A rs554581031 C A C EBF1_EBF_1 6 1 + 5.625167686731915 5.986770054533192 ACTCCCCGGAGGCC chr22 46542367 46542368 chr22:46542368:T:G rs75727858 T G T EBF1_EBF_1 11 1 - 8.830606938543395 4.414645240691005 GCTCCCCAGGGAGA chr22 46542372 46542373 chr22:46542373:G:A rs186531102 G A G EBF1_EBF_1 6 1 - 8.830606938543395 9.131893067405151 GCTCCCCAGGGAGA chr22 46542378 46542379 chr22:46542379:C:T rs6008899 C T C EBF1_EBF_1 0 1 - 8.830606938543395 10.722890808108229 GCTCCCCAGGGAGA chr22 46563845 46563846 chr22:46563846:G:A rs35211260 G A G EBF1_EBF_1 8 1 + 6.146883004620445 1.9205929157341846 CGTCCCTTGGGTCC chr22 46568500 46568501 chr22:46568501:G:A rs9626888 G A G EBF1_EBF_1 8 1 + 6.542807298080561 2.3165172091943003 CTTCCCTGGGGCCT chr22 46597071 46597072 chr22:46597072:C:T rs924499201 C T C EBF1_EBF_1 1 1 + 10.62841499029504 11.523848430693787 CCTCCCCTGGGAAT chr22 46604612 46604613 chr22:46604613:C:T rs58085896 C T C EBF1_EBF_1 10 1 - 5.669508123655868 0.3514796643889029 AGTCCCCAGAGGAG chr22 46609651 46609652 chr22:46609652:G:A rs111962334 G A G EBF1_EBF_1 -7 0 - 0 0 . chr22 46617440 46617441 chr22:46617441:T:C rs57001719 T C T EBF1_EBF_1 -4 0 - 0 0 . chr22 46618603 46618604 chr22:46618604:C:T rs138493 C T C EBF1_EBF_1 25 0 + 0 0 . chr22 46624315 46624316 chr22:46624316:C:T rs5767309 C T C EBF1_EBF_1 -17 0 - 0 0 . chr22 46633360 46633361 chr22:46633361:T:C rs896075611 T C T EBF1_EBF_1 -6 0 + 0 0 . chr22 46636069 46636070 chr22:46636070:C:T rs4823570 C T C EBF1_EBF_1 24 0 + 0 0 . chr22 46652304 46652305 chr22:46652305:G:A rs1861740 G A A EBF1_EBF_1 -1 0 - 0 0 . chr22 46656795 46656796 chr22:46656796:T:G rs3859892 T G G EBF1_EBF_1 -12 0 - 0 0 . chr22 46662272 46662273 chr22:46662273:G:A rs34543603 G A G EBF1_EBF_1 -19 0 + 0 0 . chr22 46664379 46664380 chr22:46664380:T:C rs2542034 T C C EBF1_EBF_1 24 0 - 0 0 . chr22 46672663 46672664 chr22:46672664:C:T rs951726230 C T C EBF1_EBF_1 8 1 - 7.224007247815952 2.9977171589296905 GCTCCCCTGGGGCC chr22 46679154 46679155 chr22:46679155:C:A rs551900893 C A C EBF1_EBF_1 11 1 + 6.096254080253943 10.51221577810633 TCTCCCCAGGGCCC chr22 46679874 46679875 chr22:46679875:G:A rs148676149 G A C EBF1_EBF_1 -14 0 + 0 0 . chr22 46696366 46696367 chr22:46696367:A:G rs801711 A G A EBF1_EBF_1 7 1 - 7.605069952310358 5.787565206027734 ACCCCCATGGGAGG chr22 46704868 46704869 chr22:46704869:A:G rs5769096 A G A EBF1_EBF_1 6 1 + 6.77939606775037 4.612601636475895 TTCCCCATGAGAAC chr22 46730786 46730787 chr22:46730787:C:T rs9616113 C T C EBF1_EBF_1 17 0 - 0 0 . chr22 46740469 46740470 chr22:46740470:T:A rs9627555 T A T EBF1_EBF_1 19 0 + 0 0 . chr22 46750477 46750478 chr22:46750478:C:T rs4823572 C T C EBF1_EBF_1 33 0 + 0 0 . chr22 46752458 46752459 chr22:46752459:G:A rs73182607 G A G EBF1_EBF_1 6 1 + 6.490657790880911 8.657452222155385 CCTCCCGTGGGAAG chr22 46754386 46754387 chr22:46754387:T:A rs75151336 T A A EBF1_EBF_1 15 0 - 0 0 . chr22 46754416 46754417 chr22:46754417:G:T rs80264514 G T G EBF1_EBF_1 -15 0 - 0 0 . chr22 46774759 46774760 chr22:46774760:C:T rs73475005 C T C EBF1_EBF_1 -16 0 + 0 0 . chr22 46796685 46796686 chr22:46796686:C:G rs743139 C G G EBF1_EBF_1 6 1 + 7.444140406674521 5.638948343201323 ATCCCCCTGGGCCT chr22 46796697 46796698 chr22:46796698:G:A rs743140 G A A EBF1_EBF_1 18 0 + 0 0 . chr22 46804993 46804994 chr22:46804994:A:G rs6007968 A G A EBF1_EBF_1 -20 0 + 0 0 . chr22 46852445 46852446 chr22:46852446:A:C rs11912782 A C A EBF1_EBF_1 -7 0 - 0 0 . chr22 46856020 46856021 chr22:46856021:A:C rs6007974 A C A EBF1_EBF_1 28 0 - 0 0 . chr22 46881373 46881374 chr22:46881374:A:G rs131875 A G A EBF1_EBF_1 29 0 + 0 0 . chr22 46903550 46903551 chr22:46903551:A:C rs116266548 A C A EBF1_EBF_1 27 0 - 0 0 . chr22 46903577 46903578 chr22:46903578:T:G rs113928084 T G T EBF1_EBF_1 0 1 - 6.26648925467767 4.0112307709810695 ACTCCCTCAGGACC chr22 46909842 46909843 chr22:46909843:G:C rs130983 G C C EBF1_EBF_1 18 0 - 0 0 . chr22 46909861 46909862 chr22:46909862:C:T rs9627619 C T T EBF1_EBF_1 -1 0 - 0 0 . chr22 46915651 46915652 chr22:46915652:C:T rs188051195 C T C EBF1_EBF_1 7 1 - 7.306573752219321 8.619032157508148 ACACCCCGGGGATT chr22 46923311 46923312 chr22:46923312:C:T rs130985 C T C EBF1_EBF_1 -11 0 + 0 0 . chr22 46948473 46948474 chr22:46948474:C:T rs9615427 C T C EBF1_EBF_1 -9 0 - 0 0 . chr22 46970916 46970917 chr22:46970917:C:T rs6008010 C T C EBF1_EBF_1 27 0 + 0 0 . chr22 46973205 46973206 chr22:46973206:G:A rs144437858 G A G EBF1_EBF_1 1 1 - 5.355525966194192 6.250959406592937 GCTCCCTGAGGACC chr22 47002755 47002756 chr22:47002756:C:T rs11704419 C T C EBF1_EBF_1 3 1 + 6.061287797626808 -0.3441109439265083 GTTCCCTGGGGCCC chr22 47029320 47029321 chr22:47029321:C:A rs6009110 C A C EBF1_EBF_1 25 0 + 0 0 . chr22 47039684 47039685 chr22:47039685:A:T rs9615121 A T A EBF1_EBF_1 26 0 + 0 0 . chr22 47041069 47041070 chr22:47041070:A:G rs941525284 A G A EBF1_EBF_1 0 1 + 5.800673065387416 3.9083891958225827 ATCCCCTAGTGACT chr22 47050970 47050971 chr22:47050971:G:A rs4823588 G A g EBF1_EBF_1 -12 0 + 0 0 . chr22 47055728 47055729 chr22:47055729:C:T rs131925 C T C EBF1_EBF_1 8 1 - 6.362832098831855 2.1365420099455923 GGCCCCAAGGGGCC chr22 47055732 47055733 chr22:47055733:G:A rs60469109 G A G EBF1_EBF_1 4 1 - 6.362832098831855 1.9934451538869304 GGCCCCAAGGGGCC chr22 47063350 47063351 chr22:47063351:T:C rs131934 T C C EBF1_EBF_1 12 1 - 7.484341574402204 6.065524232302726 AATCCTAAGGGAAC chr22 47063363 47063364 chr22:47063364:T:C rs131935 T C C EBF1_EBF_1 -1 0 - 0 0 . chr22 47074678 47074679 chr22:47074679:A:G rs6009122 A G G EBF1_EBF_1 25 0 + 0 0 . chr22 47097934 47097935 chr22:47097935:A:T rs114056204 A T A EBF1_EBF_1 32 0 - 0 0 . chr22 47098549 47098550 chr22:47098550:A:G rs5767486 A G - EBF1_EBF_1 -9 0 - 0 0 . chr22 47105007 47105008 chr22:47105008:C:T rs5766674 C T T EBF1_EBF_1 -20 0 + 0 0 . chr22 47171675 47171676 chr22:47171676:C:T rs9637352 C T T EBF1_EBF_1 21 0 + 0 0 . chr22 47177504 47177505 chr22:47177505:C:T rs470039 C T C EBF1_EBF_1 -16 0 + 0 0 . chr22 47189009 47189010 chr22:47189010:T:A rs58255679 T A A EBF1_EBF_1 26 0 + 0 0 . chr22 47198138 47198139 chr22:47198139:C:T rs140635110 C T C EBF1_EBF_1 20 0 + 0 0 . chr22 47202185 47202186 chr22:47202186:C:T rs80098928 C T c EBF1_EBF_1 21 0 - 0 0 . chr22 47202212 47202213 chr22:47202213:G:A rs142503773 G A g EBF1_EBF_1 -6 0 - 0 0 . chr22 47251451 47251452 chr22:47251452:G:A rs1894518 G A G EBF1_EBF_1 11 1 + 9.30606277195 12.198769615554012 AGTCCCCTGGGGCC chr22 47251634 47251635 chr22:47251635:G:A rs3965107 G A A EBF1_EBF_1 -6 0 + 0 0 . chr22 47281116 47281117 chr22:47281117:C:A rs73469736 C A C EBF1_EBF_1 -14 0 + 0 0 . chr22 47287120 47287121 chr22:47287121:C:G rs743825 C G G EBF1_EBF_1 -5 0 + 0 0 . chr22 47291343 47291344 chr22:47291344:T:G rs17175822 T G T EBF1_EBF_1 33 0 + 0 0 . chr22 47292494 47292495 chr22:47292495:A:C rs17223061 A C A EBF1_EBF_1 32 0 - 0 0 . chr22 47303462 47303463 chr22:47303463:T:C rs743826 T C T EBF1_EBF_1 21 0 - 0 0 . chr22 47329634 47329635 chr22:47329635:C:T rs5767692 C T T EBF1_EBF_1 13 1 + 11.878164830832691 12.72265894541821 ATTCCCCTGGGATC chr22 47356853 47356854 chr22:47356854:C:T rs74966703 C T C EBF1_EBF_1 8 1 - 7.740655709448535 3.514365620562274 ATCCCCAAGAGAAG chr22 47377113 47377114 chr22:47377114:G:A rs9627013 G A G EBF1_EBF_1 8 1 + 7.4332392390783 3.2069491501920373 AGCCCCCTGGGAGG chr22 47384998 47384999 chr22:47384999:G:A rs12157788 G A G EBF1_EBF_1 -7 0 + 0 0 . chr22 47386995 47386996 chr22:47386996:T:A rs61063899 T A T EBF1_EBF_1 25 0 + 0 0 . chr22 47390234 47390235 chr22:47390235:A:C rs916232 A C C EBF1_EBF_1 -13 0 - 0 0 . chr22 47390873 47390874 chr22:47390874:T:C rs882404 T C T EBF1_EBF_1 6 1 - 6.103486273531804 3.9366918422573294 TGTCCCAAGGGCGT chr22 47460999 47461000 chr22:47461000:T:A rs116553563 T A T EBF1_EBF_1 15 0 - 0 0 . chr22 47474753 47474754 chr22:47474754:C:T rs2337501 C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 47540118 47540119 chr22:47540119:G:T rs130473 G T G EBF1_EBF_1 -18 0 + 0 0 . chr22 47540128 47540129 chr22:47540129:C:A rs10427944 C A C EBF1_EBF_1 -8 0 + 0 0 . chr22 47541905 47541906 chr22:47541906:C:T rs5767791 C T C EBF1_EBF_1 32 0 + 0 0 . chr22 47545978 47545979 chr22:47545979:C:T rs16997044 C T C EBF1_EBF_1 23 0 + 0 0 . chr22 47562575 47562576 chr22:47562576:C:A rs62225389 C A C EBF1_EBF_1 6 1 - 4.853354070635686 6.959832262970641 CCTCCCGAGGGCCT chr22 47565619 47565620 chr22:47565620:A:G rs56973749 A G A EBF1_EBF_1 -4 0 + 0 0 . chr22 47603562 47603563 chr22:47603563:C:T rs131191 C T T EBF1_EBF_1 -20 0 + 0 0 . chr22 47626453 47626454 chr22:47626454:A:G rs11704217 A G A EBF1_EBF_1 -14 0 + 0 0 . chr22 47652621 47652622 chr22:47652622:A:G rs1040734 A G A EBF1_EBF_1 6 1 - 5.1960357556493895 4.894749626787633 GCTCCCTGGGGCAC chr22 47736838 47736839 chr22:47736839:C:T rs6008359 C T C EBF1_EBF_1 -2 0 - 0 0 . chr22 47741242 47741243 chr22:47741243:A:G rs9615213 A G A EBF1_EBF_1 -12 0 - 0 0 . chr22 47847971 47847972 chr22:47847972:C:T rs5768070 C T C EBF1_EBF_1 7 1 - 4.50159361423454 5.814052019523365 CCTCCCCGGGGCCC chr22 47860112 47860113 chr22:47860113:T:C rs5768088 T C T EBF1_EBF_1 20 0 - 0 0 . chr22 47882124 47882125 chr22:47882125:T:C rs77522160 T C T EBF1_EBF_1 -19 0 - 0 0 . chr22 47885733 47885734 chr22:47885734:G:A rs181866638 G A G EBF1_EBF_1 5 1 - 7.434279514852321 2.1124922993116524 AATCACCAGGGACT chr22 47919460 47919461 chr22:47919461:G:C rs79310536 G C G EBF1_EBF_1 -11 0 - 0 0 . chr22 47921940 47921941 chr22:47921941:G:C rs361798 G C C EBF1_EBF_1 -11 0 + 0 0 . chr22 47969455 47969456 chr22:47969456:C:G rs9615706 C G C EBF1_EBF_1 8 1 - 6.154951869026678 0.8362930081119929 ATACCCCAGGGCAT chr22 47991188 47991189 chr22:47991189:T:C rs6007777 T C C EBF1_EBF_1 13 1 + 7.725331728835081 6.880837614249563 TGTCCCAAGGTACT chr22 47997301 47997302 chr22:47997302:G:A rs77370140 G A G EBF1_EBF_1 -17 0 + 0 0 . chr22 48049287 48049288 chr22:48049288:G:A rs6008524 G A G EBF1_EBF_1 -15 0 - 0 0 . chr22 48081630 48081631 chr22:48081631:A:G rs5768334 A G G EBF1_EBF_1 2 1 - 9.5988556337455 7.7100322223033535 ATTCCCCCGGGACG chr22 48081631 48081632 chr22:48081632:A:C rs6008548 A C C EBF1_EBF_1 1 1 - 9.5988556337455 8.893193847915974 ATTCCCCCGGGACG chr22 48099955 48099956 chr22:48099956:G:A rs117019362 G A G EBF1_EBF_1 33 0 + 0 0 . chr22 48185438 48185439 chr22:48185439:G:C rs9615273 G C G EBF1_EBF_1 3 1 - 5.646195049195217 -0.1815693989200004 TCCCCCATGGGGAC chr22 48223648 48223649 chr22:48223649:T:G rs9615815 T G G EBF1_EBF_1 24 0 + 0 0 . chr22 48227679 48227680 chr22:48227680:C:A rs9615821 C A C EBF1_EBF_1 18 0 - 0 0 . chr22 48227693 48227694 chr22:48227694:G:A rs187815972 G A G EBF1_EBF_1 4 1 - 6.301638980725446 1.9322520357805226 CTCCCCTAGGGGAA chr22 48230437 48230438 chr22:48230438:G:A rs9615828 G A G EBF1_EBF_1 -9 0 - 0 0 . chr22 48238269 48238270 chr22:48238270:G:A rs9615837 G A G EBF1_EBF_1 18 0 - 0 0 . chr22 48239148 48239149 chr22:48239149:C:G rs133550 C G G EBF1_EBF_1 -10 0 - 0 0 . chr22 48249260 48249261 chr22:48249261:A:G rs133572 A G A EBF1_EBF_1 17 0 + 0 0 . chr22 48251129 48251130 chr22:48251130:T:G rs62226562 T G T EBF1_EBF_1 2 1 + 6.324918294767535 -0.4234073954329314 AGTCCCCTGGGCAG chr22 48253636 48253637 chr22:48253637:T:G rs125954 T G G EBF1_EBF_1 -13 0 - 0 0 . chr22 48268596 48268597 chr22:48268597:G:A rs58296719 G A g EBF1_EBF_1 4 1 - 6.1770266336551325 1.8076396887102075 GCTCCCCAGGGCCC chr22 48269748 48269749 chr22:48269749:C:T rs4355214 C T C EBF1_EBF_1 31 0 - 0 0 . chr22 48277981 48277982 chr22:48277982:G:A rs79016956 G A G EBF1_EBF_1 3 1 - 4.964032330149831 -1.441366411403486 CCTCCCCTGGGCCA chr22 48305924 48305925 chr22:48305925:C:T rs117860384 C T T EBF1_EBF_1 0 1 - 5.025409864277835 6.9176937338426665 GTCCCCCTGGGTCC chr22 48307116 48307117 chr22:48307117:G:A rs187251491 G A G EBF1_EBF_1 30 0 - 0 0 . chr22 48314537 48314538 chr22:48314538:G:A rs133643 G A G EBF1_EBF_1 -7 0 + 0 0 . chr22 48319582 48319583 chr22:48319583:A:G rs133650 A G A EBF1_EBF_1 13 1 + 8.748206424760093 7.6338808526906545 CTCCCCATGGGACA chr22 48321287 48321288 chr22:48321288:C:T rs150077412 C T C EBF1_EBF_1 -12 0 - 0 0 . chr22 48321288 48321289 chr22:48321289:A:C rs6008628 A C A EBF1_EBF_1 -13 0 - 0 0 . chr22 48336780 48336781 chr22:48336781:C:T rs2072724 C T c EBF1_EBF_1 -18 0 + 0 0 . chr22 48340309 48340310 chr22:48340310:G:A rs6008649 G A G EBF1_EBF_1 17 0 + 0 0 . chr22 48340309 48340310 chr22:48340310:G:C chr22:48340310:G:C G C G EBF1_EBF_1 17 0 + 0 0 . chr22 48340387 48340388 chr22:48340388:A:G rs71314854 A G A EBF1_EBF_1 13 1 + 7.405096279023155 6.290770706953716 AGCCCCATGGGGCA chr22 48345770 48345771 chr22:48345771:C:T rs133672 C T C EBF1_EBF_1 -18 0 + 0 0 . chr22 48353369 48353370 chr22:48353370:C:T rs73169471 C T C EBF1_EBF_1 16 0 + 0 0 . chr22 48358083 48358084 chr22:48358084:T:C rs5768563 T C C EBF1_EBF_1 25 0 + 0 0 . chr22 48367920 48367921 chr22:48367921:A:C rs4823527 A C a EBF1_EBF_1 -15 0 + 0 0 . chr22 48367951 48367952 chr22:48367952:C:A rs770568219 C A c EBF1_EBF_1 16 0 + 0 0 . chr22 48396467 48396468 chr22:48396468:C:G rs130790 C G C EBF1_EBF_1 -1 0 + 0 0 . chr22 48419012 48419013 chr22:48419013:T:C rs470044 T C C EBF1_EBF_1 26 0 + 0 0 . chr22 48420622 48420623 chr22:48420623:C:T rs739107 C T T EBF1_EBF_1 -4 0 + 0 0 . chr22 48423087 48423088 chr22:48423088:C:A rs76420750 C A C EBF1_EBF_1 9 1 - 10.67985852129739 3.8428691132085824 AGCCCCAGGGGACT chr22 48434546 48434547 chr22:48434547:G:A rs5768613 G A G EBF1_EBF_1 -10 0 + 0 0 . chr22 48434581 48434582 chr22:48434582:G:A rs5768614 G A A EBF1_EBF_1 25 0 + 0 0 . chr22 48439652 48439653 chr22:48439653:C:G rs12170471 C G C EBF1_EBF_1 -12 0 - 0 0 . chr22 48441154 48441155 chr22:48441155:C:T rs9615318 C T C EBF1_EBF_1 32 0 + 0 0 . chr22 48452097 48452098 chr22:48452098:C:A rs4823780 C A C EBF1_EBF_1 4 1 + 4.434621669655446 -1.3899379537980225 GGTCCCACGGGCCC chr22 48453420 48453421 chr22:48453421:C:T rs9615326 C T C EBF1_EBF_1 -7 0 + 0 0 . chr22 48460739 48460740 chr22:48460740:G:A rs138088289 G A G EBF1_EBF_1 -3 0 + 0 0 . chr22 48478976 48478977 chr22:48478977:C:T rs7291933 C T C EBF1_EBF_1 20 0 + 0 0 . chr22 48497106 48497107 chr22:48497107:T:C rs5768680 T C T EBF1_EBF_1 2 1 + 5.118400611139177 3.229577199697026 ACTCCCCACGGGCT chr22 48497277 48497278 chr22:48497278:G:C rs9615336 G C C EBF1_EBF_1 20 0 - 0 0 . chr22 48524745 48524746 chr22:48524746:G:A rs144528076 G A G EBF1_EBF_1 14 0 + 0 0 . chr22 48525634 48525635 chr22:48525635:C:T rs62223629 C T C EBF1_EBF_1 -16 0 - 0 0 . chr22 48534406 48534407 chr22:48534407:A:G rs133472 A G G EBF1_EBF_1 13 1 - 7.770840656976816 6.926346542391298 ACCCCCGTGGGAGT chr22 48537275 48537276 chr22:48537276:A:G rs117935720 A G A EBF1_EBF_1 19 0 + 0 0 . chr22 48556853 48556854 chr22:48556854:A:G rs133457 A G A EBF1_EBF_1 -1 0 - 0 0 . chr22 48577069 48577070 chr22:48577070:C:G rs114028318 C G C EBF1_EBF_1 18 0 + 0 0 . chr22 48592855 48592856 chr22:48592856:C:T rs28669352 C T C EBF1_EBF_1 32 0 - 0 0 . chr22 48595685 48595686 chr22:48595686:C:T rs114805602 C T C EBF1_EBF_1 8 1 - 6.753652297303981 2.527362208417721 AGTCCCCAGAGGCA chr22 48606099 48606100 chr22:48606100:G:A rs28678464 G A G EBF1_EBF_1 -17 0 + 0 0 . chr22 48616849 48616850 chr22:48616850:A:G rs28621643 A G A EBF1_EBF_1 6 1 - 6.907834326985016 6.60654819812326 AACCCCTAGGGGTC chr22 48619934 48619935 chr22:48619935:G:A rs28478940 G A G EBF1_EBF_1 8 1 + 5.004605222531322 0.7783151336450604 AGTCCCGCGGGCCT chr22 48628251 48628252 chr22:48628252:T:C rs910577 T C C EBF1_EBF_1 -16 0 + 0 0 . chr22 48640506 48640507 chr22:48640507:T:G rs73429969 T G T EBF1_EBF_1 17 0 + 0 0 . chr22 48640510 48640511 chr22:48640511:C:T rs76500988 C T C EBF1_EBF_1 21 0 + 0 0 . chr22 48678047 48678048 chr22:48678048:G:A rs5771939 G A G EBF1_EBF_1 -17 0 - 0 0 . chr22 48678264 48678265 chr22:48678265:G:A rs5771940 G A G EBF1_EBF_1 -12 0 - 0 0 . chr22 48703312 48703313 chr22:48703313:C:A rs13056122 C A C EBF1_EBF_1 3 1 + 5.062606008356705 -1.843568021109101 AGTCCCCCCGGACC chr22 48704521 48704522 chr22:48704522:C:T rs117219545 C T C EBF1_EBF_1 6 1 - 8.346584099518946 10.513378530793421 AACCCCGAGGGACA chr22 48708235 48708236 chr22:48708236:A:G rs132236 A G G EBF1_EBF_1 7 1 + 4.850843446901286 3.538385041612461 CTCCCCCAGGGCAC chr22 48708260 48708261 chr22:48708261:A:G rs130149 A G A EBF1_EBF_1 32 0 + 0 0 . chr22 48712779 48712780 chr22:48712780:G:A rs13053451 G A G EBF1_EBF_1 -9 0 - 0 0 . chr22 48714336 48714337 chr22:48714337:C:T rs78225083 C T C EBF1_EBF_1 -12 0 + 0 0 . chr22 48737549 48737550 chr22:48737550:C:T rs13058292 C T C EBF1_EBF_1 -13 0 - 0 0 . chr22 48737979 48737980 chr22:48737980:A:G rs6010509 A G A EBF1_EBF_1 1 1 - 10.03399260709486 9.138559166696115 ATCCCCCAGGGAAG chr22 48737982 48737983 chr22:48737983:C:A rs130219 C A C EBF1_EBF_1 -2 0 - 0 0 . chr22 48747536 48747537 chr22:48747537:C:T rs130231 C T C EBF1_EBF_1 33 0 + 0 0 . chr22 48748287 48748288 chr22:48748288:G:A rs9628480 G A G EBF1_EBF_1 -16 0 - 0 0 . chr22 48749177 48749178 chr22:48749178:C:A rs36041107 C A C EBF1_EBF_1 3 1 + 6.5454649538577145 -0.3607090756080917 AACCCCTAGGGGGC chr22 48755313 48755314 chr22:48755314:A:G rs131968 A G G EBF1_EBF_1 18 0 + 0 0 . chr22 48755385 48755386 chr22:48755386:G:C rs73889723 G C G EBF1_EBF_1 -9 0 - 0 0 . chr22 48781545 48781546 chr22:48781546:C:T rs17824173 C T T EBF1_EBF_1 28 0 - 0 0 . chr22 48804010 48804011 chr22:48804011:G:C rs57592049 G C G EBF1_EBF_1 -15 0 - 0 0 . chr22 48821906 48821907 chr22:48821907:C:T rs369470761 C T C EBF1_EBF_1 7 1 + 5.707788630395086 7.52529337667771 GCTCCCTCGGGGCC chr22 48822351 48822352 chr22:48822352:T:C rs73179524 T C t EBF1_EBF_1 7 1 + 6.713076318221176 4.895571571938552 TCACCCATGGGACC chr22 48822578 48822579 chr22:48822579:C:A rs56140787 C A C EBF1_EBF_1 5 1 + 7.275368372076115 0.37048721944094715 CCACCCATGGGACT chr22 48825113 48825114 chr22:48825114:A:G rs4084306 A G A EBF1_EBF_1 9 1 + 6.472358786945685 9.12729805239329 TCTCCCTGGAGACA chr22 48825116 48825117 chr22:48825117:C:G rs34356236 C G G EBF1_EBF_1 12 1 + 6.472358786945685 5.083722843267534 TCTCCCTGGAGACA chr22 48827496 48827497 chr22:48827497:G:A rs7284388 G A G EBF1_EBF_1 21 0 - 0 0 . chr22 48845983 48845984 chr22:48845984:T:G rs28683741 T G - EBF1_EBF_1 11 1 - 10.178497103290788 5.762535405438398 GATCCCCAGGGAAA chr22 48846012 48846013 chr22:48846013:C:G rs4073896 C G - EBF1_EBF_1 -18 0 - 0 0 . chr22 48848549 48848550 chr22:48848550:C:A rs28834087 C A C EBF1_EBF_1 14 0 - 0 0 . chr22 48848567 48848568 chr22:48848568:C:T rs117371028 C T C EBF1_EBF_1 -4 0 - 0 0 . chr22 48850645 48850646 chr22:48850646:T:C rs28384366 T C T EBF1_EBF_1 -1 0 - 0 0 . chr22 48869361 48869362 chr22:48869362:C:T rs182026534 C T C EBF1_EBF_1 15 0 - 0 0 . chr22 48869386 48869387 chr22:48869387:G:A rs28463382 G A G EBF1_EBF_1 -10 0 - 0 0 . chr22 48891600 48891601 chr22:48891601:G:T rs79152713 G T G EBF1_EBF_1 11 1 - 5.273971491214221 9.689933189066611 AGCCCCAAGGGCAG chr22 48891608 48891609 chr22:48891609:G:A rs28375652 G A G EBF1_EBF_1 3 1 - 5.273971491214221 -1.1314272503390952 AGCCCCAAGGGCAG chr22 48894737 48894738 chr22:48894738:G:A rs28533249 G A G EBF1_EBF_1 -1 0 + 0 0 . chr22 48920236 48920237 chr22:48920237:A:C rs2873693 A C C EBF1_EBF_1 13 1 + 5.036217510370037 5.409962959656013 ACCCCCCCGGGGCA chr22 48941516 48941517 chr22:48941517:C:T rs2318416 C T T EBF1_EBF_1 33 0 - 0 0 . chr22 48941536 48941537 chr22:48941537:T:C rs72619585 T C C EBF1_EBF_1 13 1 - 6.547787273828463 5.433461701759024 AGCCCCAAAGGACA chr22 48941562 48941563 chr22:48941563:T:A rs28673853 T A T EBF1_EBF_1 -13 0 - 0 0 . chr22 48941567 48941568 chr22:48941568:C:T rs9616566 C T C EBF1_EBF_1 -18 0 - 0 0 . chr22 48942321 48942322 chr22:48942322:T:C rs9616573 T C C EBF1_EBF_1 19 0 - 0 0 . chr22 48943229 48943230 chr22:48943230:G:A rs79633801 G A G EBF1_EBF_1 4 1 - 6.257035626123612 1.887648681178689 GGCCCCAAGAGAAA chr22 48943243 48943244 chr22:48943244:G:A rs139457408 G A G EBF1_EBF_1 -10 0 - 0 0 . chr22 48954648 48954649 chr22:48954649:C:T rs5769666 C T C EBF1_EBF_1 4 1 + 7.593599210303089 3.224212265358166 GTTCCCTAAGGAAC chr22 48958102 48958103 chr22:48958103:C:A rs952754305 C A C EBF1_EBF_1 -12 0 - 0 0 . chr22 48959633 48959634 chr22:48959634:T:C rs139604 T C C EBF1_EBF_1 22 0 - 0 0 . chr22 48959669 48959670 chr22:48959670:C:A rs12158053 C A C EBF1_EBF_1 -14 0 - 0 0 . chr22 48960140 48960141 chr22:48960141:C:T rs373855321 C T - EBF1_EBF_1 2 1 - 5.5529727161551286 8.61683081875672 AGGCCCCAGGGACA chr22 48960821 48960822 chr22:48960822:G:C rs138617786 G C G EBF1_EBF_1 -9 0 - 0 0 . chr22 48960825 48960826 chr22:48960826:C:A rs4823942 C A C EBF1_EBF_1 -13 0 - 0 0 . chr22 48974986 48974987 chr22:48974987:C:T rs181875346 C T c EBF1_EBF_1 32 0 - 0 0 . chr22 48975021 48975022 chr22:48975022:C:G rs9628100 C G C EBF1_EBF_1 -3 0 - 0 0 . chr22 49000032 49000033 chr22:49000033:G:A rs2092784 G A - EBF1_EBF_1 -19 0 - 0 0 . chr22 49011799 49011800 chr22:49011800:C:T rs17178356 C T C EBF1_EBF_1 -6 0 + 0 0 . chr22 49012234 49012235 chr22:49012235:A:G rs5769792 A G G EBF1_EBF_1 23 0 - 0 0 . chr22 49019906 49019907 chr22:49019907:C:T rs35638225 C T C EBF1_EBF_1 -9 0 - 0 0 . chr22 49019917 49019918 chr22:49019918:A:G rs5769808 A G A EBF1_EBF_1 -20 0 - 0 0 . chr22 49020129 49020130 chr22:49020130:T:C rs5769810 T C T EBF1_EBF_1 -16 0 + 0 0 . chr22 49031234 49031235 chr22:49031235:G:A rs5769820 G A G EBF1_EBF_1 26 0 + 0 0 . chr22 49046106 49046107 chr22:49046107:C:T rs4823910 C T T EBF1_EBF_1 -14 0 - 0 0 . chr22 49058935 49058936 chr22:49058936:C:G rs9627825 C G C EBF1_EBF_1 5 1 + 8.110873811005176 1.2059926583700082 CATCCCCAGGGGCT chr22 49063334 49063335 chr22:49063335:A:G rs13056160 A G G EBF1_EBF_1 -10 0 - 0 0 . chr22 49069390 49069391 chr22:49069391:A:G rs5769862 A G G EBF1_EBF_1 6 1 - 6.721892201864181 6.420606073002424 AATCCCTTGAGGAC chr22 49075706 49075707 chr22:49075707:T:C rs5769871 T C C EBF1_EBF_1 -7 0 + 0 0 . chr22 49081088 49081089 chr22:49081089:G:A rs12165410 G A G EBF1_EBF_1 15 0 + 0 0 . chr22 49095490 49095491 chr22:49095491:T:A rs117332440 T A T EBF1_EBF_1 -16 0 - 0 0 . chr22 49101408 49101409 chr22:49101409:T:G rs56265086 T G T EBF1_EBF_1 -19 0 + 0 0 . chr22 49101949 49101950 chr22:49101950:A:G rs5769894 A G G EBF1_EBF_1 2 1 - 6.478799341163998 4.58997592972185 CTTCCCTTGGGTCA chr22 49109075 49109076 chr22:49109076:C:T rs6009460 C T C EBF1_EBF_1 31 0 - 0 0 . chr22 49109108 49109109 chr22:49109109:G:A rs80571 G A G EBF1_EBF_1 -2 0 - 0 0 . chr22 49115894 49115895 chr22:49115895:C:A rs135221 C A A EBF1_EBF_1 11 1 - 7.6302538983812465 6.425046485886532 ACTCCCTCGGGGAC chr22 49117548 49117549 chr22:49117549:G:C rs79171893 G C G EBF1_EBF_1 9 1 + 7.550863028991997 2.4751738826465335 AGTCCCCAGGGTTC chr22 49117644 49117645 chr22:49117645:G:T rs76457327 G T G EBF1_EBF_1 2 1 - 5.991546593944246 4.1959024177875195 TGCCCCTGGAGACT chr22 49119727 49119728 chr22:49119728:C:A rs135235 C A C EBF1_EBF_1 -4 0 - 0 0 . chr22 49141786 49141787 chr22:49141787:T:C rs5769419 T C T EBF1_EBF_1 -2 0 + 0 0 . chr22 49148031 49148032 chr22:49148032:G:A rs6009511 G A G EBF1_EBF_1 3 1 - 5.498373383353783 -0.9070253581995346 AGCCCCCAGGAAAC chr22 49148043 49148044 chr22:49148044:G:A rs5769945 G A G EBF1_EBF_1 -9 0 - 0 0 . chr22 49150682 49150683 chr22:49150683:C:T rs9616459 C T C EBF1_EBF_1 23 0 - 0 0 . chr22 49152212 49152213 chr22:49152213:G:C rs12329931 G C C EBF1_EBF_1 -6 0 - 0 0 . chr22 49152218 49152219 chr22:49152219:T:C rs142733494 T C T EBF1_EBF_1 -12 0 - 0 0 . chr22 49155167 49155168 chr22:49155168:G:C rs4824002 G C C EBF1_EBF_1 29 0 - 0 0 . chr22 49164421 49164422 chr22:49164422:G:A rs6520080 G A a EBF1_EBF_1 4 1 - 4.9775571035417325 0.6081701585968087 CGTCCCCAGGGCTC chr22 49164424 49164425 chr22:49164425:C:T rs74364223 C T c EBF1_EBF_1 1 1 - 4.9775571035417325 4.7168582716204295 CGTCCCCAGGGCTC chr22 49165671 49165672 chr22:49165672:A:G rs8137337 A G A EBF1_EBF_1 -9 0 - 0 0 . chr22 49196957 49196958 chr22:49196958:G:A rs5769450 G A G EBF1_EBF_1 -10 0 + 0 0 . chr22 49209630 49209631 chr22:49209631:G:A rs73889980 G A G EBF1_EBF_1 -7 0 + 0 0 . chr22 49209635 49209636 chr22:49209636:T:C rs2688178 T C C EBF1_EBF_1 -2 0 + 0 0 . chr22 49235650 49235651 chr22:49235651:A:G rs2157236 A G G EBF1_EBF_1 -14 0 - 0 0 . chr22 49243657 49243658 chr22:49243658:A:G rs76104564 A G A EBF1_EBF_1 26 0 - 0 0 . chr22 49261827 49261828 chr22:49261828:C:A rs140815230 C A c EBF1_EBF_1 28 0 - 0 0 . chr22 49261860 49261861 chr22:49261861:G:A rs145988321 G A g EBF1_EBF_1 -5 0 - 0 0 . chr22 49264984 49264985 chr22:49264985:C:T rs144350705 C T c EBF1_EBF_1 -3 0 - 0 0 . chr22 49265222 49265223 chr22:49265223:G:A rs74556162 G A a EBF1_EBF_1 -20 0 + 0 0 . chr22 49265242 49265243 chr22:49265243:T:C rs62220567 T C t EBF1_EBF_1 0 1 + 6.654245609321121 6.372043548590543 TGTCCCCTGGGCCT chr22 49267028 49267029 chr22:49267029:C:T rs5770155 C T c EBF1_EBF_1 3 1 + 8.845095991662712 2.439697250109394 CCTCCCTGGGGACA chr22 49271985 49271986 chr22:49271986:C:T rs9616543 C T C EBF1_EBF_1 13 1 - 7.429484290731515 8.543809862800954 CCTCCCCGGGGACG chr22 49288806 49288807 chr22:49288807:T:G rs76223225 T G T EBF1_EBF_1 0 1 - 7.99717079809087 5.74191231439427 ATCCTCCAGGGAAT chr22 49304734 49304735 chr22:49304735:T:A rs940112 T A T EBF1_EBF_1 -17 0 + 0 0 . chr22 49304779 49304780 chr22:49304780:T:G rs192965175 T G T EBF1_EBF_1 28 0 + 0 0 . chr22 49321705 49321706 chr22:49321706:G:A rs5770239 G A G EBF1_EBF_1 9 1 - 5.950019933696654 4.188719671953309 AATCCCCAGCGAGC chr22 49327631 49327632 chr22:49327632:G:A rs5770246 G A G EBF1_EBF_1 29 0 + 0 0 . chr22 49351717 49351718 chr22:49351718:C:T rs8140029 C T c EBF1_EBF_1 -17 0 + 0 0 . chr22 49355587 49355588 chr22:49355588:G:T rs848711 G T g EBF1_EBF_1 -20 0 - 0 0 . chr22 49358185 49358186 chr22:49358186:G:A rs994774 G A g EBF1_EBF_1 10 1 + 5.401786067563808 0.08375760829684281 ACTCCCCCGGGCCA chr22 49363674 49363675 chr22:49363675:C:T rs7287895 C T C EBF1_EBF_1 -20 0 + 0 0 . chr22 49363687 49363688 chr22:49363688:G:A rs5770298 G A G EBF1_EBF_1 -7 0 + 0 0 . chr22 49364731 49364732 chr22:49364732:C:G rs77634036 C G C EBF1_EBF_1 11 1 - 9.443669501010454 7.920414646762078 ATCCCCCAGGGGCT chr22 49367573 49367574 chr22:49367574:C:T rs5770306 C T C EBF1_EBF_1 -16 0 + 0 0 . chr22 49370166 49370167 chr22:49370167:A:G rs6009642 A G G EBF1_EBF_1 13 1 - 7.553979574507629 6.709485459922111 CTTCCCCAGGGCCT chr22 49406925 49406926 chr22:49406926:G:A rs112138470 G A G EBF1_EBF_1 9 1 + 5.440571421743148 2.7856321562955437 TCTCCCGAGGGGCA chr22 49407096 49407097 chr22:49407097:G:A rs12166144 G A G EBF1_EBF_1 6 1 - 6.156105711183074 6.457391840044832 ATGCCCCTGGGACC chr22 49417193 49417194 chr22:49417194:C:G rs67283009 C G c EBF1_EBF_1 3 1 + 5.342121539427564 -0.48564290868765325 CCCCCCAGGGGGCT chr22 49433029 49433030 chr22:49433030:T:C rs13057009 T C C EBF1_EBF_1 1 1 - 6.313809556260412 6.574508388181714 TACCCCCTGGGAGA chr22 49446247 49446248 chr22:49446248:G:A rs78471038 G A G EBF1_EBF_1 -17 0 + 0 0 . chr22 49523448 49523449 chr22:49523449:C:T rs117550993 C T C EBF1_EBF_1 -10 0 - 0 0 . chr22 49550870 49550871 chr22:49550871:G:A rs135787 G A G EBF1_EBF_1 5 1 - 5.513818177753794 0.19203096221312427 AGTCCCGGGAGAGC chr22 49561432 49561433 chr22:49561433:A:G rs6009286 A G A EBF1_EBF_1 6 1 - 7.243981100408993 6.942694971547237 CTTCCCTAGGTACC chr22 49565695 49565696 chr22:49565696:C:T rs135825 C T T EBF1_EBF_1 -12 0 - 0 0 . chr22 49568603 49568604 chr22:49568604:G:A rs76451300 G A G EBF1_EBF_1 9 1 + 5.842011853384145 3.1870725879365414 ACTCCCAGCGGACA chr22 49616390 49616391 chr22:49616391:G:A rs35039503 G A G EBF1_EBF_1 22 0 + 0 0 . chr22 49618031 49618032 chr22:49618032:C:A rs148850299 C A C EBF1_EBF_1 -2 0 + 0 0 . chr22 49622858 49622859 chr22:49622859:G:C rs557406774 G C G EBF1_EBF_1 10 1 + 6.210838220217606 -0.6799641671118688 CCTCCCAAGGGGCG chr22 49622870 49622871 chr22:49622871:G:A rs77941260 G A G EBF1_EBF_1 22 0 + 0 0 . chr22 49628850 49628851 chr22:49628851:T:C rs372506239 T C T EBF1_EBF_1 -6 0 + 0 0 . chr22 49656819 49656820 chr22:49656820:G:A rs739239 G A G EBF1_EBF_1 25 0 - 0 0 . chr22 49665104 49665105 chr22:49665105:A:G rs6009806 A G G EBF1_EBF_1 -1 0 - 0 0 . chr22 49686977 49686978 chr22:49686978:C:G rs139962051 C G C EBF1_EBF_1 6 1 + 6.881627184903368 5.076435121430171 CACCCCCAGGGAGC chr22 49688090 49688091 chr22:49688091:C:A rs75837142 C A C EBF1_EBF_1 14 0 - 0 0 . chr22 49688102 49688103 chr22:49688103:G:A chr22:49688103:G:A G A G EBF1_EBF_1 2 1 - 8.809446100769634 10.698269512211784 GGCCCCATGGGAAC chr22 49691174 49691175 chr22:49691175:G:A rs76570843 G A g EBF1_EBF_1 7 1 - 4.894632030943676 6.712136777226299 CTCCCCCCGGGGCT chr22 49691176 49691177 chr22:49691177:G:T rs5770660 G T t EBF1_EBF_1 5 1 - 4.894632030943676 -2.0102491216914933 CTCCCCCCGGGGCT chr22 49693572 49693573 chr22:49693573:C:A rs78668918 C A C EBF1_EBF_1 -1 0 + 0 0 . chr22 49702164 49702165 chr22:49702165:A:G rs738415 A G G EBF1_EBF_1 29 0 - 0 0 . chr22 49702184 49702185 chr22:49702185:C:A rs713830 C A C EBF1_EBF_1 9 1 - 6.4046904177698005 -0.43229899031900954 AGCCTCAAGGGACA chr22 49703606 49703607 chr22:49703607:G:A rs73179195 G A G EBF1_EBF_1 -19 0 + 0 0 . chr22 49728155 49728156 chr22:49728156:C:A rs546631456 C A C EBF1_EBF_1 9 1 - 6.7301995035320825 -0.10678990455672781 CTTCCCCTGGGGTC chr22 49749284 49749285 chr22:49749285:A:T rs6009316 A T T EBF1_EBF_1 25 0 + 0 0 . chr22 49749285 49749286 chr22:49749286:A:C rs6009317 A C C EBF1_EBF_1 26 0 + 0 0 . chr22 49754437 49754438 chr22:49754438:C:T rs180931498 C T C EBF1_EBF_1 -13 0 - 0 0 . chr22 49754442 49754443 chr22:49754443:G:A rs114136950 G A G EBF1_EBF_1 -18 0 - 0 0 . chr22 49756047 49756048 chr22:49756048:T:A rs9680748 T A t EBF1_EBF_1 -6 0 - 0 0 . chr22 49764240 49764241 chr22:49764241:C:T rs558293911 C T c EBF1_EBF_1 -20 0 + 0 0 . chr22 49769770 49769771 chr22:49769771:G:A rs138818 G A G EBF1_EBF_1 18 0 - 0 0 . chr22 49825702 49825703 chr22:49825703:G:A rs11914096 G A g EBF1_EBF_1 2 1 - 6.599646292089003 8.48846970353115 ATCCCCCTGGGCCC chr22 49842961 49842962 chr22:49842962:T:C rs6009900 T C C EBF1_EBF_1 -2 0 + 0 0 . chr22 49849161 49849162 chr22:49849162:C:T rs6009906 C T C EBF1_EBF_1 10 1 - 7.475337862745498 2.157309403478531 GCTCCCGGGGGACC chr22 49850542 49850543 chr22:49850543:G:A rs9616758 G A G EBF1_EBF_1 8 1 + 5.493594307624965 1.2673042187387031 ACTCCCGCGGGGCC chr22 49919433 49919434 chr22:49919434:C:T rs9616384 C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 49919475 49919476 chr22:49919476:C:T rs9616385 C T C EBF1_EBF_1 23 0 + 0 0 . chr22 49923538 49923539 chr22:49923539:C:T rs7410601 C T C EBF1_EBF_1 13 1 + 7.4305247155195335 8.275018830105052 ACTCCCTGGAGAGC chr22 49928687 49928688 chr22:49928688:T:G rs114332724 T G T EBF1_EBF_1 30 0 - 0 0 . chr22 49933948 49933949 chr22:49933949:G:A rs147704120 G A C EBF1_EBF_1 24 0 - 0 0 . chr22 49943169 49943170 chr22:49943170:C:T rs145537167 C T C EBF1_EBF_1 30 0 - 0 0 . chr22 49954762 49954763 chr22:49954763:T:C rs28630024 T C T EBF1_EBF_1 20 0 - 0 0 . chr22 49958328 49958329 chr22:49958329:G:A rs28681372 G A G EBF1_EBF_1 7 1 - 9.06293090632167 10.880435652604293 TCTCCCCCGGGACT chr22 49966200 49966201 chr22:49966201:C:T rs370194838 C T C EBF1_EBF_1 -8 0 + 0 0 . chr22 49966279 49966280 chr22:49966280:C:G rs997484382 C G C EBF1_EBF_1 26 0 - 0 0 . chr22 49966305 49966306 chr22:49966306:C:T rs116154315 C T C EBF1_EBF_1 0 1 - 6.87085634974916 8.763140219313994 GACCCCCAGGGAGA chr22 49966594 49966595 chr22:49966595:C:A rs73445959 C A C EBF1_EBF_1 -15 0 - 0 0 . chr22 49966738 49966739 chr22:49966739:G:A rs572392521 G A G EBF1_EBF_1 9 1 + 8.976777632796662 6.3218383673490575 ATCCCCAAGGGAGG chr22 49967385 49967386 chr22:49967386:C:A rs149007467 C A C EBF1_EBF_1 3 1 + 6.75685209793114 -0.14932193153466733 ACTCCCCGGGGCCC chr22 49967414 49967415 chr22:49967415:T:C rs62231876 T C C EBF1_EBF_1 32 0 + 0 0 . chr22 49969709 49969710 chr22:49969710:T:C rs193143915 T C T EBF1_EBF_1 -12 0 - 0 0 . chr22 49970320 49970321 chr22:49970321:C:T rs191683193 C T C EBF1_EBF_1 -9 0 - 0 0 . chr22 49972133 49972134 chr22:49972134:C:G rs1042557090 C G c EBF1_EBF_1 8 1 - 6.639749699273721 1.321090838359035 GGTCCCCAGAGACG chr22 50009348 50009349 chr22:50009349:G:T rs148951280 G T G EBF1_EBF_1 -5 0 + 0 0 . chr22 50010119 50010120 chr22:50010120:A:G rs35290412 A G A EBF1_EBF_1 -3 0 + 0 0 . chr22 50013777 50013778 chr22:50013778:A:G rs137876 A G G EBF1_EBF_1 33 0 - 0 0 . chr22 50017302 50017303 chr22:50017303:C:T rs116962676 C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 50033012 50033013 chr22:50033013:T:G rs742180 T G T EBF1_EBF_1 25 0 - 0 0 . chr22 50033032 50033033 chr22:50033033:G:A rs138222939 G A G EBF1_EBF_1 5 1 - 7.057686233573176 1.7358990180325056 CTCCCCGAGGGACA chr22 50033038 50033039 chr22:50033039:A:G rs742181 A G G EBF1_EBF_1 -1 0 - 0 0 . chr22 50046144 50046145 chr22:50046145:C:T rs6010246 C T C EBF1_EBF_1 19 0 + 0 0 . chr22 50059215 50059216 chr22:50059216:T:A rs2294380 T A T EBF1_EBF_1 2 1 + 10.687664265492518 7.003196677893642 CTTCCCCGGGGAAT chr22 50062851 50062852 chr22:50062852:C:T rs3817808 C T C EBF1_EBF_1 -20 0 - 0 0 . chr22 50066016 50066017 chr22:50066017:A:G rs2294385 A G G EBF1_EBF_1 23 0 + 0 0 . chr22 50082466 50082467 chr22:50082467:G:A rs2235351 G A G EBF1_EBF_1 -19 0 + 0 0 . chr22 50084247 50084248 chr22:50084248:G:A rs73185307 G A G EBF1_EBF_1 31 0 + 0 0 . chr22 50090139 50090140 chr22:50090140:A:T rs12628964 A T A EBF1_EBF_1 1 1 + 5.694842292409712 6.661202910160543 CACCCCTAGGGAGG chr22 50099252 50099253 chr22:50099253:T:G rs11703692 T G T EBF1_EBF_1 28 0 + 0 0 . chr22 50100983 50100984 chr22:50100984:T:C rs138209 T C C EBF1_EBF_1 2 1 + 7.458289864705276 5.569466453263126 TTTCCCAGGAGAAA chr22 50119033 50119034 chr22:50119034:G:A rs9617082 G A G EBF1_EBF_1 14 0 + 0 0 . chr22 50146371 50146372 chr22:50146372:G:A rs138267 G A G EBF1_EBF_1 30 0 - 0 0 . chr22 50146390 50146391 chr22:50146391:C:A rs187751257 C A C EBF1_EBF_1 11 1 - 6.435623977661322 5.230416565166608 AGCCCCCAGGGGAG chr22 50149701 50149702 chr22:50149702:C:T rs138271 C T C EBF1_EBF_1 -10 0 - 0 0 . chr22 50173690 50173691 chr22:50173691:A:G rs541184548 A G A EBF1_EBF_1 7 1 - 8.861103421051055 7.0435986747684325 AGCCCCATGAGACT chr22 50178622 50178623 chr22:50178623:C:T rs184405821 C T C EBF1_EBF_1 3 1 + 5.425962838226457 -0.9794359033268601 ACCCCCCCGGGAGG chr22 50185760 50185761 chr22:50185761:C:G rs7288305 C G C EBF1_EBF_1 -16 0 + 0 0 . chr22 50185768 50185769 chr22:50185769:C:T rs7288306 C T T EBF1_EBF_1 -8 0 + 0 0 . chr22 50185798 50185799 chr22:50185799:G:A rs952163119 G A G EBF1_EBF_1 22 0 + 0 0 . chr22 50186649 50186650 chr22:50186650:G:C rs13054650 G C G EBF1_EBF_1 -13 0 - 0 0 . chr22 50196122 50196123 chr22:50196123:G:A rs73187250 G A G EBF1_EBF_1 -19 0 + 0 0 . chr22 50196157 50196158 chr22:50196158:C:T rs12152137 C T C EBF1_EBF_1 16 0 + 0 0 . chr22 50199863 50199864 chr22:50199864:A:G rs557129293 A G A EBF1_EBF_1 24 0 - 0 0 . chr22 50200381 50200382 chr22:50200382:G:A rs151311757 G A G EBF1_EBF_1 -12 0 + 0 0 . chr22 50207772 50207773 chr22:50207773:G:A rs2272847 G A A EBF1_EBF_1 0 1 - 7.016588819160081 7.2987908798906584 CCCCCCAGGGGACA chr22 50217998 50217999 chr22:50217999:G:A rs35381394 G A G EBF1_EBF_1 -4 0 + 0 0 . chr22 50227657 50227658 chr22:50227658:T:C rs62239325 T C T EBF1_EBF_1 19 0 + 0 0 . chr22 50252544 50252545 chr22:50252545:C:G rs760746 C G C EBF1_EBF_1 5 1 + 8.623392366664183 1.7185112140290146 TCCCCCCAGGGACC chr22 50259088 50259089 chr22:50259089:T:C rs1076649 T C C EBF1_EBF_1 27 0 + 0 0 . chr22 50260901 50260902 chr22:50260902:C:G rs3817818 C G C EBF1_EBF_1 28 0 + 0 0 . chr22 50260902 50260903 chr22:50260903:C:T rs540862519 C T C EBF1_EBF_1 29 0 + 0 0 . chr22 50261057 50261058 chr22:50261058:G:C rs2272856 G C C EBF1_EBF_1 33 0 + 0 0 . chr22 50268717 50268718 chr22:50268718:C:T chr22:50268718:C:T C T C EBF1_EBF_1 29 0 - 0 0 . chr22 50275859 50275860 chr22:50275860:T:C rs5771118 T C C EBF1_EBF_1 -6 0 + 0 0 . chr22 50276061 50276062 chr22:50276062:A:C rs6010224 A C c EBF1_EBF_1 11 1 + 7.459665689152842 3.0437039913004513 CCTCCCCGGGGAAG chr22 50281546 50281547 chr22:50281547:C:T rs111925803 C T C EBF1_EBF_1 -9 0 - 0 0 . chr22 50281751 50281752 chr22:50281752:C:G rs28442189 C G G EBF1_EBF_1 -1 0 - 0 0 . chr22 50301497 50301498 chr22:50301498:G:A rs151160606 G A G EBF1_EBF_1 7 1 - 7.283087310611129 9.100592056893754 AATCCCACGAGAGT chr22 50301503 50301504 chr22:50301504:T:C rs1475774100 T C T EBF1_EBF_1 1 1 - 7.283087310611129 7.543786142532431 AATCCCACGAGAGT chr22 50306221 50306222 chr22:50306222:C:A chr22:50306222:C:A C A C EBF1_EBF_1 -11 0 + 0 0 . chr22 50306239 50306240 chr22:50306240:C:T rs114660075 C T C EBF1_EBF_1 7 1 + 5.965713307057129 7.783218053339752 GACCCCCCGGGACA chr22 50307114 50307115 chr22:50307115:C:A rs1001363646 C A C EBF1_EBF_1 19 0 - 0 0 . chr22 50307154 50307155 chr22:50307155:C:T rs570186990 C T C EBF1_EBF_1 -20 0 - 0 0 . chr22 50308138 50308139 chr22:50308139:A:G rs1004258394 A G A EBF1_EBF_1 25 0 - 0 0 . chr22 50308182 50308183 chr22:50308183:C:T rs114420749 C T T EBF1_EBF_1 -19 0 - 0 0 . chr22 50424172 50424173 chr22:50424173:G:C rs9637354 G C G EBF1_EBF_1 -4 0 + 0 0 . chr22 50430239 50430240 chr22:50430240:A:T rs9616847 A T T EBF1_EBF_1 -19 0 - 0 0 . chr22 50435494 50435495 chr22:50435495:T:C rs12485118 T C T EBF1_EBF_1 23 0 - 0 0 . chr22 50439262 50439263 chr22:50439263:G:A rs76453420 G A G EBF1_EBF_1 31 0 - 0 0 . chr22 50439288 50439289 chr22:50439289:G:A rs5770908 G A G EBF1_EBF_1 5 1 - 6.483391072583141 1.161603857042472 CTTCCCCAGGGTGT chr22 50456419 50456420 chr22:50456420:G:A rs2076713 G A G EBF1_EBF_1 6 1 + 7.364892837347237 9.531687268621711 AGTCCCGTGAGACA chr22 50468487 50468488 chr22:50468488:C:A rs9616852 C A C EBF1_EBF_1 31 0 + 0 0 . chr22 50469654 50469655 chr22:50469655:G:T rs1358499517 G T G EBF1_EBF_1 31 0 + 0 0 . chr22 50484415 50484416 chr22:50484416:G:A rs41308351 G A G EBF1_EBF_1 -9 0 - 0 0 . chr22 50516205 50516206 chr22:50516206:G:A rs470120 G A G EBF1_EBF_1 19 0 - 0 0 . chr22 50528839 50528840 chr22:50528840:A:G rs131800 A G G EBF1_EBF_1 26 0 - 0 0 . chr22 50531391 50531392 chr22:50531392:C:T rs757729437 C T C EBF1_EBF_1 24 0 - 0 0 . chr22 50534683 50534684 chr22:50534684:C:T rs5770862 C T C EBF1_EBF_1 -12 0 + 0 0 . chr22 50547302 50547303 chr22:50547303:C:T rs5770883 C T C EBF1_EBF_1 -19 0 + 0 0 . chr22 50548217 50548218 chr22:50548218:G:A rs62239508 G A G EBF1_EBF_1 -1 0 - 0 0 . chr22 50571682 50571683 chr22:50571683:G:A rs5770907 G A A EBF1_EBF_1 -15 0 + 0 0 . chr22 50577127 50577128 chr22:50577128:C:T rs3752393 C T C EBF1_EBF_1 -17 0 - 0 0 . chr22 50583169 50583170 chr22:50583170:C:T rs131756 C T c EBF1_EBF_1 22 0 - 0 0 . chr22 50603576 50603577 chr22:50603577:C:G rs41282361 C G C EBF1_EBF_1 5 1 + 6.40535294481581 -0.4995282078193572 CACCCCCTGGGAGC chr22 50603602 50603603 chr22:50603603:G:T rs6010025 G T G EBF1_EBF_1 31 0 + 0 0 . chr22 50603604 50603605 chr22:50603605:C:G rs6009938 C G C EBF1_EBF_1 33 0 + 0 0 . chr22 50605814 50605815 chr22:50605815:C:T rs916005 C T C EBF1_EBF_1 14 0 - 0 0 . chr22 50607662 50607663 chr22:50607663:G:C rs5770797 G C G EBF1_EBF_1 21 0 - 0 0 . chr22 50607667 50607668 chr22:50607668:A:G rs470115 A G A EBF1_EBF_1 16 0 - 0 0 . chr22 50620971 50620972 chr22:50620972:T:A rs752391345 T A T EBF1_EBF_1 24 0 - 0 0 . chr22 50620983 50620984 chr22:50620984:G:A rs147425161 G A G EBF1_EBF_1 12 1 - 5.903635493421131 4.877368922870281 GCCCCCCGGGGACG chr22 50621005 50621006 chr22:50621006:C:T rs139905430 C T C EBF1_EBF_1 -10 0 - 0 0 . chr22 50641556 50641557 chr22:50641557:C:T rs75126276 C T C EBF1_EBF_1 11 1 - 6.079607991610643 8.972314835214654 GGTCCCCAGAGGCT chr22 50672674 50672675 chr22:50672675:C:T rs80259102 C T C EBF1_EBF_1 -15 0 + 0 0 . chr22 50672710 50672711 chr22:50672711:C:T rs114401842 C T C EBF1_EBF_1 21 0 + 0 0 . chr22 50672911 50672912 chr22:50672912:G:A rs113651792 G A G EBF1_EBF_1 -4 0 - 0 0 . chr22 50677353 50677354 chr22:50677354:A:C rs78162224 A C A EBF1_EBF_1 30 0 + 0 0 . chr22 50678082 50678083 chr22:50678083:G:A rs74401940 G A G EBF1_EBF_1 -9 0 - 0 0 . chr22 50688370 50688371 chr22:50688371:G:A rs7286929 G A A EBF1_EBF_1 30 0 + 0 0 . chr22 50697979 50697980 chr22:50697980:C:T rs9616940 C T C EBF1_EBF_1 7 1 - 6.947033888138486 8.25949229342731 GACCCCCGGGGACA chr22 50698818 50698819 chr22:50698819:G:T rs763757413 G T G EBF1_EBF_1 -12 0 + 0 0 . chr22 50698820 50698821 chr22:50698821:T:C rs1557620 T C C EBF1_EBF_1 -10 0 + 0 0 . chr22 50702596 50702597 chr22:50702597:C:T rs114523478 C T C EBF1_EBF_1 30 0 - 0 0 . chr22 50709995 50709996 chr22:50709996:G:A rs9616945 G A G EBF1_EBF_1 18 0 + 0 0 . chr22 50710564 50710565 chr22:50710565:C:T rs76870387 C T C EBF1_EBF_1 4 1 + 5.746013436117966 1.3766264911730428 GTTCCCGGGAGAAC chr22 50710566 50710567 chr22:50710567:G:A rs9616946 G A G EBF1_EBF_1 6 1 + 5.746013436117966 7.912807867392441 GTTCCCGGGAGAAC chr3 77339 77340 chr3:77340:A:G rs35993532 A G A EBF1_EBF_1 -11 0 + 0 0 . chr3 78637 78638 chr3:78638:G:A rs9860846 G A G EBF1_EBF_1 -15 0 - 0 0 . chr3 98267 98268 chr3:98268:T:C rs4686095 T C T EBF1_EBF_1 -9 0 - 0 0 . chr3 98453 98454 chr3:98454:T:C rs77369709 T C T EBF1_EBF_1 -7 0 - 0 0 . chr3 375304 375305 chr3:375305:T:C rs3773382 T C C EBF1_EBF_1 11 1 - 5.198243185236685 2.305536341632674 TCTCCCACAGGACT chr3 463865 463866 chr3:463866:T:A rs1079428 T A T EBF1_EBF_1 7 1 + 6.3431168823144395 6.819391122401998 AACCCCATGGGTAC chr3 690773 690774 chr3:690774:C:T rs62239680 C T T EBF1_EBF_1 18 0 + 0 0 . chr3 850038 850039 chr3:850039:G:A rs116486866 G A g EBF1_EBF_1 14 0 + 0 0 . chr3 850044 850045 chr3:850045:C:T rs12637804 C T c EBF1_EBF_1 20 0 + 0 0 . chr3 1069621 1069622 chr3:1069622:A:G rs138000772 A G A EBF1_EBF_1 13 1 + 7.9120222595588245 6.797696687489386 CTCCCCAGGGGACA chr3 1093637 1093638 chr3:1093638:A:G rs73816443 A G A EBF1_EBF_1 -18 0 - 0 0 . chr3 1247661 1247662 chr3:1247662:C:T rs3772340 C T T EBF1_EBF_1 25 0 - 0 0 . chr3 1263378 1263379 chr3:1263379:T:C rs74592086 T C T EBF1_EBF_1 22 0 + 0 0 . chr3 1303514 1303515 chr3:1303515:G:C rs3898716 G C G EBF1_EBF_1 -1 0 + 0 0 . chr3 1361115 1361116 chr3:1361116:A:C rs155898 A C A EBF1_EBF_1 22 0 + 0 0 . chr3 1432902 1432903 chr3:1432903:C:G rs17786068 C G C EBF1_EBF_1 -8 0 - 0 0 . chr3 1445063 1445064 chr3:1445064:A:C rs149228042 A C A EBF1_EBF_1 -9 0 + 0 0 . chr3 1516400 1516401 chr3:1516401:C:A rs1499068 C A A EBF1_EBF_1 16 0 + 0 0 . chr3 1531464 1531465 chr3:1531465:C:G rs73001650 C G G EBF1_EBF_1 -9 0 - 0 0 . chr3 1587454 1587455 chr3:1587455:A:C rs6442535 A C A EBF1_EBF_1 -8 0 - 0 0 . chr3 1855933 1855934 chr3:1855934:C:A rs146714442 C A C EBF1_EBF_1 3 1 + 3.9647858833878553 -2.9413881460779514 ATTCCCCTTGGGCT chr3 1996019 1996020 chr3:1996020:T:A rs2600053 T A A EBF1_EBF_1 7 1 + 7.14533049219565 7.621604732283208 ATTCCATTGGGACT chr3 2099053 2099054 chr3:2099054:G:T rs371112216 G T - EBF1_EBF_1 -14 0 - 0 0 . chr3 2246814 2246815 chr3:2246815:G:A rs1153511 G A A EBF1_EBF_1 15 0 - 0 0 . chr3 2321007 2321008 chr3:2321008:T:G rs71309884 T G G EBF1_EBF_1 -2 0 - 0 0 . chr3 2326192 2326193 chr3:2326193:G:A rs12638682 G A G EBF1_EBF_1 -3 0 + 0 0 . chr3 2691681 2691682 chr3:2691682:A:G rs17018695 A G A EBF1_EBF_1 -19 0 - 0 0 . chr3 2724736 2724737 chr3:2724737:A:G rs1516383 A G G EBF1_EBF_1 23 0 - 0 0 . chr3 2839743 2839744 chr3:2839744:G:C rs9811354 G C C EBF1_EBF_1 -10 0 - 0 0 . chr3 2934698 2934699 chr3:2934699:A:C rs6442769 A C C EBF1_EBF_1 33 0 - 0 0 . chr3 2946140 2946141 chr3:2946141:A:G rs62232842 A G A EBF1_EBF_1 12 1 - 8.977544638122684 10.003811208673532 ATCCCCCAGGGATG chr3 2962210 2962211 chr3:2962211:G:A rs6782080 G A A EBF1_EBF_1 13 1 - 5.174358894164435 6.018853008749953 ATTCCCTAAGGGGC chr3 3028641 3028642 chr3:3028642:G:A rs7648901 G A A EBF1_EBF_1 -2 0 + 0 0 . chr3 3105991 3105992 chr3:3105992:C:T rs3856848 C T C EBF1_EBF_1 -5 0 + 0 0 . chr3 3111245 3111246 chr3:3111246:G:T rs17878498 G T G EBF1_EBF_1 -7 0 - 0 0 . chr3 3126731 3126732 chr3:3126732:G:C rs78924331 G C G EBF1_EBF_1 23 0 - 0 0 . chr3 3126748 3126749 chr3:3126749:C:T chr3:3126749:C:T C T C EBF1_EBF_1 6 1 - 4.775863131833388 6.942657563107862 AGCCCCGGGGGGCC chr3 3126761 3126762 chr3:3126762:C:G rs76467200 C G C EBF1_EBF_1 -7 0 - 0 0 . chr3 3210767 3210768 chr3:3210768:T:C rs4685618 T C T EBF1_EBF_1 2 1 + 6.341525656793581 4.452702245351431 TTTCCCTGGAGATA chr3 3302718 3302719 chr3:3302719:A:C rs6442790 A C C EBF1_EBF_1 -17 0 + 0 0 . chr3 3302740 3302741 chr3:3302741:C:T rs6797752 C T C EBF1_EBF_1 5 1 + 7.692544063621534 2.370756848080863 GTTCCCATGAGATC chr3 3303780 3303781 chr3:3303781:G:T rs17671139 G T T EBF1_EBF_1 12 1 - 7.565925060563816 7.596106458985143 TTTCCCTAGAGACG chr3 3305405 3305406 chr3:3305406:A:G rs4684384 A G G EBF1_EBF_1 1 1 + 6.186316065754522 6.447014897675825 AACCTCCAGGGAAC chr3 3310009 3310010 chr3:3310010:G:C rs113839891 G C G EBF1_EBF_1 16 0 - 0 0 . chr3 3310024 3310025 chr3:3310025:G:C rs1027531 G C G EBF1_EBF_1 1 1 - 4.043446244515484 4.233217899084701 TCTCCCCTGTGACT chr3 3335241 3335242 chr3:3335242:T:G rs1499086 T G T EBF1_EBF_1 -14 0 + 0 0 . chr3 3404813 3404814 chr3:3404814:G:C rs6778438 G C G EBF1_EBF_1 -20 0 + 0 0 . chr3 3407735 3407736 chr3:3407736:G:A rs9881415 G A G EBF1_EBF_1 9 1 + 5.5343660076455 2.8794267421978965 ACTCCCTTGGAAAG chr3 3435945 3435946 chr3:3435946:C:T rs9883452 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 3489899 3489900 chr3:3489900:A:G rs75507003 A G A EBF1_EBF_1 -6 0 + 0 0 . chr3 3537565 3537566 chr3:3537566:C:T rs76111858 C T C EBF1_EBF_1 7 1 - 5.275160551467973 6.587618956756797 CATCCCCGGGGCCT chr3 3666406 3666407 chr3:3666407:C:T rs983153 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 3772702 3772703 chr3:3772703:T:C rs1488460 T C T EBF1_EBF_1 13 1 - 4.78545337116684 3.671127799097401 ACTTCCAGGGGAAA chr3 3784161 3784162 chr3:3784162:T:G rs4685684 T G G EBF1_EBF_1 21 0 + 0 0 . chr3 3906577 3906578 chr3:3906578:G:C rs2120607 G C G EBF1_EBF_1 12 1 - 8.59694413100707 7.208308187328919 GATCCCATGAGACT chr3 4064145 4064146 chr3:4064146:C:T rs1243824 C T T EBF1_EBF_1 23 0 + 0 0 . chr3 4197640 4197641 chr3:4197641:T:C rs2587928 T C C EBF1_EBF_1 30 0 + 0 0 . chr3 4303499 4303500 chr3:4303500:C:T rs60314351 C T C EBF1_EBF_1 5 1 + 4.688771325741752 -0.6330158897989191 GGCCCCCGGGGGCC chr3 4342977 4342978 chr3:4342978:G:A rs13326178 G A G EBF1_EBF_1 26 0 + 0 0 . chr3 4356410 4356411 chr3:4356411:C:G rs543323998 C G G EBF1_EBF_1 9 1 - 5.039764520896214 -0.03592462544924896 TGTCCCCACGGAAA chr3 4396823 4396824 chr3:4396824:T:A rs3864052 T A T EBF1_EBF_1 16 0 + 0 0 . chr3 4401529 4401530 chr3:4401530:G:A rs73809540 G A A EBF1_EBF_1 22 0 - 0 0 . chr3 4427737 4427738 chr3:4427738:G:A rs148417183 G A G EBF1_EBF_1 8 1 + 6.477760172656926 2.251470083770665 ATTCCCAAGGCACA chr3 4427744 4427745 chr3:4427745:A:G rs17040675 A G a EBF1_EBF_1 15 0 + 0 0 . chr3 4467117 4467118 chr3:4467118:G:A rs200789939 G A G EBF1_EBF_1 16 0 + 0 0 . chr3 4493074 4493075 chr3:4493075:G:C rs558836871 G C G EBF1_EBF_1 24 0 - 0 0 . chr3 4493274 4493275 chr3:4493275:C:T chr3:4493275:C:T C T C EBF1_EBF_1 -20 0 + 0 0 . chr3 4493275 4493276 chr3:4493276:G:T rs563379542 G T G EBF1_EBF_1 -19 0 + 0 0 . chr3 4504464 4504465 chr3:4504465:A:T rs191584660 A T A EBF1_EBF_1 -17 0 - 0 0 . chr3 4553121 4553122 chr3:4553122:G:A rs11130058 G A G EBF1_EBF_1 9 1 + 6.732607535240076 4.077668269792473 AGTGCCATGGGACC chr3 4672533 4672534 chr3:4672534:A:T rs145319823 A T A EBF1_EBF_1 7 1 - 5.618776513827705 6.095050753915263 ATTCACTTGGGAGA chr3 4703150 4703151 chr3:4703151:G:A rs17041233 G A G EBF1_EBF_1 -2 0 - 0 0 . chr3 4734977 4734978 chr3:4734978:T:G rs11920824 T G T EBF1_EBF_1 11 1 - 7.1088998091945985 2.6929381113422086 TTTCCCTAAGGACA chr3 4737372 4737373 chr3:4737373:G:C rs3804997 G C G EBF1_EBF_1 -17 0 - 0 0 . chr3 4738854 4738855 chr3:4738855:G:T rs79621767 G T T EBF1_EBF_1 -3 0 - 0 0 . chr3 4738945 4738946 chr3:4738946:T:G rs538244830 T G T EBF1_EBF_1 16 0 - 0 0 . chr3 4742017 4742018 chr3:4742018:G:A rs3792493 G A G EBF1_EBF_1 1 1 + 7.391100657211393 7.13040182529009 CGTCCCCAGAGACA chr3 4742019 4742020 chr3:4742020:C:A rs139595856 C A C EBF1_EBF_1 3 1 + 7.391100657211393 0.48492662774558576 CGTCCCCAGAGACA chr3 4773713 4773714 chr3:4773714:A:T rs77109297 A T A EBF1_EBF_1 -5 0 + 0 0 . chr3 4786728 4786729 chr3:4786729:T:G rs2633716 T G G EBF1_EBF_1 -17 0 - 0 0 . chr3 4799699 4799700 chr3:4799700:A:G rs11919513 A G A EBF1_EBF_1 23 0 - 0 0 . chr3 4800803 4800804 chr3:4800804:G:T rs2291861 G T T EBF1_EBF_1 0 1 + 6.805786858084091 6.725014304682902 GATCCCTTGGGCAT chr3 4814549 4814550 chr3:4814550:G:A rs901854 G A G EBF1_EBF_1 -20 0 + 0 0 . chr3 4814600 4814601 chr3:4814601:G:A rs76604555 G A G EBF1_EBF_1 31 0 + 0 0 . chr3 4949090 4949091 chr3:4949091:T:C rs9682490 T C T EBF1_EBF_1 27 0 + 0 0 . chr3 4949807 4949808 chr3:4949808:T:C rs78320636 T C T EBF1_EBF_1 0 1 + 5.418038703035401 5.135836642304823 TGTCCCATGAGATG chr3 4949833 4949834 chr3:4949834:T:C rs79048360 T C T EBF1_EBF_1 26 0 + 0 0 . chr3 4978250 4978251 chr3:4978251:G:A rs1110261 G A A EBF1_EBF_1 17 0 - 0 0 . chr3 4978259 4978260 chr3:4978260:C:G rs373245446 C G C EBF1_EBF_1 8 1 - 6.1900468413984555 0.8713879804837688 AGACCCCGGGGACG chr3 4978677 4978678 chr3:4978678:C:T rs373533215 C T C EBF1_EBF_1 16 0 - 0 0 . chr3 4978777 4978778 chr3:4978778:C:T rs12489120 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 4978786 4978787 chr3:4978787:A:C chr3:4978787:A:C A C A EBF1_EBF_1 18 0 - 0 0 . chr3 4978970 4978971 chr3:4978971:G:A chr3:4978971:G:A G A G EBF1_EBF_1 15 0 + 0 0 . chr3 4989622 4989623 chr3:4989623:A:G rs2292588 A G A EBF1_EBF_1 25 0 - 0 0 . chr3 5012129 5012130 chr3:5012130:T:C rs6782157 T C T EBF1_EBF_1 -20 0 - 0 0 . chr3 5017845 5017846 chr3:5017846:G:C rs2671749 G C C EBF1_EBF_1 -7 0 + 0 0 . chr3 5026635 5026636 chr3:5026636:G:A rs888021866 G A G EBF1_EBF_1 6 1 - 9.280529926218696 9.581816055080452 GCTCCCCGGGGACC chr3 5036251 5036252 chr3:5036252:T:C rs77797380 T C T EBF1_EBF_1 -4 0 + 0 0 . chr3 5075177 5075178 chr3:5075178:C:T rs7629233 C T C EBF1_EBF_1 25 0 - 0 0 . chr3 5075215 5075216 chr3:5075216:G:A rs7650760 G A G EBF1_EBF_1 -13 0 - 0 0 . chr3 5101395 5101396 chr3:5101396:T:C rs2570007 T C T EBF1_EBF_1 -16 0 - 0 0 . chr3 5122911 5122912 chr3:5122912:C:T rs900650553 C T C EBF1_EBF_1 8 1 - 8.747915753058022 4.52162566417176 AAACCCAAGGGAAA chr3 5148293 5148294 chr3:5148294:T:C rs11711365 T C C EBF1_EBF_1 15 0 - 0 0 . chr3 5148314 5148315 chr3:5148315:T:G rs57213835 T G T EBF1_EBF_1 -6 0 - 0 0 . chr3 5188027 5188028 chr3:5188028:C:T rs757158212 C T C EBF1_EBF_1 5 1 + 3.8479969377610512 -1.4737902777796166 AGCCGCCGGGGACC chr3 5198138 5198139 chr3:5198139:A:C rs2244990 A C A EBF1_EBF_1 6 1 + 5.050410293637376 4.688807925836099 CTTCCCAGGGGTTC chr3 5218122 5218123 chr3:5218123:A:C rs79419566 A C A EBF1_EBF_1 6 1 + 5.384883973148586 5.023281605347309 CTTCCCAGGGGCCA chr3 5220390 5220391 chr3:5220391:C:T rs457016 C T C EBF1_EBF_1 -1 0 - 0 0 . chr3 5315679 5315680 chr3:5315680:A:G rs762373360 A G A EBF1_EBF_1 -19 0 + 0 0 . chr3 5315846 5315847 chr3:5315847:C:A rs398708 C A C EBF1_EBF_1 -4 0 + 0 0 . chr3 5322929 5322930 chr3:5322930:C:G rs4684475 C G C EBF1_EBF_1 8 1 - 6.183529896889446 0.8648710359747598 AACCCCCCGGGGCT chr3 5383899 5383900 chr3:5383900:G:T rs9848922 G T G EBF1_EBF_1 12 1 - 4.236463799102099 4.266645197523425 ATCTCCCTGGGACA chr3 5424118 5424119 chr3:5424119:A:G rs2323141 A G a EBF1_EBF_1 -3 0 - 0 0 . chr3 5465094 5465095 chr3:5465095:A:C rs62256081 A C A EBF1_EBF_1 0 1 + 5.147508754114737 2.892250270418137 ATACCCCGGGGGAA chr3 5465100 5465101 chr3:5465101:C:G rs6763013 C G G EBF1_EBF_1 8 1 - 6.794207791660081 1.4755489307453962 ATTCCCCCGGGGTA chr3 5466930 5466931 chr3:5466931:G:A rs1430001 G A A EBF1_EBF_1 10 1 + 5.639237090810357 0.3212086315433909 CATCCCCTGAGAGC chr3 5487753 5487754 chr3:5487754:C:G rs10865988 C G G EBF1_EBF_1 14 0 - 0 0 . chr3 5510669 5510670 chr3:5510670:C:T rs76339793 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 5573342 5573343 chr3:5573343:C:T rs6771379 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 5703192 5703193 chr3:5703193:A:G rs6442977 A G G EBF1_EBF_1 29 0 + 0 0 . chr3 5720932 5720933 chr3:5720933:C:G rs148015979 C G G EBF1_EBF_1 -9 0 - 0 0 . chr3 5818143 5818144 chr3:5818144:C:T rs9827188 C T C EBF1_EBF_1 5 1 + 6.885325597750658 1.5635383822099893 TTTCCCAGGGGCCT chr3 5906927 5906928 chr3:5906928:C:A rs73012314 C A C EBF1_EBF_1 18 0 - 0 0 . chr3 5952006 5952007 chr3:5952007:G:A rs9828360 G A G EBF1_EBF_1 6 1 - 6.278654673489671 6.579940802351428 ATTCCTCAGAGAAT chr3 5977580 5977581 chr3:5977581:A:G rs80090200 A G A EBF1_EBF_1 15 0 - 0 0 . chr3 6013406 6013407 chr3:6013407:A:C rs2102776 A C A EBF1_EBF_1 1 1 - 9.635025019251637 8.929363233422109 CTCCCCCTGGGAAT chr3 6078563 6078564 chr3:6078564:G:C rs908606 G C G EBF1_EBF_1 31 0 + 0 0 . chr3 6079117 6079118 chr3:6079118:C:A rs994455674 C A C EBF1_EBF_1 -6 0 + 0 0 . chr3 6099614 6099615 chr3:6099615:C:T rs75407299 C T C EBF1_EBF_1 -16 0 + 0 0 . chr3 6284258 6284259 chr3:6284259:T:G rs17599293 T G T EBF1_EBF_1 6 1 + 6.577603773229185 4.471125580894229 ACACCCTTGGGGCT chr3 6327219 6327220 chr3:6327220:A:G rs1311130238 A G A EBF1_EBF_1 16 0 + 0 0 . chr3 6355883 6355884 chr3:6355884:T:C rs4684526 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 6447281 6447282 chr3:6447282:A:G rs116499839 A G A EBF1_EBF_1 20 0 + 0 0 . chr3 6460050 6460051 chr3:6460051:T:C rs183806 T C C EBF1_EBF_1 24 0 - 0 0 . chr3 6747577 6747578 chr3:6747578:T:G rs12491369 T G T EBF1_EBF_1 7 1 - 4.534481052549109 2.2407020661789274 CCTCCTCAGGGACA chr3 6866800 6866801 chr3:6866801:G:C rs187045720 G C G EBF1_EBF_1 -3 0 - 0 0 . chr3 6957389 6957390 chr3:6957390:C:T rs76624027 C T C EBF1_EBF_1 23 0 - 0 0 . chr3 7062584 7062585 chr3:7062585:T:C rs4686114 T C C EBF1_EBF_1 33 0 + 0 0 . chr3 7062937 7062938 chr3:7062938:T:A rs4684547 T A T EBF1_EBF_1 -15 0 + 0 0 . chr3 7094369 7094370 chr3:7094370:A:C rs73808676 A C A EBF1_EBF_1 9 1 - 6.08548031023136 12.922469718320169 ATTCCCATGTGAAA chr3 7131314 7131315 chr3:7131315:G:A rs1878164 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 7166794 7166795 chr3:7166795:T:C rs73811622 T C T EBF1_EBF_1 -17 0 - 0 0 . chr3 7304975 7304976 chr3:7304976:C:T rs12489402 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 7305010 7305011 chr3:7305011:C:T rs12489404 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 7510287 7510288 chr3:7510288:A:G rs76161207 A G A EBF1_EBF_1 12 1 + 7.968230464481244 6.549413122381766 GCTCCCCAGAGAAC chr3 7521896 7521897 chr3:7521897:A:G rs1144017 A G G EBF1_EBF_1 -12 0 + 0 0 . chr3 7543912 7543913 chr3:7543913:C:T rs73116020 C T C EBF1_EBF_1 10 1 - 4.753540880566651 -0.5644875787003147 CTTGCCCAGGGAGT chr3 7622926 7622927 chr3:7622927:C:G rs62235220 C G C EBF1_EBF_1 8 1 - 5.613346519150555 0.2946876582358683 TTTCCCCTGGAACC chr3 7630626 7630627 chr3:7630627:A:G rs17666966 A G G EBF1_EBF_1 -15 0 + 0 0 . chr3 7632546 7632547 chr3:7632547:C:T rs6778440 C T T EBF1_EBF_1 8 1 - 5.131695937678069 0.9054058487918083 AGCCCCAAGGCAAT chr3 7647014 7647015 chr3:7647015:C:T rs3804850 C T C EBF1_EBF_1 30 0 - 0 0 . chr3 7701032 7701033 chr3:7701033:C:A rs11929007 C A C EBF1_EBF_1 -3 0 + 0 0 . chr3 7707426 7707427 chr3:7707427:C:T rs329043 C T T EBF1_EBF_1 29 0 + 0 0 . chr3 7812711 7812712 chr3:7812712:G:A rs161896 G A G EBF1_EBF_1 -13 0 - 0 0 . chr3 7884246 7884247 chr3:7884247:A:C rs412504 A C A EBF1_EBF_1 -16 0 + 0 0 . chr3 7884250 7884251 chr3:7884251:C:T rs9843267 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 7978171 7978172 chr3:7978172:C:G chr3:7978172:C:G C G C EBF1_EBF_1 -2 0 + 0 0 . chr3 7978188 7978189 chr3:7978189:C:A rs114056290 C A C EBF1_EBF_1 15 0 + 0 0 . chr3 8028580 8028581 chr3:8028581:T:G rs471886 T G G EBF1_EBF_1 -10 0 + 0 0 . chr3 8070202 8070203 chr3:8070203:G:T rs139563031 G T G EBF1_EBF_1 1 1 + 8.993838019643118 9.699499805472644 AGTCCCCAGAGATC chr3 8070224 8070225 chr3:8070225:G:A rs111300696 G A G EBF1_EBF_1 23 0 + 0 0 . chr3 8153046 8153047 chr3:8153047:T:C rs9681162 T C T EBF1_EBF_1 32 0 + 0 0 . chr3 8207580 8207581 chr3:8207581:T:A rs55859553 T A T EBF1_EBF_1 -3 0 - 0 0 . chr3 8329420 8329421 chr3:8329421:T:C rs1905773 T C C EBF1_EBF_1 22 0 - 0 0 . chr3 8346646 8346647 chr3:8346647:G:A rs9822399 G A A EBF1_EBF_1 -13 0 - 0 0 . chr3 8357738 8357739 chr3:8357739:C:G rs116330663 C G C EBF1_EBF_1 26 0 - 0 0 . chr3 8357783 8357784 chr3:8357784:G:A rs35955626 G A G EBF1_EBF_1 -19 0 - 0 0 . chr3 8371428 8371429 chr3:8371429:G:T rs961455666 G T G EBF1_EBF_1 12 1 + 8.195781703368715 8.558151076496015 AATCCCTTGAGAGC chr3 8372410 8372411 chr3:8372411:T:C rs358980 T C T EBF1_EBF_1 -14 0 + 0 0 . chr3 8386307 8386308 chr3:8386308:A:G rs359001 A G A EBF1_EBF_1 24 0 - 0 0 . chr3 8386316 8386317 chr3:8386317:A:G rs359000 A G g EBF1_EBF_1 15 0 - 0 0 . chr3 8386395 8386396 chr3:8386396:T:C rs358999 T C T EBF1_EBF_1 -1 0 - 0 0 . chr3 8415692 8415693 chr3:8415693:C:T rs359032 C T T EBF1_EBF_1 -19 0 + 0 0 . chr3 8493461 8493462 chr3:8493462:A:T rs148878725 A T A EBF1_EBF_1 18 0 - 0 0 . chr3 8504113 8504114 chr3:8504114:T:A rs9312022 T A T EBF1_EBF_1 19 0 - 0 0 . chr3 8525873 8525874 chr3:8525874:T:G rs2218727 T G G EBF1_EBF_1 25 0 + 0 0 . chr3 8550886 8550887 chr3:8550887:G:A rs1545416 G A A EBF1_EBF_1 31 0 + 0 0 . chr3 8569657 8569658 chr3:8569658:G:C rs4686268 G C G EBF1_EBF_1 18 0 - 0 0 . chr3 8596746 8596747 chr3:8596747:G:C rs7626643 G C G EBF1_EBF_1 27 0 + 0 0 . chr3 8625983 8625984 chr3:8625984:G:C rs355056 G C C EBF1_EBF_1 -12 0 - 0 0 . chr3 8681539 8681540 chr3:8681540:G:A rs115328286 G A - EBF1_EBF_1 15 0 - 0 0 . chr3 8681574 8681575 chr3:8681575:A:G rs540385 A G - EBF1_EBF_1 -20 0 - 0 0 . chr3 8712786 8712787 chr3:8712787:A:C rs73810965 A C A EBF1_EBF_1 -20 0 + 0 0 . chr3 8739920 8739921 chr3:8739921:C:G rs151462 C G C EBF1_EBF_1 8 1 - 4.585436927374992 -0.733221933539695 AGCCCCCAGGTGAT chr3 8745436 8745437 chr3:8745437:G:T rs13060135 G T G EBF1_EBF_1 5 1 - 5.219255679288243 -1.6856254733469254 ATCCCCTAGAGGTC chr3 8761970 8761971 chr3:8761971:C:G rs237890 C G G EBF1_EBF_1 -20 0 - 0 0 . chr3 8764263 8764264 chr3:8764264:A:G rs237893 A G A EBF1_EBF_1 -16 0 - 0 0 . chr3 8768624 8768625 chr3:8768625:T:C rs237915 T C T EBF1_EBF_1 12 1 - 5.171513041845397 3.7526956997459195 ACTCCCGGAGGAAC chr3 8769268 8769269 chr3:8769269:C:T rs202141245 C T C EBF1_EBF_1 4 1 + 5.8530443384412685 1.4836573934963453 TCCCCCCGGGGAAG chr3 8774657 8774658 chr3:8774658:T:A rs237922 T A C EBF1_EBF_1 -20 0 + 0 0 . chr3 8842634 8842635 chr3:8842635:C:G rs7648677 C G G EBF1_EBF_1 -13 0 + 0 0 . chr3 8845887 8845888 chr3:8845888:C:T rs73012095 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 8845969 8845970 chr3:8845970:A:G rs17049651 A G A EBF1_EBF_1 -7 0 - 0 0 . chr3 8862604 8862605 chr3:8862605:G:A rs17049662 G A G EBF1_EBF_1 16 0 + 0 0 . chr3 8863839 8863840 chr3:8863840:C:T rs11706378 C T C EBF1_EBF_1 -18 0 - 0 0 . chr3 8887170 8887171 chr3:8887171:T:G rs83626 T G G EBF1_EBF_1 26 0 - 0 0 . chr3 8891444 8891445 chr3:8891445:A:G rs672086 A G A EBF1_EBF_1 -4 0 - 0 0 . chr3 8891453 8891454 chr3:8891454:G:C rs593066 G C G EBF1_EBF_1 -13 0 - 0 0 . chr3 8955062 8955063 chr3:8955063:G:A rs9827283 G A A EBF1_EBF_1 14 0 - 0 0 . chr3 8978063 8978064 chr3:8978064:G:A rs2324710 G A A EBF1_EBF_1 -2 0 + 0 0 . chr3 8988277 8988278 chr3:8988278:A:C rs9826351 A C A EBF1_EBF_1 1 1 + 7.080414726694902 7.151341904046987 AATCCTAAGGGACA chr3 9066371 9066372 chr3:9066372:C:T rs6772477 C T T EBF1_EBF_1 18 0 + 0 0 . chr3 9070338 9070339 chr3:9070339:A:T rs2675175 A T T EBF1_EBF_1 -8 0 - 0 0 . chr3 9088606 9088607 chr3:9088607:G:A rs2664082 G A A EBF1_EBF_1 16 0 - 0 0 . chr3 9089914 9089915 chr3:9089915:G:T rs2664079 G T T EBF1_EBF_1 6 1 - 7.450681814025169 7.812284181826447 AACCCCCGGGGAGA chr3 9112183 9112184 chr3:9112184:C:T rs138127723 C T C EBF1_EBF_1 -7 0 + 0 0 . chr3 9112202 9112203 chr3:9112203:T:C rs73017426 T C C EBF1_EBF_1 12 1 + 5.154966942318731 6.181233512869581 ACTGCCCTGGGATC chr3 9131260 9131261 chr3:9131261:A:C rs1300250 A C C EBF1_EBF_1 32 0 + 0 0 . chr3 9178735 9178736 chr3:9178736:A:T rs1145149 A T T EBF1_EBF_1 26 0 - 0 0 . chr3 9183691 9183692 chr3:9183692:G:A rs418207 G A A EBF1_EBF_1 -1 0 + 0 0 . chr3 9185959 9185960 chr3:9185960:T:C rs1145150 T C T EBF1_EBF_1 -20 0 - 0 0 . chr3 9187524 9187525 chr3:9187525:T:C rs1145152 T C C EBF1_EBF_1 -18 0 + 0 0 . chr3 9193650 9193651 chr3:9193651:G:C rs71314330 G C G EBF1_EBF_1 20 0 - 0 0 . chr3 9196247 9196248 chr3:9196248:C:A rs143932725 C A C EBF1_EBF_1 0 1 - 5.3405317176735 5.25975916427231 GGTCCCCAGGGCTC chr3 9199847 9199848 chr3:9199848:A:T rs1145156 A T T EBF1_EBF_1 21 0 - 0 0 . chr3 9231143 9231144 chr3:9231144:C:T rs1041089866 C T C EBF1_EBF_1 33 0 + 0 0 . chr3 9259007 9259008 chr3:9259008:C:T rs77098546 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 9260166 9260167 chr3:9260167:T:C rs73021262 T C T EBF1_EBF_1 12 1 - 5.978004193442291 4.5591868513428135 GCACCCGAGGGAAT chr3 9302750 9302751 chr3:9302751:G:T rs141794301 G T G EBF1_EBF_1 3 1 - 7.431656151567495 0.525482122101687 CTTCCCCCGGGATA chr3 9397335 9397336 chr3:9397336:A:C chr3:9397336:A:C A C A EBF1_EBF_1 18 0 + 0 0 . chr3 9477122 9477123 chr3:9477123:G:C rs17081119 G C G EBF1_EBF_1 31 0 - 0 0 . chr3 9548658 9548659 chr3:9548659:C:T rs28399326 C T C EBF1_EBF_1 -12 0 - 0 0 . chr3 9553571 9553572 chr3:9553572:G:T rs1353222495 G T G EBF1_EBF_1 1 1 - 7.01796106549057 6.947033888138486 GCCCCCCGGGGACA chr3 9649277 9649278 chr3:9649278:G:A chr3:9649278:G:A G A G EBF1_EBF_1 21 0 - 0 0 . chr3 9649330 9649331 chr3:9649331:A:T rs756493855 A T A EBF1_EBF_1 32 0 + 0 0 . chr3 9649863 9649864 chr3:9649864:A:G rs62245609 A G A EBF1_EBF_1 23 0 - 0 0 . chr3 9678761 9678762 chr3:9678762:T:G rs184085374 T G T EBF1_EBF_1 -18 0 + 0 0 . chr3 9689183 9689184 chr3:9689184:G:C rs159142 G C C EBF1_EBF_1 8 1 + 4.952938399484956 -0.3657204614297296 GCCCCCAAGAGAAG chr3 9768856 9768857 chr3:9768857:C:T rs13084184 C T C EBF1_EBF_1 13 1 + 10.456793181017527 11.301287295603046 TTTCCCAGGGGACC chr3 9846382 9846383 chr3:9846383:T:C rs61200791 T C T EBF1_EBF_1 30 0 - 0 0 . chr3 9846392 9846393 chr3:9846393:G:C rs73031043 G C G EBF1_EBF_1 20 0 - 0 0 . chr3 9846428 9846429 chr3:9846429:C:T rs71314367 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 9848137 9848138 chr3:9848138:G:A rs6810030 G A A EBF1_EBF_1 7 1 + 4.752411766711644 6.06487017200047 ATTGCCCGGGGACG chr3 9862613 9862614 chr3:9862614:T:A rs115841047 T A T EBF1_EBF_1 26 0 - 0 0 . chr3 9862648 9862649 chr3:9862649:G:A rs112648086 G A G EBF1_EBF_1 31 0 - 0 0 . chr3 9877126 9877127 chr3:9877127:G:A rs61742367 G A G EBF1_EBF_1 32 0 - 0 0 . chr3 9877176 9877177 chr3:9877177:C:A rs456168 C A C EBF1_EBF_1 -18 0 - 0 0 . chr3 9878356 9878357 chr3:9878357:A:T rs56111543 A T A EBF1_EBF_1 19 0 + 0 0 . chr3 9932881 9932882 chr3:9932882:G:A rs370560855 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 9933510 9933511 chr3:9933511:G:A rs1230852908 G A G EBF1_EBF_1 -9 0 + 0 0 . chr3 9942445 9942446 chr3:9942446:T:G rs56125067 T G T EBF1_EBF_1 26 0 + 0 0 . chr3 9987290 9987291 chr3:9987291:T:G rs940185618 T G . EBF1_EBF_1 -14 0 + 0 0 . chr3 9987303 9987304 chr3:9987304:C:A rs28366039 C A . EBF1_EBF_1 -1 0 + 0 0 . chr3 10010982 10010983 chr3:10010983:C:T rs113040126 C T c EBF1_EBF_1 10 1 - 4.938096997684805 -0.37993146158216196 ACTCCCCTGAGCCC chr3 10164634 10164635 chr3:10164635:G:C rs780462568 G C G EBF1_EBF_1 -17 0 - 0 0 . chr3 10165070 10165071 chr3:10165071:A:G rs199769069 A G A EBF1_EBF_1 -19 0 + 0 0 . chr3 10189533 10189534 chr3:10189534:T:C rs9310359 T C T EBF1_EBF_1 0 1 - 6.738925595614452 4.846641726049619 AATCCCTTCGGATT chr3 10194370 10194371 chr3:10194371:T:A rs165499 T A T EBF1_EBF_1 -5 0 - 0 0 . chr3 10198326 10198327 chr3:10198327:G:C rs149380876 G C G EBF1_EBF_1 32 0 - 0 0 . chr3 10228273 10228274 chr3:10228274:C:T rs779901 C T C EBF1_EBF_1 0 1 + 4.2405840315328724 4.52278609226345 CATCCCCTGGGCTC chr3 10248632 10248633 chr3:10248633:G:A rs9879874 G A g EBF1_EBF_1 -17 0 + 0 0 . chr3 10264231 10264232 chr3:10264232:C:A rs148570392 C A C EBF1_EBF_1 3 1 + 4.774565109876268 -2.1316089195895396 GTTCCCAAGGTGCC chr3 10278009 10278010 chr3:10278010:G:A rs950708941 G A G EBF1_EBF_1 30 0 - 0 0 . chr3 10278035 10278036 chr3:10278036:G:T rs4684675 G T T EBF1_EBF_1 4 1 - 7.0294927582001465 1.2049331347466792 AGTCCCCTGAGATG chr3 10286768 10286769 chr3:10286769:T:A rs4684677 T A T EBF1_EBF_1 31 0 + 0 0 . chr3 10291173 10291174 chr3:10291174:G:A rs26312 G A A EBF1_EBF_1 25 0 - 0 0 . chr3 10320864 10320865 chr3:10320865:C:T rs2302889 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 10320885 10320886 chr3:10320886:G:A rs11915380 G A G EBF1_EBF_1 9 1 + 7.565014613076956 4.910075347629353 ACTCCCCTCGGAAT chr3 10333301 10333302 chr3:10333302:C:T rs3774200 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 10337758 10337759 chr3:10337759:C:G rs1682986 C G G EBF1_EBF_1 12 1 - 4.639494833519094 6.028130777197245 GTCCCCCAGGGCGT chr3 10337762 10337763 chr3:10337763:C:T rs1631876 C T C EBF1_EBF_1 8 1 - 4.639494833519094 0.4132047446328334 GTCCCCCAGGGCGT chr3 10337918 10337919 chr3:10337919:T:C rs40336 T C T EBF1_EBF_1 15 0 + 0 0 . chr3 10339094 10339095 chr3:10339095:G:A rs62240131 G A G EBF1_EBF_1 2 1 - 5.606794209645768 7.495617621087918 AGCCCCCTGAGGCT chr3 10346562 10346563 chr3:10346563:C:T rs74778716 C T C EBF1_EBF_1 -1 0 - 0 0 . chr3 10358546 10358547 chr3:10358547:T:C rs3774178 T C T EBF1_EBF_1 -20 0 + 0 0 . chr3 10360268 10360269 chr3:10360269:T:C rs2278555 T C T EBF1_EBF_1 29 0 + 0 0 . chr3 10376522 10376523 chr3:10376523:C:T rs73014683 C T T EBF1_EBF_1 28 0 - 0 0 . chr3 10394618 10394619 chr3:10394619:A:G rs73016624 A G G EBF1_EBF_1 11 1 + 5.438805768277434 2.546098924673423 GCTCCCTGGAGACG chr3 10414890 10414891 chr3:10414891:T:G rs59938627 T G T EBF1_EBF_1 28 0 - 0 0 . chr3 10421019 10421020 chr3:10421020:G:T rs36109047 G T G EBF1_EBF_1 5 1 - 6.474260338817142 -0.43062081381802764 AGTCCCCAGGTGAT chr3 10460307 10460308 chr3:10460308:A:T rs2164969 A T A EBF1_EBF_1 -20 0 - 0 0 . chr3 10463279 10463280 chr3:10463280:C:T rs73018781 C T C EBF1_EBF_1 28 0 + 0 0 . chr3 10470743 10470744 chr3:10470744:G:A rs17032943 G A G EBF1_EBF_1 -1 0 + 0 0 . chr3 10470770 10470771 chr3:10470771:C:T rs602709 C T C EBF1_EBF_1 -3 0 + 0 0 . chr3 10483961 10483962 chr3:10483962:G:A rs34892 G A A EBF1_EBF_1 30 0 - 0 0 . chr3 10514419 10514420 chr3:10514420:A:G rs882527 A G A EBF1_EBF_1 -2 0 + 0 0 . chr3 10514854 10514855 chr3:10514855:A:T rs75605775 A T A EBF1_EBF_1 33 0 - 0 0 . chr3 10515517 10515518 chr3:10515518:G:A rs183841043 G A G EBF1_EBF_1 5 1 - 5.556064143835908 0.234276928295237 AGTCACAGGGGAAA chr3 10529083 10529084 chr3:10529084:C:T rs78922370 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 10564176 10564177 chr3:10564177:C:A rs4572750 C A C EBF1_EBF_1 22 0 - 0 0 . chr3 10577763 10577764 chr3:10577764:C:T rs11708444 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 10579978 10579979 chr3:10579979:C:T rs75349823 C T A EBF1_EBF_1 25 0 - 0 0 . chr3 10601206 10601207 chr3:10601207:A:T rs9822852 A T T EBF1_EBF_1 0 1 + 7.127397746589957 5.154341323623935 AGTCCCCAGAGGCC chr3 10601218 10601219 chr3:10601219:C:T rs150135410 C T C EBF1_EBF_1 12 1 + 7.127397746589957 6.101131176039107 AGTCCCCAGAGGCC chr3 10603248 10603249 chr3:10603249:G:A rs17033195 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 10620586 10620587 chr3:10620587:G:A rs113192725 G A G EBF1_EBF_1 6 1 + 4.881180310317986 7.047974741592463 AGTCCCGAAGGAGA chr3 10622122 10622123 chr3:10622123:G:C rs35552923 G C G EBF1_EBF_1 -10 0 + 0 0 . chr3 10635050 10635051 chr3:10635051:A:G rs11707497 A G A EBF1_EBF_1 29 0 - 0 0 . chr3 10636327 10636328 chr3:10636328:G:A rs13079701 G A A EBF1_EBF_1 5 1 - 6.031110491457145 0.7093232759164767 AGTCCCAAGAGGAG chr3 10640026 10640027 chr3:10640027:C:T rs7651051 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 10643209 10643210 chr3:10643210:C:T rs6442188 C T T EBF1_EBF_1 8 1 - 9.67797662104418 5.451686532157921 GTTCCCCAGAGACT chr3 10655431 10655432 chr3:10655432:T:C rs7649180 T C C EBF1_EBF_1 -8 0 + 0 0 . chr3 10664268 10664269 chr3:10664269:T:C rs35203482 T C C EBF1_EBF_1 -4 0 + 0 0 . chr3 10664305 10664306 chr3:10664306:G:C rs35030025 G C G EBF1_EBF_1 33 0 + 0 0 . chr3 10666140 10666141 chr3:10666141:G:A rs62240257 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 10669770 10669771 chr3:10669771:C:T rs991387725 C T C EBF1_EBF_1 1 1 + 7.567764074896625 8.46319751529537 ACCCCCCAGAGACA chr3 10692665 10692666 chr3:10692666:C:G rs6801160 C G G EBF1_EBF_1 0 1 + 5.470487968658715 5.8334625827904825 CCTCCCCAGGGCAA chr3 10703139 10703140 chr3:10703140:A:T rs116551647 A T A EBF1_EBF_1 20 0 + 0 0 . chr3 10708382 10708383 chr3:10708383:C:T rs34008768 C T C EBF1_EBF_1 3 1 + 7.2064737436196395 0.8010750020663218 CTTCCCCAGGGGTC chr3 10710151 10710152 chr3:10710152:C:A rs73812277 C A C EBF1_EBF_1 14 0 - 0 0 . chr3 10712289 10712290 chr3:10712290:C:T rs13064698 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 10722336 10722337 chr3:10722337:G:T rs962835 G T G EBF1_EBF_1 10 1 + 6.835136326041658 2.652384139814393 AGTGCCAAGGGACA chr3 10726127 10726128 chr3:10726128:C:T rs13087150 C T T EBF1_EBF_1 5 1 + 5.235113877025125 -0.0866733385155468 GGTCCCCAGAGGCC chr3 10742567 10742568 chr3:10742568:A:G rs9829416 A G A EBF1_EBF_1 1 1 - 7.752109883608817 6.856676443210073 GTTCCCAAGGGTCC chr3 10742582 10742583 chr3:10742583:C:T rs12107840 C T C EBF1_EBF_1 -14 0 - 0 0 . chr3 10744302 10744303 chr3:10744303:T:A rs13069289 T A T EBF1_EBF_1 -12 0 - 0 0 . chr3 10749182 10749183 chr3:10749183:C:T rs2571208 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 10763333 10763334 chr3:10763334:C:T rs6800908 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 10764371 10764372 chr3:10764372:C:G rs71316429 C G C EBF1_EBF_1 5 1 + 4.621107287130966 -2.2837738655042026 CCTCCCTGGGGCTT chr3 10779145 10779146 chr3:10779146:C:A rs9871804 C A C EBF1_EBF_1 19 0 - 0 0 . chr3 10855737 10855738 chr3:10855738:C:T rs11710402 C T C EBF1_EBF_1 9 1 - 6.12132862612282 3.4663893606752163 GCTCCCCAGGGTCA chr3 10914385 10914386 chr3:10914386:C:T rs3774089 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 10916542 10916543 chr3:10916543:G:A rs1881354 G A A EBF1_EBF_1 19 0 - 0 0 . chr3 10994373 10994374 chr3:10994374:A:G rs112714621 A G A EBF1_EBF_1 19 0 - 0 0 . chr3 11005099 11005100 chr3:11005100:G:A rs80067392 G A A EBF1_EBF_1 1 1 + 5.469976787175967 5.209277955254665 CGTCCCCTGGAACT chr3 11010652 11010653 chr3:11010653:A:C rs1710880 A C A EBF1_EBF_1 2 1 - 5.181404400483734 -1.5669212897167335 ATTCCAAGGGGAAA chr3 11010655 11010656 chr3:11010656:G:A rs1710881 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 11035575 11035576 chr3:11035576:A:G rs2697137 A G A EBF1_EBF_1 -2 0 + 0 0 . chr3 11035580 11035581 chr3:11035581:G:T rs2697136 G T T EBF1_EBF_1 3 1 + 6.027441116574148 5.449806823136047 AGTGCCCTGGGAAA chr3 11052555 11052556 chr3:11052556:C:G rs1015919 C G C EBF1_EBF_1 14 0 + 0 0 . chr3 11054375 11054376 chr3:11054376:A:G rs11916761 A G A EBF1_EBF_1 9 1 + 7.38216502525826 10.037104290705862 AACCCCATGAGACA chr3 11059542 11059543 chr3:11059543:A:G rs75061699 A G A EBF1_EBF_1 20 0 - 0 0 . chr3 11060848 11060849 chr3:11060849:G:T chr3:11060849:G:T G T G EBF1_EBF_1 17 0 + 0 0 . chr3 11085167 11085168 chr3:11085168:G:C rs2880698 G C G EBF1_EBF_1 32 0 - 0 0 . chr3 11092020 11092021 chr3:11092021:C:T rs56061650 C T C EBF1_EBF_1 -16 0 + 0 0 . chr3 11092021 11092022 chr3:11092022:G:A rs2675153 G A G EBF1_EBF_1 -15 0 + 0 0 . chr3 11096840 11096841 chr3:11096841:G:C rs148141536 G C G EBF1_EBF_1 28 0 - 0 0 . chr3 11126646 11126647 chr3:11126647:A:G rs3915855 A G G EBF1_EBF_1 20 0 + 0 0 . chr3 11154899 11154900 chr3:11154900:C:A rs116355989 C A C EBF1_EBF_1 4 1 + 6.976593575862547 1.1520339524090804 CCTCCCCAGGGTCT chr3 11177855 11177856 chr3:11177856:G:C rs2035665 G C G EBF1_EBF_1 25 0 + 0 0 . chr3 11180479 11180480 chr3:11180480:G:A rs113262106 G A - EBF1_EBF_1 -20 0 - 0 0 . chr3 11272218 11272219 chr3:11272219:C:T rs574638371 C T C EBF1_EBF_1 3 1 + 4.550325384122157 -1.8550733574311609 CTTCCCCCGGGGGC chr3 11272223 11272224 chr3:11272224:G:A rs2594971 G A G EBF1_EBF_1 8 1 + 4.550325384122157 0.3240352952358952 CTTCCCCCGGGGGC chr3 11272606 11272607 chr3:11272607:C:T rs1310789792 C T C EBF1_EBF_1 30 0 + 0 0 . chr3 11305743 11305744 chr3:11305744:G:T rs189497949 G T G EBF1_EBF_1 10 1 + 6.119247527378522 1.936495341151257 TCTCCCTGAGGACT chr3 11371129 11371130 chr3:11371130:T:C rs7635838 T C T EBF1_EBF_1 31 0 - 0 0 . chr3 11371145 11371146 chr3:11371146:C:G rs7624086 C G C EBF1_EBF_1 15 0 - 0 0 . chr3 11449611 11449612 chr3:11449612:G:A rs2442769 G A A EBF1_EBF_1 -7 0 - 0 0 . chr3 11511741 11511742 chr3:11511742:A:C rs2442796 A C C EBF1_EBF_1 6 1 - 5.86650200737609 3.7600238150411345 CTTCCCTCGGGGCA chr3 11511764 11511765 chr3:11511765:G:T rs2454488 G T G EBF1_EBF_1 -17 0 - 0 0 . chr3 11534070 11534071 chr3:11534071:T:G rs140840348 T G T EBF1_EBF_1 -9 0 + 0 0 . chr3 11534814 11534815 chr3:11534815:C:T rs66488222 C T T EBF1_EBF_1 19 0 - 0 0 . chr3 11553455 11553456 chr3:11553456:G:A rs2596815 G A A EBF1_EBF_1 29 0 + 0 0 . chr3 11554110 11554111 chr3:11554111:G:C rs61275424 G C G EBF1_EBF_1 -9 0 - 0 0 . chr3 11557452 11557453 chr3:11557453:G:A rs879745143 G A G EBF1_EBF_1 33 0 + 0 0 . chr3 11592024 11592025 chr3:11592025:C:G rs2881127 C G G EBF1_EBF_1 -13 0 - 0 0 . chr3 11594064 11594065 chr3:11594065:C:T rs7616556 C T C EBF1_EBF_1 3 1 + 6.791427221191106 0.38602847963778936 TTCCCCTAGAGACA chr3 11601990 11601991 chr3:11601991:T:C rs2276749 T C C EBF1_EBF_1 -10 0 + 0 0 . chr3 11620293 11620294 chr3:11620294:C:G rs3856800 C G C EBF1_EBF_1 -20 0 - 0 0 . chr3 11623337 11623338 chr3:11623338:T:C rs2616553 T C T EBF1_EBF_1 1 1 - 6.059892775093228 6.32059160701453 AATCCCCTGAGTAT chr3 11631927 11631928 chr3:11631928:C:T rs3856803 C T T EBF1_EBF_1 8 1 + 5.152807299934073 3.580033371871565 ATCCCCCTCGGAGT chr3 11662160 11662161 chr3:11662161:G:A rs11715990 G A A EBF1_EBF_1 -17 0 + 0 0 . chr3 11727307 11727308 chr3:11727308:C:G rs181003989 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 11757588 11757589 chr3:11757589:C:T rs962962562 C T C EBF1_EBF_1 15 0 + 0 0 . chr3 11757604 11757605 chr3:11757605:C:T rs376515031 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 11758042 11758043 chr3:11758043:C:T rs60881651 C T T EBF1_EBF_1 1 1 + 5.083048989423272 5.978482429822017 CCTCCCAGAGGAAC chr3 11901086 11901087 chr3:11901087:T:C rs377818 T C T EBF1_EBF_1 18 0 - 0 0 . chr3 11912417 11912418 chr3:11912418:G:T rs79444109 G T g EBF1_EBF_1 15 0 + 0 0 . chr3 11915928 11915929 chr3:11915929:C:T rs113926820 C T c EBF1_EBF_1 14 0 - 0 0 . chr3 11928302 11928303 chr3:11928303:T:C rs2881489 T C T EBF1_EBF_1 -10 0 + 0 0 . chr3 11940164 11940165 chr3:11940165:A:G rs6792438 A G G EBF1_EBF_1 2 1 - 3.891957598747264 2.0031341873051125 GGTCCCCAGGCACC chr3 12004156 12004157 chr3:12004157:T:G rs79860540 T G T EBF1_EBF_1 7 1 - 7.2759448836376714 4.982165897267491 TCTCCCCAGGGGAA chr3 12139319 12139320 chr3:12139320:G:A rs795093 G A G EBF1_EBF_1 5 1 - 7.866809060005684 2.5450218444650137 ACTCACAAGGGACT chr3 12144054 12144055 chr3:12144055:A:G rs12485253 A G A EBF1_EBF_1 31 0 - 0 0 . chr3 12181912 12181913 chr3:12181913:T:C rs75719041 T C T EBF1_EBF_1 -13 0 + 0 0 . chr3 12193478 12193479 chr3:12193479:G:A rs73125117 G A G EBF1_EBF_1 17 0 + 0 0 . chr3 12229160 12229161 chr3:12229161:A:G rs6779488 A G A EBF1_EBF_1 -18 0 - 0 0 . chr3 12229786 12229787 chr3:12229787:A:T rs35146940 A T A EBF1_EBF_1 1 1 - 6.474531612005294 5.508170994254463 ATTACCCAGGGACC chr3 12292832 12292833 chr3:12292833:T:C rs35080475 T C T EBF1_EBF_1 -10 0 - 0 0 . chr3 12458973 12458974 chr3:12458974:G:C rs561314474 G C G EBF1_EBF_1 -19 0 + 0 0 . chr3 12475001 12475002 chr3:12475002:T:C rs2589673 T C C EBF1_EBF_1 -2 0 + 0 0 . chr3 12554218 12554219 chr3:12554219:C:T rs2450859 C T C EBF1_EBF_1 31 0 - 0 0 . chr3 12590274 12590275 chr3:12590275:A:G rs2596830 A G G EBF1_EBF_1 -7 0 + 0 0 . chr3 12737294 12737295 chr3:12737295:A:G rs6442327 A G G EBF1_EBF_1 32 0 + 0 0 . chr3 12758603 12758604 chr3:12758604:G:A rs4420845 G A G EBF1_EBF_1 20 0 - 0 0 . chr3 12760451 12760452 chr3:12760452:G:A rs12714876 G A A EBF1_EBF_1 1 1 - 5.624871490710656 6.5203049311094015 CCACCCAGGGGAAC chr3 12803922 12803923 chr3:12803923:A:G rs9873475 A G A EBF1_EBF_1 -8 0 + 0 0 . chr3 12803926 12803927 chr3:12803927:G:C rs9836128 G C G EBF1_EBF_1 -4 0 + 0 0 . chr3 12806561 12806562 chr3:12806562:C:G rs11923034 C G C EBF1_EBF_1 -15 0 - 0 0 . chr3 12832840 12832841 chr3:12832841:G:A rs6442338 G A G EBF1_EBF_1 -12 0 + 0 0 . chr3 12840719 12840720 chr3:12840720:G:A rs115933783 G A G EBF1_EBF_1 8 1 + 12.293355826654706 8.067065737768447 ATTCCCTAGGGAGC chr3 12855313 12855314 chr3:12855314:T:C rs11706021 T C T EBF1_EBF_1 11 1 + 9.168119513086092 8.850072071332429 ATTCCCATGGGTCC chr3 12860699 12860700 chr3:12860700:A:G rs1848466 A G - EBF1_EBF_1 23 0 + 0 0 . chr3 12882516 12882517 chr3:12882517:T:C rs2600326 T C C EBF1_EBF_1 18 0 + 0 0 . chr3 12893084 12893085 chr3:12893085:G:A rs2596906 G A G EBF1_EBF_1 28 0 + 0 0 . chr3 12916681 12916682 chr3:12916682:T:C rs781590169 T C T EBF1_EBF_1 7 1 + 9.983052677603316 8.165547931320694 TATCCCATGGGAAA chr3 12932642 12932643 chr3:12932643:T:C rs2596899 T C C EBF1_EBF_1 -18 0 - 0 0 . chr3 12940842 12940843 chr3:12940843:T:G rs1116553 T G T EBF1_EBF_1 -4 0 + 0 0 . chr3 12955265 12955266 chr3:12955266:C:T rs77871021 C T C EBF1_EBF_1 22 0 + 0 0 . chr3 12955343 12955344 chr3:12955344:G:A rs547017859 G A G EBF1_EBF_1 28 0 + 0 0 . chr3 12967148 12967149 chr3:12967149:C:T rs77959860 C T C EBF1_EBF_1 -6 0 + 0 0 . chr3 12976455 12976456 chr3:12976456:C:A rs138789714 C A C EBF1_EBF_1 5 1 + 9.62697204626324 2.722090893628072 ATCCCCCTGGGAGC chr3 12986274 12986275 chr3:12986275:C:G rs67193712 C G C EBF1_EBF_1 4 1 + 5.860003109453464 0.2342380083039185 TTTCCCCAGAGGCC chr3 12986354 12986355 chr3:12986355:A:G rs2686740 A G A EBF1_EBF_1 14 0 - 0 0 . chr3 12992118 12992119 chr3:12992119:C:T rs75186696 C T C EBF1_EBF_1 18 0 + 0 0 . chr3 12995808 12995809 chr3:12995809:A:G rs360820 A G G EBF1_EBF_1 2 1 - 11.403583033432701 9.514759621990551 ATTCCCAGGGGATC chr3 13009536 13009537 chr3:13009537:C:T rs149823270 C T C EBF1_EBF_1 15 0 + 0 0 . chr3 13009546 13009547 chr3:13009547:C:T chr3:13009547:C:T C T C EBF1_EBF_1 25 0 + 0 0 . chr3 13015643 13015644 chr3:13015644:G:A rs56102901 G A G EBF1_EBF_1 7 1 - 4.972600710049478 6.790105456332101 CATCCCCCGGGGCC chr3 13015743 13015744 chr3:13015744:C:T rs573112399 C T C EBF1_EBF_1 20 0 + 0 0 . chr3 13021270 13021271 chr3:13021271:T:A rs55788602 T A T EBF1_EBF_1 -15 0 + 0 0 . chr3 13022666 13022667 chr3:13022667:T:C rs360850 T C C EBF1_EBF_1 32 0 + 0 0 . chr3 13023368 13023369 chr3:13023369:G:A rs377448400 G A g EBF1_EBF_1 -1 0 + 0 0 . chr3 13023401 13023402 chr3:13023402:T:G rs117691895 T G T EBF1_EBF_1 32 0 + 0 0 . chr3 13023443 13023444 chr3:13023444:G:A rs920406542 G A G EBF1_EBF_1 9 1 + 4.924050155839824 2.26911089039222 AGCCCCCAGGAAGT chr3 13025349 13025350 chr3:13025350:A:G rs576896043 A G A EBF1_EBF_1 30 0 - 0 0 . chr3 13042629 13042630 chr3:13042630:C:T rs6810137 C T T EBF1_EBF_1 19 0 - 0 0 . chr3 13072333 13072334 chr3:13072334:C:A rs191854345 C A C EBF1_EBF_1 11 1 - 6.908138827396964 5.70293141490225 CTTCCCAGGGGGCA chr3 13073164 13073165 chr3:13073165:T:C rs541206171 T C T EBF1_EBF_1 -9 0 - 0 0 . chr3 13079628 13079629 chr3:13079629:G:A rs12495872 G A G EBF1_EBF_1 5 1 - 4.840609086763907 -0.4811781287767633 CTCCCCATGGGGGC chr3 13083986 13083987 chr3:13083987:A:G rs11923740 A G G EBF1_EBF_1 16 0 + 0 0 . chr3 13088169 13088170 chr3:13088170:T:A rs62232939 T A T EBF1_EBF_1 -20 0 + 0 0 . chr3 13099865 13099866 chr3:13099866:G:C rs7646565 G C G EBF1_EBF_1 -7 0 + 0 0 . chr3 13107859 13107860 chr3:13107860:T:G rs141157526 T G T EBF1_EBF_1 -5 0 + 0 0 . chr3 13120194 13120195 chr3:13120195:G:A rs60813010 G A G EBF1_EBF_1 8 1 + 8.25949229342731 4.03320220454105 GACCCCCAGGGACA chr3 13133758 13133759 chr3:13133759:G:A rs358388 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 13134882 13134883 chr3:13134883:G:A rs73147138 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 13144608 13144609 chr3:13144609:A:G rs358374 A G a EBF1_EBF_1 17 0 + 0 0 . chr3 13153891 13153892 chr3:13153892:G:A rs358355 G A G EBF1_EBF_1 23 0 + 0 0 . chr3 13162322 13162323 chr3:13162323:A:G rs357174 A G G EBF1_EBF_1 29 0 + 0 0 . chr3 13163734 13163735 chr3:13163735:C:T rs149101 C T C EBF1_EBF_1 23 0 - 0 0 . chr3 13165023 13165024 chr3:13165024:A:G rs358371 A G A EBF1_EBF_1 17 0 - 0 0 . chr3 13171795 13171796 chr3:13171796:C:T rs62234222 C T C EBF1_EBF_1 27 0 + 0 0 . chr3 13171998 13171999 chr3:13171999:G:C rs60598844 G C G EBF1_EBF_1 17 0 + 0 0 . chr3 13210824 13210825 chr3:13210825:G:T rs11128636 G T G EBF1_EBF_1 -16 0 - 0 0 . chr3 13214689 13214690 chr3:13214690:G:T rs144571468 G T G EBF1_EBF_1 30 0 - 0 0 . chr3 13214721 13214722 chr3:13214722:G:C rs75157823 G C G EBF1_EBF_1 -2 0 - 0 0 . chr3 13218327 13218328 chr3:13218328:A:G rs530782428 A G A EBF1_EBF_1 19 0 + 0 0 . chr3 13219048 13219049 chr3:13219049:C:T rs12636673 C T T EBF1_EBF_1 14 0 + 0 0 . chr3 13222559 13222560 chr3:13222560:G:A rs9883626 G A G EBF1_EBF_1 32 0 + 0 0 . chr3 13224894 13224895 chr3:13224895:A:G rs28397040 A G G EBF1_EBF_1 21 0 - 0 0 . chr3 13224902 13224903 chr3:13224903:T:C rs76410166 T C T EBF1_EBF_1 13 1 - 4.051679764013374 2.9373541919439354 GTCCCCGTGGGGCA chr3 13224920 13224921 chr3:13224921:C:T rs73134178 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 13226924 13226925 chr3:13226925:T:G rs9849960 T G T EBF1_EBF_1 22 0 + 0 0 . chr3 13234022 13234023 chr3:13234023:G:A rs113378078 G A G EBF1_EBF_1 33 0 - 0 0 . chr3 13258998 13258999 chr3:13258999:T:C rs56073575 T C T EBF1_EBF_1 7 1 + 7.35990739515065 5.542402648868026 TTTCCCCTGGGCCT chr3 13261267 13261268 chr3:13261268:G:C rs7633375 G C G EBF1_EBF_1 10 1 + 6.343916902728338 -0.5468854846011374 CTCCCCCAGGGGCC chr3 13265379 13265380 chr3:13265380:A:T rs76168636 A T A EBF1_EBF_1 7 1 - 6.2306172767725485 6.7068915168601055 GTCCCCCTGGGGCC chr3 13280351 13280352 chr3:13280352:A:G rs357116 A G A EBF1_EBF_1 -20 0 - 0 0 . chr3 13297559 13297560 chr3:13297560:G:A rs3852032 G A G EBF1_EBF_1 30 0 - 0 0 . chr3 13301396 13301397 chr3:13301397:C:T rs7647974 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 13319012 13319013 chr3:13319013:C:T rs184930 C T C EBF1_EBF_1 6 1 + 3.79439547792911 4.095681606790868 CTCCCCCAGGGCTC chr3 13346965 13346966 chr3:13346966:A:G rs542513129 A G A EBF1_EBF_1 7 1 + 5.3087064347592605 3.9962480294704346 CTTCCCAAGGGCGA chr3 13352856 13352857 chr3:13352857:G:T rs79993102 G T G EBF1_EBF_1 26 0 - 0 0 . chr3 13403986 13403987 chr3:13403987:C:G rs545808067 C G C EBF1_EBF_1 22 0 + 0 0 . chr3 13406881 13406882 chr3:13406882:C:T rs190026415 C T C EBF1_EBF_1 -18 0 + 0 0 . chr3 13413643 13413644 chr3:13413644:A:G rs912451520 A G A EBF1_EBF_1 -10 0 - 0 0 . chr3 13416635 13416636 chr3:13416636:T:C rs73813583 T C C EBF1_EBF_1 29 0 - 0 0 . chr3 13416670 13416671 chr3:13416671:A:G rs7613497 A G G EBF1_EBF_1 -6 0 - 0 0 . chr3 13420576 13420577 chr3:13420577:C:T rs185723499 C T C EBF1_EBF_1 33 0 + 0 0 . chr3 13434082 13434083 chr3:13434083:A:G rs9873371 A G A EBF1_EBF_1 16 0 + 0 0 . chr3 13434099 13434100 chr3:13434100:C:T rs147495475 C T C EBF1_EBF_1 33 0 + 0 0 . chr3 13463055 13463056 chr3:13463056:G:A rs240743 G A G EBF1_EBF_1 11 1 + 7.326536038617535 10.219242882221545 GCTCCCCAGGGGCA chr3 13483720 13483721 chr3:13483721:C:G rs539065799 C G C EBF1_EBF_1 3 1 + 5.8483718398837015 0.020607391768485694 GTCCCCAAGAGAAG chr3 13496672 13496673 chr3:13496673:A:G rs569535783 A G A EBF1_EBF_1 16 0 + 0 0 . chr3 13519578 13519579 chr3:13519579:G:A rs6442393 G A G EBF1_EBF_1 4 1 - 6.152312709104618 1.7829257641596936 ACTCCTTGGGGACC chr3 13519583 13519584 chr3:13519584:C:T rs59919278 C T C EBF1_EBF_1 -1 0 - 0 0 . chr3 13521074 13521075 chr3:13521075:C:G rs2731341 C G C EBF1_EBF_1 21 0 + 0 0 . chr3 13522755 13522756 chr3:13522756:C:T rs2731344 C T C EBF1_EBF_1 -15 0 + 0 0 . chr3 13529160 13529161 chr3:13529161:G:A rs2655230 G A G EBF1_EBF_1 -2 0 + 0 0 . chr3 13547361 13547362 chr3:13547362:A:G rs77879935 A G A EBF1_EBF_1 11 1 + 6.398218149397639 3.505511305793629 AATCCCCAGGCAAT chr3 13559777 13559778 chr3:13559778:G:A rs4684955 G A G EBF1_EBF_1 -14 0 - 0 0 . chr3 13566559 13566560 chr3:13566560:G:A rs73040770 G A G EBF1_EBF_1 11 1 + 5.739158652778787 8.631865496382797 CACCCCAAGGGGCC chr3 13567155 13567156 chr3:13567156:C:T rs60748324 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 13570335 13570336 chr3:13570336:G:A rs368696308 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 13597700 13597701 chr3:13597701:G:A rs3773277 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 13608461 13608462 chr3:13608462:T:A rs114959837 T A T EBF1_EBF_1 11 1 - 6.734316939156907 2.636402683058181 ATTCCTAGGGGACA chr3 13610334 13610335 chr3:13610335:G:A rs9863413 G A A EBF1_EBF_1 3 1 - 5.2029784598912086 -1.2024202816621097 CTCCCCATGGGGTC chr3 13629336 13629337 chr3:13629337:T:G rs4684969 T G G EBF1_EBF_1 24 0 + 0 0 . chr3 13639360 13639361 chr3:13639361:C:T rs7610284 C T C EBF1_EBF_1 28 0 + 0 0 . chr3 13648084 13648085 chr3:13648085:T:C rs745938 T C T EBF1_EBF_1 10 1 + 7.323707902615629 4.615657701513419 GTTCCCAAGGTAAA chr3 13656603 13656604 chr3:13656604:C:T rs78092879 C T C EBF1_EBF_1 -13 0 + 0 0 . chr3 13666155 13666156 chr3:13666156:T:C rs7612417 T C C EBF1_EBF_1 -11 0 - 0 0 . chr3 13688831 13688832 chr3:13688832:G:A rs6794776 G A G EBF1_EBF_1 4 1 - 5.570987291060932 1.201600346116008 CCTCCCCTGGTACC chr3 13703953 13703954 chr3:13703954:C:T rs11715659 C T C EBF1_EBF_1 18 0 - 0 0 . chr3 13749698 13749699 chr3:13749699:T:C rs9878907 T C T EBF1_EBF_1 -9 0 - 0 0 . chr3 13764095 13764096 chr3:13764096:G:A rs7637646 G A A EBF1_EBF_1 23 0 - 0 0 . chr3 13766069 13766070 chr3:13766070:G:C rs144932272 G C G EBF1_EBF_1 9 1 + 4.724252707118326 -0.35143643922713763 CGTCCTCAGGGACA chr3 13772308 13772309 chr3:13772309:A:G rs6777806 A G G EBF1_EBF_1 -13 0 + 0 0 . chr3 13772336 13772337 chr3:13772337:C:G rs11713274 C G G EBF1_EBF_1 15 0 + 0 0 . chr3 13772561 13772562 chr3:13772562:C:T rs538041804 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 13780778 13780779 chr3:13780779:C:G rs76474956 C G C EBF1_EBF_1 -16 0 - 0 0 . chr3 13781006 13781007 chr3:13781007:G:A rs73030886 G A G EBF1_EBF_1 14 0 - 0 0 . chr3 13791785 13791786 chr3:13791786:G:A rs4685023 G A A EBF1_EBF_1 -11 0 - 0 0 . chr3 13791902 13791903 chr3:13791903:C:G rs11128660 C G C EBF1_EBF_1 22 0 - 0 0 . chr3 13791907 13791908 chr3:13791908:C:T rs4685024 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 13793262 13793263 chr3:13793263:A:G rs6765016 A G A EBF1_EBF_1 -12 0 + 0 0 . chr3 13793278 13793279 chr3:13793279:C:G rs13077538 C G C EBF1_EBF_1 4 1 + 6.5788089129694765 0.9530438118199323 CCCCCCCAGGGAGA chr3 13794099 13794100 chr3:13794100:G:C rs4685025 G C G EBF1_EBF_1 11 1 - 5.176765898578727 6.700020752827105 AATCCCTGGAGCCT chr3 13798523 13798524 chr3:13798524:G:A rs529877289 G A G EBF1_EBF_1 10 1 + 4.725400739460797 -0.5926277198061687 GTCCCCATGGGCAA chr3 13822003 13822004 chr3:13822004:C:T rs73017519 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 13822040 13822041 chr3:13822041:G:A rs11926637 G A G EBF1_EBF_1 33 0 + 0 0 . chr3 13828964 13828965 chr3:13828965:T:C rs12053930 T C T EBF1_EBF_1 -2 0 - 0 0 . chr3 13838118 13838119 chr3:13838119:G:T rs11922919 G T G EBF1_EBF_1 -14 0 + 0 0 . chr3 13852618 13852619 chr3:13852619:G:C rs4257529 G C g EBF1_EBF_1 14 0 - 0 0 . chr3 13879341 13879342 chr3:13879342:C:T rs374136758 C T C EBF1_EBF_1 8 1 - 4.291684487052754 0.06539439816649208 AGTCCCCGGAGCCC chr3 13879534 13879535 chr3:13879535:C:G rs73023640 C G C EBF1_EBF_1 -12 0 - 0 0 . chr3 13879938 13879939 chr3:13879939:C:A rs568644912 C A C EBF1_EBF_1 19 0 + 0 0 . chr3 13879940 13879941 chr3:13879941:G:T rs537563009 G T G EBF1_EBF_1 21 0 + 0 0 . chr3 13880228 13880229 chr3:13880229:C:G rs150614576 C G C EBF1_EBF_1 -19 0 + 0 0 . chr3 13880271 13880272 chr3:13880272:C:T rs111360058 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 13886579 13886580 chr3:13886580:C:A rs112899018 C A C EBF1_EBF_1 -15 0 - 0 0 . chr3 13895690 13895691 chr3:13895691:G:A rs192279652 G A G EBF1_EBF_1 -8 0 + 0 0 . chr3 13895718 13895719 chr3:13895719:G:T rs184011020 G T G EBF1_EBF_1 20 0 + 0 0 . chr3 13950919 13950920 chr3:13950920:A:T rs4685052 A T A EBF1_EBF_1 27 0 + 0 0 . chr3 14064998 14064999 chr3:14064999:G:A rs2607779 G A G EBF1_EBF_1 7 1 - 4.871354591699309 6.688859337981933 ATACCCACGGGGCC chr3 14121189 14121190 chr3:14121190:C:T rs56154103 C T C EBF1_EBF_1 20 0 + 0 0 . chr3 14123016 14123017 chr3:14123017:A:G rs188386351 A G A EBF1_EBF_1 7 1 - 6.602712740636627 4.785207994354003 CTTCCCATAGGATT chr3 14125545 14125546 chr3:14125546:A:G rs1229074739 A G A EBF1_EBF_1 -5 0 - 0 0 . chr3 14125707 14125708 chr3:14125708:T:G rs2733581 T G G EBF1_EBF_1 -15 0 - 0 0 . chr3 14135750 14135751 chr3:14135751:G:A rs3796311 G A G EBF1_EBF_1 -15 0 - 0 0 . chr3 14150148 14150149 chr3:14150149:G:A rs76357945 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 14175923 14175924 chr3:14175924:C:G rs79869662 C G C EBF1_EBF_1 20 0 + 0 0 . chr3 14246412 14246413 chr3:14246413:C:T rs900185 C T T EBF1_EBF_1 8 1 - 5.031384805916864 0.805094717030602 CCTCCCACGGGGCA chr3 14249486 14249487 chr3:14249487:C:T rs7640375 C T C EBF1_EBF_1 25 0 + 0 0 . chr3 14274953 14274954 chr3:14274954:C:A rs9813381 C A C EBF1_EBF_1 19 0 - 0 0 . chr3 14275540 14275541 chr3:14275541:A:C rs900190 A C A EBF1_EBF_1 19 0 - 0 0 . chr3 14288795 14288796 chr3:14288796:C:A rs7610197 C A C EBF1_EBF_1 20 0 - 0 0 . chr3 14289301 14289302 chr3:14289302:C:G rs7610717 C G G EBF1_EBF_1 14 0 + 0 0 . chr3 14323765 14323766 chr3:14323766:T:C rs9851340 T C C EBF1_EBF_1 31 0 - 0 0 . chr3 14324595 14324596 chr3:14324596:C:T rs56297413 C T C EBF1_EBF_1 23 0 + 0 0 . chr3 14330568 14330569 chr3:14330569:G:T rs36052330 G T G EBF1_EBF_1 2 1 - 8.668357308428925 6.872713132272199 TGCCCCTTGGGAAC chr3 14334085 14334086 chr3:14334086:A:G rs7630710 A G G EBF1_EBF_1 24 0 - 0 0 . chr3 14336424 14336425 chr3:14336425:A:G rs900176 A G A EBF1_EBF_1 -12 0 + 0 0 . chr3 14336877 14336878 chr3:14336878:T:C rs2086567 T C T EBF1_EBF_1 -15 0 - 0 0 . chr3 14346018 14346019 chr3:14346019:C:T rs11707457 C T C EBF1_EBF_1 -20 0 - 0 0 . chr3 14360747 14360748 chr3:14360748:T:C rs17039443 T C T EBF1_EBF_1 -3 0 + 0 0 . chr3 14363267 14363268 chr3:14363268:C:T rs57874132 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 14369590 14369591 chr3:14369591:G:A rs55864961 G A G EBF1_EBF_1 1 1 - 6.002512956707782 6.897946397106527 CCTCCCATGAGAAG chr3 14371776 14371777 chr3:14371777:T:G rs553133387 T G T EBF1_EBF_1 -1 0 - 0 0 . chr3 14375494 14375495 chr3:14375495:C:T rs140232699 C T C EBF1_EBF_1 3 1 + 5.169231021467684 -1.2361677200856327 CACCCCCTGAGAAC chr3 14378334 14378335 chr3:14378335:C:T rs62231813 C T C EBF1_EBF_1 8 1 - 8.881793493149889 4.655503404263628 GGCCCCAAGGGACA chr3 14410902 14410903 chr3:14410903:G:A rs376315819 G A G EBF1_EBF_1 23 0 - 0 0 . chr3 14431568 14431569 chr3:14431569:C:G rs6798986 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 14452959 14452960 chr3:14452960:T:C rs73132952 T C T EBF1_EBF_1 24 0 + 0 0 . chr3 14466802 14466803 chr3:14466803:C:T rs2289129 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 14467206 14467207 chr3:14467207:C:T rs17309567 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 14479723 14479724 chr3:14479724:T:C rs114013435 T C T EBF1_EBF_1 -4 0 - 0 0 . chr3 14482761 14482762 chr3:14482762:G:A rs3773165 G A G EBF1_EBF_1 -6 0 - 0 0 . chr3 14492250 14492251 chr3:14492251:G:A rs58073255 G A G EBF1_EBF_1 -15 0 + 0 0 . chr3 14506097 14506098 chr3:14506098:T:C rs4234524 T C T EBF1_EBF_1 15 0 - 0 0 . chr3 14512762 14512763 chr3:14512763:G:A rs12106984 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 14513534 14513535 chr3:14513535:A:G rs146765205 A G A EBF1_EBF_1 13 1 - 4.963625586801063 4.119131472215545 TCTCCCAGGGGCTT chr3 14513858 14513859 chr3:14513859:T:C rs4685172 T C t EBF1_EBF_1 7 1 - 3.9823853569304153 2.669926951641589 TCTCCCAATGGACC chr3 14540377 14540378 chr3:14540378:C:T rs200230523 C T C EBF1_EBF_1 3 1 + 7.353306752342189 0.9479080107888711 CCTCCCCAGGGAGG chr3 14551022 14551023 chr3:14551023:C:A rs77391500 C A C EBF1_EBF_1 -9 0 - 0 0 . chr3 14552426 14552427 chr3:14552427:T:C rs4234528 T C C EBF1_EBF_1 27 0 + 0 0 . chr3 14562480 14562481 chr3:14562481:G:A rs115182358 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 14565699 14565700 chr3:14565700:C:A rs36047546 C A A EBF1_EBF_1 13 1 + 6.512701040152665 6.138955590866689 ACTGCCTTGGGAAC chr3 14567381 14567382 chr3:14567382:C:A rs1554382 C A C EBF1_EBF_1 -1 0 + 0 0 . chr3 14605985 14605986 chr3:14605986:G:A rs869974 G A g EBF1_EBF_1 27 0 - 0 0 . chr3 14607172 14607173 chr3:14607173:C:G rs939287 C G G EBF1_EBF_1 14 0 - 0 0 . chr3 14617996 14617997 chr3:14617997:C:G rs150913393 C G C EBF1_EBF_1 -4 0 - 0 0 . chr3 14618157 14618158 chr3:14618158:A:G rs73039514 A G G EBF1_EBF_1 27 0 - 0 0 . chr3 14629097 14629098 chr3:14629098:T:C rs7633767 T C C EBF1_EBF_1 21 0 + 0 0 . chr3 14634313 14634314 chr3:14634314:C:A rs73135782 C A C EBF1_EBF_1 7 1 + 6.93070167847747 9.22448066484765 CTCCCCACGGGACA chr3 14636085 14636086 chr3:14636086:T:G rs73135789 T G T EBF1_EBF_1 15 0 - 0 0 . chr3 14687589 14687590 chr3:14687590:T:C rs6765155 T C T EBF1_EBF_1 30 0 - 0 0 . chr3 14698805 14698806 chr3:14698806:A:T rs28775283 A T A EBF1_EBF_1 2 1 - 7.251879699750322 3.5674121121514464 GATCCCTAGGGCCT chr3 14702312 14702313 chr3:14702313:C:T rs9866369 C T C EBF1_EBF_1 3 1 + 4.662435250146068 -1.7429634914072514 CTCCCCCTGGGTCC chr3 14713712 14713713 chr3:14713713:C:T rs62233797 C T c EBF1_EBF_1 31 0 - 0 0 . chr3 14724416 14724417 chr3:14724417:C:T rs73137421 C T C EBF1_EBF_1 -16 0 + 0 0 . chr3 14770120 14770121 chr3:14770121:T:C rs114935229 T C C EBF1_EBF_1 0 1 + 6.556626028705082 6.274423967974505 TGCCCCCTGAGAAT chr3 14774799 14774800 chr3:14774800:A:C rs74392617 A C A EBF1_EBF_1 11 1 + 5.531090365664817 1.1151286678124277 AACCCCAGGAGAGC chr3 14787773 14787774 chr3:14787774:T:C rs13080265 T C T EBF1_EBF_1 22 0 + 0 0 . chr3 14794150 14794151 chr3:14794151:C:T rs76391311 C T C EBF1_EBF_1 14 0 - 0 0 . chr3 14797527 14797528 chr3:14797528:G:A rs729157 G A A EBF1_EBF_1 23 0 - 0 0 . chr3 14842601 14842602 chr3:14842602:C:T rs114361755 C T C EBF1_EBF_1 23 0 + 0 0 . chr3 14844569 14844570 chr3:14844570:A:G rs747625 A G G EBF1_EBF_1 -1 0 - 0 0 . chr3 14845674 14845675 chr3:14845675:T:G rs1826215 T G T EBF1_EBF_1 27 0 - 0 0 . chr3 14853597 14853598 chr3:14853598:G:C rs4684242 G C G EBF1_EBF_1 29 0 - 0 0 . chr3 14853624 14853625 chr3:14853625:G:C rs79332027 G C G EBF1_EBF_1 2 1 - 9.917227079982215 5.057724801223896 TTCCCCCTGGGAAT chr3 14869636 14869637 chr3:14869637:C:T rs4630927 C T T EBF1_EBF_1 32 0 - 0 0 . chr3 14876976 14876977 chr3:14876977:A:G rs115728596 A G A EBF1_EBF_1 23 0 - 0 0 . chr3 14885721 14885722 chr3:14885722:A:C rs55900850 A C C EBF1_EBF_1 25 0 + 0 0 . chr3 14917357 14917358 chr3:14917358:C:T rs55872093 C T A EBF1_EBF_1 31 0 - 0 0 . chr3 14948738 14948739 chr3:14948739:T:C rs78275187 T C T EBF1_EBF_1 30 0 - 0 0 . chr3 14958113 14958114 chr3:14958114:G:A rs13097792 G A G EBF1_EBF_1 -4 0 + 0 0 . chr3 15033516 15033517 chr3:15033517:C:T rs3773483 C T T EBF1_EBF_1 15 0 - 0 0 . chr3 15034349 15034350 chr3:15034350:T:C rs6790230 T C C EBF1_EBF_1 7 1 - 5.8943129431229595 4.581854537834133 TGTCCCAAGAGATG chr3 15073762 15073763 chr3:15073763:G:T rs3831 G T G EBF1_EBF_1 18 0 + 0 0 . chr3 15137565 15137566 chr3:15137566:T:C rs9756818 T C t EBF1_EBF_1 -5 0 - 0 0 . chr3 15151354 15151355 chr3:15151355:T:C rs9858968 T C C EBF1_EBF_1 -12 0 - 0 0 . chr3 15262144 15262145 chr3:15262145:C:G rs17040927 C G C EBF1_EBF_1 -4 0 - 0 0 . chr3 15269401 15269402 chr3:15269402:C:G rs2279739 C G C EBF1_EBF_1 -4 0 - 0 0 . chr3 15281445 15281446 chr3:15281446:T:C rs78747977 T C T EBF1_EBF_1 25 0 - 0 0 . chr3 15307315 15307316 chr3:15307316:G:A rs6801433 G A G EBF1_EBF_1 2 1 - 5.882895663609583 7.771719075051733 TTCCCCTGGAGAAC chr3 15335716 15335717 chr3:15335717:C:A rs80000893 C A C EBF1_EBF_1 6 1 + 10.091870210192123 10.4534725779934 ATCCCCCAGGGATA chr3 15397433 15397434 chr3:15397434:C:G rs13068150 C G a EBF1_EBF_1 7 1 - 7.459665689152842 6.478345108071486 CCTCCCCGGGGAAG chr3 15444610 15444611 chr3:15444611:T:C rs7645995 T C C EBF1_EBF_1 30 0 + 0 0 . chr3 15460577 15460578 chr3:15460578:C:T rs565073821 C T C EBF1_EBF_1 5 1 + 6.754728347613211 1.4329411320725418 GGACCCCAGGGAAA chr3 15500227 15500228 chr3:15500228:G:A rs10155040 G A G EBF1_EBF_1 -9 0 - 0 0 . chr3 15506099 15506100 chr3:15506100:G:T rs527309173 G T G EBF1_EBF_1 27 0 - 0 0 . chr3 15522895 15522896 chr3:15522896:G:A rs73033057 G A G EBF1_EBF_1 -2 0 + 0 0 . chr3 15539308 15539309 chr3:15539309:A:T rs6764437 A T C EBF1_EBF_1 31 0 - 0 0 . chr3 15620372 15620373 chr3:15620373:C:T chr3:15620373:C:T C T C EBF1_EBF_1 31 0 + 0 0 . chr3 15634008 15634009 chr3:15634009:T:C rs73817033 T C T EBF1_EBF_1 28 0 - 0 0 . chr3 15636437 15636438 chr3:15636438:C:A rs186747656 C A C EBF1_EBF_1 2 1 + 3.94654789269565 2.1509037165389233 GCCCCCCTGGGGGC chr3 15647286 15647287 chr3:15647287:G:A rs3796302 G A G EBF1_EBF_1 8 1 + 6.967496043157268 2.741205954271005 AAACCCCAGGGAGA chr3 15878858 15878859 chr3:15878859:G:A rs67223841 G A G EBF1_EBF_1 1 1 + 4.995394989775976 4.734696157854675 CGTCCCAGGGAACT chr3 15898500 15898501 chr3:15898501:G:A rs73030683 G A G EBF1_EBF_1 -14 0 + 0 0 . chr3 15923781 15923782 chr3:15923782:G:A rs1266934962 G A G EBF1_EBF_1 12 1 - 4.368678621161407 3.342412050610557 AGCTCCAAGGGACA chr3 15954054 15954055 chr3:15954055:C:G rs151037524 C G C EBF1_EBF_1 24 0 - 0 0 . chr3 15968811 15968812 chr3:15968812:C:T rs34129628 C T T EBF1_EBF_1 5 1 + 7.3939072369672845 2.0721200214266142 GCTCCCCAGAGAGT chr3 15999041 15999042 chr3:15999042:C:T rs143574185 C T C EBF1_EBF_1 -8 0 + 0 0 . chr3 16018101 16018102 chr3:16018102:T:C rs6777152 T C T EBF1_EBF_1 15 0 + 0 0 . chr3 16047815 16047816 chr3:16047816:G:T rs6442572 G T G EBF1_EBF_1 28 0 + 0 0 . chr3 16053247 16053248 chr3:16053248:T:C rs62233377 T C T EBF1_EBF_1 -17 0 - 0 0 . chr3 16059678 16059679 chr3:16059679:T:C rs1454768 T C C EBF1_EBF_1 -13 0 - 0 0 . chr3 16060600 16060601 chr3:16060601:T:C rs17041843 T C C EBF1_EBF_1 21 0 + 0 0 . chr3 16115933 16115934 chr3:16115934:G:C rs73036874 G C G EBF1_EBF_1 23 0 - 0 0 . chr3 16132293 16132294 chr3:16132294:T:C rs6442582 T C C EBF1_EBF_1 13 1 - 5.548444884809267 4.434119312739828 AGTCCCCAGAGTCA chr3 16143930 16143931 chr3:16143931:C:T rs10865739 C T C EBF1_EBF_1 28 0 + 0 0 . chr3 16143934 16143935 chr3:16143935:T:C rs10865740 T C C EBF1_EBF_1 32 0 + 0 0 . chr3 16174391 16174392 chr3:16174392:C:T rs115505651 C T C EBF1_EBF_1 5 1 + 5.632013529344336 0.31022631380366583 TCCCCCATGGGAGG chr3 16199568 16199569 chr3:16199569:T:G rs6769977 T G G EBF1_EBF_1 32 0 - 0 0 . chr3 16203884 16203885 chr3:16203885:G:A rs533295844 G A G EBF1_EBF_1 16 0 + 0 0 . chr3 16203889 16203890 chr3:16203890:C:T rs6804047 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 16233997 16233998 chr3:16233998:G:T rs1039633 G T G EBF1_EBF_1 -13 0 + 0 0 . chr3 16299048 16299049 chr3:16299049:T:A rs73037481 T A T EBF1_EBF_1 2 1 + 6.010696642646947 2.3262290550480698 TTTCCCTGGGGCAC chr3 16312316 16312317 chr3:16312317:C:T rs62233915 C T C EBF1_EBF_1 -19 0 - 0 0 . chr3 16316470 16316471 chr3:16316471:T:A rs842424 T A T EBF1_EBF_1 -12 0 + 0 0 . chr3 16318020 16318021 chr3:16318021:C:T rs9817725 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 16320792 16320793 chr3:16320793:T:C rs842421 T C T EBF1_EBF_1 25 0 - 0 0 . chr3 16334771 16334772 chr3:16334772:C:T rs538525 C T C EBF1_EBF_1 30 0 + 0 0 . chr3 16362939 16362940 chr3:16362940:G:A rs62236261 G A G EBF1_EBF_1 5 1 + 5.426598652141754 5.426598652141754 AGTCCGCAGGGAAA chr3 16373651 16373652 chr3:16373652:G:C rs116190237 G C G EBF1_EBF_1 30 0 + 0 0 . chr3 16375977 16375978 chr3:16375978:C:T rs4685330 C T C EBF1_EBF_1 32 0 - 0 0 . chr3 16389290 16389291 chr3:16389291:G:A rs112268646 G A G EBF1_EBF_1 8 1 + 6.026779345411537 1.8004892565252755 ACTCCCACGGTAAA chr3 16404486 16404487 chr3:16404487:C:T rs181334872 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 16441764 16441765 chr3:16441765:A:G rs59846174 A G A EBF1_EBF_1 0 1 + 11.044648733098025 9.152364863533194 ATTCCCTCGGGAAA chr3 16476905 16476906 chr3:16476906:G:A rs1398889118 G A G EBF1_EBF_1 18 0 + 0 0 . chr3 16478778 16478779 chr3:16478779:A:G rs747849413 A G A EBF1_EBF_1 31 0 + 0 0 . chr3 16510287 16510288 chr3:16510288:C:A rs11128793 C A A EBF1_EBF_1 -16 0 + 0 0 . chr3 16534060 16534061 chr3:16534061:G:A rs13315424 G A G EBF1_EBF_1 4 1 - 7.330174690494232 2.960787745549308 GTTCCCATGAGAGC chr3 16555349 16555350 chr3:16555350:C:T rs74282858 C T C EBF1_EBF_1 10 1 - 6.745669892163202 1.427641432896237 ATTCCCAGAGGAAG chr3 16594358 16594359 chr3:16594359:C:G rs13067997 C G C EBF1_EBF_1 9 1 - 10.981964385107924 5.90627523876246 ACTCCCATGGGAGC chr3 16614057 16614058 chr3:16614058:C:T rs1529401 C T T EBF1_EBF_1 -18 0 + 0 0 . chr3 16663941 16663942 chr3:16663942:A:G rs149579823 A G A EBF1_EBF_1 31 0 - 0 0 . chr3 16665394 16665395 chr3:16665395:G:C rs76600240 G C G EBF1_EBF_1 13 1 - 9.0089323137669 7.520861292411486 AGTCCCTGGAGACC chr3 16714943 16714944 chr3:16714944:C:T rs1375635 C T T EBF1_EBF_1 27 0 - 0 0 . chr3 16715592 16715593 chr3:16715593:T:C rs1947224 T C C EBF1_EBF_1 2 1 + 5.892961629677619 4.004138218235471 TTTCCCTAGGGCTA chr3 16728588 16728589 chr3:16728589:C:T rs951491 C T T EBF1_EBF_1 17 0 - 0 0 . chr3 16729059 16729060 chr3:16729060:G:T rs62247663 G T G EBF1_EBF_1 4 1 - 7.9120222595588245 2.0874626361053576 CTCCCCAGGGGACA chr3 16741594 16741595 chr3:16741595:G:T rs12330319 G T G EBF1_EBF_1 11 1 + 6.968150565924537 5.7629431534298226 AACCCCAAGGGGTC chr3 16741595 16741596 chr3:16741596:T:C rs12330550 T C T EBF1_EBF_1 12 1 + 6.968150565924537 7.994417136475387 AACCCCAAGGGGTC chr3 16770097 16770098 chr3:16770098:G:A rs13068469 G A G EBF1_EBF_1 10 1 + 6.111979017107112 0.7939505578401458 ACTCACTTGGGACA chr3 16771163 16771164 chr3:16771164:T:C rs1375629 T C C EBF1_EBF_1 28 0 - 0 0 . chr3 16783225 16783226 chr3:16783226:G:A rs376635230 G A G EBF1_EBF_1 4 1 - 11.045789388362717 6.676402443417794 ATCCCCCTGGGAAC chr3 16884406 16884407 chr3:16884407:G:C rs138928772 G C G EBF1_EBF_1 5 1 - 7.782120206606258 0.8772390539710904 ACTCCCCAGAGGCT chr3 16884566 16884567 chr3:16884567:C:G rs143759545 C G C EBF1_EBF_1 30 0 - 0 0 . chr3 16885450 16885451 chr3:16885451:G:A rs143902689 G A G EBF1_EBF_1 -16 0 - 0 0 . chr3 17023400 17023401 chr3:17023401:C:A rs6776032 C A A EBF1_EBF_1 27 0 - 0 0 . chr3 17031158 17031159 chr3:17031159:A:G rs11717649 A G A EBF1_EBF_1 24 0 + 0 0 . chr3 17120514 17120515 chr3:17120515:T:C rs138961065 T C t EBF1_EBF_1 21 0 + 0 0 . chr3 17121831 17121832 chr3:17121832:C:T rs139445360 C T C EBF1_EBF_1 9 1 + 6.179654708231894 4.418354446488548 AACCCCATGCGACT chr3 17167702 17167703 chr3:17167703:G:A rs2305291 G A g EBF1_EBF_1 -8 0 - 0 0 . chr3 17225067 17225068 chr3:17225068:T:C rs2596671 T C T EBF1_EBF_1 0 1 - 6.025288301683806 4.133004432118972 ATCCCTTTGGGAAC chr3 17605340 17605341 chr3:17605341:A:G rs147580934 A G A EBF1_EBF_1 16 0 + 0 0 . chr3 17612209 17612210 chr3:17612210:G:T rs56057697 G T G EBF1_EBF_1 -10 0 + 0 0 . chr3 17815699 17815700 chr3:17815700:G:A rs4908980 G A A EBF1_EBF_1 7 1 + 3.599910718124119 4.912369123412944 AGCCCCCGGGGCAG chr3 17850924 17850925 chr3:17850925:G:T rs34580836 G T G EBF1_EBF_1 -1 0 - 0 0 . chr3 18053635 18053636 chr3:18053636:T:C rs7432188 T C T EBF1_EBF_1 24 0 - 0 0 . chr3 18133208 18133209 chr3:18133209:G:A rs13071249 G A G EBF1_EBF_1 18 0 - 0 0 . chr3 18344740 18344741 chr3:18344741:A:T rs62238529 A T A EBF1_EBF_1 21 0 + 0 0 . chr3 18426022 18426023 chr3:18426023:G:A rs183771413 G A G EBF1_EBF_1 -8 0 - 0 0 . chr3 18556076 18556077 chr3:18556077:A:G rs115861526 A G A EBF1_EBF_1 20 0 + 0 0 . chr3 18666848 18666849 chr3:18666849:C:G rs13084638 C G C EBF1_EBF_1 -18 0 - 0 0 . chr3 18681570 18681571 chr3:18681571:A:G rs4640590 A G G EBF1_EBF_1 -6 0 + 0 0 . chr3 18740725 18740726 chr3:18740726:T:C rs13097717 T C T EBF1_EBF_1 6 1 - 7.638691157041247 5.471896725766771 TTACCCATGGGAAC chr3 18965975 18965976 chr3:18965976:A:T rs9821362 A T T EBF1_EBF_1 6 1 - 8.250794851648244 8.311111090587765 AGCCCCTAGAGATT chr3 18965997 18965998 chr3:18965998:C:G rs9859252 C G G EBF1_EBF_1 -16 0 - 0 0 . chr3 19148132 19148133 chr3:19148133:G:T rs983866948 G T G EBF1_EBF_1 8 1 + 7.713122109490093 0.8216893205128981 ACACCCACGGGACT chr3 19214025 19214026 chr3:19214026:C:T rs2047114 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 19344033 19344034 chr3:19344034:C:G rs9828084 C G C EBF1_EBF_1 -1 0 - 0 0 . chr3 19362481 19362482 chr3:19362482:C:T rs62279486 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 19748973 19748974 chr3:19748974:C:A rs4858566 C A A EBF1_EBF_1 18 0 - 0 0 . chr3 19749009 19749010 chr3:19749010:T:C rs6550072 T C C EBF1_EBF_1 -18 0 - 0 0 . chr3 19749506 19749507 chr3:19749507:G:A rs62279441 G A G EBF1_EBF_1 16 0 - 0 0 . chr3 19889250 19889251 chr3:19889251:T:G rs11925477 T G T EBF1_EBF_1 1 1 + 5.618776513827705 4.9131147279981775 ATTCACTTGGGAGA chr3 19889268 19889269 chr3:19889269:G:C rs9843619 G C g EBF1_EBF_1 19 0 + 0 0 . chr3 19889569 19889570 chr3:19889570:G:C rs11922300 G C G EBF1_EBF_1 8 1 + 7.855952086575604 2.5372932256609184 ATCCCCTGGAGAAC chr3 19947736 19947737 chr3:19947737:G:C rs6781143 G C G EBF1_EBF_1 33 0 + 0 0 . chr3 20041058 20041059 chr3:20041059:C:A rs2001650 C A C EBF1_EBF_1 8 1 - 5.315735850173981 -1.5756969388032134 AACCCCAAGGTAGC chr3 20042065 20042066 chr3:20042066:A:G rs112075281 A G A EBF1_EBF_1 24 0 - 0 0 . chr3 20055480 20055481 chr3:20055481:A:G rs2948083 A G A EBF1_EBF_1 -13 0 - 0 0 . chr3 20069355 20069356 chr3:20069356:T:C rs75232714 T C t EBF1_EBF_1 -5 0 + 0 0 . chr3 20069361 20069362 chr3:20069362:T:G rs7637059 T G T EBF1_EBF_1 1 1 + 8.721679215156277 8.016017429326748 ATTCCCCTGGTACC chr3 20142084 20142085 chr3:20142085:C:T rs966898 C T C EBF1_EBF_1 33 0 - 0 0 . chr3 20233545 20233546 chr3:20233546:T:C rs9836714 T C C EBF1_EBF_1 -2 0 + 0 0 . chr3 20282937 20282938 chr3:20282938:G:A rs4550839 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 20367652 20367653 chr3:20367653:G:T rs9836629 G T g EBF1_EBF_1 11 1 - 6.168344010293117 10.584305708145505 ACCCCCAAGGGCCA chr3 20394454 20394455 chr3:20394455:A:G rs1520063 A G G EBF1_EBF_1 15 0 + 0 0 . chr3 20405350 20405351 chr3:20405351:G:A rs1357502 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 20406724 20406725 chr3:20406725:C:T rs533304180 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 20432739 20432740 chr3:20432740:C:G rs59124537 C G C EBF1_EBF_1 22 0 - 0 0 . chr3 20432767 20432768 chr3:20432768:T:G rs9828433 T G T EBF1_EBF_1 -6 0 - 0 0 . chr3 20433246 20433247 chr3:20433247:T:G rs1829496 T G G EBF1_EBF_1 0 1 + 5.897231640041102 5.978004193442291 TCACCCGAGGGAAT chr3 20433268 20433269 chr3:20433269:A:C rs1829497 A C C EBF1_EBF_1 22 0 + 0 0 . chr3 20438144 20438145 chr3:20438145:T:C rs1520064 T C C EBF1_EBF_1 -19 0 + 0 0 . chr3 20574912 20574913 chr3:20574913:T:C rs115547876 T C T EBF1_EBF_1 13 1 + 8.289447263318312 7.444953148732794 ATACCCGTGGGAAT chr3 20615976 20615977 chr3:20615977:G:A rs4858238 G A G EBF1_EBF_1 -1 0 + 0 0 . chr3 20622585 20622586 chr3:20622586:C:T rs75360982 C T C EBF1_EBF_1 32 0 + 0 0 . chr3 20650035 20650036 chr3:20650036:T:C rs9654038 T C T EBF1_EBF_1 18 0 - 0 0 . chr3 20773938 20773939 chr3:20773939:A:T rs9871384 A T A EBF1_EBF_1 -5 0 + 0 0 . chr3 20773956 20773957 chr3:20773957:A:C rs1033566340 A C A EBF1_EBF_1 13 1 + 7.1993974465554444 7.57314289584142 TTTCCCAAAGGAAA chr3 20833192 20833193 chr3:20833193:C:T rs78548445 C T A EBF1_EBF_1 -4 0 - 0 0 . chr3 21009625 21009626 chr3:21009626:G:A rs146687166 G A G EBF1_EBF_1 29 0 - 0 0 . chr3 21017132 21017133 chr3:21017133:C:T rs114754981 C T C EBF1_EBF_1 29 0 + 0 0 . chr3 21117593 21117594 chr3:21117594:C:T rs80119851 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 21124721 21124722 chr3:21124722:C:T rs11128989 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 21124722 21124723 chr3:21124723:G:A rs187842573 G A G EBF1_EBF_1 -1 0 + 0 0 . chr3 21192674 21192675 chr3:21192675:T:G rs115791219 T G g EBF1_EBF_1 0 1 - 4.576567182137762 2.3213086984411606 ACTCCCCCAGGAGC chr3 21220865 21220866 chr3:21220866:C:T rs6550574 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 21300805 21300806 chr3:21300806:C:T rs694097 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 21314913 21314914 chr3:21314914:G:A rs1396003 G A G EBF1_EBF_1 7 1 + 4.900376721030253 6.212835126319078 AATGCCCGGGGACA chr3 21328771 21328772 chr3:21328772:C:T rs78461014 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 21405843 21405844 chr3:21405844:G:A rs409974 G A g EBF1_EBF_1 -11 0 + 0 0 . chr3 21405844 21405845 chr3:21405845:C:A rs371532 C A c EBF1_EBF_1 -10 0 + 0 0 . chr3 21450031 21450032 chr3:21450032:G:A rs6800433 G A G EBF1_EBF_1 9 1 + 4.6228851918110765 1.9679459263634722 GGTCCCAGGGTATC chr3 21510234 21510235 chr3:21510235:G:A rs4102412 G A G EBF1_EBF_1 -12 0 + 0 0 . chr3 21547972 21547973 chr3:21547973:C:T rs117214424 C T C EBF1_EBF_1 -3 0 - 0 0 . chr3 21576233 21576234 chr3:21576234:G:A rs17009067 G A G EBF1_EBF_1 3 1 - 5.511041229919282 -0.8943575116340352 TTCCTCAAGGGACC chr3 21663561 21663562 chr3:21663562:T:C rs35020519 T C T EBF1_EBF_1 -5 0 - 0 0 . chr3 21718058 21718059 chr3:21718059:T:G rs73046246 T G T EBF1_EBF_1 10 1 + 4.585436927374992 8.768189113602256 AGCCCCCAGGTGAT chr3 21718276 21718277 chr3:21718277:C:T rs76490958 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 21718310 21718311 chr3:21718311:T:A rs58418385 T A T EBF1_EBF_1 -5 0 - 0 0 . chr3 21729732 21729733 chr3:21729733:C:A rs13099034 C A C EBF1_EBF_1 18 0 - 0 0 . chr3 21754287 21754288 chr3:21754288:C:T rs9879065 C T T EBF1_EBF_1 0 1 - 5.248723362927852 7.141007232492687 GCTCCCTTGAGATG chr3 21765379 21765380 chr3:21765380:T:C rs2335511 T C C EBF1_EBF_1 -4 0 - 0 0 . chr3 21803870 21803871 chr3:21803871:T:G rs140799938 T G T EBF1_EBF_1 -3 0 - 0 0 . chr3 22089548 22089549 chr3:22089549:T:G rs1214240735 T G T EBF1_EBF_1 -19 0 + 0 0 . chr3 22089562 22089563 chr3:22089563:C:T rs2695641 C T T EBF1_EBF_1 -5 0 + 0 0 . chr3 22373362 22373363 chr3:22373363:A:G rs190299473 A G A EBF1_EBF_1 -20 0 - 0 0 . chr3 22417167 22417168 chr3:22417168:C:T rs9845451 C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 22511412 22511413 chr3:22511413:G:A rs1579985 G A A EBF1_EBF_1 23 0 + 0 0 . chr3 22959900 22959901 chr3:22959901:C:T rs698235 C T C EBF1_EBF_1 -19 0 - 0 0 . chr3 23162380 23162381 chr3:23162381:A:G rs9310712 A G A EBF1_EBF_1 2 1 + 7.059337105361248 3.995479002759657 ATACCCAAGAGAAA chr3 23188807 23188808 chr3:23188808:C:T rs6550743 C T C EBF1_EBF_1 9 1 - 4.724875216501093 2.06993595105349 AATCACCTGGGAGC chr3 23202863 23202864 chr3:23202864:C:A rs576133365 C A C EBF1_EBF_1 26 0 + 0 0 . chr3 23219774 23219775 chr3:23219775:A:T rs13081371 A T A EBF1_EBF_1 -13 0 - 0 0 . chr3 23376927 23376928 chr3:23376928:T:G rs1908772 T G T EBF1_EBF_1 0 1 - 10.012904606449949 7.757646122753347 ATCCCCTAGAGAAT chr3 23480040 23480041 chr3:23480041:C:T rs73043635 C T C EBF1_EBF_1 5 1 + 4.571917318624544 -0.7498698969161268 TTTCACTGGGGACC chr3 23547980 23547981 chr3:23547981:G:A rs117734909 G A G EBF1_EBF_1 27 0 + 0 0 . chr3 23646793 23646794 chr3:23646794:T:A rs60527371 T A T EBF1_EBF_1 -13 0 + 0 0 . chr3 23668468 23668469 chr3:23668469:G:C rs115569803 G C G EBF1_EBF_1 33 0 - 0 0 . chr3 23712144 23712145 chr3:23712145:A:G rs11926980 A G G EBF1_EBF_1 16 0 + 0 0 . chr3 23737713 23737714 chr3:23737714:G:A rs66466505 G A A EBF1_EBF_1 14 0 + 0 0 . chr3 23739587 23739588 chr3:23739588:C:G rs13085556 C G G EBF1_EBF_1 7 1 - 5.414501265442813 4.433180684361457 AATCCCTGAGGAGA chr3 23739596 23739597 chr3:23739597:G:T rs13325359 G T G EBF1_EBF_1 -2 0 - 0 0 . chr3 23751604 23751605 chr3:23751605:A:C chr3:23751605:A:C A C A EBF1_EBF_1 9 1 + 5.336418818876465 2.915668937978605 CCTCCCCTGAGAGA chr3 23751626 23751627 chr3:23751627:T:C rs1473350599 T C T EBF1_EBF_1 31 0 + 0 0 . chr3 23805808 23805809 chr3:23805809:A:C rs374912841 A C A EBF1_EBF_1 -10 0 - 0 0 . chr3 23806438 23806439 chr3:23806439:C:G rs558337065 C G C EBF1_EBF_1 1 1 - 7.1762256332111045 6.986453978641887 CGCCCCTGGGGAAA chr3 23818923 23818924 chr3:23818924:G:A rs1471060 G A g EBF1_EBF_1 -8 0 - 0 0 . chr3 23820257 23820258 chr3:23820258:C:T rs12630505 C T C EBF1_EBF_1 -5 0 + 0 0 . chr3 23916502 23916503 chr3:23916503:T:C rs72627081 T C T EBF1_EBF_1 32 0 - 0 0 . chr3 23916538 23916539 chr3:23916539:G:A rs183125970 G A G EBF1_EBF_1 -4 0 - 0 0 . chr3 23945984 23945985 chr3:23945985:C:A rs1045276976 C A - EBF1_EBF_1 -11 0 + 0 0 . chr3 23946005 23946006 chr3:23946006:G:A chr3:23946006:G:A G A - EBF1_EBF_1 10 1 + 6.164214516974471 0.8461860577075044 ATTCCCCGGGGCCG chr3 23946431 23946432 chr3:23946432:G:A rs949819352 G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 23946440 23946441 chr3:23946441:C:G rs6803587 C G C EBF1_EBF_1 -1 0 + 0 0 . chr3 23946454 23946455 chr3:23946455:T:G rs6770052 T G G EBF1_EBF_1 13 1 + 4.485674058922293 2.1531089229813603 GATCCCGAGCGACT chr3 24141736 24141737 chr3:24141737:G:A rs59417168 G A G EBF1_EBF_1 6 1 + 6.150226284327385 8.31702071560186 ATTCCCGGGAGAAG chr3 24199969 24199970 chr3:24199970:A:G rs79947603 A G A EBF1_EBF_1 -7 0 + 0 0 . chr3 24222490 24222491 chr3:24222491:C:A rs1667743 C A A EBF1_EBF_1 30 0 - 0 0 . chr3 24336222 24336223 chr3:24336223:A:G rs577775696 A G A EBF1_EBF_1 6 1 - 7.162318762545943 6.861032633684185 CCTCCCTTGGGGCC chr3 24363467 24363468 chr3:24363468:A:G rs62255389 A G A EBF1_EBF_1 -17 0 - 0 0 . chr3 24365468 24365469 chr3:24365469:T:C rs76332648 T C T EBF1_EBF_1 16 0 - 0 0 . chr3 24435528 24435529 chr3:24435529:G:A rs115345430 G A G EBF1_EBF_1 33 0 + 0 0 . chr3 24458068 24458069 chr3:24458069:T:C rs60502621 T C T EBF1_EBF_1 19 0 + 0 0 . chr3 24479796 24479797 chr3:24479797:C:T rs78354013 C T C EBF1_EBF_1 5 1 + 5.479797941456601 0.15801072591592968 ACTCCCATGGCACC chr3 24614741 24614742 chr3:24614742:C:G rs114459769 C G C EBF1_EBF_1 14 0 + 0 0 . chr3 24628186 24628187 chr3:24628187:G:A rs4585138 G A A EBF1_EBF_1 -5 0 + 0 0 . chr3 24741817 24741818 chr3:24741818:T:C rs12715061 T C T EBF1_EBF_1 24 0 + 0 0 . chr3 24741821 24741822 chr3:24741822:C:T rs73035585 C T C EBF1_EBF_1 28 0 + 0 0 . chr3 24792382 24792383 chr3:24792383:T:C rs9820788 T C T EBF1_EBF_1 -2 0 + 0 0 . chr3 24829885 24829886 chr3:24829886:G:T rs1352220862 G T G EBF1_EBF_1 3 1 - 5.149993057778258 -1.7561809716875494 GCTCCCAAGGGCGC chr3 24846680 24846681 chr3:24846681:T:C rs6783978 T C T EBF1_EBF_1 -20 0 + 0 0 . chr3 24867607 24867608 chr3:24867608:G:A rs80250447 G A G EBF1_EBF_1 19 0 - 0 0 . chr3 24902244 24902245 chr3:24902245:A:G rs115488988 A G A EBF1_EBF_1 29 0 + 0 0 . chr3 25024066 25024067 chr3:25024067:A:T rs77728448 A T A EBF1_EBF_1 32 0 + 0 0 . chr3 25074420 25074421 chr3:25074421:C:T rs17015771 C T c EBF1_EBF_1 -4 0 + 0 0 . chr3 25074456 25074457 chr3:25074457:A:T rs75409596 A T A EBF1_EBF_1 32 0 + 0 0 . chr3 25126856 25126857 chr3:25126857:A:G rs17015824 A G A EBF1_EBF_1 0 1 + 8.277120474725809 6.384836605160976 AGTCCCAAGGGCAA chr3 25258556 25258557 chr3:25258557:G:C rs17016060 G C G EBF1_EBF_1 -13 0 - 0 0 . chr3 25325422 25325423 chr3:25325423:C:G rs143352286 C G C EBF1_EBF_1 25 0 - 0 0 . chr3 25362096 25362097 chr3:25362097:G:C rs7646375 G C G EBF1_EBF_1 20 0 - 0 0 . chr3 25362105 25362106 chr3:25362106:T:A rs7638687 T A T EBF1_EBF_1 11 1 - 4.236463799102099 0.13854954300337347 ATCTCCCTGGGACA chr3 25362452 25362453 chr3:25362453:G:A rs11709623 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 25396756 25396757 chr3:25396757:T:A rs144594145 T A T EBF1_EBF_1 12 1 - 11.045789388362717 9.989341419390541 ATCCCCCTGGGAAC chr3 25397152 25397153 chr3:25397153:T:C rs73820467 T C T EBF1_EBF_1 1 1 + 5.500311858034902 4.604878417636158 CTCCCCAAGGGTCC chr3 25427766 25427767 chr3:25427767:C:T rs144693414 C T C EBF1_EBF_1 -14 0 - 0 0 . chr3 25454926 25454927 chr3:25454927:T:C chr3:25454927:T:C T C T EBF1_EBF_1 7 1 - 6.517514604073862 5.205056198785038 ATTCCCAAAAGAAA chr3 25480998 25480999 chr3:25480999:C:A rs6781440 C A C EBF1_EBF_1 27 0 + 0 0 . chr3 25554139 25554140 chr3:25554140:G:A rs73048068 G A G EBF1_EBF_1 6 1 + 6.603953838719627 8.770748269994103 TTTCCCGAGAGAAA chr3 25592645 25592646 chr3:25592646:G:A rs929095141 G A G EBF1_EBF_1 -5 0 + 0 0 . chr3 25648058 25648059 chr3:25648059:T:C rs73051998 T C T EBF1_EBF_1 11 1 - 8.467632324411628 5.574925480807616 CCTCCCCAGGGAGA chr3 25665432 25665433 chr3:25665433:G:C chr3:25665433:G:C G C G EBF1_EBF_1 9 1 + 6.026758530866756 0.9510693845212916 CTCCCCAAGGGCCT chr3 25665447 25665448 chr3:25665448:T:C rs114485572 T C T EBF1_EBF_1 24 0 + 0 0 . chr3 25885733 25885734 chr3:25885734:G:A rs188700149 G A G EBF1_EBF_1 8 1 - 6.558738964591982 4.985965036529474 ATTCCCATCGGAGC chr3 25932024 25932025 chr3:25932025:G:A rs4566495 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 25932025 25932026 chr3:25932026:C:A rs4592989 C A C EBF1_EBF_1 31 0 + 0 0 . chr3 26281470 26281471 chr3:26281471:G:A rs78051987 G A G EBF1_EBF_1 10 1 + 5.348375485904311 0.0303470266373448 AACCCCAGAGGACC chr3 26281475 26281476 chr3:26281476:T:C rs9837760 T C T EBF1_EBF_1 15 0 + 0 0 . chr3 26342927 26342928 chr3:26342928:C:A chr3:26342928:C:A C A C EBF1_EBF_1 2 1 + 5.583522823068139 3.7878786469114125 ATCCCCCAGAGTCT chr3 26533647 26533648 chr3:26533648:A:G rs13065727 A G A EBF1_EBF_1 -14 0 - 0 0 . chr3 26583583 26583584 chr3:26583584:T:C rs13059716 T C C EBF1_EBF_1 25 0 - 0 0 . chr3 26614733 26614734 chr3:26614734:A:G rs73821144 A G g EBF1_EBF_1 11 1 + 7.726121299488886 4.833414455884875 CACCCCCAGGGAGT chr3 26768401 26768402 chr3:26768402:A:C rs17290205 A C A EBF1_EBF_1 7 1 + 5.572992887660143 3.279213901289962 AGTCCTCAGAGAAT chr3 26881532 26881533 chr3:26881533:A:G rs2221475 A G G EBF1_EBF_1 -19 0 + 0 0 . chr3 26932023 26932024 chr3:26932024:A:G rs9873486 A G A EBF1_EBF_1 19 0 - 0 0 . chr3 27025991 27025992 chr3:27025992:T:C rs6551158 T C C EBF1_EBF_1 20 0 - 0 0 . chr3 27026011 27026012 chr3:27026012:T:A rs9811540 T A T EBF1_EBF_1 0 1 - 5.615734492795676 3.6426780698296524 AGCCCCCCGGGAGG chr3 27026031 27026032 chr3:27026032:A:G rs11709898 A G G EBF1_EBF_1 -20 0 - 0 0 . chr3 27051645 27051646 chr3:27051646:G:C rs9845190 G C G EBF1_EBF_1 5 1 - 5.627520881838949 -1.277360270796219 CTTCCCCAGGGTTA chr3 27166729 27166730 chr3:27166730:G:C rs9819738 G C C EBF1_EBF_1 4 1 - 6.548706966275775 0.9229418651262309 ACCCCCCTGGGCCT chr3 27317443 27317444 chr3:27317444:A:T rs13084067 A T A EBF1_EBF_1 27 0 - 0 0 . chr3 27395579 27395580 chr3:27395580:T:C rs113416086 T C T EBF1_EBF_1 2 1 + 4.627800782998118 2.7389773715559675 GTTCCCCAGGCAAC chr3 27427109 27427110 chr3:27427110:C:A rs7624790 C A C EBF1_EBF_1 25 0 + 0 0 . chr3 27431444 27431445 chr3:27431445:C:T rs3755652 C T c EBF1_EBF_1 8 1 - 8.650943045167308 4.424652956281046 GTTCCCAAGAGAGT chr3 27522058 27522059 chr3:27522059:C:G rs79291749 C G C EBF1_EBF_1 13 1 + 5.115524624929095 3.62745360357368 TGACCCACGGGAAC chr3 27570812 27570813 chr3:27570813:A:T rs2724535 A T T EBF1_EBF_1 2 1 - 4.576567182137762 0.8920995945388844 ACTCCCCCAGGAGC chr3 27585194 27585195 chr3:27585195:C:T rs2642939 C T T EBF1_EBF_1 -18 0 - 0 0 . chr3 27606878 27606879 chr3:27606879:T:C rs11924401 T C C EBF1_EBF_1 32 0 - 0 0 . chr3 27609559 27609560 chr3:27609560:G:A rs55937808 G A A EBF1_EBF_1 -9 0 + 0 0 . chr3 27635647 27635648 chr3:27635648:G:A rs73057790 G A G EBF1_EBF_1 15 0 + 0 0 . chr3 27685634 27685635 chr3:27685635:C:T rs2642936 C T T EBF1_EBF_1 28 0 + 0 0 . chr3 27688378 27688379 chr3:27688379:G:C rs77140638 G C g EBF1_EBF_1 -15 0 + 0 0 . chr3 27708486 27708487 chr3:27708487:T:C rs73043306 T C t EBF1_EBF_1 -7 0 + 0 0 . chr3 27756707 27756708 chr3:27756708:G:A rs35605651 G A G EBF1_EBF_1 19 0 - 0 0 . chr3 27882019 27882020 chr3:27882020:C:G rs6805418 C G C EBF1_EBF_1 30 0 - 0 0 . chr3 28032592 28032593 chr3:28032593:A:G rs413544 A G A EBF1_EBF_1 29 0 + 0 0 . chr3 28059497 28059498 chr3:28059498:G:T rs9874840 G T G EBF1_EBF_1 -20 0 + 0 0 . chr3 28348560 28348561 chr3:28348561:C:T rs527408634 C T C EBF1_EBF_1 32 0 - 0 0 . chr3 28349045 28349046 chr3:28349046:G:A rs9844143 G A G EBF1_EBF_1 11 1 - 5.029027890732226 5.347075332485891 GCTCCCTCGGGCCT chr3 28576314 28576315 chr3:28576315:G:C rs191339847 G C G EBF1_EBF_1 31 0 - 0 0 . chr3 28678634 28678635 chr3:28678635:C:T rs17651822 C T C EBF1_EBF_1 -18 0 - 0 0 . chr3 28699118 28699119 chr3:28699119:C:T rs6763858 C T c EBF1_EBF_1 5 1 + 11.231949527133251 5.9101623115925825 ATTCCCGAGGGAAA chr3 28836125 28836126 chr3:28836126:G:A rs885541 G A A EBF1_EBF_1 8 1 + 6.107659076174739 1.8813689872884787 TTTCCCAAGGAAAA chr3 28882021 28882022 chr3:28882022:T:C rs17022084 T C T EBF1_EBF_1 6 1 - 6.928452704026201 4.761658272751727 TTTCCCATGGTATT chr3 28947258 28947259 chr3:28947259:T:C rs1500016 T C T EBF1_EBF_1 11 1 + 8.779141711196232 8.461094269442567 ACTCCCAAGGGTAC chr3 29032665 29032666 chr3:29032666:G:A rs587248 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 29099122 29099123 chr3:29099123:T:A rs74532516 T A T EBF1_EBF_1 1 1 + 10.10503108859431 9.13867047084348 CTTCCCCTGGGAGT chr3 29187476 29187477 chr3:29187477:C:T rs1156362 C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 29241100 29241101 chr3:29241101:T:C rs893117 T C C EBF1_EBF_1 11 1 - 4.650552011106025 1.757845167502013 GCTGCCATGGGACC chr3 29263686 29263687 chr3:29263687:G:A rs117632609 G A G EBF1_EBF_1 32 0 + 0 0 . chr3 29280967 29280968 chr3:29280968:C:G rs184911194 C G c EBF1_EBF_1 32 0 - 0 0 . chr3 29281000 29281001 chr3:29281001:C:T rs9875163 C T c EBF1_EBF_1 -1 0 - 0 0 . chr3 29307578 29307579 chr3:29307579:A:C rs17023226 A C A EBF1_EBF_1 -4 0 - 0 0 . chr3 29330039 29330040 chr3:29330040:A:G rs79719874 A G A EBF1_EBF_1 -16 0 + 0 0 . chr3 29330044 29330045 chr3:29330045:T:C chr3:29330045:T:C T C T EBF1_EBF_1 -11 0 + 0 0 . chr3 29396916 29396917 chr3:29396917:G:A rs12635611 G A g EBF1_EBF_1 -13 0 - 0 0 . chr3 29441656 29441657 chr3:29441657:C:T rs142651068 C T C EBF1_EBF_1 -7 0 + 0 0 . chr3 29476062 29476063 chr3:29476063:A:C rs74523129 A C A EBF1_EBF_1 -3 0 - 0 0 . chr3 29514263 29514264 chr3:29514264:C:T rs141393835 C T C EBF1_EBF_1 13 1 - 9.23769535466808 10.352020926737518 ATTCCCCAGAGACG chr3 29696031 29696032 chr3:29696032:G:A rs59480168 G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 29696117 29696118 chr3:29696118:A:T rs17024184 A T T EBF1_EBF_1 30 0 + 0 0 . chr3 29696676 29696677 chr3:29696677:C:T rs7615981 C T C EBF1_EBF_1 -5 0 + 0 0 . chr3 29708873 29708874 chr3:29708874:C:T rs73829308 C T C EBF1_EBF_1 -9 0 + 0 0 . chr3 29709382 29709383 chr3:29709383:C:T rs73829313 C T C EBF1_EBF_1 -15 0 + 0 0 . chr3 29722036 29722037 chr3:29722037:T:G rs114801391 T G T EBF1_EBF_1 25 0 - 0 0 . chr3 29985032 29985033 chr3:29985033:G:T rs62235530 G T G EBF1_EBF_1 2 1 - 4.236463799102099 2.4408196229453716 ATCTCCCTGGGACA chr3 30036244 30036245 chr3:30036245:A:G rs73051079 A G A EBF1_EBF_1 -20 0 - 0 0 . chr3 30104502 30104503 chr3:30104503:A:G rs7642207 A G A EBF1_EBF_1 -3 0 + 0 0 . chr3 30249309 30249310 chr3:30249310:C:T rs78937448 C T T EBF1_EBF_1 26 0 - 0 0 . chr3 30262734 30262735 chr3:30262735:C:T rs6797604 C T C EBF1_EBF_1 0 1 - 5.590989731466837 7.48327360103167 GCCCCCATGAGAAA chr3 30290626 30290627 chr3:30290627:G:A rs9837384 G A G EBF1_EBF_1 -3 0 + 0 0 . chr3 30290650 30290651 chr3:30290651:G:A rs530281468 G A G EBF1_EBF_1 21 0 + 0 0 . chr3 30332963 30332964 chr3:30332964:G:A rs17623542 G A G EBF1_EBF_1 -6 0 + 0 0 . chr3 30352925 30352926 chr3:30352926:G:A rs6780588 G A G EBF1_EBF_1 -4 0 - 0 0 . chr3 30358085 30358086 chr3:30358086:C:T rs11922492 C T T EBF1_EBF_1 29 0 - 0 0 . chr3 30437381 30437382 chr3:30437382:G:A rs141712051 G A G EBF1_EBF_1 -5 0 + 0 0 . chr3 30437404 30437405 chr3:30437405:A:G rs4075749 A G A EBF1_EBF_1 18 0 + 0 0 . chr3 30449207 30449208 chr3:30449208:C:T rs12638288 C T C EBF1_EBF_1 -19 0 + 0 0 . chr3 30531412 30531413 chr3:30531413:A:T rs4955303 A T T EBF1_EBF_1 0 1 - 5.971190130678128 7.94424655364415 TTTCCTATGGGACC chr3 30548641 30548642 chr3:30548642:T:C rs7616939 T C C EBF1_EBF_1 -3 0 - 0 0 . chr3 30578245 30578246 chr3:30578246:C:T rs11708119 C T c EBF1_EBF_1 5 1 + 4.914509092303803 -0.40727812323686813 GTTCCCCAGAGGTC chr3 30605391 30605392 chr3:30605392:C:G rs3806639 C G C EBF1_EBF_1 4 1 + 9.714594099596425 4.088828998446885 ATTCCCTGGAGACC chr3 30621957 30621958 chr3:30621958:A:G rs34900340 A G A EBF1_EBF_1 25 0 + 0 0 . chr3 30648931 30648932 chr3:30648932:A:G rs1808602 A G A EBF1_EBF_1 7 1 - 6.959889699330939 5.142384953048315 ATCCCCATGAGAGA chr3 30738257 30738258 chr3:30738258:A:T rs116473608 A T A EBF1_EBF_1 13 1 + 9.043831796956566 10.26207136082806 ACTCCCTTGGGGCA chr3 30751812 30751813 chr3:30751813:T:C rs11709991 T C T EBF1_EBF_1 6 1 - 5.926144898607979 3.759350467333504 CTTTCCAAGGGACT chr3 30761242 30761243 chr3:30761243:A:G rs145841442 A G A EBF1_EBF_1 26 0 - 0 0 . chr3 30835758 30835759 chr3:30835759:C:T rs765914264 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 30843984 30843985 chr3:30843985:T:A rs13064240 T A T EBF1_EBF_1 19 0 + 0 0 . chr3 30891096 30891097 chr3:30891097:T:C rs11713775 T C t EBF1_EBF_1 -5 0 + 0 0 . chr3 30893849 30893850 chr3:30893850:A:G rs875298 A G A EBF1_EBF_1 31 0 + 0 0 . chr3 30894671 30894672 chr3:30894672:G:T rs116587128 G T G EBF1_EBF_1 -19 0 + 0 0 . chr3 30894694 30894695 chr3:30894695:C:G rs371285344 C G C EBF1_EBF_1 4 1 + 5.223539837171581 -0.4022252639779639 CCCCCCGGGGGACC chr3 30895530 30895531 chr3:30895531:T:A rs73065069 T A T EBF1_EBF_1 -13 0 + 0 0 . chr3 31036356 31036357 chr3:31036357:G:A rs1014338 G A G EBF1_EBF_1 17 0 + 0 0 . chr3 31041289 31041290 chr3:31041290:G:A rs9811528 G A G EBF1_EBF_1 -9 0 + 0 0 . chr3 31142706 31142707 chr3:31142707:T:C rs839593 T C T EBF1_EBF_1 -10 0 - 0 0 . chr3 31274498 31274499 chr3:31274499:A:G rs9845245 A G G EBF1_EBF_1 -4 0 + 0 0 . chr3 31274521 31274522 chr3:31274522:C:T rs9882991 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 31312900 31312901 chr3:31312901:G:T rs185932269 G T G EBF1_EBF_1 -6 0 + 0 0 . chr3 31314657 31314658 chr3:31314658:A:G rs76995074 A G A EBF1_EBF_1 7 1 - 6.307623291022123 4.4901185447395 CTTCCCATGAGGCT chr3 31377131 31377132 chr3:31377132:G:C rs72851530 G C G EBF1_EBF_1 -7 0 - 0 0 . chr3 31455923 31455924 chr3:31455924:G:A rs79844347 G A g EBF1_EBF_1 6 1 - 4.440231624100468 4.741517752962226 TCTCCCCGGGGCAA chr3 31461539 31461540 chr3:31461540:G:A rs6784586 G A g EBF1_EBF_1 28 0 + 0 0 . chr3 31671946 31671947 chr3:31671947:T:C rs12629069 T C T EBF1_EBF_1 -14 0 + 0 0 . chr3 31722123 31722124 chr3:31722124:T:C rs60851727 T C C EBF1_EBF_1 -5 0 + 0 0 . chr3 31754214 31754215 chr3:31754215:C:A rs6805128 C A C EBF1_EBF_1 20 0 + 0 0 . chr3 31787634 31787635 chr3:31787635:T:C rs13074320 T C T EBF1_EBF_1 13 1 - 6.887013987403541 5.772688415334102 CTTCCCAAAGGACA chr3 31787657 31787658 chr3:31787658:G:A rs13094960 G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 31829985 31829986 chr3:31829986:T:C rs368780097 T C T EBF1_EBF_1 -12 0 + 0 0 . chr3 31895499 31895500 chr3:31895500:G:A rs73824712 G A G EBF1_EBF_1 6 1 - 7.322374818610734 7.623660947472492 CATCCCCAGAGATT chr3 31909753 31909754 chr3:31909754:G:A rs142149536 G A G EBF1_EBF_1 9 1 + 9.700850616872739 7.0459113514251355 CATCCCATGGGAAA chr3 31923116 31923117 chr3:31923117:G:A rs11915665 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 31923143 31923144 chr3:31923144:C:T rs147108430 C T C EBF1_EBF_1 20 0 + 0 0 . chr3 31939476 31939477 chr3:31939477:T:A rs980488688 T A T EBF1_EBF_1 1 1 + 4.930833275021254 3.964472657270423 ATTCCCCTCAGACC chr3 31955648 31955649 chr3:31955649:C:T rs61133531 C T C EBF1_EBF_1 2 1 + 4.590116710211405 6.478940121653556 ACCCACCAGGGATT chr3 31961003 31961004 chr3:31961004:C:T rs143402125 C T T EBF1_EBF_1 5 1 + 9.880428174864203 4.558640959323536 TTCCCCAAGGGACC chr3 31981278 31981279 chr3:31981279:C:G rs62244394 C G G EBF1_EBF_1 -9 0 + 0 0 . chr3 31981521 31981522 chr3:31981522:G:A rs4521276 G A G EBF1_EBF_1 12 1 - 5.325327785935481 4.299061215384631 TGTCCCGAGGGCCT chr3 32001253 32001254 chr3:32001254:G:A rs12639463 G A G EBF1_EBF_1 10 1 + 8.43883193345055 3.1208034741835835 TGTCCCAAGAGAAC chr3 32121335 32121336 chr3:32121336:G:A rs9817129 G A G EBF1_EBF_1 -8 0 + 0 0 . chr3 32121352 32121353 chr3:32121353:G:T rs9817271 G T G EBF1_EBF_1 9 1 + 4.29084040047898 -2.54614900760983 TGCCCCAGGGGCCT chr3 32140268 32140269 chr3:32140269:C:T rs9835387 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 32160959 32160960 chr3:32160960:G:A rs9815304 G A A EBF1_EBF_1 -5 0 - 0 0 . chr3 32165113 32165114 chr3:32165114:C:G rs13100798 C G G EBF1_EBF_1 21 0 + 0 0 . chr3 32176273 32176274 chr3:32176274:C:T rs9838910 C T C EBF1_EBF_1 6 1 + 5.104375093965673 5.405661222827431 AGCCTCCGGGGACC chr3 32176296 32176297 chr3:32176297:A:G rs2060780 A G A EBF1_EBF_1 29 0 + 0 0 . chr3 32238361 32238362 chr3:32238362:T:C rs113427613 T C T EBF1_EBF_1 24 0 + 0 0 . chr3 32238897 32238898 chr3:32238898:G:A rs28379932 G A G EBF1_EBF_1 8 1 + 4.897389791145009 0.6710997022587478 TGTCCCCAGGGCGC chr3 32367307 32367308 chr3:32367308:A:G rs3867380 A G G EBF1_EBF_1 -19 0 + 0 0 . chr3 32385172 32385173 chr3:32385173:G:C rs11129519 G C G EBF1_EBF_1 5 1 - 8.692481917239718 1.787600764604549 CTCCCCCAGGGAGT chr3 32385192 32385193 chr3:32385193:G:A rs79440342 G A G EBF1_EBF_1 -15 0 - 0 0 . chr3 32407759 32407760 chr3:32407760:T:C rs58301718 T C T EBF1_EBF_1 13 1 + 6.11134471666924 5.266850602083722 CATCCCCTGGGCCT chr3 32421036 32421037 chr3:32421037:C:T rs953210712 C T C EBF1_EBF_1 -3 0 - 0 0 . chr3 32424614 32424615 chr3:32424615:A:G rs9811451 A G A EBF1_EBF_1 20 0 - 0 0 . chr3 32435579 32435580 chr3:32435580:A:G rs347144 A G G EBF1_EBF_1 27 0 + 0 0 . chr3 32435964 32435965 chr3:32435965:C:T rs347143 C T T EBF1_EBF_1 32 0 + 0 0 . chr3 32458042 32458043 chr3:32458043:G:A rs56284874 G A G EBF1_EBF_1 15 0 + 0 0 . chr3 32468035 32468036 chr3:32468036:C:G chr3:32468036:C:G C G C EBF1_EBF_1 10 1 - 6.316107087641398 -0.5746952996880769 TCTCCCCAAGGAAC chr3 32468063 32468064 chr3:32468064:G:C rs188265769 G C G EBF1_EBF_1 -18 0 - 0 0 . chr3 32468924 32468925 chr3:32468925:C:T rs12487913 C T C EBF1_EBF_1 -15 0 + 0 0 . chr3 32570106 32570107 chr3:32570107:C:T rs73070861 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 32625881 32625882 chr3:32625882:C:G rs62250783 C G c EBF1_EBF_1 19 0 + 0 0 . chr3 32626718 32626719 chr3:32626719:T:G rs76425399 T G t EBF1_EBF_1 11 1 - 6.758482008843863 2.342520310991474 GCTCCCAAAGGAAC chr3 32626733 32626734 chr3:32626734:A:G rs34751228 A G a EBF1_EBF_1 -4 0 - 0 0 . chr3 32673876 32673877 chr3:32673877:T:C rs114607688 T C T EBF1_EBF_1 -11 0 - 0 0 . chr3 32781215 32781216 chr3:32781216:A:C rs2396632 A C C EBF1_EBF_1 1 1 - 7.942203657980151 7.236541872150624 CTCCCCAGGGGAAA chr3 32864631 32864632 chr3:32864632:G:C rs533879410 G C G EBF1_EBF_1 -10 0 + 0 0 . chr3 32864658 32864659 chr3:32864659:C:T rs73044187 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 32873115 32873116 chr3:32873116:G:A rs111984021 G A G EBF1_EBF_1 3 1 - 5.698313183495043 -0.7070855580582744 CTTCCCTGGGGCCC chr3 32937662 32937663 chr3:32937663:G:A rs111296078 G A G EBF1_EBF_1 24 0 - 0 0 . chr3 32947838 32947839 chr3:32947839:C:T rs546634864 C T . EBF1_EBF_1 -17 0 - 0 0 . chr3 32948608 32948609 chr3:32948609:C:T rs7612287 C T . EBF1_EBF_1 4 1 + 4.828136111889071 0.4587491669441488 TGTCCCCAGGGCAG chr3 32976221 32976222 chr3:32976222:C:T rs76041999 C T C EBF1_EBF_1 9 1 - 6.182615204776784 3.5276759393291806 AGTGCCAAGGGATC chr3 32989558 32989559 chr3:32989559:G:A rs62250890 G A G EBF1_EBF_1 24 0 + 0 0 . chr3 33015542 33015543 chr3:33015543:T:C rs76563295 T C T EBF1_EBF_1 -20 0 - 0 0 . chr3 33054450 33054451 chr3:33054451:G:A rs58007965 G A G EBF1_EBF_1 -1 0 + 0 0 . chr3 33070410 33070411 chr3:33070411:C:T rs35026670 C T T EBF1_EBF_1 19 0 - 0 0 . chr3 33081971 33081972 chr3:33081972:T:A rs4678652 T A T EBF1_EBF_1 -18 0 + 0 0 . chr3 33103988 33103989 chr3:33103989:A:G rs4678677 A G a EBF1_EBF_1 23 0 + 0 0 . chr3 33114161 33114162 chr3:33114162:G:C rs766784647 G C g EBF1_EBF_1 -20 0 + 0 0 . chr3 33118914 33118915 chr3:33118915:G:A rs4478037 G A A EBF1_EBF_1 -20 0 + 0 0 . chr3 33147221 33147222 chr3:33147222:C:T rs11925558 C T C EBF1_EBF_1 3 1 + 5.546711728343413 -0.8586870132099054 TGCCCCCAGGGGCA chr3 33212018 33212019 chr3:33212019:G:A rs192144909 G A G EBF1_EBF_1 -8 0 - 0 0 . chr3 33300067 33300068 chr3:33300068:A:G rs78442979 A G A EBF1_EBF_1 17 0 - 0 0 . chr3 33355676 33355677 chr3:33355677:C:G chr3:33355677:C:G C G C EBF1_EBF_1 -4 0 + 0 0 . chr3 33439421 33439422 chr3:33439422:T:C chr3:33439422:T:C T C T EBF1_EBF_1 27 0 + 0 0 . chr3 33718372 33718373 chr3:33718373:C:T rs1333546836 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 33807548 33807549 chr3:33807549:A:G rs570188814 A G A EBF1_EBF_1 -2 0 + 0 0 . chr3 33916590 33916591 chr3:33916591:C:T rs74470773 C T C EBF1_EBF_1 21 0 - 0 0 . chr3 34002274 34002275 chr3:34002275:T:G rs9843337 T G T EBF1_EBF_1 1 1 - 7.633590180988583 7.704517358340667 AAACCCAAGGGAAG chr3 34006384 34006385 chr3:34006385:G:T rs9845173 G T G EBF1_EBF_1 -7 0 - 0 0 . chr3 34044587 34044588 chr3:34044588:G:A rs1992346 G A A EBF1_EBF_1 3 1 - 7.283462828129712 0.8780640865763942 ACCCCCCTGAGATT chr3 34175650 34175651 chr3:34175651:G:T rs991275 G T G EBF1_EBF_1 13 1 - 3.9504885443188864 3.576743095032911 AGCCACTGGGGACC chr3 34198854 34198855 chr3:34198855:C:T rs11717932 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 34295105 34295106 chr3:34295106:A:C rs72854272 A C A EBF1_EBF_1 23 0 + 0 0 . chr3 34635136 34635137 chr3:34635137:C:A rs1022675691 C A C EBF1_EBF_1 27 0 + 0 0 . chr3 34783038 34783039 chr3:34783039:A:C rs652932 A C C EBF1_EBF_1 -14 0 - 0 0 . chr3 34856266 34856267 chr3:34856267:C:G rs9815783 C G G EBF1_EBF_1 6 1 - 8.228800543621663 10.03399260709486 ATCCCCGAGGGAAG chr3 34898623 34898624 chr3:34898624:A:C rs17032435 A C A EBF1_EBF_1 31 0 + 0 0 . chr3 34948052 34948053 chr3:34948053:C:T rs74876506 C T C EBF1_EBF_1 -6 0 + 0 0 . chr3 35060852 35060853 chr3:35060853:G:C rs11129633 G C G EBF1_EBF_1 8 1 + 6.301638980725446 0.9829801198107604 CTCCCCTAGGGGAA chr3 35084301 35084302 chr3:35084302:A:G rs62260070 A G A EBF1_EBF_1 19 0 - 0 0 . chr3 35084337 35084338 chr3:35084338:A:T rs62260071 A T A EBF1_EBF_1 -17 0 - 0 0 . chr3 35145983 35145984 chr3:35145984:C:A rs7429394 C A A EBF1_EBF_1 6 1 + 4.361057676573689 4.722660044374966 CCTCCCCGGGTACA chr3 35295734 35295735 chr3:35295735:G:A rs73828322 G A G EBF1_EBF_1 26 0 + 0 0 . chr3 35383755 35383756 chr3:35383756:C:T rs73060948 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 35392903 35392904 chr3:35392904:T:A chr3:35392904:T:A T A T EBF1_EBF_1 2 1 + 9.00249623363598 5.318028646037102 GGTCCCCAGAGAAT chr3 35392927 35392928 chr3:35392928:C:G chr3:35392928:C:G C G C EBF1_EBF_1 26 0 + 0 0 . chr3 35485987 35485988 chr3:35485988:A:G rs17752659 A G A EBF1_EBF_1 21 0 + 0 0 . chr3 35562481 35562482 chr3:35562482:G:A rs78120881 G A G EBF1_EBF_1 13 1 - 6.458523715931277 7.303017830516795 ATTCCCATGATAAC chr3 35664517 35664518 chr3:35664518:G:A rs189785052 G A G EBF1_EBF_1 8 1 + 4.210948882132146 -0.015341206754115363 AGTCCCCAGGTGGC chr3 35740269 35740270 chr3:35740270:G:T rs7621692 G T T EBF1_EBF_1 -12 0 - 0 0 . chr3 36098138 36098139 chr3:36098139:G:T rs1450014 G T G EBF1_EBF_1 22 0 - 0 0 . chr3 36129700 36129701 chr3:36129701:C:T rs1375874 C T C EBF1_EBF_1 8 1 - 4.3637287665869575 0.1374386777006963 ACTGCCCCGGGACC chr3 36131989 36131990 chr3:36131990:C:T rs4678847 C T T EBF1_EBF_1 12 1 + 7.9745888468037265 6.948322276252878 TGTCCCTAGAGACA chr3 36132006 36132007 chr3:36132007:A:G rs4635661 A G A EBF1_EBF_1 29 0 + 0 0 . chr3 36264466 36264467 chr3:36264467:C:T rs1080365 C T C EBF1_EBF_1 6 1 + 8.768659455629043 9.0699455844908 CTCCCCCGGGGACT chr3 36264481 36264482 chr3:36264482:A:T rs78736813 A T A EBF1_EBF_1 21 0 + 0 0 . chr3 36381170 36381171 chr3:36381171:A:G rs62245719 A G A EBF1_EBF_1 6 1 + 7.549470827042318 5.3826763957678425 TATCCCAAGGGTCT chr3 36381184 36381185 chr3:36381185:C:T rs73052253 C T C EBF1_EBF_1 20 0 + 0 0 . chr3 36562388 36562389 chr3:36562389:T:C rs76222625 T C G EBF1_EBF_1 -1 0 - 0 0 . chr3 36647993 36647994 chr3:36647994:T:C rs7617990 T C T EBF1_EBF_1 -2 0 - 0 0 . chr3 36677241 36677242 chr3:36677242:C:T rs34992013 C T C EBF1_EBF_1 25 0 + 0 0 . chr3 36677632 36677633 chr3:36677633:G:A rs905887843 G A G EBF1_EBF_1 31 0 - 0 0 . chr3 36710890 36710891 chr3:36710891:C:T rs1507880 C T T EBF1_EBF_1 -10 0 + 0 0 . chr3 36792675 36792676 chr3:36792676:G:A rs747407071 G A G EBF1_EBF_1 -6 0 + 0 0 . chr3 36814836 36814837 chr3:36814837:G:A rs906482 G A G EBF1_EBF_1 -17 0 + 0 0 . chr3 36814866 36814867 chr3:36814867:C:T rs17252079 C T C EBF1_EBF_1 13 1 + 7.022437029000915 7.866931143586433 ACTCCCTTGGAAAC chr3 36818213 36818214 chr3:36818214:T:C rs1553656 T C T EBF1_EBF_1 22 0 - 0 0 . chr3 36823986 36823987 chr3:36823987:A:G rs73824501 A G A EBF1_EBF_1 -6 0 - 0 0 . chr3 36868980 36868981 chr3:36868981:T:C rs144419684 T C T EBF1_EBF_1 24 0 - 0 0 . chr3 36874859 36874860 chr3:36874860:G:A rs4591457 G A G EBF1_EBF_1 28 0 - 0 0 . chr3 36945781 36945782 chr3:36945782:A:C chr3:36945782:A:C A C A EBF1_EBF_1 -11 0 + 0 0 . chr3 36993861 36993862 chr3:36993862:C:T rs561267247 C T C EBF1_EBF_1 5 1 + 5.563497526288548 0.24171031074787697 TCTCCCCCGAGACC chr3 36993882 36993883 chr3:36993883:T:G rs4647205 T G T EBF1_EBF_1 26 0 + 0 0 . chr3 37074166 37074167 chr3:37074167:T:C rs1558527 T C T EBF1_EBF_1 15 0 - 0 0 . chr3 37074188 37074189 chr3:37074189:A:G rs57060248 A G A EBF1_EBF_1 -7 0 - 0 0 . chr3 37074285 37074286 chr3:37074286:C:T rs114632547 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 37096576 37096577 chr3:37096577:T:C rs77410288 T C T EBF1_EBF_1 26 0 - 0 0 . chr3 37161496 37161497 chr3:37161497:T:C rs2362825 T C T EBF1_EBF_1 -6 0 + 0 0 . chr3 37183774 37183775 chr3:37183775:C:T rs1040934269 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 37183775 37183776 chr3:37183776:G:A rs34253345 G A g EBF1_EBF_1 -1 0 + 0 0 . chr3 37197832 37197833 chr3:37197833:G:C rs4678945 G C G EBF1_EBF_1 25 0 - 0 0 . chr3 37244030 37244031 chr3:37244031:G:T rs6773419 G T T EBF1_EBF_1 -19 0 - 0 0 . chr3 37261799 37261800 chr3:37261800:C:T rs111623172 C T C EBF1_EBF_1 -2 0 - 0 0 . chr3 37321676 37321677 chr3:37321677:C:T rs80098730 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 37421406 37421407 chr3:37421407:T:A rs906491097 T A T EBF1_EBF_1 17 0 + 0 0 . chr3 37452657 37452658 chr3:37452658:C:T rs111270886 C T C EBF1_EBF_1 13 1 - 5.223601041201533 6.337926613270972 GCCCCCTCGGGACG chr3 37453245 37453246 chr3:37453246:T:G rs78665795 T G T EBF1_EBF_1 6 1 - 6.818866860623181 6.457264492821904 TCTCCCAAGAGATA chr3 37522221 37522222 chr3:37522222:G:A rs155525 G A A EBF1_EBF_1 -4 0 + 0 0 . chr3 37530507 37530508 chr3:37530508:A:G rs487036 A G G EBF1_EBF_1 11 1 + 5.526864769796023 2.634157926192012 GCTCCCTGGAGATA chr3 37533778 37533779 chr3:37533779:C:T rs267559 C T c EBF1_EBF_1 -18 0 - 0 0 . chr3 37537996 37537997 chr3:37537997:G:A rs267543 G A A EBF1_EBF_1 -15 0 - 0 0 . chr3 37545844 37545845 chr3:37545845:A:G rs76743235 A G A EBF1_EBF_1 -4 0 + 0 0 . chr3 37591050 37591051 chr3:37591051:C:T rs13326107 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 37599668 37599669 chr3:37599669:C:A rs7375012 C A A EBF1_EBF_1 9 1 - 11.51604268649866 4.67905327840985 AGCCCCATGGGACT chr3 37612007 37612008 chr3:37612008:G:A rs55862097 G A G EBF1_EBF_1 19 0 + 0 0 . chr3 37627485 37627486 chr3:37627486:G:C rs115657359 G C G EBF1_EBF_1 28 0 + 0 0 . chr3 37685574 37685575 chr3:37685575:G:A rs59501986 G A G EBF1_EBF_1 24 0 - 0 0 . chr3 37688058 37688059 chr3:37688059:C:T rs79699083 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 37716005 37716006 chr3:37716006:A:C rs7647956 A C A EBF1_EBF_1 -7 0 + 0 0 . chr3 37744513 37744514 chr3:37744514:C:T rs60471599 C T C EBF1_EBF_1 -9 0 + 0 0 . chr3 37786053 37786054 chr3:37786054:T:C rs4084868 T C C EBF1_EBF_1 25 0 - 0 0 . chr3 37886107 37886108 chr3:37886108:C:T rs77412449 C T C EBF1_EBF_1 6 1 + 3.855921154440768 4.157207283302524 AGCCCCCAGGGCTG chr3 37936254 37936255 chr3:37936255:C:T rs71323644 C T C EBF1_EBF_1 5 1 + 11.995787915758054 6.674000700217387 ATTCCCTGGGGACA chr3 37945913 37945914 chr3:37945914:T:A rs9835844 T A T EBF1_EBF_1 11 1 - 6.910410249178579 2.812495993079853 CCTCCCAAGAGATC chr3 37954776 37954777 chr3:37954777:G:A rs11919078 G A a EBF1_EBF_1 4 1 - 5.071896173306258 0.7025092283613337 AGCCCCTGGAGGCT chr3 37969335 37969336 chr3:37969336:A:C rs41276521 A C A EBF1_EBF_1 19 0 - 0 0 . chr3 37991833 37991834 chr3:37991834:C:T rs112353192 C T C EBF1_EBF_1 28 0 + 0 0 . chr3 37992024 37992025 chr3:37992025:C:T rs73060805 C T C EBF1_EBF_1 1 1 - 4.611988546347962 4.351289714426659 CGCCCCCAGGGGTC chr3 38000780 38000781 chr3:38000781:G:A rs59321390 G A G EBF1_EBF_1 10 1 + 10.219242882221545 4.90121442295458 GCTCCCCAGGGACA chr3 38001239 38001240 chr3:38001240:T:C rs111622807 T C T EBF1_EBF_1 24 0 - 0 0 . chr3 38036763 38036764 chr3:38036764:G:A rs3762786 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 38086033 38086034 chr3:38086034:G:A rs9875897 G A G EBF1_EBF_1 4 1 - 7.577101649825594 3.2077147048806705 AGTGCCATGGGACT chr3 38087223 38087224 chr3:38087224:A:C rs13098107 A C A EBF1_EBF_1 -9 0 + 0 0 . chr3 38118950 38118951 chr3:38118951:A:G rs74745499 A G a EBF1_EBF_1 28 0 + 0 0 . chr3 38136752 38136753 chr3:38136753:C:T rs562996073 C T C EBF1_EBF_1 23 0 + 0 0 . chr3 38143682 38143683 chr3:38143683:G:A rs6767684 G A A EBF1_EBF_1 -15 0 + 0 0 . chr3 38216687 38216688 chr3:38216688:T:C rs272576 T C C EBF1_EBF_1 17 0 - 0 0 . chr3 38307457 38307458 chr3:38307458:G:T rs818823 G T G EBF1_EBF_1 -1 0 + 0 0 . chr3 38315376 38315377 chr3:38315377:T:C rs194705 T C C EBF1_EBF_1 -18 0 - 0 0 . chr3 38362136 38362137 chr3:38362137:C:T rs704936 C T C EBF1_EBF_1 6 1 - 4.26794681882101 6.4347412500954855 GCTCCCGTGAGAGC chr3 38369140 38369141 chr3:38369141:A:G rs818833 A G G EBF1_EBF_1 1 1 - 6.745377028436099 5.8499435880373545 ATTCCCACGAGGCT chr3 38370811 38370812 chr3:38370812:C:T rs196381 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 38382693 38382694 chr3:38382694:G:A rs151618 G A G EBF1_EBF_1 -12 0 + 0 0 . chr3 38455776 38455777 chr3:38455777:C:T rs11925797 C T C EBF1_EBF_1 16 0 - 0 0 . chr3 38532999 38533000 chr3:38533000:G:A rs6792762 G A G EBF1_EBF_1 -17 0 - 0 0 . chr3 38556375 38556376 chr3:38556376:C:T rs41315507 C T C EBF1_EBF_1 -5 0 + 0 0 . chr3 38558320 38558321 chr3:38558321:C:G rs11720166 C G G EBF1_EBF_1 -17 0 + 0 0 . chr3 38583443 38583444 chr3:38583444:G:A rs149579991 G A G EBF1_EBF_1 -20 0 + 0 0 . chr3 38606150 38606151 chr3:38606151:G:T rs41312433 G T G EBF1_EBF_1 23 0 + 0 0 . chr3 38614151 38614152 chr3:38614152:C:T rs9856587 C T C EBF1_EBF_1 10 1 - 5.438275521035883 0.12024706176891709 ACCCCCGTGGGAGG chr3 38636517 38636518 chr3:38636518:A:G rs6768135 A G G EBF1_EBF_1 6 1 - 4.544148899495243 4.242862770633485 GCTCCCTTGGGCAG chr3 38642905 38642906 chr3:38642906:A:T rs6773331 A T T EBF1_EBF_1 28 0 + 0 0 . chr3 38659250 38659251 chr3:38659251:C:T rs7374843 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 38707963 38707964 chr3:38707964:G:A rs74445516 G A A EBF1_EBF_1 -11 0 + 0 0 . chr3 38708883 38708884 chr3:38708884:A:G rs11129801 A G A EBF1_EBF_1 -17 0 - 0 0 . chr3 38726755 38726756 chr3:38726756:G:A rs59468016 G A G EBF1_EBF_1 10 1 + 5.57644668032973 0.2584182210627639 CATCCCTGGGGCCT chr3 38727805 38727806 chr3:38727806:A:C rs12636153 A C C EBF1_EBF_1 -10 0 - 0 0 . chr3 38738698 38738699 chr3:38738699:T:C rs62242446 T C T EBF1_EBF_1 1 1 + 6.6157090384400705 5.720275598041327 ATTCCCAAGAGTCA chr3 38829604 38829605 chr3:38829605:C:T rs72869661 C T C EBF1_EBF_1 3 1 + 8.45300387320544 2.047605131652121 TTACCCATGGGACT chr3 38841186 38841187 chr3:38841187:T:C rs147835369 T C T EBF1_EBF_1 -2 0 + 0 0 . chr3 38957438 38957439 chr3:38957439:G:A rs4676580 G A G EBF1_EBF_1 -12 0 + 0 0 . chr3 38957446 38957447 chr3:38957447:C:T rs62242307 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 39005563 39005564 chr3:39005564:C:T rs57435652 C T C EBF1_EBF_1 -15 0 + 0 0 . chr3 39005601 39005602 chr3:39005602:C:G rs6775033 C G C EBF1_EBF_1 23 0 + 0 0 . chr3 39039735 39039736 chr3:39039736:G:C rs73064286 G C G EBF1_EBF_1 -18 0 + 0 0 . chr3 39052287 39052288 chr3:39052288:C:G rs547305370 C G C EBF1_EBF_1 -13 0 - 0 0 . chr3 39107061 39107062 chr3:39107062:C:G chr3:39107062:C:G C G C EBF1_EBF_1 1 1 - 3.6533606676717447 3.4635890131025278 AGTCCCGGGGGCCG chr3 39107329 39107330 chr3:39107330:C:A rs764647211 C A C EBF1_EBF_1 14 0 + 0 0 . chr3 39138727 39138728 chr3:39138728:C:T rs2293313 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 39140111 39140112 chr3:39140112:C:G rs138251090 C G C EBF1_EBF_1 0 1 - 4.945142987072376 4.582168372940609 GTTCCAAAGGGACC chr3 39147804 39147805 chr3:39147805:A:T rs140551411 A T A EBF1_EBF_1 16 0 + 0 0 . chr3 39151167 39151168 chr3:39151168:C:T rs546021249 C T C EBF1_EBF_1 -1 0 + 0 0 . chr3 39152735 39152736 chr3:39152736:G:A rs190943308 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 39153768 39153769 chr3:39153769:G:C rs784504 G C C EBF1_EBF_1 14 0 + 0 0 . chr3 39168633 39168634 chr3:39168634:G:C rs9855094 G C G EBF1_EBF_1 -11 0 - 0 0 . chr3 39211916 39211917 chr3:39211917:G:A rs148115068 G A G EBF1_EBF_1 7 1 - 8.17912264077029 9.996627387052914 CTCCCCACGGGAAT chr3 39245425 39245426 chr3:39245426:C:T rs77376802 C T C EBF1_EBF_1 -13 0 - 0 0 . chr3 39261655 39261656 chr3:39261656:T:C rs4676487 T C T EBF1_EBF_1 19 0 - 0 0 . chr3 39271449 39271450 chr3:39271450:C:T rs9868689 C T C EBF1_EBF_1 4 1 + 4.666087549358005 0.29670060441308266 AGCCCCAGGAGATG chr3 39278391 39278392 chr3:39278392:G:C rs2669842 G C G EBF1_EBF_1 5 1 - 9.12827870904068 2.223397556405512 AAACCCCTGGGAAT chr3 39288669 39288670 chr3:39288670:A:G rs114115282 A G A EBF1_EBF_1 -16 0 + 0 0 . chr3 39347114 39347115 chr3:39347115:C:T rs2649759 C T C EBF1_EBF_1 0 1 + 5.131004547817734 5.413206608548313 CTTGCCTGGGGACT chr3 39362127 39362128 chr3:39362128:T:C rs1113160 T C T EBF1_EBF_1 -18 0 - 0 0 . chr3 39373113 39373114 chr3:39373114:C:T rs11713992 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 39414472 39414473 chr3:39414473:T:G rs79113612 T G t EBF1_EBF_1 26 0 - 0 0 . chr3 39418420 39418421 chr3:39418421:G:A rs76167735 G A g EBF1_EBF_1 -1 0 - 0 0 . chr3 39434862 39434863 chr3:39434863:G:T rs112583418 G T G EBF1_EBF_1 -7 0 - 0 0 . chr3 39493753 39493754 chr3:39493754:G:A rs602408 G A G EBF1_EBF_1 -20 0 + 0 0 . chr3 39542380 39542381 chr3:39542381:C:T rs6797874 C T C EBF1_EBF_1 -5 0 + 0 0 . chr3 39713534 39713535 chr3:39713535:C:A rs569172044 C A C EBF1_EBF_1 -10 0 + 0 0 . chr3 39752844 39752845 chr3:39752845:A:G rs183834274 A G A EBF1_EBF_1 -17 0 + 0 0 . chr3 39780156 39780157 chr3:39780157:C:T rs6804496 C T C EBF1_EBF_1 16 0 - 0 0 . chr3 39809074 39809075 chr3:39809075:G:A rs906606936 G A G EBF1_EBF_1 23 0 - 0 0 . chr3 39811333 39811334 chr3:39811334:T:C rs871231 T C C EBF1_EBF_1 23 0 + 0 0 . chr3 39880195 39880196 chr3:39880196:A:G rs11712144 A G A EBF1_EBF_1 -12 0 + 0 0 . chr3 39942294 39942295 chr3:39942295:A:G rs114700913 A G A EBF1_EBF_1 27 0 - 0 0 . chr3 39977345 39977346 chr3:39977346:T:C rs9880500 T C a EBF1_EBF_1 -9 0 - 0 0 . chr3 40069156 40069157 chr3:40069157:C:T rs73063943 C T C EBF1_EBF_1 12 1 - 6.103386227928715 7.522203570028193 TCTCCCAAAGGAGT chr3 40073829 40073830 chr3:40073830:C:T rs76633668 C T C EBF1_EBF_1 0 1 + 6.48274923148433 6.764951292214907 CGCCCCCAGGGGCT chr3 40079602 40079603 chr3:40079603:A:G rs549965426 A G A EBF1_EBF_1 -10 0 + 0 0 . chr3 40097720 40097721 chr3:40097721:C:T rs115437681 C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 40126830 40126831 chr3:40126831:G:C rs2679824 G C G EBF1_EBF_1 -10 0 + 0 0 . chr3 40173347 40173348 chr3:40173348:C:T rs2280321 C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 40185503 40185504 chr3:40185504:G:A rs11129867 G A A EBF1_EBF_1 28 0 + 0 0 . chr3 40211825 40211826 chr3:40211826:C:G rs5016057 C G C EBF1_EBF_1 -16 0 + 0 0 . chr3 40211835 40211836 chr3:40211836:C:T chr3:40211836:C:T C T C EBF1_EBF_1 -6 0 + 0 0 . chr3 40271612 40271613 chr3:40271613:T:A rs7625102 T A A EBF1_EBF_1 2 1 + 10.029159902641688 6.344692315042812 CTTCCCAAGGGAAG chr3 40291662 40291663 chr3:40291663:T:C rs76129912 T C T EBF1_EBF_1 -3 0 - 0 0 . chr3 40310067 40310068 chr3:40310068:C:G rs576675109 C G C EBF1_EBF_1 25 0 + 0 0 . chr3 40310070 40310071 chr3:40310071:C:A rs144416524 C A C EBF1_EBF_1 28 0 + 0 0 . chr3 40382702 40382703 chr3:40382703:C:T rs77398005 C T C EBF1_EBF_1 -18 0 - 0 0 . chr3 40465056 40465057 chr3:40465057:T:C rs6771527 T C C EBF1_EBF_1 6 1 + 5.672791851519112 5.371505722657356 GTTCCCTTGGTAAG chr3 40491153 40491154 chr3:40491154:C:T rs116326043 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 40497867 40497868 chr3:40497868:G:A rs79908561 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 40524508 40524509 chr3:40524509:G:A rs7651929 G A G EBF1_EBF_1 24 0 - 0 0 . chr3 40586337 40586338 chr3:40586338:C:T rs11708971 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 40631748 40631749 chr3:40631749:T:G rs34663734 T G t EBF1_EBF_1 11 1 - 8.02178705913196 3.60582536127957 ATTCCCAGAGGATT chr3 40715205 40715206 chr3:40715206:T:A rs6801838 T A T EBF1_EBF_1 -4 0 - 0 0 . chr3 40781225 40781226 chr3:40781226:G:A rs187013210 G A G EBF1_EBF_1 -5 0 + 0 0 . chr3 40781226 40781227 chr3:40781227:C:A rs191808181 C A C EBF1_EBF_1 -4 0 + 0 0 . chr3 40869112 40869113 chr3:40869113:C:T rs73829432 C T C EBF1_EBF_1 30 0 - 0 0 . chr3 40869133 40869134 chr3:40869134:C:T rs139425787 C T C EBF1_EBF_1 9 1 - 5.609587762876851 2.954648497429246 TTTCCTCTGGGACC chr3 40869135 40869136 chr3:40869136:A:G rs17051588 A G G EBF1_EBF_1 7 1 - 5.609587762876851 3.7920830165942263 TTTCCTCTGGGACC chr3 40940877 40940878 chr3:40940878:G:A rs79316935 G A G EBF1_EBF_1 10 1 + 5.851568755020176 0.5335402957532107 ATTCCCATGAGCAA chr3 41000757 41000758 chr3:41000758:G:A rs74895481 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 41026599 41026600 chr3:41026600:G:A rs78346099 G A G EBF1_EBF_1 18 0 - 0 0 . chr3 41030863 41030864 chr3:41030864:C:T rs4974061 C T C EBF1_EBF_1 -12 0 - 0 0 . chr3 41046195 41046196 chr3:41046196:A:G rs451553 A G A EBF1_EBF_1 15 0 - 0 0 . chr3 41069218 41069219 chr3:41069219:A:G rs7643852 A G A EBF1_EBF_1 15 0 - 0 0 . chr3 41076746 41076747 chr3:41076747:T:C rs74391560 T C T EBF1_EBF_1 11 1 - 6.190768232979066 3.2980613893750546 ACTCTCATGAGACT chr3 41111121 41111122 chr3:41111122:G:C rs113664818 G C G EBF1_EBF_1 1 1 + 8.990576268042695 8.800804613473478 AGACCCCAGGGACC chr3 41119241 41119242 chr3:41119242:G:A rs186794817 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 41174774 41174775 chr3:41174775:C:A rs9815735 C A C EBF1_EBF_1 32 0 + 0 0 . chr3 41190292 41190293 chr3:41190293:T:A rs4974074 T A A EBF1_EBF_1 1 1 + 6.279747025917455 5.313386408166625 ATTCCCAAAGGGAA chr3 41199893 41199894 chr3:41199894:C:T rs562731207 C T C EBF1_EBF_1 8 1 - 4.397202323381011 0.17091223449474835 TGCCCCCAGGGCCC chr3 41265939 41265940 chr3:41265940:G:A rs74922969 G A G EBF1_EBF_1 10 1 + 5.613024605247216 0.29499614598024954 ACTCCCAGGAGGCA chr3 41330253 41330254 chr3:41330254:A:G rs9857334 A G G EBF1_EBF_1 -13 0 + 0 0 . chr3 41339305 41339306 chr3:41339306:G:A rs561892055 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 41447578 41447579 chr3:41447579:T:C rs4505671 T C t EBF1_EBF_1 23 0 - 0 0 . chr3 41508232 41508233 chr3:41508233:T:C rs1267088 T C C EBF1_EBF_1 20 0 + 0 0 . chr3 41548266 41548267 chr3:41548267:T:C rs1691965 T C C EBF1_EBF_1 23 0 + 0 0 . chr3 41604006 41604007 chr3:41604007:A:C rs4973889 A C C EBF1_EBF_1 -11 0 + 0 0 . chr3 41612403 41612404 chr3:41612404:G:T rs28552856 G T T EBF1_EBF_1 25 0 + 0 0 . chr3 41616805 41616806 chr3:41616806:G:A rs72862123 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 41680440 41680441 chr3:41680441:A:G rs58155850 A G G EBF1_EBF_1 15 0 + 0 0 . chr3 41696105 41696106 chr3:41696106:A:G rs112931149 A G G EBF1_EBF_1 20 0 - 0 0 . chr3 41852947 41852948 chr3:41852948:T:C rs1016669 T C C EBF1_EBF_1 18 0 + 0 0 . chr3 41888467 41888468 chr3:41888468:G:A rs1716660 G A G EBF1_EBF_1 0 1 + 5.1433597367624255 7.035643606327259 GTTCCCAAGGAAGC chr3 41961268 41961269 chr3:41961269:A:G rs55916855 A G A EBF1_EBF_1 -14 0 + 0 0 . chr3 41964345 41964346 chr3:41964346:T:C rs73073226 T C C EBF1_EBF_1 -18 0 + 0 0 . chr3 41986615 41986616 chr3:41986616:G:A rs60483024 G A G EBF1_EBF_1 4 1 - 6.417110669124462 2.047723724179538 TATCCCAAGGGCAC chr3 42015359 42015360 chr3:42015360:A:C chr3:42015360:A:C A C A EBF1_EBF_1 -19 0 + 0 0 . chr3 42052482 42052483 chr3:42052483:T:C rs535072519 T C T EBF1_EBF_1 -5 0 + 0 0 . chr3 42072240 42072241 chr3:42072241:G:A rs533735902 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 42072241 42072242 chr3:42072242:A:T rs547088193 A T A EBF1_EBF_1 20 0 - 0 0 . chr3 42094242 42094243 chr3:42094243:G:A rs149578091 G A G EBF1_EBF_1 32 0 - 0 0 . chr3 42148541 42148542 chr3:42148542:T:A rs13092350 T A T EBF1_EBF_1 -2 0 + 0 0 . chr3 42160266 42160267 chr3:42160267:G:A rs9755034 G A G EBF1_EBF_1 2 1 - 4.5081562752035245 6.396979686645675 GGCCCCCAGGGCAC chr3 42225340 42225341 chr3:42225341:C:A rs9156 C A C EBF1_EBF_1 -17 0 + 0 0 . chr3 42349975 42349976 chr3:42349976:G:T rs13077160 G T G EBF1_EBF_1 10 1 + 7.658384071568655 3.475631885341392 AATCCCCTGGGTTT chr3 42349987 42349988 chr3:42349988:A:G rs33510 A G A EBF1_EBF_1 22 0 + 0 0 . chr3 42350105 42350106 chr3:42350106:G:C rs13077349 G C G EBF1_EBF_1 10 1 + 4.899040309060026 -1.9917620782694494 CTTCCCCAGAGCCT chr3 42351589 42351590 chr3:42351590:T:C rs138900727 T C T EBF1_EBF_1 22 0 - 0 0 . chr3 42369617 42369618 chr3:42369618:C:T rs33476 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 42369762 42369763 chr3:42369763:C:T rs33477 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 42449392 42449393 chr3:42449393:T:A rs9876105 T A T EBF1_EBF_1 -19 0 + 0 0 . chr3 42478725 42478726 chr3:42478726:G:A rs114460469 G A G EBF1_EBF_1 7 1 - 5.172837989632065 6.990342735914688 AGCCCCACGAGATC chr3 42487589 42487590 chr3:42487590:C:T rs11718502 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 42493484 42493485 chr3:42493485:G:T rs531979059 G T G EBF1_EBF_1 0 1 + 5.536400005886882 5.455627452485692 GGTCCCTAGAGGCC chr3 42493496 42493497 chr3:42493497:C:T rs73067240 C T C EBF1_EBF_1 12 1 + 5.536400005886882 4.510133435336032 GGTCCCTAGAGGCC chr3 42499606 42499607 chr3:42499607:G:A rs71315546 G A G EBF1_EBF_1 29 0 + 0 0 . chr3 42501880 42501881 chr3:42501881:T:C rs769792781 T C T EBF1_EBF_1 -1 0 - 0 0 . chr3 42505634 42505635 chr3:42505635:T:C rs1876506 T C C EBF1_EBF_1 33 0 + 0 0 . chr3 42515459 42515460 chr3:42515460:G:A rs113157496 G A G EBF1_EBF_1 -15 0 + 0 0 . chr3 42520934 42520935 chr3:42520935:A:G rs2284132 A G G EBF1_EBF_1 -2 0 + 0 0 . chr3 42526857 42526858 chr3:42526858:C:T rs138515021 C T C EBF1_EBF_1 33 0 + 0 0 . chr3 42540446 42540447 chr3:42540447:C:T rs34196076 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 42540674 42540675 chr3:42540675:T:A rs7635021 T A T EBF1_EBF_1 2 1 + 8.519604486851739 4.835136899252862 GGTCCCAAGAGAAC chr3 42545211 42545212 chr3:42545212:C:A rs6799021 C A C EBF1_EBF_1 -16 0 + 0 0 . chr3 42545220 42545221 chr3:42545221:T:C rs940035272 T C T EBF1_EBF_1 -7 0 + 0 0 . chr3 42545824 42545825 chr3:42545825:G:T rs77770458 G T G EBF1_EBF_1 19 0 + 0 0 . chr3 42655149 42655150 chr3:42655150:C:A rs560015635 C A C EBF1_EBF_1 -19 0 + 0 0 . chr3 42655793 42655794 chr3:42655794:A:G rs339726 A G G EBF1_EBF_1 -13 0 + 0 0 . chr3 42684571 42684572 chr3:42684572:C:T rs339703 C T C EBF1_EBF_1 25 0 - 0 0 . chr3 42684590 42684591 chr3:42684591:G:A rs114723852 G A G EBF1_EBF_1 6 1 - 4.933376494925239 5.2346626237869955 AACCCCCGGGGTTT chr3 42696977 42696978 chr3:42696978:C:A rs114875568 C A C EBF1_EBF_1 -6 0 - 0 0 . chr3 42718419 42718420 chr3:42718420:G:A rs115135973 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 42750324 42750325 chr3:42750325:T:A rs539004305 T A T EBF1_EBF_1 -10 0 + 0 0 . chr3 42779472 42779473 chr3:42779473:C:T rs114343630 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 42779479 42779480 chr3:42779480:C:T rs17317624 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 42867667 42867668 chr3:42867668:G:A rs3919627 G A G EBF1_EBF_1 29 0 + 0 0 . chr3 42868194 42868195 chr3:42868195:A:G rs11715464 A G A EBF1_EBF_1 7 1 + 5.860412971859718 4.547954566570894 TCTGCCTAGGGAAT chr3 42880598 42880599 chr3:42880599:G:A rs1235515114 G A G EBF1_EBF_1 18 0 + 0 0 . chr3 42937349 42937350 chr3:42937350:T:C rs834584 T C T EBF1_EBF_1 -11 0 - 0 0 . chr3 42982952 42982953 chr3:42982953:T:G rs551658 T G G EBF1_EBF_1 -18 0 - 0 0 . chr3 43031492 43031493 chr3:43031493:C:T rs531888 C T T EBF1_EBF_1 33 0 + 0 0 . chr3 43031582 43031583 chr3:43031583:A:G rs532792 A G a EBF1_EBF_1 28 0 - 0 0 . chr3 43061926 43061927 chr3:43061927:G:A rs668271 G A A EBF1_EBF_1 -10 0 + 0 0 . chr3 43082403 43082404 chr3:43082404:T:C rs17391761 T C C EBF1_EBF_1 -13 0 - 0 0 . chr3 43082733 43082734 chr3:43082734:C:T rs12487785 C T C EBF1_EBF_1 -12 0 - 0 0 . chr3 43114646 43114647 chr3:43114647:G:A rs7625703 G A G EBF1_EBF_1 -20 0 - 0 0 . chr3 43126729 43126730 chr3:43126730:A:C rs9878975 A C A EBF1_EBF_1 2 1 - 11.485770016744059 4.737444326543594 ATTCCCATGAGAAT chr3 43159691 43159692 chr3:43159692:G:A chr3:43159692:G:A G A G EBF1_EBF_1 16 0 + 0 0 . chr3 43162166 43162167 chr3:43162167:G:A chr3:43162167:G:A G A G EBF1_EBF_1 17 0 + 0 0 . chr3 43175751 43175752 chr3:43175752:T:C rs76361228 T C C EBF1_EBF_1 -19 0 + 0 0 . chr3 43175796 43175797 chr3:43175797:G:A rs13074033 G A G EBF1_EBF_1 26 0 + 0 0 . chr3 43213466 43213467 chr3:43213467:G:C rs73088703 G C G EBF1_EBF_1 -1 0 + 0 0 . chr3 43214628 43214629 chr3:43214629:T:A rs17075328 T A T EBF1_EBF_1 -8 0 - 0 0 . chr3 43230098 43230099 chr3:43230099:A:C rs6762503 A C A EBF1_EBF_1 11 1 + 6.706225845794084 2.290264147941696 ATACCCAAAGGAAT chr3 43243616 43243617 chr3:43243617:A:C rs1320156 A C C EBF1_EBF_1 28 0 - 0 0 . chr3 43246284 43246285 chr3:43246285:G:A rs75169602 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 43283601 43283602 chr3:43283602:A:G rs55836177 A G A EBF1_EBF_1 -11 0 + 0 0 . chr3 43287265 43287266 chr3:43287266:A:G rs58866653 A G G EBF1_EBF_1 28 0 + 0 0 . chr3 43363704 43363705 chr3:43363705:T:C rs75661790 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 43364030 43364031 chr3:43364031:C:T rs34031620 C T C EBF1_EBF_1 20 0 + 0 0 . chr3 43394003 43394004 chr3:43394004:G:A rs13076840 G A G EBF1_EBF_1 2 1 - 5.003536666951299 6.892360078393447 ACCCCCAGGGGTGT chr3 43468345 43468346 chr3:43468346:A:T rs7636753 A T A EBF1_EBF_1 -14 0 - 0 0 . chr3 43566003 43566004 chr3:43566004:A:G rs7616890 A G - EBF1_EBF_1 22 0 - 0 0 . chr3 43733220 43733221 chr3:43733221:A:G rs973290232 A G A EBF1_EBF_1 -6 0 - 0 0 . chr3 43747771 43747772 chr3:43747772:A:G rs73829520 A G A EBF1_EBF_1 -7 0 + 0 0 . chr3 43754285 43754286 chr3:43754286:C:T rs139855451 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 43763685 43763686 chr3:43763686:G:A rs9637421 G A A EBF1_EBF_1 -3 0 - 0 0 . chr3 43769549 43769550 chr3:43769550:T:C rs150291546 T C T EBF1_EBF_1 -17 0 + 0 0 . chr3 43860058 43860059 chr3:43860059:G:C rs570587036 G C G EBF1_EBF_1 24 0 - 0 0 . chr3 43864377 43864378 chr3:43864378:G:A rs4682701 G A G EBF1_EBF_1 -4 0 + 0 0 . chr3 43872601 43872602 chr3:43872602:C:T chr3:43872602:C:T C T C EBF1_EBF_1 5 1 + 5.870454908476301 0.5486676929356308 CACCCCTGGGGAGC chr3 43946035 43946036 chr3:43946036:C:T rs4491874 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 43971698 43971699 chr3:43971699:G:C rs4682713 G C G EBF1_EBF_1 6 1 - 7.249847059401328 5.444654995928131 CACCCCCTGGGAGT chr3 44093863 44093864 chr3:44093864:A:G rs9882688 A G A EBF1_EBF_1 -10 0 + 0 0 . chr3 44093900 44093901 chr3:44093901:T:A rs57033391 T A T EBF1_EBF_1 27 0 + 0 0 . chr3 44098919 44098920 chr3:44098920:C:T rs58492658 C T C EBF1_EBF_1 -5 0 + 0 0 . chr3 44109010 44109011 chr3:44109011:C:T rs78678296 C T T EBF1_EBF_1 7 1 - 6.60449578335057 7.916954188639394 GTTCCCCGGGGCCT chr3 44207533 44207534 chr3:44207534:G:C rs2129957 G C G EBF1_EBF_1 -3 0 + 0 0 . chr3 44263990 44263991 chr3:44263991:G:A rs1352430 G A a EBF1_EBF_1 24 0 + 0 0 . chr3 44269899 44269900 chr3:44269900:G:A rs9874132 G A A EBF1_EBF_1 16 0 + 0 0 . chr3 44318697 44318698 chr3:44318698:C:A rs73829628 C A C EBF1_EBF_1 17 0 - 0 0 . chr3 44554656 44554657 chr3:44554657:A:G rs6441856 A G G EBF1_EBF_1 19 0 + 0 0 . chr3 44729334 44729335 chr3:44729335:A:G rs62244217 A G A EBF1_EBF_1 13 1 + 7.25344905965799 6.139123487588551 ATCCCCAAAGGACA chr3 44729635 44729636 chr3:44729636:C:T rs2279908 C T C EBF1_EBF_1 -16 0 + 0 0 . chr3 44729659 44729660 chr3:44729660:G:T chr3:44729660:G:T G T G EBF1_EBF_1 8 1 + 3.8800464147336147 -3.01138637424358 AGCACCCAGGGACC chr3 44874592 44874593 chr3:44874593:T:G rs113276215 T G T EBF1_EBF_1 -3 0 + 0 0 . chr3 44880648 44880649 chr3:44880649:A:C rs1532898 A C A EBF1_EBF_1 -18 0 - 0 0 . chr3 44893395 44893396 chr3:44893396:G:A rs56659361 G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 44893403 44893404 chr3:44893404:G:A rs113852814 G A G EBF1_EBF_1 -18 0 - 0 0 . chr3 44965020 44965021 chr3:44965021:A:T rs145150847 A T A EBF1_EBF_1 31 0 + 0 0 . chr3 44975646 44975647 chr3:44975647:C:G rs182561360 C G C EBF1_EBF_1 -8 0 - 0 0 . chr3 44986204 44986205 chr3:44986205:A:G rs7638471 A G G EBF1_EBF_1 -19 0 - 0 0 . chr3 45051812 45051813 chr3:45051813:C:T rs7626571 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 45055563 45055564 chr3:45055564:G:C rs79342240 G C G EBF1_EBF_1 -15 0 - 0 0 . chr3 45055664 45055665 chr3:45055665:C:G rs11714194 C G C EBF1_EBF_1 -2 0 - 0 0 . chr3 45100151 45100152 chr3:45100152:T:G rs62242535 T G T EBF1_EBF_1 -18 0 + 0 0 . chr3 45138039 45138040 chr3:45138040:C:G rs76896245 C G C EBF1_EBF_1 -20 0 + 0 0 . chr3 45178326 45178327 chr3:45178327:G:A rs34028962 G A g EBF1_EBF_1 18 0 + 0 0 . chr3 45178419 45178420 chr3:45178420:T:C rs62244526 T C T EBF1_EBF_1 -15 0 + 0 0 . chr3 45187700 45187701 chr3:45187701:G:A rs1017299 G A G EBF1_EBF_1 -12 0 - 0 0 . chr3 45200516 45200517 chr3:45200517:G:A rs145578611 G A g EBF1_EBF_1 -18 0 + 0 0 . chr3 45200522 45200523 chr3:45200523:A:G rs6803642 A G A EBF1_EBF_1 -12 0 + 0 0 . chr3 45206904 45206905 chr3:45206905:G:C rs2024305 G C G EBF1_EBF_1 7 1 + 6.071012881586466 5.089692300505111 TTTCCCAGGGGCAC chr3 45207894 45207895 chr3:45207895:C:T rs112990453 C T C EBF1_EBF_1 -17 0 - 0 0 . chr3 45208517 45208518 chr3:45208518:G:A rs7621735 G A G EBF1_EBF_1 -18 0 - 0 0 . chr3 45213716 45213717 chr3:45213717:C:G rs33739 C G C EBF1_EBF_1 14 0 + 0 0 . chr3 45273401 45273402 chr3:45273402:T:G rs33801 T G G EBF1_EBF_1 -1 0 + 0 0 . chr3 45298969 45298970 chr3:45298970:T:C rs17077625 T C T EBF1_EBF_1 28 0 + 0 0 . chr3 45312532 45312533 chr3:45312533:G:A rs61268233 G A G EBF1_EBF_1 -18 0 - 0 0 . chr3 45407465 45407466 chr3:45407466:T:C rs6802884 T C C EBF1_EBF_1 21 0 - 0 0 . chr3 45486512 45486513 chr3:45486513:T:C chr3:45486513:T:C T C T EBF1_EBF_1 -7 0 + 0 0 . chr3 45496701 45496702 chr3:45496702:C:T rs993781632 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 45556117 45556118 chr3:45556118:G:C rs267277 G C G EBF1_EBF_1 -1 0 + 0 0 . chr3 45559759 45559760 chr3:45559760:T:C rs267260 T C C EBF1_EBF_1 16 0 - 0 0 . chr3 45567208 45567209 chr3:45567209:A:G rs736483 A G G EBF1_EBF_1 7 1 - 5.402812937497085 3.5853081912144606 CTTCCCTTGAGGCC chr3 45567215 45567216 chr3:45567216:G:A rs76816 G A G EBF1_EBF_1 0 1 - 5.402812937497085 5.685014998227663 CTTCCCTTGAGGCC chr3 45572567 45572568 chr3:45572568:A:C rs6781081 A C C EBF1_EBF_1 -20 0 + 0 0 . chr3 45583696 45583697 chr3:45583697:G:A rs11710291 G A G EBF1_EBF_1 -15 0 + 0 0 . chr3 45596157 45596158 chr3:45596158:G:A rs150625150 G A G EBF1_EBF_1 10 1 + 5.096431568230733 -0.22159689103623365 CTCCCCTAGGGTAA chr3 45607433 45607434 chr3:45607434:G:C rs12330308 G C G EBF1_EBF_1 26 0 - 0 0 . chr3 45607476 45607477 chr3:45607477:C:T rs115884164 C T C EBF1_EBF_1 -17 0 - 0 0 . chr3 45677109 45677110 chr3:45677110:G:A rs113121453 G A G EBF1_EBF_1 28 0 - 0 0 . chr3 45688415 45688416 chr3:45688416:T:G rs3755822 T G T EBF1_EBF_1 12 1 - 5.655986197883724 5.625804799462398 ATTCCACTGGGAAA chr3 45689489 45689490 chr3:45689490:C:T rs148929521 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 45710978 45710979 chr3:45710979:C:T rs2673036 C T C EBF1_EBF_1 2 1 + 9.775048167772352 11.663871579214502 AGCCCCTGGGGACC chr3 45796093 45796094 chr3:45796094:G:A rs557345831 G A G EBF1_EBF_1 8 1 + 5.085495554615348 0.8592054657290868 GTTCCCGAGGGCTT chr3 45796685 45796686 chr3:45796686:C:T rs76896797 C T C EBF1_EBF_1 16 0 - 0 0 . chr3 45921259 45921260 chr3:45921260:T:C rs7652331 T C T EBF1_EBF_1 -20 0 - 0 0 . chr3 45940203 45940204 chr3:45940204:C:T rs73830633 C T C EBF1_EBF_1 7 1 + 4.584620155126157 6.402124901408779 CCTCCCCCAGGAAT chr3 45954415 45954416 chr3:45954416:G:A rs62242787 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 45974971 45974972 chr3:45974972:G:A rs12639598 G A G EBF1_EBF_1 26 0 + 0 0 . chr3 45995858 45995859 chr3:45995859:C:G rs191997853 C G C EBF1_EBF_1 -17 0 + 0 0 . chr3 46062601 46062602 chr3:46062602:G:A rs9311385 G A G EBF1_EBF_1 16 0 - 0 0 . chr3 46065915 46065916 chr3:46065916:C:T rs190461386 C T c EBF1_EBF_1 33 0 - 0 0 . chr3 46065916 46065917 chr3:46065917:G:A rs62243871 G A G EBF1_EBF_1 32 0 - 0 0 . chr3 46086081 46086082 chr3:46086082:C:T rs57800045 C T T EBF1_EBF_1 27 0 - 0 0 . chr3 46086098 46086099 chr3:46086099:C:A rs12496322 C A C EBF1_EBF_1 10 1 - 4.548530899038111 0.36577871281084623 ATCCCCAGGTGACT chr3 46097753 46097754 chr3:46097754:T:C rs747090 T C T EBF1_EBF_1 6 1 - 5.26518391349328 3.098389482218804 AATCACAGGGGACA chr3 46097770 46097771 chr3:46097771:G:A rs150973764 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 46114512 46114513 chr3:46114513:G:A rs6809381 G A A EBF1_EBF_1 24 0 - 0 0 . chr3 46115690 46115691 chr3:46115691:C:T rs2131091 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 46186860 46186861 chr3:46186861:T:C rs17078685 T C C EBF1_EBF_1 32 0 - 0 0 . chr3 46214718 46214719 chr3:46214719:C:T rs117707978 C T c EBF1_EBF_1 -18 0 - 0 0 . chr3 46269348 46269349 chr3:46269349:T:C rs71327047 T C C EBF1_EBF_1 8 1 - 4.544160507459257 8.770450596345517 CATCCCCAAGGAGC chr3 46327542 46327543 chr3:46327543:C:G rs187523129 C G C EBF1_EBF_1 10 1 - 6.035680378973341 -0.8551220083561341 ACCCCCTTGGGCAC chr3 46371842 46371843 chr3:46371843:C:T rs2856762 C T c EBF1_EBF_1 5 1 + 4.192834850320398 -1.1289523652202709 CCTTCCCTGGGACT chr3 46410010 46410011 chr3:46410011:G:A rs2157061 G A G EBF1_EBF_1 -4 0 + 0 0 . chr3 46417558 46417559 chr3:46417559:A:C rs111959715 A C C EBF1_EBF_1 33 0 - 0 0 . chr3 46418591 46418592 chr3:46418592:C:T rs34474200 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 46418601 46418602 chr3:46418602:C:T rs34127016 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 46419157 46419158 chr3:46419158:G:C rs4607147 G C C EBF1_EBF_1 2 1 - 6.0691907137701575 1.2096884350118395 AACCCCTTGGGGGC chr3 46419226 46419227 chr3:46419227:G:C rs4630979 G C C EBF1_EBF_1 16 0 - 0 0 . chr3 46423300 46423301 chr3:46423301:T:C rs146097568 T C T EBF1_EBF_1 17 0 + 0 0 . chr3 46433178 46433179 chr3:46433179:T:A rs7645306 T A A EBF1_EBF_1 9 1 - 4.516460388358193 0.33441024571698724 AGTCCCACGAGCCT chr3 46435810 46435811 chr3:46435811:C:A rs76019827 C A C EBF1_EBF_1 -8 0 + 0 0 . chr3 46439502 46439503 chr3:46439503:A:G rs55787894 A G A EBF1_EBF_1 -14 0 - 0 0 . chr3 46445862 46445863 chr3:46445863:G:A rs2269437 G A G EBF1_EBF_1 -8 0 + 0 0 . chr3 46462415 46462416 chr3:46462416:T:G rs34904359 T G T EBF1_EBF_1 -8 0 - 0 0 . chr3 46463775 46463776 chr3:46463776:G:C rs6441996 G C G EBF1_EBF_1 20 0 + 0 0 . chr3 46463824 46463825 chr3:46463825:G:C rs36114837 G C G EBF1_EBF_1 -12 0 + 0 0 . chr3 46485650 46485651 chr3:46485651:C:T rs3934895 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 46576011 46576012 chr3:46576012:T:C rs3806702 T C T EBF1_EBF_1 -11 0 - 0 0 . chr3 46586762 46586763 chr3:46586763:G:A rs147719294 G A G EBF1_EBF_1 27 0 - 0 0 . chr3 46587272 46587273 chr3:46587273:C:T rs11718490 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 46593632 46593633 chr3:46593633:C:T rs17287379 C T C EBF1_EBF_1 3 1 + 4.374968429350143 -2.0304303122031744 CATCCCCGGGGTCA chr3 46593635 46593636 chr3:46593636:C:T rs73075524 C T C EBF1_EBF_1 6 1 + 4.374968429350143 4.676254558211901 CATCCCCGGGGTCA chr3 46615245 46615246 chr3:46615246:A:G rs17079010 A G G EBF1_EBF_1 26 0 - 0 0 . chr3 46659623 46659624 chr3:46659624:G:C rs7373994 G C C EBF1_EBF_1 0 1 + 6.946447249641611 6.583472635509844 GATCCCAGGAGAAC chr3 46662899 46662900 chr3:46662900:G:A rs189832611 G A A EBF1_EBF_1 -1 0 + 0 0 . chr3 46667927 46667928 chr3:46667928:G:A rs939409 G A A EBF1_EBF_1 3 1 - 4.445887871973256 -1.9595108695800614 CTTCCCCCGGGCAC chr3 46668412 46668413 chr3:46668413:G:A rs939412 G A A EBF1_EBF_1 -20 0 - 0 0 . chr3 46677519 46677520 chr3:46677520:A:G rs4683309 A G G EBF1_EBF_1 24 0 - 0 0 . chr3 46680028 46680029 chr3:46680029:A:G rs10451990 A G G EBF1_EBF_1 18 0 + 0 0 . chr3 46683078 46683079 chr3:46683079:C:T rs9847407 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 46690868 46690869 chr3:46690869:G:A rs79371877 G A G EBF1_EBF_1 -12 0 + 0 0 . chr3 46693871 46693872 chr3:46693872:C:T chr3:46693872:C:T C T C EBF1_EBF_1 -8 0 + 0 0 . chr3 46695872 46695873 chr3:46695873:C:T rs762612418 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 46701256 46701257 chr3:46701257:G:A rs192733532 G A G EBF1_EBF_1 -13 0 - 0 0 . chr3 46701257 46701258 chr3:46701258:C:A rs184330048 C A C EBF1_EBF_1 -14 0 - 0 0 . chr3 46701258 46701259 chr3:46701259:A:T rs7430680 A T A EBF1_EBF_1 -15 0 - 0 0 . chr3 46712689 46712690 chr3:46712690:C:T rs192166929 C T C EBF1_EBF_1 13 1 + 5.193556917191647 6.038051031777165 TCTCCCCACGGACC chr3 46833767 46833768 chr3:46833768:A:G rs75324040 A G G EBF1_EBF_1 14 0 - 0 0 . chr3 46834199 46834200 chr3:46834200:G:C rs6808587 G C A EBF1_EBF_1 4 1 - 4.006932531162487 -1.6188325699870572 ACCCCCTAGGCACC chr3 46848456 46848457 chr3:46848457:A:G rs114546678 A G A EBF1_EBF_1 -12 0 - 0 0 . chr3 46848589 46848590 chr3:46848590:C:T rs73833650 C T C EBF1_EBF_1 -8 0 + 0 0 . chr3 46849819 46849820 chr3:46849820:G:C rs57459864 G C C EBF1_EBF_1 20 0 + 0 0 . chr3 46853809 46853810 chr3:46853810:A:G rs6778660 A G G EBF1_EBF_1 32 0 + 0 0 . chr3 46861603 46861604 chr3:46861604:C:T rs3792558 C T T EBF1_EBF_1 17 0 - 0 0 . chr3 46864800 46864801 chr3:46864801:T:G rs570461842 T G T EBF1_EBF_1 30 0 + 0 0 . chr3 46867635 46867636 chr3:46867636:G:A rs73833658 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 46877888 46877889 chr3:46877889:A:G rs2168442 A G G EBF1_EBF_1 28 0 - 0 0 . chr3 46878983 46878984 chr3:46878984:T:A rs183236942 T A T EBF1_EBF_1 6 1 + 4.814944640827331 4.87526087976685 AGCCCCTGGGGCGT chr3 46900675 46900676 chr3:46900676:T:C rs186047971 T C T EBF1_EBF_1 7 1 + 7.733315930367919 5.915811184085294 CTCCCCATGGGAGC chr3 46901537 46901538 chr3:46901538:T:C rs1531137 T C C EBF1_EBF_1 7 1 + 4.625482672590449 2.8079779263078244 CGTCCCCTGGAACC chr3 46947070 46947071 chr3:46947071:C:T rs13092573 C T C EBF1_EBF_1 5 1 + 6.2135615068958385 0.8917742913551691 AATCCCCAGGTGAT chr3 46947536 46947537 chr3:46947537:A:G rs73831421 A G A EBF1_EBF_1 0 1 + 4.847062602095053 2.9547787325302197 ACTGCCCAGAGACT chr3 46972051 46972052 chr3:46972052:T:G rs7636252 T G T EBF1_EBF_1 1 1 - 5.201662849892011 5.272590027244095 AATGCCTGGGGACA chr3 46979626 46979627 chr3:46979627:T:G chr3:46979627:T:G T G T EBF1_EBF_1 -12 0 - 0 0 . chr3 46979633 46979634 chr3:46979634:A:G rs13062681 A G A EBF1_EBF_1 -19 0 - 0 0 . chr3 46980335 46980336 chr3:46980336:C:T rs973203884 C T C EBF1_EBF_1 13 1 + 4.532630025349991 5.377124139935509 TCTCCCTTGGGCGC chr3 46980346 46980347 chr3:46980347:G:A rs62246379 G A G EBF1_EBF_1 24 0 + 0 0 . chr3 46982451 46982452 chr3:46982452:G:T rs1473383 G T T EBF1_EBF_1 8 1 + 4.719513246633104 -2.171919542344092 TCCCCCTGGGGGCC chr3 46982457 46982458 chr3:46982458:C:T rs1869871 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 46987491 46987492 chr3:46987492:G:A rs7630415 G A G EBF1_EBF_1 -7 0 - 0 0 . chr3 47004994 47004995 chr3:47004995:C:T rs141569354 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 47006961 47006962 chr3:47006962:T:G rs56217494 T G T EBF1_EBF_1 13 1 - 4.177082617591869 4.550828066877845 GTCCCCAGGGGTCA chr3 47193616 47193617 chr3:47193617:G:A rs12488225 G A G EBF1_EBF_1 27 0 - 0 0 . chr3 47235269 47235270 chr3:47235270:G:A rs1288413711 G A G EBF1_EBF_1 -18 0 - 0 0 . chr3 47281707 47281708 chr3:47281708:A:G rs372358453 A G A EBF1_EBF_1 -10 0 - 0 0 . chr3 47335278 47335279 chr3:47335279:G:T rs75887209 G T G EBF1_EBF_1 -10 0 + 0 0 . chr3 47339008 47339009 chr3:47339009:C:G rs2240796 C G C EBF1_EBF_1 4 1 + 5.260881886441932 -0.36488321470761376 AGACCCCTGGGTCT chr3 47355809 47355810 chr3:47355810:G:A rs11721328 G A G EBF1_EBF_1 17 0 + 0 0 . chr3 47417704 47417705 chr3:47417705:G:A rs151151424 G A G EBF1_EBF_1 0 1 - 2.8025418573616063 3.084743918092184 CGCCCCCGGGGCCC chr3 47428975 47428976 chr3:47428976:T:C rs74477758 T C T EBF1_EBF_1 -9 0 + 0 0 . chr3 47428993 47428994 chr3:47428994:G:A rs7651762 G A G EBF1_EBF_1 9 1 + 5.747509866794332 3.092570601346729 ACTCCCCCCGGAAT chr3 47477106 47477107 chr3:47477107:C:T rs868049843 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 47513322 47513323 chr3:47513323:C:T rs557752591 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 47513324 47513325 chr3:47513325:G:A rs764264795 G A G EBF1_EBF_1 33 0 + 0 0 . chr3 47513446 47513447 chr3:47513447:A:G rs150532440 A G A EBF1_EBF_1 0 1 + 6.482261958728134 4.589978089163301 ATTCCCCGGGGTCG chr3 47571811 47571812 chr3:47571812:G:T rs869508 G T G EBF1_EBF_1 15 0 - 0 0 . chr3 47577534 47577535 chr3:47577535:G:C rs35863063 G C G EBF1_EBF_1 -7 0 - 0 0 . chr3 47651531 47651532 chr3:47651532:G:C rs2882611 G C C EBF1_EBF_1 -15 0 - 0 0 . chr3 47782211 47782212 chr3:47782212:G:A rs145329205 G A G EBF1_EBF_1 20 0 - 0 0 . chr3 47782224 47782225 chr3:47782225:A:C rs182676871 A C A EBF1_EBF_1 7 1 - 7.691374922433732 6.855190757232465 TTTCCCTTGGGCAT chr3 47849626 47849627 chr3:47849627:T:C rs141645399 T C T EBF1_EBF_1 7 1 - 10.561481244658838 9.249022839370014 CCTCCCTAGGGAAC chr3 48130835 48130836 chr3:48130836:C:G rs140483246 C G C EBF1_EBF_1 23 0 + 0 0 . chr3 48194646 48194647 chr3:48194647:G:A rs533066494 G A G EBF1_EBF_1 27 0 + 0 0 . chr3 48237279 48237280 chr3:48237280:G:T rs111864215 G T T EBF1_EBF_1 -4 0 + 0 0 . chr3 48241160 48241161 chr3:48241161:T:C rs577453669 T C - EBF1_EBF_1 29 0 - 0 0 . chr3 48241164 48241165 chr3:48241165:C:T rs201202785 C T T EBF1_EBF_1 25 0 - 0 0 . chr3 48241204 48241205 chr3:48241205:A:G rs9847953 A G G EBF1_EBF_1 -15 0 - 0 0 . chr3 48241360 48241361 chr3:48241361:G:C rs574789174 G C G EBF1_EBF_1 4 1 - 6.846192439353423 1.2204273382038786 CCCCCCAGGGGAGT chr3 48256120 48256121 chr3:48256121:A:G rs11130162 A G G EBF1_EBF_1 0 1 + 7.914543561157163 6.022259691592328 ATTGCCAAGGGACC chr3 48270569 48270570 chr3:48270570:G:C rs6442111 G C C EBF1_EBF_1 10 1 + 5.3799848000879 -1.510817587241574 ACTCCCTTGGGCTG chr3 48285959 48285960 chr3:48285960:C:T rs9833309 C T T EBF1_EBF_1 12 1 - 6.1911759552534615 7.609993297352939 CGACCCCAGGGAGT chr3 48318942 48318943 chr3:48318943:A:G rs11706277 A G G EBF1_EBF_1 -3 0 + 0 0 . chr3 48330979 48330980 chr3:48330980:T:C rs13082158 T C C EBF1_EBF_1 -9 0 - 0 0 . chr3 48392156 48392157 chr3:48392157:C:A rs9826195 C A A EBF1_EBF_1 -1 0 - 0 0 . chr3 48404163 48404164 chr3:48404164:G:A rs76859970 G A G EBF1_EBF_1 -11 0 + 0 0 . chr3 48407741 48407742 chr3:48407742:T:C rs13314659 T C C EBF1_EBF_1 -6 0 + 0 0 . chr3 48465782 48465783 chr3:48465783:A:G rs12486046 A G A EBF1_EBF_1 16 0 + 0 0 . chr3 48466092 48466093 chr3:48466093:G:T chr3:48466093:G:T G T G EBF1_EBF_1 22 0 - 0 0 . chr3 48468395 48468396 chr3:48468396:T:G rs11914742 T G T EBF1_EBF_1 20 0 - 0 0 . chr3 48478114 48478115 chr3:48478115:C:T rs79131812 C T C EBF1_EBF_1 7 1 + 5.687332315933418 7.504837062216041 TCTCCCACGGGGCC chr3 48503748 48503749 chr3:48503749:A:G rs7434107 A G G EBF1_EBF_1 -12 0 - 0 0 . chr3 48564243 48564244 chr3:48564244:G:A rs545650545 G A G EBF1_EBF_1 -11 0 + 0 0 . chr3 48566333 48566334 chr3:48566334:A:G rs78922394 A G G EBF1_EBF_1 24 0 + 0 0 . chr3 48598599 48598600 chr3:48598600:C:T rs368885209 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 48660220 48660221 chr3:48660221:C:G rs3821875 C G C EBF1_EBF_1 13 1 + 4.633624349765769 3.1455533284103545 ACCCCCCTGGGTGC chr3 48716995 48716996 chr3:48716996:T:C rs188683902 T C T EBF1_EBF_1 2 1 + 5.509463871085102 3.620640459642951 AATCCACAGGGACC chr3 48717006 48717007 chr3:48717007:C:G rs542408574 C G C EBF1_EBF_1 13 1 + 5.509463871085102 4.021392849729687 AATCCACAGGGACC chr3 48739855 48739856 chr3:48739856:G:A rs575163264 G A g EBF1_EBF_1 -7 0 - 0 0 . chr3 48919428 48919429 chr3:48919429:G:C rs9884022 G C G EBF1_EBF_1 -10 0 + 0 0 . chr3 49020763 49020764 chr3:49020764:C:G rs144723005 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 49021233 49021234 chr3:49021234:G:A chr3:49021234:G:A G A G EBF1_EBF_1 -18 0 + 0 0 . chr3 49021545 49021546 chr3:49021546:C:A rs114259611 C A C EBF1_EBF_1 -8 0 + 0 0 . chr3 49021903 49021904 chr3:49021904:C:T rs573066357 C T C EBF1_EBF_1 7 1 + 11.03598732928769 12.853492075570314 ACTCCCCCGGGACT chr3 49024092 49024093 chr3:49024093:G:T rs146650979 G T G EBF1_EBF_1 25 0 + 0 0 . chr3 49029179 49029180 chr3:49029180:G:A rs772483839 G A G EBF1_EBF_1 -19 0 + 0 0 . chr3 49093151 49093152 chr3:49093152:C:T rs997771078 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 49104836 49104837 chr3:49104837:C:T rs749249276 C T C EBF1_EBF_1 -12 0 - 0 0 . chr3 49120397 49120398 chr3:49120398:G:A rs140153123 G A G EBF1_EBF_1 3 1 - 5.867326910672359 -0.538071830880958 CGTCCCTGGGGCAT chr3 49132596 49132597 chr3:49132597:T:G rs776905329 T G T EBF1_EBF_1 -6 0 + 0 0 . chr3 49140949 49140950 chr3:49140950:C:T rs117393688 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 49171708 49171709 chr3:49171709:C:G rs149567566 C G C EBF1_EBF_1 20 0 + 0 0 . chr3 49177172 49177173 chr3:49177173:G:A rs116119655 G A G EBF1_EBF_1 30 0 - 0 0 . chr3 49199364 49199365 chr3:49199365:G:A rs143767202 G A G EBF1_EBF_1 3 1 - 5.277541818989616 -1.1278569225637023 CGCCCCCAGGGTCT chr3 49394887 49394888 chr3:49394888:C:T rs10155014 C T T EBF1_EBF_1 22 0 + 0 0 . chr3 49397809 49397810 chr3:49397810:G:C rs6785045 G C G EBF1_EBF_1 23 0 + 0 0 . chr3 49421942 49421943 chr3:49421943:T:C rs1464566 T C T EBF1_EBF_1 10 1 - 4.812185996175744 10.13021445544271 GGCCCCAAGGAAAT chr3 49443968 49443969 chr3:49443969:C:T rs113140493 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 49466037 49466038 chr3:49466038:G:A rs115011273 G A G EBF1_EBF_1 -6 0 + 0 0 . chr3 49470347 49470348 chr3:49470348:C:G chr3:49470348:C:G C G C EBF1_EBF_1 -7 0 + 0 0 . chr3 49540158 49540159 chr3:49540159:C:A rs74426367 C A C EBF1_EBF_1 1 1 - 4.335870920278248 5.041532706107776 TGCCCTCAGGGACT chr3 49620650 49620651 chr3:49620651:A:G rs13096480 A G G EBF1_EBF_1 -18 0 - 0 0 . chr3 49648650 49648651 chr3:49648651:T:C rs149010539 T C T EBF1_EBF_1 0 1 + 4.516846831175604 4.234644770445026 TTTCCCCAGGCACC chr3 49653193 49653194 chr3:49653194:G:A rs35762866 G A G EBF1_EBF_1 -20 0 + 0 0 . chr3 49660025 49660026 chr3:49660026:G:A rs9836291 G A G EBF1_EBF_1 -6 0 - 0 0 . chr3 49671713 49671714 chr3:49671714:A:T rs71324984 A T A EBF1_EBF_1 30 0 - 0 0 . chr3 49713796 49713797 chr3:49713797:C:T rs148034082 C T C EBF1_EBF_1 8 1 + 4.107228334712109 2.5344544066495995 ACTCCCTGCGGGCT chr3 49786582 49786583 chr3:49786583:T:G rs1018354466 T G T EBF1_EBF_1 25 0 - 0 0 . chr3 49805682 49805683 chr3:49805683:T:A rs78789243 T A T EBF1_EBF_1 6 1 - 5.229320303509903 5.169004064570382 AGCCCCATGGGCTC chr3 49805698 49805699 chr3:49805699:G:A chr3:49805699:G:A G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 49805837 49805838 chr3:49805838:T:C rs146053550 T C t EBF1_EBF_1 -11 0 + 0 0 . chr3 49806258 49806259 chr3:49806259:T:C rs571547042 T C T EBF1_EBF_1 -9 0 - 0 0 . chr3 49844985 49844986 chr3:49844986:G:C rs111257618 G C G EBF1_EBF_1 32 0 + 0 0 . chr3 49856735 49856736 chr3:49856736:C:G chr3:49856736:C:G C G C EBF1_EBF_1 -3 0 - 0 0 . chr3 49856922 49856923 chr3:49856923:G:T rs148067948 G T G EBF1_EBF_1 9 1 + 5.165543606447699 -1.6714458016411105 GGTCCCTTGGGCTC chr3 50064034 50064035 chr3:50064035:G:A rs13097720 G A G EBF1_EBF_1 5 1 - 6.012950063779995 0.6911628482393248 AATCCCTTGAGCCT chr3 50122169 50122170 chr3:50122170:A:G rs11919109 A G A EBF1_EBF_1 28 0 - 0 0 . chr3 50122186 50122187 chr3:50122187:C:T rs73835204 C T C EBF1_EBF_1 11 1 - 3.5456266830766685 6.43833352668068 ACTCCCCATGGGCT chr3 50137138 50137139 chr3:50137139:C:T rs13100903 C T C EBF1_EBF_1 5 1 + 5.947358223796058 0.6255710082553865 TTCCCCCAGGGCCT chr3 50150162 50150163 chr3:50150163:G:C rs2526398 G C G EBF1_EBF_1 26 0 - 0 0 . chr3 50150203 50150204 chr3:50150204:T:C rs58137261 T C T EBF1_EBF_1 -15 0 - 0 0 . chr3 50155326 50155327 chr3:50155327:C:T rs2526390 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 50163889 50163890 chr3:50163890:A:G chr3:50163890:A:G A G A EBF1_EBF_1 -15 0 + 0 0 . chr3 50168208 50168209 chr3:50168209:C:G rs2624838 C G G EBF1_EBF_1 7 1 - 6.093842331773901 5.112521750692545 AGCCCCTGGAGATC chr3 50170972 50170973 chr3:50170973:C:G rs3774750 C G C EBF1_EBF_1 -9 0 + 0 0 . chr3 50171434 50171435 chr3:50171435:A:G rs2624836 A G G EBF1_EBF_1 -2 0 - 0 0 . chr3 50186159 50186160 chr3:50186160:T:A rs2073726 T A T EBF1_EBF_1 32 0 - 0 0 . chr3 50201555 50201556 chr3:50201556:C:T rs34276912 C T C EBF1_EBF_1 30 0 - 0 0 . chr3 50201578 50201579 chr3:50201579:T:C rs7617915 T C C EBF1_EBF_1 7 1 - 4.518916562657995 3.206458157369169 AGACCCCAGGGTCA chr3 50206198 50206199 chr3:50206199:C:A rs1230833078 C A C EBF1_EBF_1 -17 0 + 0 0 . chr3 50206514 50206515 chr3:50206515:G:A rs35137368 G A G EBF1_EBF_1 -12 0 - 0 0 . chr3 50226505 50226506 chr3:50226506:C:G rs113897045 C G C EBF1_EBF_1 1 1 + 6.122284043301037 6.312055697870255 ACCCCCCACGGACT chr3 50228114 50228115 chr3:50228115:C:A rs777635794 C A C EBF1_EBF_1 -2 0 + 0 0 . chr3 50228668 50228669 chr3:50228669:C:T chr3:50228669:C:T C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 50262684 50262685 chr3:50262685:T:C rs4141060 T C C EBF1_EBF_1 11 1 - 5.5419956452874874 2.6492888016834764 GATCACCAGGGACT chr3 50265903 50265904 chr3:50265904:T:G chr3:50265904:T:G T G T EBF1_EBF_1 25 0 + 0 0 . chr3 50267204 50267205 chr3:50267205:C:A chr3:50267205:C:A C A C EBF1_EBF_1 29 0 - 0 0 . chr3 50267969 50267970 chr3:50267970:G:A rs143951740 G A G EBF1_EBF_1 -9 0 - 0 0 . chr3 50269063 50269064 chr3:50269064:A:C chr3:50269064:A:C A C A EBF1_EBF_1 27 0 - 0 0 . chr3 50269679 50269680 chr3:50269680:C:T rs7641224 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 50321292 50321293 chr3:50321293:C:T rs587665368 C T C EBF1_EBF_1 -6 0 + 0 0 . chr3 50340035 50340036 chr3:50340036:G:A rs58601436 G A G EBF1_EBF_1 -15 0 - 0 0 . chr3 50341370 50341371 chr3:50341371:C:T rs78166579 C T C EBF1_EBF_1 10 1 - 5.567599972716575 0.24957151344960937 CATGCCAAGGGAAT chr3 50359680 50359681 chr3:50359681:C:T rs1181513495 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 50359832 50359833 chr3:50359833:C:T rs113275492 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 50359875 50359876 chr3:50359876:T:C rs72934806 T C T EBF1_EBF_1 31 0 + 0 0 . chr3 50420167 50420168 chr3:50420168:G:A rs7647409 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 50442670 50442671 chr3:50442671:C:T rs9817768 C T T EBF1_EBF_1 -5 0 - 0 0 . chr3 50447633 50447634 chr3:50447634:C:A rs73833385 C A C EBF1_EBF_1 15 0 + 0 0 . chr3 50447639 50447640 chr3:50447640:G:A rs191916052 G A G EBF1_EBF_1 21 0 + 0 0 . chr3 50468114 50468115 chr3:50468115:C:T rs58412961 C T C EBF1_EBF_1 9 1 - 7.319247194352328 4.664307928904724 GCCCCCTGGGGACA chr3 50472935 50472936 chr3:50472936:G:A rs562420735 G A G EBF1_EBF_1 11 1 - 7.516038989794307 7.834086431547971 AGCCCCTAGGGCCT chr3 50568942 50568943 chr3:50568943:T:C rs3804627 T C T EBF1_EBF_1 2 1 - 6.097869424438684 3.0340113218370925 AGACCCCAGGGGCC chr3 50569274 50569275 chr3:50569275:T:G rs917304770 T G T EBF1_EBF_1 -5 0 + 0 0 . chr3 50569375 50569376 chr3:50569376:G:A rs2232245 G A G EBF1_EBF_1 18 0 - 0 0 . chr3 50601145 50601146 chr3:50601146:C:T rs563308934 C T C EBF1_EBF_1 12 1 + 4.3795716412853665 3.3533050707345167 CTCCCCCTGGGGCG chr3 50601194 50601195 chr3:50601195:C:A rs768699680 C A C EBF1_EBF_1 5 1 + 4.68418110296232 -2.2207000496728497 AGCCGCCTGGGACC chr3 50601900 50601901 chr3:50601901:G:A rs372574013 G A G EBF1_EBF_1 14 0 - 0 0 . chr3 50614319 50614320 chr3:50614320:A:G rs873985 A G A EBF1_EBF_1 -17 0 + 0 0 . chr3 50614366 50614367 chr3:50614367:T:C rs886513121 T C T EBF1_EBF_1 30 0 + 0 0 . chr3 50616955 50616956 chr3:50616956:G:A chr3:50616956:G:A G A G EBF1_EBF_1 0 1 + 5.253782439622859 7.146066309187693 GCCCCCTCGGGAAG chr3 50625900 50625901 chr3:50625901:C:G rs79176091 C G C EBF1_EBF_1 -6 0 + 0 0 . chr3 50626197 50626198 chr3:50626198:A:G rs616589 A G A EBF1_EBF_1 -3 0 + 0 0 . chr3 50652919 50652920 chr3:50652920:A:C rs539073051 A C A EBF1_EBF_1 -14 0 - 0 0 . chr3 50652920 50652921 chr3:50652921:G:A rs553968360 G A G EBF1_EBF_1 -15 0 - 0 0 . chr3 50660543 50660544 chr3:50660544:G:A rs187324626 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 50912914 50912915 chr3:50912915:G:T rs35082196 G T G EBF1_EBF_1 1 1 + 4.492070709030249 5.197732494859777 GGCCCCTAGAGGCT chr3 51082428 51082429 chr3:51082429:T:C rs4244704 T C C EBF1_EBF_1 12 1 - 10.157466898379218 8.738649556279741 ATTCCCCAGGGTAT chr3 51126464 51126465 chr3:51126465:G:A rs11130274 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 51271473 51271474 chr3:51271474:G:A chr3:51271474:G:A G A G EBF1_EBF_1 -13 0 - 0 0 . chr3 51500164 51500165 chr3:51500165:G:C chr3:51500165:G:C G C G EBF1_EBF_1 6 1 - 3.665098698252907 1.859906634779709 CGTCCCCGGGGCTC chr3 51665910 51665911 chr3:51665911:G:A rs560096880 G A G EBF1_EBF_1 26 0 - 0 0 . chr3 51669493 51669494 chr3:51669494:C:T rs904144 C T T EBF1_EBF_1 13 1 + 4.763851637061816 5.608345751647334 CCTGCCAAGGGACC chr3 51672162 51672163 chr3:51672163:C:G rs4355273 C G G EBF1_EBF_1 1 1 - 4.7544341055396515 4.5646624509704345 CGTCCTCAGGGAAA chr3 51703948 51703949 chr3:51703949:G:A rs11553574 G A A EBF1_EBF_1 19 0 - 0 0 . chr3 51704239 51704240 chr3:51704240:A:G rs4067 A G G EBF1_EBF_1 16 0 + 0 0 . chr3 51708949 51708950 chr3:51708950:A:C rs78784946 A C A EBF1_EBF_1 15 0 + 0 0 . chr3 51718889 51718890 chr3:51718890:G:A rs547167581 G A G EBF1_EBF_1 14 0 - 0 0 . chr3 51880089 51880090 chr3:51880090:G:C rs1468019 G C C EBF1_EBF_1 -14 0 + 0 0 . chr3 51900324 51900325 chr3:51900325:T:C rs7626098 T C C EBF1_EBF_1 -7 0 - 0 0 . chr3 51935372 51935373 chr3:51935373:C:T rs1279850042 C T C EBF1_EBF_1 6 1 + 4.82476000969201 5.126046138553768 ACTCCTCGGGGATC chr3 51936149 51936150 chr3:51936150:C:T rs323889 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 51938893 51938894 chr3:51938894:T:C rs185833724 T C T EBF1_EBF_1 12 1 - 6.011249497326805 4.5924321552273275 GTTCCCAGGGTAAA chr3 51938905 51938906 chr3:51938906:C:T rs148655879 C T C EBF1_EBF_1 0 1 - 6.011249497326805 7.903533366891638 GTTCCCAGGGTAAA chr3 51942838 51942839 chr3:51942839:G:A rs76545705 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 51944310 51944311 chr3:51944311:A:G rs323868 A G G EBF1_EBF_1 2 1 - 5.997259718152822 4.108436306710672 AGTGCCCTGGGACA chr3 51945168 51945169 chr3:51945169:A:G rs323870 A G A EBF1_EBF_1 -15 0 + 0 0 . chr3 51956800 51956801 chr3:51956801:C:T rs73082757 C T C EBF1_EBF_1 -9 0 - 0 0 . chr3 51962845 51962846 chr3:51962846:C:T rs567531956 C T C EBF1_EBF_1 13 1 + 4.630163891643086 5.474658006228604 GCTCCCCTGGGTGC chr3 51973960 51973961 chr3:51973961:G:A rs529350162 G A G EBF1_EBF_1 12 1 - 6.4102361452802565 5.383969574729407 GCTCCCCAGGTACC chr3 51975210 51975211 chr3:51975211:C:G rs563437766 C G C EBF1_EBF_1 12 1 - 6.173853338041447 7.562489281719598 CCTCCCCCGGGAGA chr3 51975234 51975235 chr3:51975235:G:A rs562994123 G A G EBF1_EBF_1 -12 0 - 0 0 . chr3 51975262 51975263 chr3:51975263:C:T rs533431804 C T C EBF1_EBF_1 -14 0 - 0 0 . chr3 51983036 51983037 chr3:51983037:G:A chr3:51983037:G:A G A G EBF1_EBF_1 29 0 + 0 0 . chr3 51984490 51984491 chr3:51984491:G:T rs62259755 G T G EBF1_EBF_1 26 0 - 0 0 . chr3 51984492 51984493 chr3:51984493:A:G rs62259756 A G A EBF1_EBF_1 24 0 - 0 0 . chr3 52019840 52019841 chr3:52019841:C:A rs564040781 C A C EBF1_EBF_1 33 0 - 0 0 . chr3 52019871 52019872 chr3:52019872:A:G rs77009082 A G G EBF1_EBF_1 2 1 - 5.385707364615518 3.496883953173368 GCTCCCTGAGGAAC chr3 52022159 52022160 chr3:52022160:C:T rs561231436 C T C EBF1_EBF_1 30 0 - 0 0 . chr3 52034637 52034638 chr3:52034638:C:G rs77864266 C G C EBF1_EBF_1 -4 0 + 0 0 . chr3 52046824 52046825 chr3:52046825:T:C rs112121771 T C T EBF1_EBF_1 7 1 + 10.1455232435108 8.328018497228177 CCTCCCATGGGAAC chr3 52046953 52046954 chr3:52046954:T:C rs7616695 T C C EBF1_EBF_1 -2 0 + 0 0 . chr3 52056580 52056581 chr3:52056581:G:C rs1161656240 G C - EBF1_EBF_1 32 0 - 0 0 . chr3 52056630 52056631 chr3:52056631:C:A rs1031552434 C A C EBF1_EBF_1 -18 0 - 0 0 . chr3 52057642 52057643 chr3:52057643:C:G rs186738850 C G C EBF1_EBF_1 -9 0 + 0 0 . chr3 52069028 52069029 chr3:52069029:A:G rs2878438 A G G EBF1_EBF_1 -17 0 + 0 0 . chr3 52090868 52090869 chr3:52090869:G:A rs55664415 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 52108770 52108771 chr3:52108771:G:A rs113487287 G A G EBF1_EBF_1 14 0 - 0 0 . chr3 52142684 52142685 chr3:52142685:C:T rs749995 C T T EBF1_EBF_1 31 0 - 0 0 . chr3 52176038 52176039 chr3:52176039:C:T rs79533629 C T C EBF1_EBF_1 -7 0 + 0 0 . chr3 52178957 52178958 chr3:52178958:G:A rs75152916 G A G EBF1_EBF_1 -18 0 - 0 0 . chr3 52181785 52181786 chr3:52181786:T:C rs963231562 T C T EBF1_EBF_1 26 0 - 0 0 . chr3 52183939 52183940 chr3:52183940:G:A rs78488964 G A G EBF1_EBF_1 -5 0 - 0 0 . chr3 52193257 52193258 chr3:52193258:C:T rs141535281 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 52193272 52193273 chr3:52193273:C:T rs140163861 C T C EBF1_EBF_1 3 1 + 3.9052525192406944 -2.5001462223126216 AGTCCACTGGGAGC chr3 52216913 52216914 chr3:52216914:G:A rs538222413 G A G EBF1_EBF_1 17 0 + 0 0 . chr3 52226195 52226196 chr3:52226196:T:A rs5743840 T A T EBF1_EBF_1 16 0 + 0 0 . chr3 52231814 52231815 chr3:52231815:C:A rs145600833 C A C EBF1_EBF_1 13 1 + 8.40077803050305 8.027032581217075 ACTCCCTAGGGCAC chr3 52244028 52244029 chr3:52244029:T:C rs1268895138 T C T EBF1_EBF_1 -4 0 - 0 0 . chr3 52290148 52290149 chr3:52290149:C:T rs3796342 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 52290152 52290153 chr3:52290153:A:G rs3796343 A G G EBF1_EBF_1 24 0 - 0 0 . chr3 52290152 52290153 chr3:52290153:A:T chr3:52290153:A:T A T G EBF1_EBF_1 24 0 - 0 0 . chr3 52298275 52298276 chr3:52298276:A:G rs73088800 A G G EBF1_EBF_1 -19 0 - 0 0 . chr3 52302727 52302728 chr3:52302728:G:A rs9838627 G A G EBF1_EBF_1 29 0 - 0 0 . chr3 52308512 52308513 chr3:52308513:T:G rs699469 T G T EBF1_EBF_1 21 0 - 0 0 . chr3 52311054 52311055 chr3:52311055:C:G rs61589587 C G C EBF1_EBF_1 21 0 - 0 0 . chr3 52315395 52315396 chr3:52315396:G:T rs3852064 G T G EBF1_EBF_1 30 0 + 0 0 . chr3 52317411 52317412 chr3:52317412:G:T rs148748749 G T G EBF1_EBF_1 -6 0 + 0 0 . chr3 52346445 52346446 chr3:52346446:T:C rs200829652 T C T EBF1_EBF_1 -4 0 - 0 0 . chr3 52373300 52373301 chr3:52373301:G:A rs189356340 G A G EBF1_EBF_1 9 1 + 4.210948882132146 1.5560096166845419 AGTCCCCAGGTGGC chr3 52373322 52373323 chr3:52373323:C:T rs142472916 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 52396667 52396668 chr3:52396668:C:T rs144605025 C T C EBF1_EBF_1 0 1 - 5.681975991067044 7.5742598606318765 GTTCCCATGGAACA chr3 52409959 52409960 chr3:52409960:C:G chr3:52409960:C:G C G C EBF1_EBF_1 6 1 + 2.8025418573616063 0.9973497938884086 CGCCCCCGGGGCCC chr3 52429046 52429047 chr3:52429047:G:T rs115862195 G T G EBF1_EBF_1 16 0 - 0 0 . chr3 52433744 52433745 chr3:52433745:C:A rs147627536 C A C EBF1_EBF_1 18 0 + 0 0 . chr3 52443849 52443850 chr3:52443850:T:C rs11716487 T C C EBF1_EBF_1 17 0 - 0 0 . chr3 52446763 52446764 chr3:52446764:T:C rs139976963 T C T EBF1_EBF_1 -1 0 - 0 0 . chr3 52453163 52453164 chr3:52453164:G:A rs987492151 G A G EBF1_EBF_1 30 0 - 0 0 . chr3 52495882 52495883 chr3:52495883:A:T rs9863753 A T T EBF1_EBF_1 32 0 + 0 0 . chr3 52534916 52534917 chr3:52534917:T:G rs184365196 T G T EBF1_EBF_1 0 1 + 6.28602573482316 6.3667982882243495 TGTCCCCAGGGCCC chr3 52534920 52534921 chr3:52534921:C:A rs189853833 C A C EBF1_EBF_1 4 1 + 6.28602573482316 0.46146611136969223 TGTCCCCAGGGCCC chr3 52721362 52721363 chr3:52721363:G:C rs58528592 G C G EBF1_EBF_1 -11 0 - 0 0 . chr3 52780692 52780693 chr3:52780693:C:A rs36012032 C A C EBF1_EBF_1 12 1 - 5.43744037887503 5.799809752002331 TCTCCCATGGGCGT chr3 52783658 52783659 chr3:52783659:G:A rs3774354 G A G EBF1_EBF_1 32 0 - 0 0 . chr3 52783830 52783831 chr3:52783831:C:T rs58057636 C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 52785310 52785311 chr3:52785311:T:A rs2268023 T A A EBF1_EBF_1 1 1 + 5.944236120977336 4.977875503226506 ATCCCCCAGAGGCC chr3 52786031 52786032 chr3:52786032:T:C rs77214248 T C T EBF1_EBF_1 -5 0 + 0 0 . chr3 52819730 52819731 chr3:52819731:C:G rs2276815 C G C EBF1_EBF_1 25 0 + 0 0 . chr3 52827586 52827587 chr3:52827587:C:A rs2710344 C A C EBF1_EBF_1 -11 0 - 0 0 . chr3 52831652 52831653 chr3:52831653:G:A rs537472845 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 52831656 52831657 chr3:52831657:G:C rs34979359 G C G EBF1_EBF_1 21 0 - 0 0 . chr3 52831828 52831829 chr3:52831829:G:A rs903339792 G A G EBF1_EBF_1 23 0 + 0 0 . chr3 52837912 52837913 chr3:52837913:G:A rs3733047 G A G EBF1_EBF_1 3 1 - 6.000839458611634 -0.4045592829416835 CATCCCATGAGAGC chr3 52842130 52842131 chr3:52842131:C:A rs545753925 C A C EBF1_EBF_1 10 1 - 4.281342522004505 0.09859033577724041 CTTCCCCGGAGAGG chr3 52842622 52842623 chr3:52842623:G:A rs12638195 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 52845811 52845812 chr3:52845812:A:G rs11130328 A G A EBF1_EBF_1 20 0 - 0 0 . chr3 52845848 52845849 chr3:52845849:T:C rs4687555 T C C EBF1_EBF_1 -17 0 - 0 0 . chr3 52846723 52846724 chr3:52846724:C:T rs2001732 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 52895724 52895725 chr3:52895725:T:C rs73839529 T C T EBF1_EBF_1 25 0 + 0 0 . chr3 52915237 52915238 chr3:52915238:A:G rs11708337 A G A EBF1_EBF_1 7 1 + 5.113204428774275 3.80074602348545 GTTTCCCAGGGAAC chr3 52938890 52938891 chr3:52938891:G:T rs2581801 G T T EBF1_EBF_1 -1 0 - 0 0 . chr3 53045329 53045330 chr3:53045330:G:A rs906654084 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 53046970 53046971 chr3:53046971:C:G rs3806692 C G C EBF1_EBF_1 -9 0 - 0 0 . chr3 53051784 53051785 chr3:53051785:T:C rs2581811 T C T EBF1_EBF_1 14 0 - 0 0 . chr3 53072030 53072031 chr3:53072031:T:C rs2336723 T C T EBF1_EBF_1 19 0 - 0 0 . chr3 53092126 53092127 chr3:53092127:G:A rs2581786 G A G EBF1_EBF_1 9 1 + 5.327385702146272 2.672446436698668 CTTCCTCTGGGACC chr3 53156853 53156854 chr3:53156854:G:A rs4687706 G A G EBF1_EBF_1 18 0 + 0 0 . chr3 53162009 53162010 chr3:53162010:G:C rs1039912475 G C - EBF1_EBF_1 20 0 - 0 0 . chr3 53163697 53163698 chr3:53163698:C:A rs191313065 C A C EBF1_EBF_1 21 0 - 0 0 . chr3 53167250 53167251 chr3:53167251:C:T rs549885148 C T C EBF1_EBF_1 -3 0 - 0 0 . chr3 53192044 53192045 chr3:53192045:C:T rs62254318 C T C EBF1_EBF_1 -20 0 + 0 0 . chr3 53196216 53196217 chr3:53196217:A:G rs4687708 A G A EBF1_EBF_1 21 0 + 0 0 . chr3 53196307 53196308 chr3:53196308:C:G rs9845906 C G G EBF1_EBF_1 30 0 + 0 0 . chr3 53222435 53222436 chr3:53222436:C:T rs187729269 C T C EBF1_EBF_1 2 1 + 3.3780271906415713 5.266850602083722 CACCCCCTGGGCCC chr3 53224407 53224408 chr3:53224408:G:A rs12632671 G A G EBF1_EBF_1 -8 0 + 0 0 . chr3 53228455 53228456 chr3:53228456:G:A rs3736154 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 53230994 53230995 chr3:53230995:T:C rs73840256 T C C EBF1_EBF_1 -12 0 - 0 0 . chr3 53231749 53231750 chr3:53231750:C:T rs11130362 C T C EBF1_EBF_1 33 0 - 0 0 . chr3 53233325 53233326 chr3:53233326:G:A rs2242303 G A G EBF1_EBF_1 21 0 + 0 0 . chr3 53260198 53260199 chr3:53260199:T:G rs17052957 T G G EBF1_EBF_1 -14 0 + 0 0 . chr3 53285184 53285185 chr3:53285185:C:G rs1108506 C G C EBF1_EBF_1 -10 0 - 0 0 . chr3 53422014 53422015 chr3:53422015:G:C rs483958 G C G EBF1_EBF_1 -17 0 - 0 0 . chr3 53494147 53494148 chr3:53494148:G:A rs141739408 G A G EBF1_EBF_1 29 0 - 0 0 . chr3 53494186 53494187 chr3:53494187:C:T rs534895739 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 53545516 53545517 chr3:53545517:T:C rs3821845 T C T EBF1_EBF_1 1 1 + 11.0412136603054 10.145780219906655 ATTCCCAGGGGAGC chr3 53554731 53554732 chr3:53554732:C:T rs6445585 C T t EBF1_EBF_1 -14 0 + 0 0 . chr3 53605911 53605912 chr3:53605912:T:C rs11721212 T C T EBF1_EBF_1 -15 0 - 0 0 . chr3 53615580 53615581 chr3:53615581:G:A rs6794085 G A G EBF1_EBF_1 32 0 + 0 0 . chr3 53641228 53641229 chr3:53641229:G:A rs12486415 G A G EBF1_EBF_1 6 1 - 4.620338045848583 4.921624174710341 CATCCCCGAGGACC chr3 53666522 53666523 chr3:53666523:T:C rs2250736 T C C EBF1_EBF_1 30 0 - 0 0 . chr3 53680564 53680565 chr3:53680565:G:A rs71301847 G A G EBF1_EBF_1 29 0 - 0 0 . chr3 53695104 53695105 chr3:53695105:G:A rs999233881 G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 53706050 53706051 chr3:53706051:G:T rs3774538 G T G EBF1_EBF_1 2 1 - 5.393556163547953 3.5979119873912264 CACCCCCAGGGAGG chr3 53733661 53733662 chr3:53733662:G:C rs2029331 G C G EBF1_EBF_1 -10 0 + 0 0 . chr3 53766554 53766555 chr3:53766555:G:T rs111444047 G T G EBF1_EBF_1 -2 0 + 0 0 . chr3 53844014 53844015 chr3:53844015:C:T rs3774617 C T C EBF1_EBF_1 5 1 + 5.928731280974322 0.6069440654336516 ACCCCCGAGGGGAC chr3 53844015 53844016 chr3:53844016:G:A rs77745136 G A G EBF1_EBF_1 6 1 + 5.928731280974322 8.095525712248797 ACCCCCGAGGGGAC chr3 53844137 53844138 chr3:53844138:C:T rs73094054 C T C EBF1_EBF_1 -5 0 + 0 0 . chr3 53849694 53849695 chr3:53849695:C:G rs1025689 C G C EBF1_EBF_1 6 1 + 9.29144146756936 7.486249404096162 AATCCCCGGAGACT chr3 53913488 53913489 chr3:53913489:G:T rs57635475 G T G EBF1_EBF_1 5 1 - 7.36837734208515 0.4634961894499835 CCTCCCAAGGGTAT chr3 54122379 54122380 chr3:54122380:G:A rs147781318 G A G EBF1_EBF_1 -15 0 + 0 0 . chr3 54131321 54131322 chr3:54131322:G:T rs11707668 G T G EBF1_EBF_1 10 1 + 6.138906099926232 1.9561539136989674 TTCCCCTAGAGATC chr3 54164458 54164459 chr3:54164459:T:A rs75760408 T A T EBF1_EBF_1 2 1 + 6.509197045752499 2.824729458153623 ACTCCCCTGGAATT chr3 54164824 54164825 chr3:54164825:C:T rs73844322 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 54198713 54198714 chr3:54198714:C:T rs7640196 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 54298140 54298141 chr3:54298141:C:T rs7434171 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 54349766 54349767 chr3:54349767:A:G rs35988980 A G A EBF1_EBF_1 -9 0 - 0 0 . chr3 54468960 54468961 chr3:54468961:T:G rs9820301 T G G EBF1_EBF_1 18 0 - 0 0 . chr3 54527379 54527380 chr3:54527380:C:T rs6445684 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 54538427 54538428 chr3:54538428:G:A rs74344917 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 54564166 54564167 chr3:54564167:A:G rs7433850 A G A EBF1_EBF_1 27 0 + 0 0 . chr3 54597984 54597985 chr3:54597985:A:T rs111832431 A T A EBF1_EBF_1 26 0 - 0 0 . chr3 54597988 54597989 chr3:54597989:A:G rs113003433 A G A EBF1_EBF_1 22 0 - 0 0 . chr3 54628977 54628978 chr3:54628978:A:G rs496625 A G A EBF1_EBF_1 1 1 + 5.921439151540935 6.182137983462237 TATCCCCTGAGAGC chr3 54653333 54653334 chr3:54653334:T:C rs578032 T C T EBF1_EBF_1 -8 0 - 0 0 . chr3 54733057 54733058 chr3:54733058:C:T rs4083342 C T C EBF1_EBF_1 33 0 + 0 0 . chr3 54858696 54858697 chr3:54858697:C:G rs1168701 C G C EBF1_EBF_1 7 1 - 6.1710726580839275 5.189752077002572 TCTCCCCGGAGACA chr3 54885157 54885158 chr3:54885158:A:G rs11716356 A G A EBF1_EBF_1 14 0 - 0 0 . chr3 54901189 54901190 chr3:54901190:G:A rs144122394 G A G EBF1_EBF_1 20 0 - 0 0 . chr3 54908040 54908041 chr3:54908041:C:A rs74883401 C A C EBF1_EBF_1 26 0 - 0 0 . chr3 54949596 54949597 chr3:54949597:C:T rs113489216 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 54959243 54959244 chr3:54959244:G:A rs61454541 G A g EBF1_EBF_1 24 0 + 0 0 . chr3 54960668 54960669 chr3:54960669:G:A rs34629408 G A G EBF1_EBF_1 2 1 - 5.900085377284679 7.788908788726827 GTCCCCCTGGGTAT chr3 54967603 54967604 chr3:54967604:G:C rs79947003 G C G EBF1_EBF_1 -13 0 - 0 0 . chr3 54986867 54986868 chr3:54986868:G:A rs3773581 G A a EBF1_EBF_1 -8 0 + 0 0 . chr3 54988447 54988448 chr3:54988448:G:A rs6794253 G A a EBF1_EBF_1 26 0 - 0 0 . chr3 54990669 54990670 chr3:54990670:T:C rs3821655 T C c EBF1_EBF_1 23 0 - 0 0 . chr3 54996376 54996377 chr3:54996377:T:G rs3773574 T G t EBF1_EBF_1 12 1 - 5.671988898829353 5.641807500408027 CCTCCCATGGAAAT chr3 54997786 54997787 chr3:54997787:A:G rs960187 A G a EBF1_EBF_1 29 0 + 0 0 . chr3 55032899 55032900 chr3:55032900:C:T rs1458981 C T c EBF1_EBF_1 -5 0 + 0 0 . chr3 55061803 55061804 chr3:55061804:T:G rs13319129 T G T EBF1_EBF_1 -6 0 - 0 0 . chr3 55066464 55066465 chr3:55066465:G:A rs358067 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 55122501 55122502 chr3:55122502:G:T rs62256239 G T G EBF1_EBF_1 -7 0 - 0 0 . chr3 55122716 55122717 chr3:55122717:A:G rs62256240 A G A EBF1_EBF_1 -20 0 + 0 0 . chr3 55202513 55202514 chr3:55202514:A:C rs17054973 A C C EBF1_EBF_1 28 0 + 0 0 . chr3 55234363 55234364 chr3:55234364:T:C rs392699 T C T EBF1_EBF_1 -1 0 + 0 0 . chr3 55319727 55319728 chr3:55319728:T:A chr3:55319728:T:A T A T EBF1_EBF_1 27 0 + 0 0 . chr3 55330606 55330607 chr3:55330607:C:T rs375331780 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 55458391 55458392 chr3:55458392:T:G rs508407 T G T EBF1_EBF_1 12 1 - 6.087310206625947 6.057128808204621 CCACCCATGGGAAA chr3 55458403 55458404 chr3:55458404:G:A rs55736932 G A G EBF1_EBF_1 0 1 - 6.087310206625947 6.369512267356526 CCACCCATGGGAAA chr3 55476522 55476523 chr3:55476523:T:A rs73075441 T A T EBF1_EBF_1 20 0 - 0 0 . chr3 55477646 55477647 chr3:55477647:G:A rs472631 G A G EBF1_EBF_1 -4 0 - 0 0 . chr3 55481517 55481518 chr3:55481518:G:C rs148393573 G C G EBF1_EBF_1 -8 0 - 0 0 . chr3 55484616 55484617 chr3:55484617:T:C rs115691418 T C T EBF1_EBF_1 -8 0 - 0 0 . chr3 55523191 55523192 chr3:55523192:A:G rs61410041 A G A EBF1_EBF_1 -17 0 + 0 0 . chr3 55544517 55544518 chr3:55544518:G:A rs1179479 G A G EBF1_EBF_1 33 0 - 0 0 . chr3 55647275 55647276 chr3:55647276:T:C rs188801218 T C T EBF1_EBF_1 22 0 + 0 0 . chr3 55656960 55656961 chr3:55656961:C:T rs3732751 C T C EBF1_EBF_1 10 1 - 7.916954188639394 2.5989257293724286 GTTCCCCAGGGCCT chr3 55726784 55726785 chr3:55726785:G:T rs2643618 G T T EBF1_EBF_1 32 0 + 0 0 . chr3 55753055 55753056 chr3:55753056:C:T rs62249322 C T C EBF1_EBF_1 9 1 - 5.078508483382825 2.4235692179352206 CTTCCCCATGGACT chr3 55775869 55775870 chr3:55775870:G:A rs60551886 G A G EBF1_EBF_1 -17 0 + 0 0 . chr3 55854643 55854644 chr3:55854644:C:T rs111461729 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 55969675 55969676 chr3:55969676:C:G rs73086189 C G C EBF1_EBF_1 20 0 + 0 0 . chr3 56020896 56020897 chr3:56020897:T:C rs6805331 T C C EBF1_EBF_1 25 0 + 0 0 . chr3 56055672 56055673 chr3:56055673:T:C chr3:56055673:T:C T C T EBF1_EBF_1 19 0 - 0 0 . chr3 56133511 56133512 chr3:56133512:T:C rs73078477 T C T EBF1_EBF_1 2 1 + 6.138382577504364 4.249559166062214 AGTCCACTGGGACT chr3 56210134 56210135 chr3:56210135:T:C rs11707901 T C T EBF1_EBF_1 27 0 - 0 0 . chr3 56468208 56468209 chr3:56468209:G:C rs1256674175 G C G EBF1_EBF_1 15 0 + 0 0 . chr3 56496543 56496544 chr3:56496544:G:A rs116081933 G A G EBF1_EBF_1 1 1 - 3.551483158795238 4.446916599193984 CCCCCCCAGGGCCA chr3 56557069 56557070 chr3:56557070:G:A chr3:56557070:G:A G A G EBF1_EBF_1 8 1 + 4.111991366942586 -0.11429872194367478 GTCCCCGCGGGAGC chr3 56557070 56557071 chr3:56557071:G:A rs1218928309 G A G EBF1_EBF_1 9 1 + 4.111991366942586 1.4570521014949824 GTCCCCGCGGGAGC chr3 56557093 56557094 chr3:56557094:A:C rs968761199 A C A EBF1_EBF_1 32 0 + 0 0 . chr3 56683476 56683477 chr3:56683477:C:T rs139367139 C T C EBF1_EBF_1 7 1 - 5.783858159164479 7.0963165644533035 AATCCCCGGGAACC chr3 56683488 56683489 chr3:56683489:C:T rs1201618915 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 56683495 56683496 chr3:56683496:C:G rs143183839 C G C EBF1_EBF_1 -12 0 - 0 0 . chr3 56692128 56692129 chr3:56692129:A:G rs11130541 A G G EBF1_EBF_1 20 0 + 0 0 . chr3 56755714 56755715 chr3:56755715:A:C chr3:56755715:A:C A C A EBF1_EBF_1 27 0 - 0 0 . chr3 56755714 56755715 chr3:56755715:A:G rs2171855 A G A EBF1_EBF_1 27 0 - 0 0 . chr3 56761158 56761159 chr3:56761159:G:A rs4681841 G A G EBF1_EBF_1 16 0 - 0 0 . chr3 56761191 56761192 chr3:56761192:A:C rs2317247 A C C EBF1_EBF_1 -17 0 - 0 0 . chr3 56823758 56823759 chr3:56823759:C:T rs11929318 C T T EBF1_EBF_1 -20 0 + 0 0 . chr3 56890621 56890622 chr3:56890622:G:C rs11130553 G C G EBF1_EBF_1 13 1 - 6.876982400013345 5.38891137865793 AGTCCTCTGGGACC chr3 56911436 56911437 chr3:56911437:C:T rs74628389 C T C EBF1_EBF_1 27 0 + 0 0 . chr3 56919145 56919146 chr3:56919146:A:C rs9844930 A C G EBF1_EBF_1 -5 0 - 0 0 . chr3 56941052 56941053 chr3:56941053:G:A rs2291976 G A G EBF1_EBF_1 12 1 + 5.082526338548857 6.5013436806483345 AACCCCAAGGGCGC chr3 56996087 56996088 chr3:56996088:T:C rs734651 T C T EBF1_EBF_1 22 0 + 0 0 . chr3 57004359 57004360 chr3:57004360:A:C rs9867160 A C A EBF1_EBF_1 -4 0 + 0 0 . chr3 57019399 57019400 chr3:57019400:T:C rs9826009 T C C EBF1_EBF_1 29 0 - 0 0 . chr3 57052888 57052889 chr3:57052889:T:C rs34608952 T C T EBF1_EBF_1 29 0 + 0 0 . chr3 57068654 57068655 chr3:57068655:C:G rs79226747 C G C EBF1_EBF_1 5 1 + 8.659079285440278 1.7541981328051088 ACCCCCTTGGGAGA chr3 57078482 57078483 chr3:57078483:G:T rs759083090 G T G EBF1_EBF_1 16 0 - 0 0 . chr3 57078483 57078484 chr3:57078484:C:A rs60264678 C A C EBF1_EBF_1 15 0 - 0 0 . chr3 57078516 57078517 chr3:57078517:A:T rs527473173 A T A EBF1_EBF_1 -18 0 - 0 0 . chr3 57078881 57078882 chr3:57078882:C:A rs565473630 C A C EBF1_EBF_1 23 0 - 0 0 . chr3 57130770 57130771 chr3:57130771:T:C rs141285016 T C T EBF1_EBF_1 12 1 + 5.846331132587525 6.872597703138374 ATCCCCCTGAGATG chr3 57144669 57144670 chr3:57144670:A:C rs72875826 A C A EBF1_EBF_1 8 1 + 4.981940413649861 3.889571641621435 CATCCCAGAGGACC chr3 57155027 57155028 chr3:57155028:G:C rs17235848 G C G EBF1_EBF_1 -20 0 - 0 0 . chr3 57227528 57227529 chr3:57227529:A:C rs777556419 A C A EBF1_EBF_1 -2 0 - 0 0 . chr3 57227539 57227540 chr3:57227540:C:A rs1489213048 C A C EBF1_EBF_1 -13 0 - 0 0 . chr3 57234221 57234222 chr3:57234222:A:C chr3:57234222:A:C A C A EBF1_EBF_1 19 0 + 0 0 . chr3 57320683 57320684 chr3:57320684:C:T rs7620538 C T C EBF1_EBF_1 0 1 + 5.209202429577046 5.491404490307624 CATCACCAGGGAAT chr3 57397566 57397567 chr3:57397567:T:C rs948817890 T C T EBF1_EBF_1 7 1 - 4.802070564598063 3.489612159309238 ACCCACCAGGGAAC chr3 57397583 57397584 chr3:57397584:A:G rs999489495 A G A EBF1_EBF_1 -10 0 - 0 0 . chr3 57419267 57419268 chr3:57419268:C:T rs9870842 C T T EBF1_EBF_1 21 0 + 0 0 . chr3 57448462 57448463 chr3:57448463:A:C rs6799016 A C A EBF1_EBF_1 7 1 - 5.351183714944247 4.51499954974298 GCCCCCCTGGGAGG chr3 57448480 57448481 chr3:57448481:G:A rs115520011 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 57692599 57692600 chr3:57692600:C:G rs938406863 C G C EBF1_EBF_1 -6 0 + 0 0 . chr3 57692612 57692613 chr3:57692613:C:T rs112192356 C T C EBF1_EBF_1 7 1 + 6.839947475872763 8.657452222155385 CCTCCCACGGGAAG chr3 57692621 57692622 chr3:57692622:C:T rs757852378 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 57800929 57800930 chr3:57800930:A:G rs266842 A G A EBF1_EBF_1 26 0 + 0 0 . chr3 57809570 57809571 chr3:57809571:C:T rs73088356 C T T EBF1_EBF_1 29 0 - 0 0 . chr3 57809571 57809572 chr3:57809572:G:A rs2601127 G A G EBF1_EBF_1 28 0 - 0 0 . chr3 57973166 57973167 chr3:57973167:G:A rs7648740 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 57973171 57973172 chr3:57973172:C:A rs1866162 C A C EBF1_EBF_1 27 0 + 0 0 . chr3 57981753 57981754 chr3:57981754:C:G rs188047229 C G C EBF1_EBF_1 18 0 + 0 0 . chr3 58001204 58001205 chr3:58001205:G:A rs839259 G A G EBF1_EBF_1 7 1 - 10.411446267692716 12.228951013975339 AGTCCCCCGGGAAC chr3 58001230 58001231 chr3:58001231:T:G rs6772692 T G T EBF1_EBF_1 -19 0 - 0 0 . chr3 58008991 58008992 chr3:58008992:T:A rs1658338 T A A EBF1_EBF_1 -3 0 - 0 0 . chr3 58011468 58011469 chr3:58011469:C:T rs759350725 C T C EBF1_EBF_1 5 1 + 5.025817878634681 -0.2959693369059882 TCTCCCGAGAGATC chr3 58022365 58022366 chr3:58022366:T:C rs7652117 T C T EBF1_EBF_1 11 1 - 4.107266379183541 1.214559535579529 GTTCCACTGGGACC chr3 58051064 58051065 chr3:58051065:C:T rs839230 C T C EBF1_EBF_1 0 1 + 4.420237637265704 4.702439697996282 CGTCACTTGGGACC chr3 58051083 58051084 chr3:58051084:A:G rs858215 A G G EBF1_EBF_1 19 0 + 0 0 . chr3 58092730 58092731 chr3:58092731:A:G chr3:58092731:A:G A G A EBF1_EBF_1 7 1 - 6.182632166006047 4.365127419723423 ATTCCTCTGGGATA chr3 58102470 58102471 chr3:58102471:C:G rs76971603 C G C EBF1_EBF_1 17 0 - 0 0 . chr3 58109738 58109739 chr3:58109739:G:T rs2708318 G T T EBF1_EBF_1 8 1 - 4.4181523531816635 5.510521125210088 CTTCCCCACGGAGC chr3 58158178 58158179 chr3:58158179:G:C rs35911882 G C G EBF1_EBF_1 -6 0 + 0 0 . chr3 58164705 58164706 chr3:58164706:C:T rs4234386 C T T EBF1_EBF_1 21 0 + 0 0 . chr3 58208323 58208324 chr3:58208324:C:A rs3732631 C A C EBF1_EBF_1 10 1 - 9.12827870904068 4.945526522813415 AAACCCCTGGGAAT chr3 58216217 58216218 chr3:58216218:C:T rs76444697 C T t EBF1_EBF_1 30 0 - 0 0 . chr3 58301342 58301343 chr3:58301343:T:A rs12638005 T A T EBF1_EBF_1 7 1 + 8.09568530349522 8.571959543582777 CTCCCCATGGGATC chr3 58345214 58345215 chr3:58345215:A:T rs2063644 A T A EBF1_EBF_1 14 0 + 0 0 . chr3 58347184 58347185 chr3:58347185:C:T rs4681681 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 58361008 58361009 chr3:58361009:C:T rs75828026 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 58404520 58404521 chr3:58404521:A:G rs59643048 A G G EBF1_EBF_1 -2 0 - 0 0 . chr3 58422109 58422110 chr3:58422110:T:C rs9822328 T C T EBF1_EBF_1 -10 0 + 0 0 . chr3 58424199 58424200 chr3:58424200:G:C rs74727114 G C G EBF1_EBF_1 28 0 + 0 0 . chr3 58433551 58433552 chr3:58433552:C:G rs950591369 C G C EBF1_EBF_1 -19 0 + 0 0 . chr3 58433554 58433555 chr3:58433555:G:A rs186327119 G A G EBF1_EBF_1 -16 0 + 0 0 . chr3 58524711 58524712 chr3:58524712:C:T rs116616864 C T C EBF1_EBF_1 8 1 - 7.122149536603341 2.8958594477170783 TCTCCCACGGGAAG chr3 58537605 58537606 chr3:58537606:G:C rs5021434 G C c EBF1_EBF_1 8 1 + 4.947437234066095 -0.3712216268485904 GCTCCCCTGAGATG chr3 58577423 58577424 chr3:58577424:C:T rs2306671 C T C EBF1_EBF_1 5 1 + 8.283784594455161 2.961997378914492 AAACCCCTGGGAAC chr3 58613102 58613103 chr3:58613103:T:G rs6763909 T G T EBF1_EBF_1 27 0 - 0 0 . chr3 58633794 58633795 chr3:58633795:C:T rs3860562 C T C EBF1_EBF_1 8 1 - 7.721509762951925 3.495219674065665 TTTCCCATGGGCCT chr3 58640768 58640769 chr3:58640769:T:C rs369911771 T C T EBF1_EBF_1 23 0 + 0 0 . chr3 58640991 58640992 chr3:58640992:C:G rs112231569 C G C EBF1_EBF_1 -2 0 - 0 0 . chr3 58647533 58647534 chr3:58647534:T:A rs7609752 T A A EBF1_EBF_1 -9 0 - 0 0 . chr3 58661307 58661308 chr3:58661308:C:T rs556018738 C T C EBF1_EBF_1 5 1 + 8.715329825252649 3.3935426097119787 CCTCCCATGGGATA chr3 58663607 58663608 chr3:58663608:G:C rs6807381 G C G EBF1_EBF_1 -17 0 + 0 0 . chr3 58683251 58683252 chr3:58683252:A:G rs57218352 A G A EBF1_EBF_1 -16 0 + 0 0 . chr3 58731501 58731502 chr3:58731502:T:C rs17059758 T C T EBF1_EBF_1 17 0 - 0 0 . chr3 58849042 58849043 chr3:58849043:G:A rs114373328 G A G EBF1_EBF_1 -15 0 + 0 0 . chr3 59049929 59049930 chr3:59049930:C:T rs568425089 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 59319431 59319432 chr3:59319432:T:A rs7628127 T A T EBF1_EBF_1 -12 0 - 0 0 . chr3 59372463 59372464 chr3:59372464:T:C rs11130703 T C T EBF1_EBF_1 -15 0 - 0 0 . chr3 59380620 59380621 chr3:59380621:G:A rs73100148 G A G EBF1_EBF_1 -9 0 + 0 0 . chr3 59385946 59385947 chr3:59385947:C:T rs935217 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 59422451 59422452 chr3:59422452:C:T rs6776486 C T C EBF1_EBF_1 23 0 - 0 0 . chr3 59471188 59471189 chr3:59471189:C:T rs61261839 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 59501794 59501795 chr3:59501795:C:A rs9869179 C A A EBF1_EBF_1 22 0 - 0 0 . chr3 59510661 59510662 chr3:59510662:G:C rs9813776 G C C EBF1_EBF_1 32 0 + 0 0 . chr3 59515856 59515857 chr3:59515857:G:A rs34894778 G A A EBF1_EBF_1 9 1 + 5.884375723913369 3.2294364584657647 TTTCACTAGGGACC chr3 59515879 59515880 chr3:59515880:C:T rs12629486 C T T EBF1_EBF_1 32 0 + 0 0 . chr3 59516101 59516102 chr3:59516102:T:A rs12489949 T A T EBF1_EBF_1 13 1 + 4.669538617370731 3.4512990534992363 CTTCCCGGGGTACT chr3 59564686 59564687 chr3:59564687:G:A rs7622307 G A G EBF1_EBF_1 9 1 + 7.6697924144612 5.014853149013596 ACTCTCCTGGGAAC chr3 59635272 59635273 chr3:59635273:G:A rs1281448 G A A EBF1_EBF_1 8 1 + 11.437482446093039 7.211192357206778 GCTCCCCAGGGACT chr3 59649320 59649321 chr3:59649321:G:A rs62252523 G A G EBF1_EBF_1 -12 0 + 0 0 . chr3 59654307 59654308 chr3:59654308:T:C rs565471411 T C T EBF1_EBF_1 16 0 - 0 0 . chr3 59673293 59673294 chr3:59673294:T:A rs4679593 T A A EBF1_EBF_1 -14 0 - 0 0 . chr3 59680752 59680753 chr3:59680753:C:T rs1868192 C T C EBF1_EBF_1 5 1 + 6.637217300526184 1.3154300849855143 TTTCCCCAAGGAGT chr3 59765979 59765980 chr3:59765980:A:C rs293606 A C A EBF1_EBF_1 17 0 + 0 0 . chr3 59873733 59873734 chr3:59873734:G:A rs515208 G A A EBF1_EBF_1 -7 0 - 0 0 . chr3 59879454 59879455 chr3:59879455:T:C rs1817587 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 59954525 59954526 chr3:59954526:A:C rs9862003 A C A EBF1_EBF_1 -3 0 + 0 0 . chr3 59979547 59979548 chr3:59979548:C:T rs3772484 C T C EBF1_EBF_1 -11 0 - 0 0 . chr3 59979555 59979556 chr3:59979556:C:A rs3772485 C A C EBF1_EBF_1 -19 0 - 0 0 . chr3 60036021 60036022 chr3:60036022:T:C rs212065 T C C EBF1_EBF_1 -13 0 + 0 0 . chr3 60198701 60198702 chr3:60198702:G:A rs35861160 G A G EBF1_EBF_1 -2 0 - 0 0 . chr3 60278198 60278199 chr3:60278199:G:C rs213357 G C C EBF1_EBF_1 31 0 - 0 0 . chr3 60313709 60313710 chr3:60313710:G:C rs141655936 G C G EBF1_EBF_1 7 1 - 5.48495513702808 6.4662757181094355 CTCCCCCCGGGAAG chr3 60346499 60346500 chr3:60346500:T:C rs6787076 T C C EBF1_EBF_1 -7 0 - 0 0 . chr3 60420589 60420590 chr3:60420590:A:G rs242174 A G A EBF1_EBF_1 12 1 + 8.864666451671473 7.445849109571996 CATCCCAGGGGAAA chr3 60420595 60420596 chr3:60420596:T:C rs2734372 T C C EBF1_EBF_1 18 0 + 0 0 . chr3 60448911 60448912 chr3:60448912:A:T rs28583724 A T a EBF1_EBF_1 14 0 - 0 0 . chr3 60448920 60448921 chr3:60448921:G:A rs383111 G A a EBF1_EBF_1 5 1 - 7.319933996033725 1.998146780493055 AGCCCCATAGGAAT chr3 60461339 60461340 chr3:60461340:C:G rs521599 C G C EBF1_EBF_1 14 0 - 0 0 . chr3 60513712 60513713 chr3:60513713:A:G rs3911036 A G G EBF1_EBF_1 26 0 - 0 0 . chr3 60514414 60514415 chr3:60514415:T:C rs13319467 T C T EBF1_EBF_1 -11 0 - 0 0 . chr3 60580101 60580102 chr3:60580102:A:G rs72887608 A G A EBF1_EBF_1 14 0 + 0 0 . chr3 60622504 60622505 chr3:60622505:T:C rs72889659 T C T EBF1_EBF_1 2 1 + 4.380901562704597 2.492078151262448 TATCCACAGGGACT chr3 60622531 60622532 chr3:60622532:G:C rs62249122 G C C EBF1_EBF_1 29 0 + 0 0 . chr3 60632433 60632434 chr3:60632434:T:C rs4679482 T C C EBF1_EBF_1 -16 0 - 0 0 . chr3 60773728 60773729 chr3:60773729:T:C rs11710207 T C T EBF1_EBF_1 -6 0 + 0 0 . chr3 60785587 60785588 chr3:60785588:A:G rs6794160 A G G EBF1_EBF_1 -16 0 + 0 0 . chr3 60833341 60833342 chr3:60833342:A:G rs2250114 A G A EBF1_EBF_1 -2 0 - 0 0 . chr3 60912407 60912408 chr3:60912408:A:T rs1447918 A T T EBF1_EBF_1 18 0 - 0 0 . chr3 60912436 60912437 chr3:60912437:T:C rs9821525 T C T EBF1_EBF_1 -11 0 - 0 0 . chr3 60948076 60948077 chr3:60948077:G:A rs1562524 G A G EBF1_EBF_1 5 1 - 6.843284248444085 1.5214970329034156 ATCCACTAGGGAAT chr3 60980258 60980259 chr3:60980259:C:T rs62268667 C T C EBF1_EBF_1 25 0 - 0 0 . chr3 60990190 60990191 chr3:60990191:G:A rs7374366 G A A EBF1_EBF_1 25 0 + 0 0 . chr3 61061728 61061729 chr3:61061729:C:T rs7642017 C T T EBF1_EBF_1 -18 0 - 0 0 . chr3 61065255 61065256 chr3:61065256:C:T rs13080291 C T c EBF1_EBF_1 -10 0 - 0 0 . chr3 61154611 61154612 chr3:61154612:C:T rs10866044 C T C EBF1_EBF_1 23 0 - 0 0 . chr3 61226166 61226167 chr3:61226167:A:T rs17064663 A T A EBF1_EBF_1 -12 0 - 0 0 . chr3 61287889 61287890 chr3:61287890:T:C rs1349799 T C C EBF1_EBF_1 -13 0 + 0 0 . chr3 61420670 61420671 chr3:61420671:T:C rs1540554 T C C EBF1_EBF_1 -4 0 - 0 0 . chr3 61427602 61427603 chr3:61427603:G:A rs17064845 G A G EBF1_EBF_1 31 0 - 0 0 . chr3 61429521 61429522 chr3:61429522:A:G rs9854168 A G A EBF1_EBF_1 14 0 + 0 0 . chr3 61505609 61505610 chr3:61505610:T:C rs35132038 T C C EBF1_EBF_1 7 1 + 9.434335333197973 7.61683058691535 TTCCCCATGGGAAC chr3 61563410 61563411 chr3:61563411:C:T rs2886519 C T C EBF1_EBF_1 9 1 - 6.523386315880674 3.868447050433071 CTCCCCAGGGGAGA chr3 61563427 61563428 chr3:61563428:G:A chr3:61563428:G:A G A G EBF1_EBF_1 -8 0 - 0 0 . chr3 61563475 61563476 chr3:61563476:C:T rs767613659 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 61563597 61563598 chr3:61563598:T:G rs9827952 T G T EBF1_EBF_1 26 0 + 0 0 . chr3 61563795 61563796 chr3:61563796:C:T rs143527394 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 61563820 61563821 chr3:61563821:G:T rs551356851 G T G EBF1_EBF_1 9 1 + 4.844301135952388 -1.9926882721364225 ATCCCCTCGGGGGA chr3 61581225 61581226 chr3:61581226:G:A rs78477232 G A G EBF1_EBF_1 -5 0 + 0 0 . chr3 61595374 61595375 chr3:61595375:C:G rs4580548 C G G EBF1_EBF_1 8 1 - 6.766684448680761 1.4480255877660761 AGTCCCCAGAGTCT chr3 61690299 61690300 chr3:61690300:G:A rs76638740 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 61736700 61736701 chr3:61736701:A:C rs4688662 A C A EBF1_EBF_1 -12 0 + 0 0 . chr3 61780708 61780709 chr3:61780709:C:T rs12637254 C T C EBF1_EBF_1 3 1 + 5.12690654156166 -1.2784921999916579 CACCCCATGAGACA chr3 61864519 61864520 chr3:61864520:G:T rs62243201 G T G EBF1_EBF_1 6 1 - 10.83383553397606 11.195437901777337 ATCCCCCTGGGATT chr3 61895062 61895063 chr3:61895063:C:T rs142479745 C T C EBF1_EBF_1 2 1 + 8.526716066000718 10.415539477442868 ATCCCCTAGGGGCA chr3 61976011 61976012 chr3:61976012:A:C rs954973 A C A EBF1_EBF_1 -15 0 + 0 0 . chr3 61997352 61997353 chr3:61997353:C:A rs79043068 C A C EBF1_EBF_1 26 0 - 0 0 . chr3 62051552 62051553 chr3:62051553:G:C rs6809930 G C G EBF1_EBF_1 -10 0 + 0 0 . chr3 62051563 62051564 chr3:62051564:C:A rs2189696 C A C EBF1_EBF_1 1 1 + 5.511262929260201 5.440335751908116 CCCCCCTTGAGACC chr3 62051574 62051575 chr3:62051575:C:G rs77366697 C G C EBF1_EBF_1 12 1 + 5.511262929260201 4.122626985582049 CCCCCCTTGAGACC chr3 62057436 62057437 chr3:62057437:T:C rs17767976 T C T EBF1_EBF_1 12 1 + 6.106246888081109 7.132513458631958 ACTCCCAAAGGATG chr3 62057453 62057454 chr3:62057454:C:T rs1459650578 C T C EBF1_EBF_1 29 0 + 0 0 . chr3 62093281 62093282 chr3:62093282:C:G rs13058854 C G C EBF1_EBF_1 1 1 - 6.796865847102087 6.60709419253287 AGTCCCCAGAGTAT chr3 62119366 62119367 chr3:62119367:G:T chr3:62119367:G:T G T G EBF1_EBF_1 20 0 + 0 0 . chr3 62167716 62167717 chr3:62167717:C:T rs17066222 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 62189145 62189146 chr3:62189146:G:C rs189489218 G C G EBF1_EBF_1 7 1 + 4.154976853238632 3.1736562721572756 CCTCCCAGGGGTTC chr3 62318818 62318819 chr3:62318819:G:C rs748852666 G C G EBF1_EBF_1 -6 0 - 0 0 . chr3 62319184 62319185 chr3:62319185:G:C rs187055932 G C G EBF1_EBF_1 4 1 - 6.6760444473727585 1.0502793462232134 ATACCCAAAGGACT chr3 62319204 62319205 chr3:62319205:C:T rs191123643 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 62319314 62319315 chr3:62319315:T:C rs79658589 T C T EBF1_EBF_1 30 0 - 0 0 . chr3 62372857 62372858 chr3:62372858:G:A rs770503761 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 62408484 62408485 chr3:62408485:G:A rs76284581 G A G EBF1_EBF_1 28 0 + 0 0 . chr3 62439349 62439350 chr3:62439350:T:A rs6767628 T A A EBF1_EBF_1 30 0 - 0 0 . chr3 62445739 62445740 chr3:62445740:T:C rs6791296 T C C EBF1_EBF_1 7 1 + 7.412346423679586 5.594841677396962 AACCCCATGAGAAA chr3 62562721 62562722 chr3:62562722:C:G rs61630848 C G C EBF1_EBF_1 -7 0 - 0 0 . chr3 62567463 62567464 chr3:62567464:G:A rs73104986 G A G EBF1_EBF_1 28 0 - 0 0 . chr3 62591451 62591452 chr3:62591452:T:A rs73092206 T A T EBF1_EBF_1 -15 0 + 0 0 . chr3 62633454 62633455 chr3:62633455:C:T rs833671 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 62664553 62664554 chr3:62664554:A:T chr3:62664554:A:T A T A EBF1_EBF_1 -5 0 - 0 0 . chr3 62688310 62688311 chr3:62688311:T:C rs4125351 T C T EBF1_EBF_1 26 0 + 0 0 . chr3 62710970 62710971 chr3:62710971:G:C rs4688141 G C G EBF1_EBF_1 29 0 + 0 0 . chr3 62713793 62713794 chr3:62713794:C:G rs526915 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 62793951 62793952 chr3:62793952:G:A rs478412 G A g EBF1_EBF_1 -15 0 - 0 0 . chr3 62794963 62794964 chr3:62794964:A:G rs304170 A G a EBF1_EBF_1 23 0 - 0 0 . chr3 62975755 62975756 chr3:62975756:A:C rs2886972 A C A EBF1_EBF_1 26 0 + 0 0 . chr3 62983255 62983256 chr3:62983256:C:T rs189085284 C T C EBF1_EBF_1 -1 0 + 0 0 . chr3 63015538 63015539 chr3:63015539:C:G rs62244097 C G C EBF1_EBF_1 15 0 + 0 0 . chr3 63015802 63015803 chr3:63015803:C:T rs115102936 C T C EBF1_EBF_1 -20 0 + 0 0 . chr3 63106229 63106230 chr3:63106230:C:T rs1447450 C T T EBF1_EBF_1 -17 0 - 0 0 . chr3 63279025 63279026 chr3:63279026:C:G rs11919217 C G C EBF1_EBF_1 -2 0 + 0 0 . chr3 63370307 63370308 chr3:63370308:T:C rs17068392 T C T EBF1_EBF_1 29 0 - 0 0 . chr3 63371549 63371550 chr3:63371550:A:G rs6802021 A G A EBF1_EBF_1 24 0 + 0 0 . chr3 63482551 63482552 chr3:63482552:C:A rs17068745 C A C EBF1_EBF_1 0 1 - 6.856359898420266 6.775587345019076 GTTCCCAGGAGATC chr3 63548835 63548836 chr3:63548836:A:T rs117462958 A T A EBF1_EBF_1 2 1 - 5.189738479690348 1.5052708920914726 TGTCCCAAGGGCAG chr3 63595516 63595517 chr3:63595517:A:G rs2029848 A G A EBF1_EBF_1 -1 0 - 0 0 . chr3 63625117 63625118 chr3:63625118:C:G rs17069159 C G C EBF1_EBF_1 10 1 - 4.350605231428376 -2.540197155901099 TCTCCCATTGGACT chr3 63863718 63863719 chr3:63863719:G:A rs571414424 G A g EBF1_EBF_1 4 1 - 7.098800211840593 2.7294132668956683 CCTCCCCTGAGACC chr3 63863741 63863742 chr3:63863742:G:A rs538712826 G A g EBF1_EBF_1 -19 0 - 0 0 . chr3 63864289 63864290 chr3:63864290:A:G rs1370058507 A G a EBF1_EBF_1 26 0 - 0 0 . chr3 63878998 63878999 chr3:63878999:C:T rs139477670 C T C EBF1_EBF_1 1 1 - 8.333494228976752 8.072795397055451 AGTCCCAAGAGGCT chr3 63913128 63913129 chr3:63913129:C:T rs371148951 C T C EBF1_EBF_1 -19 0 + 0 0 . chr3 63937665 63937666 chr3:63937666:G:A rs145354398 G A G EBF1_EBF_1 21 0 + 0 0 . chr3 64108314 64108315 chr3:64108315:T:G rs1349218806 T G T EBF1_EBF_1 18 0 + 0 0 . chr3 64113447 64113448 chr3:64113448:T:C rs830355 T C T EBF1_EBF_1 -9 0 - 0 0 . chr3 64137743 64137744 chr3:64137744:T:C rs1432586 T C T EBF1_EBF_1 16 0 - 0 0 . chr3 64137744 64137745 chr3:64137745:G:A rs1432587 G A A EBF1_EBF_1 15 0 - 0 0 . chr3 64145698 64145699 chr3:64145699:A:G rs56087541 A G A EBF1_EBF_1 0 1 - 4.717837553074191 4.435635492343613 TCTCACCAGGGAAC chr3 64162124 64162125 chr3:64162125:C:T rs59443691 C T C EBF1_EBF_1 12 1 + 9.443303957062106 8.417037386511257 TTTCCCCTGGGACG chr3 64163449 64163450 chr3:64163450:T:G rs39709 T G T EBF1_EBF_1 7 1 + 7.965422751859044 7.129238586657776 ACTCCCCTGAGAGC chr3 64239432 64239433 chr3:64239433:G:A rs188840141 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 64239463 64239464 chr3:64239464:T:C rs551503059 T C T EBF1_EBF_1 24 0 + 0 0 . chr3 64255455 64255456 chr3:64255456:G:C rs552061619 G C G EBF1_EBF_1 -19 0 - 0 0 . chr3 64266493 64266494 chr3:64266494:C:T rs142557889 C T C EBF1_EBF_1 25 0 - 0 0 . chr3 64270295 64270296 chr3:64270296:G:A rs73128664 G A G EBF1_EBF_1 19 0 - 0 0 . chr3 64289834 64289835 chr3:64289835:C:T rs114128472 C T C EBF1_EBF_1 18 0 + 0 0 . chr3 64322944 64322945 chr3:64322945:T:C rs1462739 T C C EBF1_EBF_1 14 0 - 0 0 . chr3 64327279 64327280 chr3:64327280:C:T rs1041921772 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 64386289 64386290 chr3:64386290:T:C rs4688446 T C T EBF1_EBF_1 6 1 - 7.509966004295624 5.343171573021149 AATCCCATGGGCCA chr3 64410587 64410588 chr3:64410588:G:A rs9830368 G A G EBF1_EBF_1 9 1 + 6.138568449798274 3.4836291843506695 ATTCCCTGGGTGCT chr3 64437223 64437224 chr3:64437224:C:T rs72880265 C T C EBF1_EBF_1 25 0 + 0 0 . chr3 64456916 64456917 chr3:64456917:A:C rs150472785 A C A EBF1_EBF_1 -18 0 - 0 0 . chr3 64489552 64489553 chr3:64489553:A:T rs61310719 A T A EBF1_EBF_1 22 0 - 0 0 . chr3 64490963 64490964 chr3:64490964:A:G rs12491061 A G A EBF1_EBF_1 -18 0 - 0 0 . chr3 64555577 64555578 chr3:64555578:T:G rs34634062 T G T EBF1_EBF_1 -20 0 - 0 0 . chr3 64572039 64572040 chr3:64572040:A:C rs11713642 A C A EBF1_EBF_1 -13 0 - 0 0 . chr3 64572042 64572043 chr3:64572043:T:A rs11710007 T A T EBF1_EBF_1 -16 0 - 0 0 . chr3 64613336 64613337 chr3:64613337:C:A rs73832347 C A C EBF1_EBF_1 -9 0 + 0 0 . chr3 64625655 64625656 chr3:64625656:C:T rs6768530 C T T EBF1_EBF_1 -2 0 + 0 0 . chr3 64686357 64686358 chr3:64686358:C:T rs375165349 C T C EBF1_EBF_1 11 1 - 6.48274923148433 9.37545607508834 CGCCCCCAGGGGCT chr3 64688922 64688923 chr3:64688923:C:T rs4688498 C T C EBF1_EBF_1 21 0 - 0 0 . chr3 64777332 64777333 chr3:64777333:C:G rs318742 C G c EBF1_EBF_1 20 0 + 0 0 . chr3 64816119 64816120 chr3:64816120:C:T rs9311916 C T C EBF1_EBF_1 24 0 - 0 0 . chr3 64860143 64860144 chr3:64860144:C:T rs57552666 C T A EBF1_EBF_1 0 1 - 5.9520002221293264 7.844284091694162 GCTCCCATGGTAAA chr3 64860144 64860145 chr3:64860145:G:A rs118079382 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 64890204 64890205 chr3:64890205:G:A rs17690075 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 64988333 64988334 chr3:64988334:A:T rs62251347 A T A EBF1_EBF_1 -3 0 - 0 0 . chr3 64996027 64996028 chr3:64996028:G:T rs2371532 G T t EBF1_EBF_1 3 1 - 9.80522956619368 2.8990555367278743 AGCCCCTGGGGAAC chr3 65170952 65170953 chr3:65170953:C:G rs758441507 C G C EBF1_EBF_1 3 1 + 7.144123764306845 1.3163593161916292 TCTCCCATGGGTCT chr3 65171036 65171037 chr3:65171037:T:G rs567536965 T G T EBF1_EBF_1 7 1 - 5.630078224806606 3.336299238436425 CGTCCCCAGGGCCA chr3 65181291 65181292 chr3:65181292:T:C rs78468108 T C T EBF1_EBF_1 17 0 - 0 0 . chr3 65181838 65181839 chr3:65181839:G:A rs73124472 G A G EBF1_EBF_1 11 1 + 9.14789273083028 12.040599574434292 AATCCCCAGGGGCA chr3 65233748 65233749 chr3:65233749:G:A rs111745353 G A - EBF1_EBF_1 -15 0 + 0 0 . chr3 65247700 65247701 chr3:65247701:C:A rs6806393 C A C EBF1_EBF_1 14 0 + 0 0 . chr3 65249413 65249414 chr3:65249414:T:G rs2624878 T G T EBF1_EBF_1 30 0 - 0 0 . chr3 65279488 65279489 chr3:65279489:A:C rs58286287 A C A EBF1_EBF_1 12 1 + 5.4583762282861965 5.428194829864871 GATCCCAGGAGAAG chr3 65286553 65286554 chr3:65286554:G:A rs17072712 G A G EBF1_EBF_1 -4 0 + 0 0 . chr3 65287678 65287679 chr3:65287679:C:G rs7649208 C G G EBF1_EBF_1 33 0 + 0 0 . chr3 65484429 65484430 chr3:65484430:C:T rs13081976 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 65568702 65568703 chr3:65568703:G:C rs17354056 G C G EBF1_EBF_1 32 0 + 0 0 . chr3 65596632 65596633 chr3:65596633:C:T rs113830062 C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 65629233 65629234 chr3:65629234:A:G rs76248203 A G G EBF1_EBF_1 6 1 + 9.144493719280078 6.977699288005603 TTTCCCAAGAGAAC chr3 65756445 65756446 chr3:65756446:C:T rs6780681 C T T EBF1_EBF_1 24 0 - 0 0 . chr3 65808657 65808658 chr3:65808658:C:T rs7632446 C T C EBF1_EBF_1 5 1 + 7.414771750311468 2.092984534770798 ATACCCGTGGGACC chr3 65868659 65868660 chr3:65868660:A:C rs73138923 A C A EBF1_EBF_1 20 0 + 0 0 . chr3 65871547 65871548 chr3:65871548:T:C rs28451610 T C T EBF1_EBF_1 26 0 + 0 0 . chr3 65893569 65893570 chr3:65893570:C:T rs264668 C T T EBF1_EBF_1 -5 0 - 0 0 . chr3 65979458 65979459 chr3:65979459:G:A rs4688621 G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 66011031 66011032 chr3:66011032:G:C rs6792662 G C G EBF1_EBF_1 19 0 + 0 0 . chr3 66047669 66047670 chr3:66047670:A:C chr3:66047670:A:C A C A EBF1_EBF_1 13 1 - 8.173461884105008 5.840896748164074 ACTCCCCCGGGGAT chr3 66047757 66047758 chr3:66047758:T:A chr3:66047758:T:A T A T EBF1_EBF_1 -5 0 + 0 0 . chr3 66047781 66047782 chr3:66047782:T:C rs574845027 T C T EBF1_EBF_1 19 0 + 0 0 . chr3 66081323 66081324 chr3:66081324:A:G rs28430742 A G G EBF1_EBF_1 11 1 - 5.48650339094814 5.168455949194477 AATCCCTTGAGTCC chr3 66153058 66153059 chr3:66153059:A:G rs28416976 A G G EBF1_EBF_1 -11 0 + 0 0 . chr3 66235381 66235382 chr3:66235382:G:T rs142282411 G T G EBF1_EBF_1 33 0 - 0 0 . chr3 66235399 66235400 chr3:66235400:G:A rs36147484 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 66236631 66236632 chr3:66236632:G:A rs146159281 G A A EBF1_EBF_1 16 0 + 0 0 . chr3 66312469 66312470 chr3:66312470:C:A rs35296535 C A C EBF1_EBF_1 17 0 - 0 0 . chr3 66372861 66372862 chr3:66372862:G:A rs374258689 G A G EBF1_EBF_1 7 1 + 3.957451785141907 5.269910190430732 CCTCCCCGGGGCGT chr3 66401016 66401017 chr3:66401017:A:T rs4856896 A T T EBF1_EBF_1 24 0 + 0 0 . chr3 66406651 66406652 chr3:66406652:C:T rs13064603 C T T EBF1_EBF_1 -10 0 - 0 0 . chr3 66414834 66414835 chr3:66414835:T:C rs4856928 T C T EBF1_EBF_1 28 0 - 0 0 . chr3 66429221 66429222 chr3:66429222:T:C rs144895191 T C T EBF1_EBF_1 32 0 + 0 0 . chr3 66431571 66431572 chr3:66431572:T:C rs13324373 T C T EBF1_EBF_1 -9 0 + 0 0 . chr3 66481441 66481442 chr3:66481442:C:T rs4856944 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 66500218 66500219 chr3:66500219:G:A rs754762977 G A G EBF1_EBF_1 6 1 - 4.348198918502225 4.649485047363983 GTTGCCCGGGGACC chr3 66609804 66609805 chr3:66609805:T:G rs150875620 T G T EBF1_EBF_1 -13 0 - 0 0 . chr3 66739193 66739194 chr3:66739194:C:G rs77019002 C G C EBF1_EBF_1 -15 0 + 0 0 . chr3 66795670 66795671 chr3:66795671:G:A rs73093792 G A G EBF1_EBF_1 -20 0 - 0 0 . chr3 66804050 66804051 chr3:66804051:C:T rs6765626 C T T EBF1_EBF_1 -12 0 + 0 0 . chr3 66825323 66825324 chr3:66825324:T:C rs73096932 T C C EBF1_EBF_1 29 0 + 0 0 . chr3 66893190 66893191 chr3:66893191:T:C rs6801564 T C T EBF1_EBF_1 7 1 + 5.233079878783742 3.41557513250112 ACTCCCCTGGAAAG chr3 67120750 67120751 chr3:67120751:T:C rs74629959 T C T EBF1_EBF_1 6 1 - 8.20355951509729 6.036765083822816 ATTCCCAGAGGACC chr3 67161284 67161285 chr3:67161285:G:A rs11128434 G A A EBF1_EBF_1 -16 0 - 0 0 . chr3 67241162 67241163 chr3:67241163:A:C rs6549844 A C A EBF1_EBF_1 23 0 - 0 0 . chr3 67312083 67312084 chr3:67312084:C:T rs17046182 C T C EBF1_EBF_1 7 1 + 7.100372430850623 8.917877177133246 AATCCCACAGGACT chr3 67520917 67520918 chr3:67520918:G:A rs61586430 G A G EBF1_EBF_1 -14 0 + 0 0 . chr3 67558796 67558797 chr3:67558797:G:A rs139494427 G A G EBF1_EBF_1 0 1 + 4.828867030574324 6.721150900139157 GCTCCCCTGGAAAC chr3 67558866 67558867 chr3:67558867:T:C rs79502885 T C T EBF1_EBF_1 -8 0 + 0 0 . chr3 67601578 67601579 chr3:67601579:G:A rs1928564 G A G EBF1_EBF_1 -6 0 + 0 0 . chr3 67921142 67921143 chr3:67921143:C:G rs17047097 C G C EBF1_EBF_1 7 1 - 6.229066087159121 5.247745506077764 ATTCCCAGGGTGAT chr3 68208692 68208693 chr3:68208693:C:T rs1125275 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 68234617 68234618 chr3:68234618:C:G rs13069239 C G G EBF1_EBF_1 20 0 + 0 0 . chr3 68319498 68319499 chr3:68319499:G:A rs10155009 G A A EBF1_EBF_1 10 1 + 5.958072906685049 0.6400444474180843 CATCCCCAGCGAAT chr3 68653525 68653526 chr3:68653526:C:G rs62246098 C G C EBF1_EBF_1 4 1 + 6.216430139832884 0.5906650386833405 CTCCCCAAAGGACT chr3 68653529 68653530 chr3:68653530:A:G rs13092228 A G G EBF1_EBF_1 8 1 + 6.216430139832884 10.442720228719145 CTCCCCAAAGGACT chr3 68666747 68666748 chr3:68666748:G:A rs6781321 G A G EBF1_EBF_1 17 0 - 0 0 . chr3 68675549 68675550 chr3:68675550:C:T rs111862229 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 68724778 68724779 chr3:68724779:C:A rs62254071 C A C EBF1_EBF_1 -18 0 - 0 0 . chr3 68992035 68992036 chr3:68992036:G:A rs9869133 G A G EBF1_EBF_1 26 0 + 0 0 . chr3 69013408 69013409 chr3:69013409:C:T rs1015945942 C T c EBF1_EBF_1 14 0 - 0 0 . chr3 69044845 69044846 chr3:69044846:T:C rs1463957 T C T EBF1_EBF_1 28 0 + 0 0 . chr3 69052956 69052957 chr3:69052957:A:G rs138150669 A G A EBF1_EBF_1 17 0 - 0 0 . chr3 69239494 69239495 chr3:69239495:C:T rs72929589 C T C EBF1_EBF_1 15 0 + 0 0 . chr3 69296341 69296342 chr3:69296342:T:C rs6549196 T C T EBF1_EBF_1 13 1 + 6.172358222666128 5.32786410808061 CTTGCCCAGGGAAT chr3 69331389 69331390 chr3:69331390:C:A chr3:69331390:C:A C A C EBF1_EBF_1 -17 0 - 0 0 . chr3 69386341 69386342 chr3:69386342:C:T chr3:69386342:C:T C T C EBF1_EBF_1 13 1 + 5.3208495162439595 6.165343630829478 CTTCCCCAGGGCGC chr3 69413725 69413726 chr3:69413726:C:T rs6549218 C T T EBF1_EBF_1 -5 0 - 0 0 . chr3 69413738 69413739 chr3:69413739:C:A rs6795689 C A C EBF1_EBF_1 -18 0 - 0 0 . chr3 69474456 69474457 chr3:69474457:T:C rs112921029 T C T EBF1_EBF_1 0 1 - 6.043180010827674 4.150896141262841 ATTCCCCTTGGAAC chr3 69509373 69509374 chr3:69509374:G:A rs4547709 G A A EBF1_EBF_1 -11 0 - 0 0 . chr3 69521515 69521516 chr3:69521516:C:T rs62252354 C T C EBF1_EBF_1 1 1 - 5.335800340064999 5.075101508143697 AGTCACATGGGATA chr3 69542648 69542649 chr3:69542649:G:A rs10049414 G A G EBF1_EBF_1 -2 0 - 0 0 . chr3 69579771 69579772 chr3:69579772:C:T rs73104113 C T C EBF1_EBF_1 -6 0 - 0 0 . chr3 69608169 69608170 chr3:69608170:G:A rs9849041 G A A EBF1_EBF_1 5 1 - 6.677759456491089 1.3559722409504205 ATTCCCTGGGAACA chr3 69739926 69739927 chr3:69739927:T:G rs978947410 T G T EBF1_EBF_1 11 1 - 4.031637820310682 -0.3843238775417076 CGTCCCCGGCGACC chr3 69739944 69739945 chr3:69739945:C:A rs45519135 C A C EBF1_EBF_1 -7 0 - 0 0 . chr3 69831977 69831978 chr3:69831978:C:T rs9876482 C T C EBF1_EBF_1 28 0 + 0 0 . chr3 69855097 69855098 chr3:69855098:G:A rs2320171 G A G EBF1_EBF_1 0 1 + 9.548659034650889 11.440942904215722 GCCCCCCAGGGACT chr3 70281683 70281684 chr3:70281684:A:G rs939864 A G A EBF1_EBF_1 -5 0 - 0 0 . chr3 70719661 70719662 chr3:70719662:A:G rs35376527 A G A EBF1_EBF_1 15 0 - 0 0 . chr3 70854441 70854442 chr3:70854442:C:T rs116374950 C T C EBF1_EBF_1 3 1 + 6.340954937832361 -0.06444380372095587 ACTCGCTTGGGAAA chr3 70862119 70862120 chr3:70862120:T:A rs2077610 T A T EBF1_EBF_1 -18 0 + 0 0 . chr3 70970598 70970599 chr3:70970599:T:C rs9874207 T C C EBF1_EBF_1 -2 0 - 0 0 . chr3 71032426 71032427 chr3:71032427:T:C rs76906682 T C T EBF1_EBF_1 28 0 + 0 0 . chr3 71067022 71067023 chr3:71067023:C:A rs78558017 C A C EBF1_EBF_1 15 0 + 0 0 . chr3 71103498 71103499 chr3:71103499:C:T rs72947460 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 71103532 71103533 chr3:71103533:T:C rs867449847 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 71123220 71123221 chr3:71123221:T:C rs2593850 T C C EBF1_EBF_1 -1 0 + 0 0 . chr3 71203000 71203001 chr3:71203001:T:C rs6769225 T C C EBF1_EBF_1 -9 0 - 0 0 . chr3 71221090 71221091 chr3:71221091:C:T rs874408 C T C EBF1_EBF_1 30 0 + 0 0 . chr3 71239834 71239835 chr3:71239835:T:C rs114316906 T C T EBF1_EBF_1 21 0 + 0 0 . chr3 71315401 71315402 chr3:71315402:A:T rs9790300 A T A EBF1_EBF_1 -17 0 + 0 0 . chr3 71315623 71315624 chr3:71315624:G:A rs75651010 G A G EBF1_EBF_1 0 1 - 4.508725761909313 4.79092782263989 CCTCCCAGAGGAGT chr3 71320022 71320023 chr3:71320023:T:C rs9875477 T C T EBF1_EBF_1 11 1 - 6.537165415129155 3.6444585715251447 CTTCACAAGGGAAT chr3 71503980 71503981 chr3:71503981:T:G rs9842406 T G G EBF1_EBF_1 20 0 - 0 0 . chr3 71629797 71629798 chr3:71629798:T:C rs1288126 T C C EBF1_EBF_1 6 1 - 5.440586446801193 3.273792015526718 ACCCCCAAGAGGAC chr3 71629838 71629839 chr3:71629839:G:A rs74484771 G A G EBF1_EBF_1 -20 0 - 0 0 . chr3 71636267 71636268 chr3:71636268:T:G rs147236165 T G T EBF1_EBF_1 14 0 - 0 0 . chr3 71692987 71692988 chr3:71692988:T:C rs72628644 T C T EBF1_EBF_1 2 1 + 5.242442859058293 3.3536194476161434 TGTGCCCTGGGACT chr3 71724723 71724724 chr3:71724724:C:T chr3:71724724:C:T C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 71725706 71725707 chr3:71725707:C:G rs559889403 C G C EBF1_EBF_1 -10 0 - 0 0 . chr3 71730336 71730337 chr3:71730337:A:G rs877359 A G A EBF1_EBF_1 -15 0 + 0 0 . chr3 71787589 71787590 chr3:71787590:T:C rs6770100 T C T EBF1_EBF_1 18 0 + 0 0 . chr3 71877802 71877803 chr3:71877803:G:A rs13096140 G A G EBF1_EBF_1 23 0 - 0 0 . chr3 71926298 71926299 chr3:71926299:T:A rs4677060 T A T EBF1_EBF_1 22 0 - 0 0 . chr3 71973097 71973098 chr3:71973098:G:T rs2322267 G T G EBF1_EBF_1 3 1 - 9.431484116907704 2.5253100874418988 AGCCCCTGGGGAAA chr3 71978156 71978157 chr3:71978157:C:T rs73111031 C T C EBF1_EBF_1 25 0 + 0 0 . chr3 71991581 71991582 chr3:71991582:G:C rs35449034 G C C EBF1_EBF_1 32 0 - 0 0 . chr3 71994479 71994480 chr3:71994480:G:A rs114696989 G A G EBF1_EBF_1 -7 0 - 0 0 . chr3 71994482 71994483 chr3:71994483:G:A rs9784265 G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 71999540 71999541 chr3:71999541:A:G rs9879563 A G G EBF1_EBF_1 -11 0 - 0 0 . chr3 72013257 72013258 chr3:72013258:G:C rs2036595 G C C EBF1_EBF_1 24 0 - 0 0 . chr3 72013259 72013260 chr3:72013260:A:G rs9850131 A G A EBF1_EBF_1 22 0 - 0 0 . chr3 72076112 72076113 chr3:72076113:A:T rs13066702 A T A EBF1_EBF_1 11 1 + 11.523848430693787 7.425934174595062 CTTCCCCTGGGAAT chr3 72086874 72086875 chr3:72086875:G:A rs55695491 G A G EBF1_EBF_1 0 1 - 4.893154517356705 5.175356578087283 CTTCCCTAGCGAAG chr3 72111090 72111091 chr3:72111091:A:G rs79525002 A G A EBF1_EBF_1 -3 0 + 0 0 . chr3 72143419 72143420 chr3:72143420:C:T rs72954367 C T C EBF1_EBF_1 12 1 - 4.998293327024984 6.417110669124462 TATCCCAAGGGCGC chr3 72164009 72164010 chr3:72164010:C:T rs115170761 C T c EBF1_EBF_1 20 0 + 0 0 . chr3 72165182 72165183 chr3:72165183:C:G rs6777305 C G g EBF1_EBF_1 19 0 - 0 0 . chr3 72178435 72178436 chr3:72178436:T:C rs9829428 T C C EBF1_EBF_1 -8 0 - 0 0 . chr3 72186294 72186295 chr3:72186295:T:C rs59292603 T C T EBF1_EBF_1 12 1 - 6.979402722979402 5.560585380879925 CATCCCAAGGGCAT chr3 72194439 72194440 chr3:72194440:C:T rs562587399 C T C EBF1_EBF_1 -3 0 + 0 0 . chr3 72194450 72194451 chr3:72194451:G:A rs73107219 G A G EBF1_EBF_1 8 1 + 5.239459353675991 1.0131692647897297 CATCCCCTGGAAAT chr3 72217159 72217160 chr3:72217160:C:T rs4677113 C T C EBF1_EBF_1 5 1 + 6.932635519853271 1.6108483043126018 AGTCCCGGGAGAAC chr3 72217182 72217183 chr3:72217183:T:G rs4677114 T G T EBF1_EBF_1 28 0 + 0 0 . chr3 72227872 72227873 chr3:72227873:C:A rs4303838 C A C EBF1_EBF_1 2 1 + 8.768189113602256 6.972544937445528 AGCCCCCAGGGGAT chr3 72232761 72232762 chr3:72232762:C:G rs4339079 C G C EBF1_EBF_1 17 0 + 0 0 . chr3 72240505 72240506 chr3:72240506:C:T rs35107549 C T T EBF1_EBF_1 8 1 - 6.087606402647207 1.861316313760946 ACTCCCCTGAGGCA chr3 72242382 72242383 chr3:72242383:C:T rs138453405 C T C EBF1_EBF_1 3 1 + 5.486881323144855 -0.9185174184084612 CCTCTCCAGGGACA chr3 72255906 72255907 chr3:72255907:G:C rs72969206 G C G EBF1_EBF_1 20 0 + 0 0 . chr3 72258915 72258916 chr3:72258916:G:A rs116583876 G A G EBF1_EBF_1 2 1 - 5.464466477011816 7.353289888453966 TTCCCCAAGGGCCC chr3 72265388 72265389 chr3:72265389:G:T rs55764912 G T G EBF1_EBF_1 22 0 - 0 0 . chr3 72274135 72274136 chr3:72274136:A:G rs35092991 A G A EBF1_EBF_1 -7 0 + 0 0 . chr3 72274967 72274968 chr3:72274968:G:A rs80146652 G A G EBF1_EBF_1 -2 0 - 0 0 . chr3 72310812 72310813 chr3:72310813:G:T rs74472698 G T G EBF1_EBF_1 -16 0 - 0 0 . chr3 72322623 72322624 chr3:72322624:G:C rs73840827 G C G EBF1_EBF_1 1 1 + 7.857219988889195 7.667448334319978 AGTCCCATGAGGCT chr3 72340264 72340265 chr3:72340265:C:G rs546570730 C G C EBF1_EBF_1 4 1 + 5.816703336827553 0.1909382356780085 CCCCCCCTGGGGCT chr3 72340672 72340673 chr3:72340673:G:A rs75024298 G A G EBF1_EBF_1 23 0 - 0 0 . chr3 72346176 72346177 chr3:72346177:T:C rs184071563 T C T EBF1_EBF_1 -15 0 + 0 0 . chr3 72353847 72353848 chr3:72353848:A:G rs9836591 A G G EBF1_EBF_1 18 0 + 0 0 . chr3 72521350 72521351 chr3:72521351:G:A rs6790541 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 72606563 72606564 chr3:72606564:C:T rs13076653 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 72628420 72628421 chr3:72628421:C:A rs9809964 C A C EBF1_EBF_1 25 0 + 0 0 . chr3 72673685 72673686 chr3:72673686:C:T rs146110921 C T C EBF1_EBF_1 -10 0 + 0 0 . chr3 72683123 72683124 chr3:72683124:T:C rs115792836 T C T EBF1_EBF_1 30 0 - 0 0 . chr3 72685166 72685167 chr3:72685167:A:G rs9814581 A G G EBF1_EBF_1 19 0 - 0 0 . chr3 72744499 72744500 chr3:72744500:C:A rs2322610 C A A EBF1_EBF_1 5 1 + 4.873980102961174 -2.030901049673994 CCTCCCCGGAGAGC chr3 72798065 72798066 chr3:72798066:G:A rs17010146 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 72961584 72961585 chr3:72961585:C:T rs140933984 C T C EBF1_EBF_1 4 1 + 4.924810084997432 0.555423140052509 AACCCCAAGTGAAT chr3 72997213 72997214 chr3:72997214:C:G rs187828872 C G C EBF1_EBF_1 5 1 + 5.849235852416329 -1.0556453002188402 CCTCCCCAGGGGCG chr3 72997224 72997225 chr3:72997225:G:T rs550607806 G T G EBF1_EBF_1 16 0 + 0 0 . chr3 73096906 73096907 chr3:73096907:A:C rs11128309 A C C EBF1_EBF_1 -5 0 - 0 0 . chr3 73120283 73120284 chr3:73120284:A:G rs301543 A G G EBF1_EBF_1 -16 0 + 0 0 . chr3 73230114 73230115 chr3:73230115:T:A rs1078672 T A A EBF1_EBF_1 0 1 - 3.7881785035269293 1.8151220805609067 ATTCCCCGTGGAGC chr3 73319420 73319421 chr3:73319421:G:A rs34642915 G A G EBF1_EBF_1 -11 0 + 0 0 . chr3 73451178 73451179 chr3:73451179:C:G rs151228815 C G C EBF1_EBF_1 14 0 - 0 0 . chr3 73451195 73451196 chr3:73451196:G:A rs137919794 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 73466967 73466968 chr3:73466968:A:G rs4677287 A G A EBF1_EBF_1 30 0 - 0 0 . chr3 73556971 73556972 chr3:73556972:T:C rs73100480 T C T EBF1_EBF_1 0 1 - 8.182901633308479 6.2906177637436445 AGTCCCAGGGGCAT chr3 73577409 73577410 chr3:73577410:G:A rs13060646 G A G EBF1_EBF_1 4 1 - 9.197953455243834 4.828566510298913 ATTCCCCAGGTACC chr3 73622350 73622351 chr3:73622351:A:G rs77892067 A G G EBF1_EBF_1 32 0 - 0 0 . chr3 73622352 73622353 chr3:73622353:A:G rs112120244 A G G EBF1_EBF_1 30 0 - 0 0 . chr3 73622369 73622370 chr3:73622370:A:C rs116330059 A C A EBF1_EBF_1 13 1 - 5.413527151198589 3.080962015257656 TCCCCCAAGGGCCT chr3 73624036 73624037 chr3:73624037:G:A rs79280925 G A G EBF1_EBF_1 -17 0 + 0 0 . chr3 73625282 73625283 chr3:73625283:G:T rs535721036 G T G EBF1_EBF_1 31 0 + 0 0 . chr3 73658538 73658539 chr3:73658539:G:A rs75293556 G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 73730363 73730364 chr3:73730364:C:T rs116561196 C T C EBF1_EBF_1 10 1 - 10.976113179072026 5.658084719805062 ACTCCCTAGAGACT chr3 73737273 73737274 chr3:73737274:T:C rs35391987 T C T EBF1_EBF_1 20 0 + 0 0 . chr3 73784290 73784291 chr3:73784291:C:T rs291497 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 73816142 73816143 chr3:73816143:A:G rs75980173 A G a EBF1_EBF_1 31 0 + 0 0 . chr3 73821034 73821035 chr3:73821035:C:T rs291509 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 73933755 73933756 chr3:73933756:C:A rs1526715 C A C EBF1_EBF_1 26 0 - 0 0 . chr3 73953732 73953733 chr3:73953733:A:G rs1949929 A G A EBF1_EBF_1 -3 0 - 0 0 . chr3 73953895 73953896 chr3:73953896:T:C rs11922705 T C T EBF1_EBF_1 30 0 - 0 0 . chr3 74018183 74018184 chr3:74018184:A:G rs9816431 A G A EBF1_EBF_1 -14 0 - 0 0 . chr3 74039287 74039288 chr3:74039288:C:T rs62248868 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 74129223 74129224 chr3:74129224:T:G rs278393 T G G EBF1_EBF_1 30 0 - 0 0 . chr3 74447420 74447421 chr3:74447421:G:C rs62267346 G C G EBF1_EBF_1 0 1 + 9.932740296703205 9.569765682571438 GGTCCCCTGGGACA chr3 74562052 74562053 chr3:74562053:T:A rs80155412 T A T EBF1_EBF_1 -20 0 + 0 0 . chr3 74570924 74570925 chr3:74570925:G:T rs17012642 G T G EBF1_EBF_1 4 1 - 6.546462200824255 0.7219025773707887 ATCCCCTTAGGAGT chr3 74614147 74614148 chr3:74614148:G:C rs116227257 G C G EBF1_EBF_1 32 0 + 0 0 . chr3 74721782 74721783 chr3:74721783:C:T rs1823233 C T T EBF1_EBF_1 26 0 + 0 0 . chr3 74897003 74897004 chr3:74897004:T:C rs150595034 T C T EBF1_EBF_1 -2 0 + 0 0 . chr3 75139845 75139846 chr3:75139846:C:G rs115061174 C G C EBF1_EBF_1 26 0 - 0 0 . chr3 75172443 75172444 chr3:75172444:C:T rs62261003 C T C EBF1_EBF_1 4 1 + 10.181624546930863 5.81223760198594 ATTCCCCAGAGAGT chr3 75285910 75285911 chr3:75285911:C:T rs73840471 C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 75285911 75285912 chr3:75285912:G:A rs116367873 G A C EBF1_EBF_1 -5 0 - 0 0 . chr3 75294088 75294089 chr3:75294089:T:C rs73840475 T C T EBF1_EBF_1 32 0 - 0 0 . chr3 75311892 75311893 chr3:75311893:A:G rs4076321 A G A EBF1_EBF_1 6 1 + 4.616174176081128 2.4493797448066528 GTTCCCAGGAGGCA chr3 75332447 75332448 chr3:75332448:A:G rs4677498 A G . EBF1_EBF_1 19 0 + 0 0 . chr3 75422143 75422144 chr3:75422144:C:T rs11717001 C T . EBF1_EBF_1 -18 0 + 0 0 . chr3 75422189 75422190 chr3:75422190:G:A rs11709789 G A . EBF1_EBF_1 28 0 + 0 0 . chr3 75431329 75431330 chr3:75431330:C:T rs11706373 C T . EBF1_EBF_1 -18 0 - 0 0 . chr3 75434487 75434488 chr3:75434488:C:T rs192420652 C T . EBF1_EBF_1 8 1 - 9.501043501679264 5.274753412793004 TCTCCCTGGGGACC chr3 75518254 75518255 chr3:75518255:G:A rs2056173 G A . EBF1_EBF_1 -8 0 - 0 0 . chr3 75575508 75575509 chr3:75575509:C:T rs2918549 C T . EBF1_EBF_1 25 0 + 0 0 . chr3 75579378 75579379 chr3:75579379:T:C rs755457317 T C . EBF1_EBF_1 -16 0 - 0 0 . chr3 75611653 75611654 chr3:75611654:T:G rs112753804 T G . EBF1_EBF_1 -3 0 + 0 0 . chr3 75617521 75617522 chr3:75617522:C:T rs352403 C T . EBF1_EBF_1 -5 0 - 0 0 . chr3 75618723 75618724 chr3:75618724:C:T rs62258822 C T . EBF1_EBF_1 23 0 - 0 0 . chr3 75639201 75639202 chr3:75639202:G:A rs62247940 G A . EBF1_EBF_1 31 0 - 0 0 . chr3 75768144 75768145 chr3:75768145:A:G rs7433487 A G g EBF1_EBF_1 -16 0 - 0 0 . chr3 75785664 75785665 chr3:75785665:C:G rs199653538 C G c EBF1_EBF_1 -7 0 + 0 0 . chr3 75785683 75785684 chr3:75785684:C:G rs182958672 C G c EBF1_EBF_1 12 1 + 6.589825351752701 5.2011894080745495 TTTCCCATGAGGCT chr3 75907463 75907464 chr3:75907464:C:G rs2324393 C G G EBF1_EBF_1 -17 0 - 0 0 . chr3 76252841 76252842 chr3:76252842:G:A rs34587302 G A G EBF1_EBF_1 33 0 - 0 0 . chr3 76644597 76644598 chr3:76644598:G:A rs6764287 G A G EBF1_EBF_1 6 1 + 5.462184879913002 7.628979311187477 CTTCCCGTAGGACT chr3 76866879 76866880 chr3:76866880:A:G rs35359171 A G A EBF1_EBF_1 13 1 - 12.30798310081824 11.46348898623272 AATCCCAGGGGACT chr3 76880947 76880948 chr3:76880948:C:T rs62261843 C T C EBF1_EBF_1 -6 0 - 0 0 . chr3 77248173 77248174 chr3:77248174:A:G rs7431770 A G G EBF1_EBF_1 -14 0 - 0 0 . chr3 77379989 77379990 chr3:77379990:G:T rs11926022 G T T EBF1_EBF_1 21 0 + 0 0 . chr3 77469324 77469325 chr3:77469325:T:G rs34817450 T G G EBF1_EBF_1 -1 0 + 0 0 . chr3 77470132 77470133 chr3:77470133:G:T rs1447847 G T G EBF1_EBF_1 24 0 + 0 0 . chr3 77781973 77781974 chr3:77781974:T:C rs73120530 T C T EBF1_EBF_1 -13 0 - 0 0 . chr3 77825960 77825961 chr3:77825961:C:T rs116541434 C T C EBF1_EBF_1 18 0 - 0 0 . chr3 78142711 78142712 chr3:78142712:C:T rs1996997 C T C EBF1_EBF_1 -10 0 + 0 0 . chr3 78186985 78186986 chr3:78186986:T:C rs9881136 T C C EBF1_EBF_1 15 0 + 0 0 . chr3 78258609 78258610 chr3:78258610:A:T rs9309794 A T A EBF1_EBF_1 1 1 - 5.350256723536073 4.383896105785242 ATCCCTCTGGGAAA chr3 78281417 78281418 chr3:78281418:C:T rs58971449 C T C EBF1_EBF_1 8 1 - 8.21620767715216 3.9899175882658975 CTCCCCCTGGGAGT chr3 78284174 78284175 chr3:78284175:G:A rs73106282 G A G EBF1_EBF_1 4 1 - 6.149337087096378 1.7799501421514559 ACCCCTCAGGGAAT chr3 78614123 78614124 chr3:78614124:G:A rs3773195 G A G EBF1_EBF_1 20 0 + 0 0 . chr3 78791437 78791438 chr3:78791438:C:T rs17376753 C T C EBF1_EBF_1 13 1 - 5.895400265519877 7.009725837589316 TTTCCCAAGGGCAG chr3 78953025 78953026 chr3:78953026:T:G rs114568566 T G T EBF1_EBF_1 -11 0 + 0 0 . chr3 79017576 79017577 chr3:79017577:A:G rs774296981 A G A EBF1_EBF_1 28 0 - 0 0 . chr3 79018034 79018035 chr3:79018035:G:A rs572121121 G A G EBF1_EBF_1 19 0 + 0 0 . chr3 79052196 79052197 chr3:79052197:A:G rs143019218 A G A EBF1_EBF_1 7 1 - 9.936311148113395 8.11880640183077 CTCCCCTTGGGAAT chr3 79172420 79172421 chr3:79172421:A:G rs9823652 A G A EBF1_EBF_1 -3 0 + 0 0 . chr3 79310328 79310329 chr3:79310329:G:A rs981893529 G A G EBF1_EBF_1 -15 0 + 0 0 . chr3 79345491 79345492 chr3:79345492:T:C rs77598556 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 79484131 79484132 chr3:79484132:T:C rs79529881 T C T EBF1_EBF_1 -9 0 + 0 0 . chr3 79490641 79490642 chr3:79490642:T:C rs78435624 T C T EBF1_EBF_1 -12 0 + 0 0 . chr3 79607819 79607820 chr3:79607820:T:A rs9834472 T A A EBF1_EBF_1 0 1 + 5.28185567648692 7.254912099452944 TCTCCCTAGAGAGG chr3 79729204 79729205 chr3:79729205:C:A rs7613917 C A C EBF1_EBF_1 27 0 + 0 0 . chr3 79949576 79949577 chr3:79949577:C:T rs72631237 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 80015950 80015951 chr3:80015951:A:T rs76734971 A T A EBF1_EBF_1 -18 0 + 0 0 . chr3 80190499 80190500 chr3:80190500:C:T rs2055766 C T C EBF1_EBF_1 8 1 - 5.913921067866285 1.6876309789800255 ATTCCCGAGGAAAA chr3 80308977 80308978 chr3:80308978:C:T rs908100 C T C EBF1_EBF_1 -1 0 - 0 0 . chr3 80753580 80753581 chr3:80753581:G:A rs183747279 G A G EBF1_EBF_1 28 0 - 0 0 . chr3 81021249 81021250 chr3:81021250:C:T rs59047887 C T C EBF1_EBF_1 -14 0 - 0 0 . chr3 81125433 81125434 chr3:81125434:C:G rs73139090 C G G EBF1_EBF_1 -2 0 - 0 0 . chr3 81125604 81125605 chr3:81125605:G:A rs73139091 G A A EBF1_EBF_1 32 0 + 0 0 . chr3 81275085 81275086 chr3:81275086:C:G rs7615253 C G G EBF1_EBF_1 29 0 + 0 0 . chr3 81300691 81300692 chr3:81300692:G:A rs11714085 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 81338858 81338859 chr3:81338859:T:A rs78458467 T A T EBF1_EBF_1 16 0 + 0 0 . chr3 81502472 81502473 chr3:81502473:T:C rs6768895 T C T EBF1_EBF_1 2 1 + 7.583122591777211 5.69429918033506 ATTGCCCAGGGAAC chr3 81761066 81761067 chr3:81761067:T:C rs996325768 T C T EBF1_EBF_1 9 1 - 5.239216176246618 7.894155441694222 ATTCCCCAGAGTGC chr3 81877039 81877040 chr3:81877040:G:A rs6768673 G A G EBF1_EBF_1 13 1 - 5.814422866733424 6.658916981318942 AGTCCCCAGGCAAC chr3 82405110 82405111 chr3:82405111:T:G rs1375804 T G T EBF1_EBF_1 -9 0 - 0 0 . chr3 82510490 82510491 chr3:82510491:C:T rs9814568 C T C EBF1_EBF_1 -20 0 + 0 0 . chr3 82556762 82556763 chr3:82556763:G:A rs77725360 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 82642379 82642380 chr3:82642380:A:G rs834852 A G - EBF1_EBF_1 24 0 - 0 0 . chr3 82644148 82644149 chr3:82644149:G:A rs74426632 G A g EBF1_EBF_1 -19 0 + 0 0 . chr3 82707023 82707024 chr3:82707024:A:G rs28549095 A G G EBF1_EBF_1 -20 0 + 0 0 . chr3 82707053 82707054 chr3:82707054:G:A rs6783313 G A G EBF1_EBF_1 10 1 + 6.876465766507448 1.5584373072404818 TCCCCCTAGGGGCT chr3 82707073 82707074 chr3:82707074:A:G rs6807186 A G G EBF1_EBF_1 30 0 + 0 0 . chr3 82711405 82711406 chr3:82711406:G:A rs6779196 G A A EBF1_EBF_1 16 0 + 0 0 . chr3 82715542 82715543 chr3:82715543:C:T rs115619408 C T C EBF1_EBF_1 -15 0 + 0 0 . chr3 82715587 82715588 chr3:82715588:A:G rs11719494 A G G EBF1_EBF_1 30 0 + 0 0 . chr3 82736936 82736937 chr3:82736937:T:A rs74682357 T A T EBF1_EBF_1 26 0 - 0 0 . chr3 82737003 82737004 chr3:82737004:A:G chr3:82737004:A:G A G T EBF1_EBF_1 -3 0 - 0 0 . chr3 82880307 82880308 chr3:82880308:C:T rs7619844 C T T EBF1_EBF_1 17 0 - 0 0 . chr3 82909259 82909260 chr3:82909260:G:A rs9877533 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 82985492 82985493 chr3:82985493:A:G rs17020418 A G G EBF1_EBF_1 -5 0 + 0 0 . chr3 83625406 83625407 chr3:83625407:A:G rs12634157 A G A EBF1_EBF_1 1 1 - 8.624744689319485 7.729311248920739 ATTCCCCTGGGTTT chr3 83717126 83717127 chr3:83717127:A:T rs115237638 A T A EBF1_EBF_1 28 0 - 0 0 . chr3 83969515 83969516 chr3:83969516:T:C rs62260314 T C T EBF1_EBF_1 -17 0 + 0 0 . chr3 84151997 84151998 chr3:84151998:G:A rs11715219 G A G EBF1_EBF_1 23 0 - 0 0 . chr3 84152012 84152013 chr3:84152013:A:G rs73121788 A G A EBF1_EBF_1 8 1 - 4.766563232576414 6.339337160638922 TCTCCCTATGGACT chr3 84793255 84793256 chr3:84793256:C:T rs6777273 C T C EBF1_EBF_1 30 0 - 0 0 . chr3 85009098 85009099 chr3:85009099:G:T rs17420038 G T G EBF1_EBF_1 31 0 - 0 0 . chr3 85215115 85215116 chr3:85215116:G:A rs13078384 G A G EBF1_EBF_1 0 1 - 5.550484290779198 5.832686351509777 CTCCCCATGGGCCT chr3 85343159 85343160 chr3:85343160:A:G rs140015612 A G A EBF1_EBF_1 -13 0 + 0 0 . chr3 85540032 85540033 chr3:85540033:G:T rs73132355 G T G EBF1_EBF_1 17 0 - 0 0 . chr3 85603546 85603547 chr3:85603547:T:C rs73141535 T C T EBF1_EBF_1 -6 0 + 0 0 . chr3 86176777 86176778 chr3:86176778:A:G rs12638689 A G A EBF1_EBF_1 -19 0 - 0 0 . chr3 86240305 86240306 chr3:86240306:G:A rs9860570 G A A EBF1_EBF_1 31 0 + 0 0 . chr3 87026456 87026457 chr3:87026457:G:A rs549402620 G A G EBF1_EBF_1 4 1 - 5.487818651972985 1.1184317070280612 ACACCCCTGAGATT chr3 87084980 87084981 chr3:87084981:C:T rs2575765 C T T EBF1_EBF_1 26 0 - 0 0 . chr3 87145686 87145687 chr3:87145687:G:A rs58834131 G A G EBF1_EBF_1 4 1 - 5.577801048722886 1.208414103777961 CTTCCCCAGAGGCC chr3 87145845 87145846 chr3:87145846:T:C rs1844098 T C C EBF1_EBF_1 -4 0 - 0 0 . chr3 87322019 87322020 chr3:87322020:G:A rs2646232 G A G EBF1_EBF_1 32 0 - 0 0 . chr3 87350689 87350690 chr3:87350690:T:A rs76564067 T A T EBF1_EBF_1 2 1 + 6.023967942342517 2.33950035474364 TATCCCCAGAGAGA chr3 87390301 87390302 chr3:87390302:G:T rs62267689 G T G EBF1_EBF_1 28 0 - 0 0 . chr3 87653770 87653771 chr3:87653771:G:T rs7622310 G T G EBF1_EBF_1 -10 0 + 0 0 . chr3 87679311 87679312 chr3:87679312:A:G rs112524339 A G G EBF1_EBF_1 7 1 - 5.780761386036054 3.96325663975343 GGCCCCATGAGAAA chr3 87749291 87749292 chr3:87749292:A:G rs62267027 A G A EBF1_EBF_1 19 0 - 0 0 . chr3 87793051 87793052 chr3:87793052:C:T rs1873210 C T C EBF1_EBF_1 25 0 - 0 0 . chr3 87810979 87810980 chr3:87810980:C:T rs1489775 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 87829592 87829593 chr3:87829593:G:A rs75185394 G A G EBF1_EBF_1 5 1 - 6.082515459960911 0.7607282444202417 AGTCCCTCAGGACA chr3 87906688 87906689 chr3:87906689:C:T rs191405615 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 87949791 87949792 chr3:87949792:T:G rs1960034 T G G EBF1_EBF_1 13 1 - 7.92633429158316 8.300079740869135 ATTCCCAAGGGCTA chr3 88149614 88149615 chr3:88149615:C:T rs58748678 C T C EBF1_EBF_1 13 1 + 3.0593761648931075 3.9038702794786264 GCTCCCGGGGGCCC chr3 88219230 88219231 chr3:88219231:T:C rs9856990 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 88574196 88574197 chr3:88574197:G:C rs6551350 G C G EBF1_EBF_1 -8 0 + 0 0 . chr3 88574230 88574231 chr3:88574231:T:C rs7432988 T C T EBF1_EBF_1 26 0 + 0 0 . chr3 88690731 88690732 chr3:88690732:G:T rs77913431 G T G EBF1_EBF_1 12 1 + 6.165844601941805 6.528213975069106 TTCCCCCTGGGAGG chr3 88690741 88690742 chr3:88690742:T:A rs2088207 T A A EBF1_EBF_1 7 1 - 4.77739337168298 4.3011191315954225 CTTCCTCAGGGATC chr3 88713840 88713841 chr3:88713841:T:G rs1845753 T G G EBF1_EBF_1 2 1 + 11.648777285090722 4.900451594890255 AGTCCCCAGGGATC chr3 88911658 88911659 chr3:88911659:T:C rs9310097 T C T EBF1_EBF_1 -19 0 + 0 0 . chr3 88929567 88929568 chr3:88929568:A:T rs73146250 A T A EBF1_EBF_1 11 1 + 5.597951843889753 1.5000375877910275 CCTCCCAGGAGATC chr3 88958119 88958120 chr3:88958120:T:C chr3:88958120:T:C T C T EBF1_EBF_1 12 1 - 4.837679372924832 3.4188620308253546 CCTCCCAGGGGTAA chr3 89107896 89107897 chr3:89107897:C:T rs78293063 C T C EBF1_EBF_1 -17 0 - 0 0 . chr3 89685335 89685336 chr3:89685336:C:T rs7616823 C T C EBF1_EBF_1 -1 0 - 0 0 . chr3 89772509 89772510 chr3:89772510:A:G rs72914026 A G G EBF1_EBF_1 13 1 + 7.0171021993343 5.902776627264861 GATCCCCTGAGACA chr3 89927003 89927004 chr3:89927004:C:T rs72917629 C T T EBF1_EBF_1 18 0 + 0 0 . chr3 90007229 90007230 chr3:90007230:G:A rs1464463 G A G EBF1_EBF_1 12 1 - 5.090687779372776 4.064421208821926 AGCCCTCAGGGACA chr3 90072838 90072839 chr3:90072839:T:C rs112937177 T C C EBF1_EBF_1 24 0 - 0 0 . chr3 91288257 91288258 chr3:91288258:A:C rs1221010754 A C . EBF1_EBF_1 28 0 - 0 0 . chr3 91891254 91891255 chr3:91891255:G:T rs1476615273 G T . EBF1_EBF_1 9 1 + 6.30892734324427 -0.5280620648445387 AGCCCTCAGGGACT chr3 91891267 91891268 chr3:91891268:T:C rs1373101441 T C . EBF1_EBF_1 22 0 + 0 0 . chr3 91891409 91891410 chr3:91891410:C:T rs1423739053 C T . EBF1_EBF_1 17 0 + 0 0 . chr3 93726248 93726249 chr3:93726249:G:C rs1374747911 G C . EBF1_EBF_1 1 1 + 5.378048505002609 5.188276850433392 AGTCCCCAGAGTGT chr3 93980091 93980092 chr3:93980092:G:T rs117318079 G T G EBF1_EBF_1 21 0 - 0 0 . chr3 93980373 93980374 chr3:93980374:C:A rs199505618 C A C EBF1_EBF_1 -11 0 - 0 0 . chr3 94099621 94099622 chr3:94099622:T:G rs2965 T G G EBF1_EBF_1 14 0 - 0 0 . chr3 94130636 94130637 chr3:94130637:C:G rs13095871 C G C EBF1_EBF_1 14 0 - 0 0 . chr3 94226244 94226245 chr3:94226245:A:G chr3:94226245:A:G A G A EBF1_EBF_1 29 0 - 0 0 . chr3 94246366 94246367 chr3:94246367:A:G rs116041472 A G A EBF1_EBF_1 -9 0 - 0 0 . chr3 94498400 94498401 chr3:94498401:A:G rs4428183 A G . EBF1_EBF_1 -1 0 - 0 0 . chr3 95045153 95045154 chr3:95045154:C:T rs11720623 C T . EBF1_EBF_1 -3 0 - 0 0 . chr3 95097646 95097647 chr3:95097647:A:G rs78093537 A G A EBF1_EBF_1 -1 0 - 0 0 . chr3 95156286 95156287 chr3:95156287:G:A rs9842363 G A G EBF1_EBF_1 29 0 - 0 0 . chr3 96417011 96417012 chr3:96417012:T:A rs141249126 T A T EBF1_EBF_1 22 0 - 0 0 . chr3 96801342 96801343 chr3:96801343:G:T rs62262894 G T G EBF1_EBF_1 27 0 - 0 0 . chr3 97036972 97036973 chr3:97036973:G:T rs111579434 G T G EBF1_EBF_1 26 0 + 0 0 . chr3 97293766 97293767 chr3:97293767:C:T rs74467861 C T C EBF1_EBF_1 12 1 + 4.571917318624544 3.5456507480736934 TTTCACTGGGGACC chr3 97764346 97764347 chr3:97764347:G:A rs556333318 G A G EBF1_EBF_1 1 1 - 4.8806658336866375 5.776099274085383 TCCCCCCTGGGGCA chr3 97764678 97764679 chr3:97764679:G:C rs563142870 G C G EBF1_EBF_1 26 0 - 0 0 . chr3 97764764 97764765 chr3:97764765:C:T rs116162146 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 97822173 97822174 chr3:97822174:C:T rs974572 C T C EBF1_EBF_1 -20 0 + 0 0 . chr3 97863842 97863843 chr3:97863843:T:G rs77810776 T G T EBF1_EBF_1 25 0 + 0 0 . chr3 97914892 97914893 chr3:97914893:T:C rs1391665 T C T EBF1_EBF_1 -16 0 + 0 0 . chr3 98022557 98022558 chr3:98022558:A:G rs71311341 A G A EBF1_EBF_1 20 0 + 0 0 . chr3 98029387 98029388 chr3:98029388:T:C rs74927682 T C T EBF1_EBF_1 25 0 - 0 0 . chr3 98188217 98188218 chr3:98188218:A:G rs34924704 A G A EBF1_EBF_1 -10 0 + 0 0 . chr3 98213339 98213340 chr3:98213340:C:T rs2088408 C T T EBF1_EBF_1 -5 0 - 0 0 . chr3 98259542 98259543 chr3:98259543:C:G rs35315439 C G G EBF1_EBF_1 -9 0 + 0 0 . chr3 98259563 98259564 chr3:98259564:C:A rs12638827 C A C EBF1_EBF_1 12 1 + 3.5395396602170743 3.569721058638401 GCACCCCTGGGGCC chr3 98260706 98260707 chr3:98260707:G:T rs7632173 G T - EBF1_EBF_1 -3 0 + 0 0 . chr3 98262184 98262185 chr3:98262185:G:A rs9855253 G A A EBF1_EBF_1 -7 0 - 0 0 . chr3 98292506 98292507 chr3:98292507:T:A rs7642683 T A A EBF1_EBF_1 -6 0 - 0 0 . chr3 98384929 98384930 chr3:98384930:A:T rs10935352 A T . EBF1_EBF_1 -6 0 + 0 0 . chr3 98483186 98483187 chr3:98483187:G:A rs78469865 G A G EBF1_EBF_1 -8 0 + 0 0 . chr3 98501398 98501399 chr3:98501399:C:A rs73140298 C A C EBF1_EBF_1 -15 0 - 0 0 . chr3 98522656 98522657 chr3:98522657:T:C rs1309005165 T C T EBF1_EBF_1 -18 0 + 0 0 . chr3 98522696 98522697 chr3:98522697:C:A rs115013591 C A C EBF1_EBF_1 22 0 + 0 0 . chr3 98522705 98522706 chr3:98522706:A:G chr3:98522706:A:G A G A EBF1_EBF_1 31 0 + 0 0 . chr3 98573021 98573022 chr3:98573022:G:T rs10511168 G T T EBF1_EBF_1 -20 0 + 0 0 . chr3 98593746 98593747 chr3:98593747:C:T rs139988118 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 98612614 98612615 chr3:98612615:G:T rs35282212 G T G EBF1_EBF_1 25 0 + 0 0 . chr3 98651164 98651165 chr3:98651165:G:A rs140404424 G A G EBF1_EBF_1 -7 0 - 0 0 . chr3 98718765 98718766 chr3:98718766:A:G rs9859711 A G A EBF1_EBF_1 19 0 + 0 0 . chr3 98770785 98770786 chr3:98770786:A:G rs16840147 A G A EBF1_EBF_1 30 0 - 0 0 . chr3 98770807 98770808 chr3:98770808:C:G rs16840150 C G C EBF1_EBF_1 8 1 - 6.140487733938822 0.8218288730241367 AATGCCCTGGGAAC chr3 98777368 98777369 chr3:98777369:G:A rs9870500 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 98901118 98901119 chr3:98901119:C:T chr3:98901119:C:T C T C EBF1_EBF_1 17 0 + 0 0 . chr3 98901129 98901130 chr3:98901130:G:C rs202016309 G C G EBF1_EBF_1 28 0 + 0 0 . chr3 99107926 99107927 chr3:99107927:T:A rs1524223 T A T EBF1_EBF_1 27 0 + 0 0 . chr3 99114869 99114870 chr3:99114870:A:G rs113388784 A G A EBF1_EBF_1 7 1 + 5.156994884927195 3.844536479638368 GGTCGCCAGGGACC chr3 99173275 99173276 chr3:99173276:G:A rs111501470 G A A EBF1_EBF_1 -5 0 + 0 0 . chr3 99240933 99240934 chr3:99240934:G:A rs115909105 G A G EBF1_EBF_1 3 1 - 6.315365270830178 -0.09003347072313839 ACTCGCCAGGGAGT chr3 99249861 99249862 chr3:99249862:C:T rs150758314 C T C EBF1_EBF_1 3 1 + 5.457291452332233 -0.9481072892210836 CCTCCCTTGGGCTT chr3 99394857 99394858 chr3:99394858:C:A rs1406542 C A C EBF1_EBF_1 4 1 + 4.033557416709382 -1.7910022067440843 CTGCCCCAGGGAAA chr3 99394866 99394867 chr3:99394867:A:G rs1406543 A G G EBF1_EBF_1 13 1 + 4.033557416709382 2.919231844639943 CTGCCCCAGGGAAA chr3 99420177 99420178 chr3:99420178:C:A rs12496477 C A C EBF1_EBF_1 11 1 + 5.890524048136792 10.30648574598918 GGTCCCCTGGGCCC chr3 99440077 99440078 chr3:99440078:T:G chr3:99440078:T:G T G T EBF1_EBF_1 24 0 + 0 0 . chr3 99562389 99562390 chr3:99562390:G:A rs2175746 G A G EBF1_EBF_1 18 0 + 0 0 . chr3 99570284 99570285 chr3:99570285:C:T rs114624435 C T C EBF1_EBF_1 -17 0 - 0 0 . chr3 99620360 99620361 chr3:99620361:G:A rs1355764 G A A EBF1_EBF_1 -18 0 + 0 0 . chr3 99639804 99639805 chr3:99639805:C:T rs79785293 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 99646083 99646084 chr3:99646084:G:T rs16841573 G T G EBF1_EBF_1 -7 0 - 0 0 . chr3 99768309 99768310 chr3:99768310:T:C rs704576 T C c EBF1_EBF_1 30 0 + 0 0 . chr3 99847013 99847014 chr3:99847014:T:C rs116088455 T C T EBF1_EBF_1 7 1 + 6.449208770448484 4.6317040241658605 ACTCCCATGAGGCA chr3 99854702 99854703 chr3:99854703:G:A rs793443 G A A EBF1_EBF_1 -13 0 - 0 0 . chr3 99923959 99923960 chr3:99923960:C:T rs75597220 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 99961241 99961242 chr3:99961242:A:G rs4075038 A G G EBF1_EBF_1 -18 0 - 0 0 . chr3 100045909 100045910 chr3:100045910:G:A rs9864437 G A G EBF1_EBF_1 13 1 - 4.2884577496856915 5.1329518642712095 CTTCCCCAGTGACC chr3 100045933 100045934 chr3:100045934:C:T rs151193342 C T C EBF1_EBF_1 -11 0 - 0 0 . chr3 100074200 100074201 chr3:100074201:G:A rs548570776 G A C EBF1_EBF_1 -19 0 + 0 0 . chr3 100076662 100076663 chr3:100076663:A:G rs9823908 A G A EBF1_EBF_1 21 0 - 0 0 . chr3 100106452 100106453 chr3:100106453:G:A rs116840388 G A g EBF1_EBF_1 -16 0 - 0 0 . chr3 100197334 100197335 chr3:100197335:C:T rs114598646 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 100252150 100252151 chr3:100252151:A:G rs6772774 A G G EBF1_EBF_1 -1 0 - 0 0 . chr3 100264502 100264503 chr3:100264503:T:G rs4928119 T G G EBF1_EBF_1 -6 0 - 0 0 . chr3 100339001 100339002 chr3:100339002:C:T rs1214381 C T T EBF1_EBF_1 17 0 + 0 0 . chr3 100354981 100354982 chr3:100354982:T:G rs277646 T G G EBF1_EBF_1 11 1 - 12.303499745107024 7.887538047254634 ACTCCCCAGGGATT chr3 100385213 100385214 chr3:100385214:G:A rs3772692 G A G EBF1_EBF_1 17 0 + 0 0 . chr3 100398851 100398852 chr3:100398852:T:C rs6794955 T C T EBF1_EBF_1 -15 0 + 0 0 . chr3 100492449 100492450 chr3:100492450:A:G rs7616839 A G G EBF1_EBF_1 0 1 + 6.465089849452775 4.57280597988794 ATTCCCTTAGGATG chr3 100492480 100492481 chr3:100492481:A:G rs12634371 A G G EBF1_EBF_1 31 0 + 0 0 . chr3 100561835 100561836 chr3:100561836:G:A rs7611100 G A A EBF1_EBF_1 -1 0 + 0 0 . chr3 100600515 100600516 chr3:100600516:G:A rs1718271 G A G EBF1_EBF_1 -11 0 + 0 0 . chr3 100603383 100603384 chr3:100603384:G:A rs9817778 G A G EBF1_EBF_1 10 1 + 6.011763606388989 0.6937351471220229 TCTCCCATGGGCAC chr3 100698381 100698382 chr3:100698382:C:T rs6775789 C T C EBF1_EBF_1 29 0 + 0 0 . chr3 100853732 100853733 chr3:100853733:G:A rs7627156 G A G EBF1_EBF_1 29 0 - 0 0 . chr3 100878723 100878724 chr3:100878724:C:G rs1821795 C G C EBF1_EBF_1 29 0 + 0 0 . chr3 101036317 101036318 chr3:101036318:T:C rs73149173 T C T EBF1_EBF_1 26 0 - 0 0 . chr3 101060965 101060966 chr3:101060966:G:A rs12632186 G A G EBF1_EBF_1 -7 0 - 0 0 . chr3 101092717 101092718 chr3:101092718:T:C rs1839300 T C T EBF1_EBF_1 12 1 + 8.02178705913196 9.048053629682808 ATTCCCAGAGGATT chr3 101165242 101165243 chr3:101165243:C:T rs113521490 C T C EBF1_EBF_1 10 1 - 4.14141885062611 -1.1766096086408568 AACCCCGGGGGGCA chr3 101165246 101165247 chr3:101165247:C:A rs9819211 C A C EBF1_EBF_1 6 1 - 4.14141885062611 6.247897042961065 AACCCCGGGGGGCA chr3 101171059 101171060 chr3:101171060:C:G rs9822754 C G C EBF1_EBF_1 16 0 - 0 0 . chr3 101194152 101194153 chr3:101194153:G:A rs4683871 G A G EBF1_EBF_1 -6 0 - 0 0 . chr3 101370417 101370418 chr3:101370418:T:C rs2553430 T C T EBF1_EBF_1 1 1 - 7.265100187587942 7.525799019509244 AATCCCCTGAGGAT chr3 101375632 101375633 chr3:101375633:T:G rs28883302 T G T EBF1_EBF_1 13 1 + 6.706225845794084 4.3736607098531515 ATACCCAAAGGAAT chr3 101514073 101514074 chr3:101514074:C:G rs116171868 C G C EBF1_EBF_1 12 1 + 5.08743577395105 3.6987998302728986 AGCCCGAAGGGACT chr3 101575567 101575568 chr3:101575568:T:C rs1365320 T C T EBF1_EBF_1 20 0 + 0 0 . chr3 101676391 101676392 chr3:101676392:G:C chr3:101676392:G:C G C G EBF1_EBF_1 -20 0 - 0 0 . chr3 101678353 101678354 chr3:101678354:G:C rs973053825 G C G EBF1_EBF_1 -9 0 + 0 0 . chr3 101695039 101695040 chr3:101695040:C:G rs139756476 C G C EBF1_EBF_1 3 1 + 7.4305247155195335 1.6027602674043162 ACTCCCTGGAGAGC chr3 101695051 101695052 chr3:101695052:C:T rs144420160 C T C EBF1_EBF_1 15 0 + 0 0 . chr3 101779269 101779270 chr3:101779270:C:A rs555572749 C A C EBF1_EBF_1 -19 0 + 0 0 . chr3 101779311 101779312 chr3:101779312:G:C rs181073330 G C G EBF1_EBF_1 23 0 + 0 0 . chr3 101806780 101806781 chr3:101806781:C:T rs2290859 C T C EBF1_EBF_1 -14 0 - 0 0 . chr3 101955089 101955090 chr3:101955090:G:A rs9853246 G A G EBF1_EBF_1 4 1 - 5.418851300869361 1.0494643559244363 ACTCCCTTTGGACC chr3 101984286 101984287 chr3:101984287:T:C rs1398613 T C T EBF1_EBF_1 19 0 + 0 0 . chr3 102051469 102051470 chr3:102051470:T:C rs4683961 T C T EBF1_EBF_1 9 1 - 10.653307055599276 13.30824632104688 ATTCCCTAGAGACA chr3 102094286 102094287 chr3:102094287:T:C rs9832010 T C T EBF1_EBF_1 -6 0 + 0 0 . chr3 102132468 102132469 chr3:102132469:A:G chr3:102132469:A:G A G A EBF1_EBF_1 9 1 + 7.0157299530038095 9.670669218451412 CATCCCATGAGACA chr3 102149357 102149358 chr3:102149358:C:A rs2398699 C A C EBF1_EBF_1 13 1 + 4.295614926089179 3.921869476803203 ACCCACCTGGGACC chr3 102195416 102195417 chr3:102195417:C:T rs17431476 C T C EBF1_EBF_1 12 1 + 6.167500155703139 5.141233585152289 TGACCCCTGGGACA chr3 102224557 102224558 chr3:102224558:C:T rs115804395 C T c EBF1_EBF_1 31 0 + 0 0 . chr3 102290441 102290442 chr3:102290442:A:T rs6808327 A T A EBF1_EBF_1 15 0 - 0 0 . chr3 102297301 102297302 chr3:102297302:G:A rs76524470 G A g EBF1_EBF_1 -16 0 - 0 0 . chr3 102363186 102363187 chr3:102363187:G:A rs56057951 G A G EBF1_EBF_1 23 0 + 0 0 . chr3 102564813 102564814 chr3:102564814:T:C rs1284773 T C T EBF1_EBF_1 7 1 - 8.647076052562419 7.3346176472735936 CTACCCAAGGGACT chr3 102780677 102780678 chr3:102780678:C:T rs1498083 C T C EBF1_EBF_1 -9 0 + 0 0 . chr3 102827858 102827859 chr3:102827859:G:T rs13071801 G T G EBF1_EBF_1 0 1 - 4.650292168661369 6.9055506523579675 CTCCCCATGGGTCA chr3 102904741 102904742 chr3:102904742:T:G rs345586 T G T EBF1_EBF_1 -15 0 - 0 0 . chr3 103085492 103085493 chr3:103085493:A:G rs7649646 A G A EBF1_EBF_1 18 0 + 0 0 . chr3 103603104 103603105 chr3:103603105:G:A rs78031127 G A G EBF1_EBF_1 16 0 + 0 0 . chr3 103706945 103706946 chr3:103706946:T:C rs17527920 T C C EBF1_EBF_1 17 0 - 0 0 . chr3 103706976 103706977 chr3:103706977:T:C rs16846630 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 104098172 104098173 chr3:104098173:C:T rs746170 C T T EBF1_EBF_1 29 0 + 0 0 . chr3 104098282 104098283 chr3:104098283:T:A rs1447992 T A A EBF1_EBF_1 2 1 + 5.9561703004206334 2.271702712821755 CTTCCCCAGAGATG chr3 104234253 104234254 chr3:104234254:G:C rs4895011 G C C EBF1_EBF_1 -4 0 + 0 0 . chr3 104257570 104257571 chr3:104257571:G:A rs6437500 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 104348517 104348518 chr3:104348518:C:G rs56350872 C G C EBF1_EBF_1 -4 0 - 0 0 . chr3 104397791 104397792 chr3:104397792:T:G rs7635989 T G T EBF1_EBF_1 -19 0 + 0 0 . chr3 104449586 104449587 chr3:104449587:A:C rs2673472 A C C EBF1_EBF_1 -15 0 + 0 0 . chr3 104449655 104449656 chr3:104449656:G:A rs13062133 G A G EBF1_EBF_1 10 1 + 3.5721493590939177 -1.7458791001730485 GGCCCTCAGGGACC chr3 104513542 104513543 chr3:104513543:C:T rs2961344 C T C EBF1_EBF_1 14 0 - 0 0 . chr3 104952285 104952286 chr3:104952286:C:T rs75553589 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 104996193 104996194 chr3:104996194:T:C rs13324580 T C T EBF1_EBF_1 30 0 - 0 0 . chr3 105145570 105145571 chr3:105145571:A:G rs9880276 A G A EBF1_EBF_1 0 1 + 7.765822567813021 5.873538698248189 AATCCCTTGGAACT chr3 105145695 105145696 chr3:105145696:A:C rs61704490 A C A EBF1_EBF_1 32 0 + 0 0 . chr3 105150319 105150320 chr3:105150320:C:T rs55650995 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 105150322 105150323 chr3:105150323:C:A rs13095024 C A C EBF1_EBF_1 20 0 + 0 0 . chr3 105366650 105366651 chr3:105366651:C:T rs777181950 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 105366674 105366675 chr3:105366675:C:G rs146071978 C G C EBF1_EBF_1 -5 0 - 0 0 . chr3 105369140 105369141 chr3:105369141:G:C rs185708500 G C G EBF1_EBF_1 -12 0 + 0 0 . chr3 105402696 105402697 chr3:105402697:T:G rs76026448 T G T EBF1_EBF_1 32 0 + 0 0 . chr3 105403369 105403370 chr3:105403370:G:A rs12638646 G A A EBF1_EBF_1 20 0 + 0 0 . chr3 105517123 105517124 chr3:105517124:A:G rs192148648 A G A EBF1_EBF_1 32 0 - 0 0 . chr3 105517157 105517158 chr3:105517158:C:T rs184695917 C T C EBF1_EBF_1 -2 0 - 0 0 . chr3 105517158 105517159 chr3:105517159:G:A rs115600972 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 105830013 105830014 chr3:105830014:A:C rs12186028 A C A EBF1_EBF_1 20 0 - 0 0 . chr3 105830018 105830019 chr3:105830019:T:G rs4894955 T G T EBF1_EBF_1 15 0 - 0 0 . chr3 105848813 105848814 chr3:105848814:A:T rs7641825 A T A EBF1_EBF_1 -20 0 - 0 0 . chr3 105868688 105868689 chr3:105868689:G:A rs534594767 G A g EBF1_EBF_1 -19 0 + 0 0 . chr3 105884872 105884873 chr3:105884873:G:A rs12485832 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 105884886 105884887 chr3:105884887:A:G rs78280231 A G G EBF1_EBF_1 28 0 + 0 0 . chr3 105930970 105930971 chr3:105930971:C:G rs2455886 C G G EBF1_EBF_1 -6 0 + 0 0 . chr3 105938259 105938260 chr3:105938260:G:A rs905344362 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 106172337 106172338 chr3:106172338:C:A rs115767991 C A C EBF1_EBF_1 -13 0 - 0 0 . chr3 106379642 106379643 chr3:106379643:A:G rs4895004 A G A EBF1_EBF_1 -4 0 - 0 0 . chr3 106400011 106400012 chr3:106400012:G:T rs12497482 G T G EBF1_EBF_1 2 1 - 6.772201436871337 4.976557260714611 TACCCCCAGAGAAT chr3 106534226 106534227 chr3:106534227:G:A rs192050412 G A G EBF1_EBF_1 19 0 + 0 0 . chr3 106534603 106534604 chr3:106534604:G:A rs117458871 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 106560532 106560533 chr3:106560533:C:G rs116490071 C G C EBF1_EBF_1 1 1 + 5.687629424874944 5.877401079444161 ACACCCATGAGAAA chr3 106566453 106566454 chr3:106566454:G:A rs2590218 G A A EBF1_EBF_1 6 1 - 5.369655680361167 5.670941809222925 TTCCCCCGGAGATT chr3 106566748 106566749 chr3:106566749:T:G rs9827463 T G T EBF1_EBF_1 1 1 - 7.721529568069743 7.792456745421827 CACCCCTTGGGACA chr3 106610638 106610639 chr3:106610639:C:T rs73857125 C T C EBF1_EBF_1 13 1 - 6.468222046170603 7.582547618240042 AGCCCCTTGAGACG chr3 106635551 106635552 chr3:106635552:A:G rs7630908 A G A EBF1_EBF_1 0 1 - 7.786130445356527 7.503928384625949 TGCCCCAAGGGAGC chr3 106960834 106960835 chr3:106960835:C:T rs58103405 C T C EBF1_EBF_1 -13 0 + 0 0 . chr3 106975415 106975416 chr3:106975416:G:A rs1712594 G A A EBF1_EBF_1 -6 0 - 0 0 . chr3 107030700 107030701 chr3:107030701:A:C rs113814810 A C A EBF1_EBF_1 29 0 + 0 0 . chr3 107051355 107051356 chr3:107051356:C:T rs142740087 C T C EBF1_EBF_1 -10 0 + 0 0 . chr3 107069171 107069172 chr3:107069172:C:T rs114442995 C T C EBF1_EBF_1 1 1 + 7.898411924975092 8.793845365373835 TCCCCCTAGGGATC chr3 107131507 107131508 chr3:107131508:T:C rs2895322 T C C EBF1_EBF_1 -9 0 - 0 0 . chr3 107199050 107199051 chr3:107199051:T:C rs150930645 T C T EBF1_EBF_1 1 1 + 5.606769794939645 4.7113363545409 TTTCCCTGGGGCCA chr3 107272217 107272218 chr3:107272218:A:G rs7651309 A G G EBF1_EBF_1 17 0 - 0 0 . chr3 107285214 107285215 chr3:107285215:A:G rs1991248 A G A EBF1_EBF_1 28 0 + 0 0 . chr3 107316950 107316951 chr3:107316951:T:C rs10933895 T C C EBF1_EBF_1 -9 0 - 0 0 . chr3 107368226 107368227 chr3:107368227:C:T rs17807525 C T C EBF1_EBF_1 -3 0 - 0 0 . chr3 107429465 107429466 chr3:107429466:C:T rs188381698 C T C EBF1_EBF_1 25 0 + 0 0 . chr3 107430345 107430346 chr3:107430346:A:C rs115631278 A C a EBF1_EBF_1 7 1 + 5.908986839761549 3.615207853391367 ACACCCAAGGGTCT chr3 107464186 107464187 chr3:107464187:C:T rs1282534 C T C EBF1_EBF_1 8 1 - 9.413489887131265 5.187199798245003 TCTCCCTAGGGATA chr3 107791740 107791741 chr3:107791741:G:T rs547073923 G T G EBF1_EBF_1 25 0 - 0 0 . chr3 107826902 107826903 chr3:107826903:C:G rs56321989 C G C EBF1_EBF_1 9 1 - 8.826011923531532 3.750322777186067 TCTCCCCGGGGACA chr3 107848501 107848502 chr3:107848502:A:T rs6773652 A T A EBF1_EBF_1 -14 0 - 0 0 . chr3 107885152 107885153 chr3:107885153:G:C rs150928242 G C G EBF1_EBF_1 18 0 - 0 0 . chr3 107885242 107885243 chr3:107885243:A:G rs960471 A G G EBF1_EBF_1 25 0 + 0 0 . chr3 107885323 107885324 chr3:107885324:G:A rs11923901 G A G EBF1_EBF_1 24 0 + 0 0 . chr3 107916369 107916370 chr3:107916370:T:A rs697953 T A T EBF1_EBF_1 6 1 + 7.34495971040835 7.405275949347869 AAACCCTGGGGACA chr3 107926926 107926927 chr3:107926927:C:T rs10933925 C T C EBF1_EBF_1 3 1 + 6.893634846481811 0.4882361049284944 AATCCCAGAGGAAA chr3 107938466 107938467 chr3:107938467:G:A rs1005061594 G A G EBF1_EBF_1 -5 0 + 0 0 . chr3 107951337 107951338 chr3:107951338:T:G rs1370281 T G T EBF1_EBF_1 -20 0 + 0 0 . chr3 107993531 107993532 chr3:107993532:G:A rs1398041872 G A G EBF1_EBF_1 -19 0 - 0 0 . chr3 108018538 108018539 chr3:108018539:C:T rs1344877 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 108022412 108022413 chr3:108022413:T:G rs7625134 T G T EBF1_EBF_1 -14 0 - 0 0 . chr3 108090711 108090712 chr3:108090712:C:T rs554318636 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 108091262 108091263 chr3:108091263:A:C rs964747240 A C a EBF1_EBF_1 -7 0 + 0 0 . chr3 108091264 108091265 chr3:108091265:C:A rs114967822 C A c EBF1_EBF_1 -5 0 + 0 0 . chr3 108091321 108091322 chr3:108091322:C:T chr3:108091322:C:T C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 108091348 108091349 chr3:108091349:C:T rs372048817 C T C EBF1_EBF_1 23 0 + 0 0 . chr3 108097466 108097467 chr3:108097467:G:A rs7628325 G A G EBF1_EBF_1 0 1 + 5.221100135619151 7.113384005183983 GCCCCCTTGGTAAT chr3 108312582 108312583 chr3:108312583:A:G rs59327155 A G A EBF1_EBF_1 -12 0 - 0 0 . chr3 108312583 108312584 chr3:108312584:T:C rs59171591 T C C EBF1_EBF_1 -13 0 - 0 0 . chr3 108453618 108453619 chr3:108453619:G:T rs16854645 G T G EBF1_EBF_1 -8 0 - 0 0 . chr3 108473897 108473898 chr3:108473898:G:A rs13060568 G A g EBF1_EBF_1 21 0 - 0 0 . chr3 108473903 108473904 chr3:108473904:C:T rs4365635 C T t EBF1_EBF_1 15 0 - 0 0 . chr3 108556882 108556883 chr3:108556883:T:C rs13319901 T C T EBF1_EBF_1 33 0 - 0 0 . chr3 108589538 108589539 chr3:108589539:C:T rs113830459 C T C EBF1_EBF_1 29 0 + 0 0 . chr3 108940975 108940976 chr3:108940976:A:G rs6799826 A G A EBF1_EBF_1 -9 0 + 0 0 . chr3 109032350 109032351 chr3:109032351:C:T rs6795056 C T T EBF1_EBF_1 -16 0 + 0 0 . chr3 109082122 109082123 chr3:109082123:G:A rs9855122 G A A EBF1_EBF_1 -3 0 + 0 0 . chr3 109224472 109224473 chr3:109224473:G:C rs74356569 G C . EBF1_EBF_1 -8 0 - 0 0 . chr3 109259138 109259139 chr3:109259139:A:T rs115227152 A T A EBF1_EBF_1 -6 0 - 0 0 . chr3 109337430 109337431 chr3:109337431:G:C rs3749235 G C G EBF1_EBF_1 31 0 + 0 0 . chr3 109695437 109695438 chr3:109695438:A:G rs1163728 A G G EBF1_EBF_1 20 0 - 0 0 . chr3 110002180 110002181 chr3:110002181:A:G rs13076132 A G a EBF1_EBF_1 19 0 + 0 0 . chr3 110179173 110179174 chr3:110179174:C:T rs78767254 C T C EBF1_EBF_1 1 1 - 6.3932521934716116 6.132553361550309 AGCCCCTGAGGACT chr3 110285305 110285306 chr3:110285306:G:A rs2614686 G A A EBF1_EBF_1 8 1 + 8.543403356158539 4.317113267272278 CCTCCCAAGGGGCT chr3 110347732 110347733 chr3:110347733:C:A rs4408892 C A C EBF1_EBF_1 5 1 + 5.378048505002609 -1.5268326476325578 AGTCCCCAGAGTGT chr3 110391185 110391186 chr3:110391186:C:T rs17726211 C T C EBF1_EBF_1 18 0 - 0 0 . chr3 110432575 110432576 chr3:110432576:A:G rs17726797 A G G EBF1_EBF_1 33 0 + 0 0 . chr3 110475216 110475217 chr3:110475217:A:G rs1106078 A G A EBF1_EBF_1 12 1 - 5.712503492498496 6.738770063049346 AATCCCCCAGGATT chr3 110479947 110479948 chr3:110479948:C:A rs62271578 C A C EBF1_EBF_1 11 1 - 4.660234349751874 3.4550269372571587 ATTGCCCAGGGGCC chr3 110479965 110479966 chr3:110479966:A:G rs13065612 A G A EBF1_EBF_1 -7 0 - 0 0 . chr3 110495775 110495776 chr3:110495776:G:C rs1112659 G C C EBF1_EBF_1 -20 0 + 0 0 . chr3 110495777 110495778 chr3:110495778:A:G rs1588658 A G G EBF1_EBF_1 -18 0 + 0 0 . chr3 110550978 110550979 chr3:110550979:T:A rs11719761 T A T EBF1_EBF_1 23 0 - 0 0 . chr3 110641757 110641758 chr3:110641758:G:A rs13088357 G A G EBF1_EBF_1 23 0 + 0 0 . chr3 110694222 110694223 chr3:110694223:C:T rs9873600 C T C EBF1_EBF_1 13 1 + 6.040047931773564 6.884542046359082 AGTCCCTAGAGGGC chr3 110881189 110881190 chr3:110881190:T:C rs116376695 T C T EBF1_EBF_1 6 1 - 8.488546209263525 6.321751777989049 CTTCCCAAGAGAAA chr3 110888832 110888833 chr3:110888833:C:T rs59995070 C T C EBF1_EBF_1 -13 0 - 0 0 . chr3 110888833 110888834 chr3:110888834:G:A rs74619184 G A G EBF1_EBF_1 -14 0 - 0 0 . chr3 110919065 110919066 chr3:110919066:C:G rs7611193 C G C EBF1_EBF_1 -15 0 + 0 0 . chr3 111072560 111072561 chr3:111072561:C:G rs1279124263 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 111185098 111185099 chr3:111185099:A:G rs77329681 A G A EBF1_EBF_1 -2 0 + 0 0 . chr3 111279111 111279112 chr3:111279112:G:A rs4682252 G A A EBF1_EBF_1 -18 0 - 0 0 . chr3 111292747 111292748 chr3:111292748:G:A rs9814916 G A G EBF1_EBF_1 -6 0 + 0 0 . chr3 111373550 111373551 chr3:111373551:T:C rs74378746 T C C EBF1_EBF_1 -19 0 - 0 0 . chr3 111386192 111386193 chr3:111386193:C:A rs1489037 C A C EBF1_EBF_1 -10 0 - 0 0 . chr3 111448479 111448480 chr3:111448480:C:T rs2201817 C T C EBF1_EBF_1 4 1 + 6.132099461277029 1.7627125163321056 CCTCCCCAGGGTCC chr3 111542127 111542128 chr3:111542128:C:T rs2276873 C T C EBF1_EBF_1 -20 0 - 0 0 . chr3 111676620 111676621 chr3:111676621:A:G rs142940173 A G A EBF1_EBF_1 22 0 - 0 0 . chr3 111713052 111713053 chr3:111713053:C:T rs4630914 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 111763200 111763201 chr3:111763201:C:T rs4431081 C T T EBF1_EBF_1 3 1 + 5.026370375365045 -1.3790283661882718 CTCCCCTGGAGAGT chr3 111763219 111763220 chr3:111763220:C:A rs7427201 C A C EBF1_EBF_1 22 0 + 0 0 . chr3 111803410 111803411 chr3:111803411:T:C rs9816926 T C T EBF1_EBF_1 1 1 + 6.227532936571718 5.332099496172972 CTTCCCGGGAGAAT chr3 111860014 111860015 chr3:111860015:C:T rs761812428 C T C EBF1_EBF_1 8 1 - 5.293360363289004 1.0670702744027434 AGCCCCCCGAGAGT chr3 111860017 111860018 chr3:111860018:G:C rs1021706 G C G EBF1_EBF_1 5 1 - 5.293360363289004 -1.6115207893461643 AGCCCCCCGAGAGT chr3 112003194 112003195 chr3:112003195:C:T rs6787132 C T C EBF1_EBF_1 9 1 - 4.407936408348757 1.7529971429011528 AGACCCTGGGGCCT chr3 112007968 112007969 chr3:112007969:T:C rs56265608 T C T EBF1_EBF_1 33 0 + 0 0 . chr3 112013054 112013055 chr3:112013055:C:G rs9818025 C G C EBF1_EBF_1 -19 0 + 0 0 . chr3 112061768 112061769 chr3:112061769:C:T rs369604109 C T C EBF1_EBF_1 -9 0 + 0 0 . chr3 112061782 112061783 chr3:112061783:C:T rs73853302 C T T EBF1_EBF_1 5 1 + 7.173957706464587 1.852170490923916 TCTCCCCAGGTACT chr3 112086746 112086747 chr3:112086747:G:C rs9881049 G C G EBF1_EBF_1 8 1 + 5.677133911673629 0.35847505075894304 AGCCCCTGGGGTCC chr3 112090925 112090926 chr3:112090926:T:C rs9847052 T C T EBF1_EBF_1 28 0 + 0 0 . chr3 112133429 112133430 chr3:112133430:A:G rs76616222 A G A EBF1_EBF_1 -20 0 - 0 0 . chr3 112306182 112306183 chr3:112306183:G:A rs80270073 G A G EBF1_EBF_1 -14 0 - 0 0 . chr3 112337783 112337784 chr3:112337784:C:T rs72950298 C T T EBF1_EBF_1 1 1 - 10.770616904592039 10.509918072670738 GGTCCCAAGGGACA chr3 112349216 112349217 chr3:112349217:C:A rs59981538 C A A EBF1_EBF_1 33 0 - 0 0 . chr3 112377837 112377838 chr3:112377838:C:T rs72952463 C T C EBF1_EBF_1 30 0 - 0 0 . chr3 112578402 112578403 chr3:112578403:G:A rs3821684 G A G EBF1_EBF_1 4 1 - 4.629302190095068 0.25991524515014397 CCTCCCAAGTGAAT chr3 112604875 112604876 chr3:112604876:T:A rs74434110 T A T EBF1_EBF_1 -6 0 + 0 0 . chr3 112604887 112604888 chr3:112604888:G:A rs554520234 G A G EBF1_EBF_1 6 1 + 4.994787140733485 7.161581572007962 ACTCCCGTGGTATC chr3 112629482 112629483 chr3:112629483:A:T rs116217833 A T A EBF1_EBF_1 25 0 + 0 0 . chr3 112653620 112653621 chr3:112653621:C:T rs1302086311 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 112675451 112675452 chr3:112675452:C:T rs73853956 C T C EBF1_EBF_1 10 1 - 4.848503329652386 -0.46952512961457954 CTTCCCTTGCGATC chr3 112740139 112740140 chr3:112740140:G:A rs1685875 G A G EBF1_EBF_1 11 1 + 4.316174931723623 7.208881775327634 AGTGCCAAGGGGCC chr3 112747098 112747099 chr3:112747099:C:T rs138082918 C T C EBF1_EBF_1 4 1 + 4.133020783851088 -0.23636616109383612 ACTGCCCTGGGGCT chr3 112747113 112747114 chr3:112747114:C:T rs6809016 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 112759876 112759877 chr3:112759877:A:G rs4682422 A G G EBF1_EBF_1 22 0 - 0 0 . chr3 112775422 112775423 chr3:112775423:G:A rs78441035 G A G EBF1_EBF_1 11 1 + 8.181800988357262 11.074507831961272 CCTCCCCAGGGGCT chr3 112788392 112788393 chr3:112788393:G:T rs6763550 G T G EBF1_EBF_1 29 0 + 0 0 . chr3 112807048 112807049 chr3:112807049:A:G rs7614664 A G A EBF1_EBF_1 19 0 + 0 0 . chr3 112811355 112811356 chr3:112811356:G:A rs116118487 G A G EBF1_EBF_1 -13 0 - 0 0 . chr3 112861139 112861140 chr3:112861140:C:A rs73227765 C A C EBF1_EBF_1 -10 0 + 0 0 . chr3 113005810 113005811 chr3:113005811:G:A rs143719239 G A A EBF1_EBF_1 -1 0 + 0 0 . chr3 113005813 113005814 chr3:113005814:T:C rs3732813 T C T EBF1_EBF_1 2 1 + 8.08273508600404 6.193911674561891 AGTCCCTTGAGAGA chr3 113077251 113077252 chr3:113077252:T:G rs13063281 T G T EBF1_EBF_1 -6 0 + 0 0 . chr3 113077956 113077957 chr3:113077957:C:T rs55942049 C T C EBF1_EBF_1 10 1 - 9.698870629383027 4.380842170116061 AGCCCCTAGGGAGC chr3 113086606 113086607 chr3:113086607:G:A rs79922131 G A G EBF1_EBF_1 -5 0 - 0 0 . chr3 113109430 113109431 chr3:113109431:G:T rs2245698 G T T EBF1_EBF_1 -19 0 + 0 0 . chr3 113109454 113109455 chr3:113109455:C:G rs2178398 C G C EBF1_EBF_1 5 1 + 4.243276049834878 -2.66160510280029 CCCCCCCAGGGTCC chr3 113124127 113124128 chr3:113124128:T:C rs62262836 T C T EBF1_EBF_1 17 0 - 0 0 . chr3 113177745 113177746 chr3:113177746:C:A rs77996872 C A C EBF1_EBF_1 12 1 - 5.600818544047895 5.963187917175197 ATTCCCAAGAGTGC chr3 113177754 113177755 chr3:113177755:G:A rs76929245 G A G EBF1_EBF_1 3 1 - 5.600818544047895 -0.8045801975054193 ATTCCCAAGAGTGC chr3 113186837 113186838 chr3:113186838:G:A rs76670528 G A G EBF1_EBF_1 7 1 + 4.630003278669685 5.94246168395851 TGTCCCCGGGGCAA chr3 113205556 113205557 chr3:113205557:T:A rs58546071 T A T EBF1_EBF_1 -12 0 + 0 0 . chr3 113209360 113209361 chr3:113209361:G:A rs13064015 G A G EBF1_EBF_1 3 1 - 10.51221577810633 4.106817036553015 TCTCCCCAGGGACC chr3 113214058 113214059 chr3:113214059:G:A rs115157938 G A G EBF1_EBF_1 27 0 + 0 0 . chr3 113214435 113214436 chr3:113214436:C:T rs9839912 C T C EBF1_EBF_1 16 0 - 0 0 . chr3 113224123 113224124 chr3:113224124:G:A rs759266545 G A G EBF1_EBF_1 1 1 + 4.069659811070936 3.8089609791496333 CGTCCCCTGGGCAG chr3 113228307 113228308 chr3:113228308:A:G rs1875113 A G G EBF1_EBF_1 6 1 + 5.767956321406077 3.6011618901316016 AATCCTAGGGGACA chr3 113263312 113263313 chr3:113263313:C:T rs111828426 C T C EBF1_EBF_1 5 1 + 4.830287245602496 -0.49149996993817524 GATCCCCAGGAACA chr3 113272269 113272270 chr3:113272270:C:T rs12631733 C T C EBF1_EBF_1 23 0 + 0 0 . chr3 113272464 113272465 chr3:113272465:C:T rs3814398 C T C EBF1_EBF_1 25 0 + 0 0 . chr3 113273111 113273112 chr3:113273112:C:T rs11710894 C T C EBF1_EBF_1 6 1 + 6.790105456332101 7.0913915851938585 CATCCCCTGGGGCC chr3 113275936 113275937 chr3:113275937:T:C rs116760215 T C T EBF1_EBF_1 -2 0 + 0 0 . chr3 113276157 113276158 chr3:113276158:A:G rs75962916 A G A EBF1_EBF_1 -3 0 + 0 0 . chr3 113276165 113276166 chr3:113276166:C:T rs192558669 C T C EBF1_EBF_1 5 1 + 7.508881019685139 2.187093804144469 GTTCCCAGGAGACA chr3 113409698 113409699 chr3:113409699:G:T rs73237130 G T G EBF1_EBF_1 11 1 + 6.700431038966605 5.4952236264718906 ATTCCCAGGAGGTT chr3 113409710 113409711 chr3:113409711:A:G rs7636912 A G A EBF1_EBF_1 23 0 + 0 0 . chr3 113533334 113533335 chr3:113533335:G:A rs78886588 G A G EBF1_EBF_1 -9 0 - 0 0 . chr3 113601949 113601950 chr3:113601950:C:T rs17325633 C T T EBF1_EBF_1 29 0 + 0 0 . chr3 113623429 113623430 chr3:113623430:C:G rs369470420 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 113899061 113899062 chr3:113899062:A:G rs2712328 A G G EBF1_EBF_1 21 0 - 0 0 . chr3 113908139 113908140 chr3:113908140:G:A rs78441759 G A g EBF1_EBF_1 -5 0 - 0 0 . chr3 114056377 114056378 chr3:114056378:T:G rs188278742 T G T EBF1_EBF_1 7 1 - 6.8358627066184185 4.542083720248238 AGTCCCAAGGTGAT chr3 114056385 114056386 chr3:114056386:C:T rs140813529 C T C EBF1_EBF_1 -1 0 - 0 0 . chr3 114056628 114056629 chr3:114056629:C:T rs776723744 C T C EBF1_EBF_1 28 0 + 0 0 . chr3 114057165 114057166 chr3:114057166:A:G rs553523124 A G A EBF1_EBF_1 -16 0 - 0 0 . chr3 114111671 114111672 chr3:114111672:C:T rs7631540 C T C EBF1_EBF_1 13 1 + 6.0051302853731565 6.849624399958675 TTTCCCATGGAAAC chr3 114158962 114158963 chr3:114158963:T:C rs143455527 T C T EBF1_EBF_1 19 0 - 0 0 . chr3 114231455 114231456 chr3:114231456:C:T rs28566579 C T T EBF1_EBF_1 8 1 - 5.071206343495826 0.8449162546095651 GCTCCCCTGCGAAC chr3 114231473 114231474 chr3:114231474:A:T rs28424593 A T A EBF1_EBF_1 -10 0 - 0 0 . chr3 114239091 114239092 chr3:114239092:C:T rs114684857 C T C EBF1_EBF_1 22 0 - 0 0 . chr3 114239796 114239797 chr3:114239797:G:C rs73235938 G C G EBF1_EBF_1 23 0 - 0 0 . chr3 114324929 114324930 chr3:114324930:C:G rs9288996 C G C EBF1_EBF_1 -1 0 - 0 0 . chr3 114400677 114400678 chr3:114400678:G:C rs146214422 G C G EBF1_EBF_1 32 0 - 0 0 . chr3 114400699 114400700 chr3:114400700:C:T rs956329689 C T C EBF1_EBF_1 10 1 - 7.328819030537531 2.0107905712705647 CTTCCCTAGGGTCC chr3 114496097 114496098 chr3:114496098:A:G rs1280247250 A G A EBF1_EBF_1 -8 0 + 0 0 . chr3 114531997 114531998 chr3:114531998:C:G rs60038906 C G C EBF1_EBF_1 19 0 - 0 0 . chr3 114649422 114649423 chr3:114649423:G:T rs74848785 G T G EBF1_EBF_1 12 1 - 7.8261388067525415 7.856320205173867 AATCCCATGGAACT chr3 114839695 114839696 chr3:114839696:G:A rs56209585 G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 114866868 114866869 chr3:114866869:T:C rs664244 T C C EBF1_EBF_1 2 1 - 4.94700105891694 1.8831429563153497 AGACCCCAGAGAGC chr3 115397374 115397375 chr3:115397375:T:C rs62264953 T C C EBF1_EBF_1 14 0 + 0 0 . chr3 115437086 115437087 chr3:115437087:G:A rs1392258 G A A EBF1_EBF_1 24 0 + 0 0 . chr3 115625050 115625051 chr3:115625051:G:A rs76920164 G A G EBF1_EBF_1 4 1 - 4.921029361524386 0.5516424165794638 ACCCCCTTCGGATT chr3 115641581 115641582 chr3:115641582:A:C rs72961650 A C A EBF1_EBF_1 26 0 + 0 0 . chr3 115678937 115678938 chr3:115678938:G:A rs1370808 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 115711252 115711253 chr3:115711253:C:T rs73136465 C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 115785483 115785484 chr3:115785484:C:T rs760744215 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 115870799 115870800 chr3:115870800:A:T rs10934314 A T T EBF1_EBF_1 -12 0 + 0 0 . chr3 115935573 115935574 chr3:115935574:A:G rs4240161 A G A EBF1_EBF_1 0 1 - 8.676529428576771 8.394327367846193 TTTCCCAGGAGAAT chr3 115985848 115985849 chr3:115985849:G:C rs4831219 G C G EBF1_EBF_1 -5 0 + 0 0 . chr3 115999776 115999777 chr3:115999777:G:A rs79702945 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 116198252 116198253 chr3:116198253:C:T rs9834315 C T T EBF1_EBF_1 19 0 - 0 0 . chr3 116198286 116198287 chr3:116198287:G:A rs9834589 G A A EBF1_EBF_1 -15 0 - 0 0 . chr3 116240521 116240522 chr3:116240522:C:G rs1431512 C G C EBF1_EBF_1 5 1 + 6.539406558881853 -0.36547459375331354 CTCCCCTAGAGAAA chr3 116260821 116260822 chr3:116260822:C:A rs1549832 C A C EBF1_EBF_1 32 0 - 0 0 . chr3 116265625 116265626 chr3:116265626:G:A rs16824696 G A G EBF1_EBF_1 -8 0 + 0 0 . chr3 116374906 116374907 chr3:116374907:T:C rs1367770 T C C EBF1_EBF_1 1 1 + 9.155675533240943 8.2602420928422 ATTCCCTAGGTAAA chr3 116392617 116392618 chr3:116392618:C:A rs7652829 C A A EBF1_EBF_1 19 0 - 0 0 . chr3 116392618 116392619 chr3:116392619:A:G rs7630466 A G G EBF1_EBF_1 18 0 - 0 0 . chr3 116435432 116435433 chr3:116435433:G:A rs1920064 G A G EBF1_EBF_1 3 1 - 6.170840903172064 -0.23455783838125321 ACCCCCTAGGTAGT chr3 116607768 116607769 chr3:116607769:C:G rs9848157 C G C EBF1_EBF_1 1 1 + 5.106012552566995 5.295784207136212 CCTCCCTGGAGAAG chr3 116712315 116712316 chr3:116712316:C:G rs1518342 C G C EBF1_EBF_1 30 0 + 0 0 . chr3 116939489 116939490 chr3:116939490:C:T rs9814086 C T C EBF1_EBF_1 -5 0 + 0 0 . chr3 116954707 116954708 chr3:116954708:T:C rs73147837 T C T EBF1_EBF_1 -1 0 - 0 0 . chr3 116971689 116971690 chr3:116971690:T:C chr3:116971690:T:C T C T EBF1_EBF_1 -18 0 + 0 0 . chr3 116971823 116971824 chr3:116971824:T:C rs60374490 T C C EBF1_EBF_1 0 1 - 5.023112696438101 3.130828826873268 ATCCCCCTGTGACT chr3 116971824 116971825 chr3:116971825:G:T rs17718069 G T G EBF1_EBF_1 -1 0 - 0 0 . chr3 117010381 117010382 chr3:117010382:G:A rs4855891 G A A EBF1_EBF_1 27 0 - 0 0 . chr3 117010425 117010426 chr3:117010426:C:T rs35258147 C T C EBF1_EBF_1 -17 0 - 0 0 . chr3 117018682 117018683 chr3:117018683:G:T rs112633304 G T T EBF1_EBF_1 -16 0 - 0 0 . chr3 117085195 117085196 chr3:117085196:C:T rs9868618 C T T EBF1_EBF_1 5 1 + 6.14885461222717 0.8270673966865005 ACTCCCGTGAGATA chr3 117085210 117085211 chr3:117085211:C:T rs1554330 C T T EBF1_EBF_1 20 0 + 0 0 . chr3 117234554 117234555 chr3:117234555:C:A rs74854491 C A C EBF1_EBF_1 29 0 + 0 0 . chr3 117238881 117238882 chr3:117238882:C:G rs12054434 C G C EBF1_EBF_1 18 0 - 0 0 . chr3 117342068 117342069 chr3:117342069:C:T rs72963526 C T C EBF1_EBF_1 32 0 - 0 0 . chr3 117383675 117383676 chr3:117383676:C:T rs13066900 C T C EBF1_EBF_1 -16 0 + 0 0 . chr3 117433717 117433718 chr3:117433718:T:C rs112304152 T C T EBF1_EBF_1 29 0 + 0 0 . chr3 117447524 117447525 chr3:117447525:A:G rs73864339 A G A EBF1_EBF_1 -3 0 - 0 0 . chr3 117587622 117587623 chr3:117587623:T:A rs9837013 T A T EBF1_EBF_1 27 0 + 0 0 . chr3 117616472 117616473 chr3:117616473:C:T rs12485703 C T T EBF1_EBF_1 -10 0 - 0 0 . chr3 117774317 117774318 chr3:117774318:C:G rs4688016 C G G EBF1_EBF_1 14 0 + 0 0 . chr3 117774325 117774326 chr3:117774326:A:G rs4688017 A G G EBF1_EBF_1 22 0 + 0 0 . chr3 118269874 118269875 chr3:118269875:A:G rs779216 A G G EBF1_EBF_1 -12 0 + 0 0 . chr3 118269897 118269898 chr3:118269898:G:A rs138213448 G A G EBF1_EBF_1 11 1 + 4.164979389969165 7.057686233573176 CTCCCCGAGGGGCA chr3 118473455 118473456 chr3:118473456:T:A rs12637095 T A T EBF1_EBF_1 -9 0 + 0 0 . chr3 118540573 118540574 chr3:118540574:A:G rs9866246 A G A EBF1_EBF_1 18 0 + 0 0 . chr3 118550251 118550252 chr3:118550252:A:T rs12695372 A T A EBF1_EBF_1 -11 0 - 0 0 . chr3 118637281 118637282 chr3:118637282:T:C rs76962235 T C T EBF1_EBF_1 -3 0 + 0 0 . chr3 118762824 118762825 chr3:118762825:G:A rs798591 G A A EBF1_EBF_1 24 0 + 0 0 . chr3 118792442 118792443 chr3:118792443:A:G rs798584 A G G EBF1_EBF_1 -15 0 + 0 0 . chr3 118824173 118824174 chr3:118824174:C:T rs12631973 C T c EBF1_EBF_1 -2 0 + 0 0 . chr3 118827196 118827197 chr3:118827197:T:C rs1081891 T C T EBF1_EBF_1 -10 0 - 0 0 . chr3 119042567 119042568 chr3:119042568:C:T rs9289119 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 119084481 119084482 chr3:119084482:T:C rs1369575 T C C EBF1_EBF_1 27 0 + 0 0 . chr3 119146064 119146065 chr3:119146065:G:A rs10049288 G A G EBF1_EBF_1 32 0 - 0 0 . chr3 119156884 119156885 chr3:119156885:A:G rs2001772 A G G EBF1_EBF_1 33 0 - 0 0 . chr3 119173685 119173686 chr3:119173686:C:T rs6788712 C T T EBF1_EBF_1 20 0 - 0 0 . chr3 119173702 119173703 chr3:119173703:G:A rs41271385 G A G EBF1_EBF_1 3 1 - 5.235582470762451 -1.1698162707908655 CCTCCCAGGAGAGC chr3 119184984 119184985 chr3:119184985:C:T rs138494281 C T C EBF1_EBF_1 31 0 - 0 0 . chr3 119205621 119205622 chr3:119205622:C:G chr3:119205622:C:G C G T EBF1_EBF_1 -9 0 + 0 0 . chr3 119205621 119205622 chr3:119205622:C:T rs78970493 C T T EBF1_EBF_1 -9 0 + 0 0 . chr3 119235538 119235539 chr3:119235539:T:C rs59448071 T C T EBF1_EBF_1 29 0 + 0 0 . chr3 119239837 119239838 chr3:119239838:C:A rs76297198 C A C EBF1_EBF_1 1 1 + 6.456497487495879 6.385570310143794 TCTCCCAAGAGAGA chr3 119243895 119243896 chr3:119243896:T:G rs58488777 T G T EBF1_EBF_1 1 1 - 5.538960680294238 5.6098878576463225 AACCCCTAGGAAAC chr3 119279207 119279208 chr3:119279208:C:T rs72956776 C T C EBF1_EBF_1 -1 0 - 0 0 . chr3 119279590 119279591 chr3:119279591:G:C rs915806078 G C G EBF1_EBF_1 -17 0 - 0 0 . chr3 119304498 119304499 chr3:119304499:G:A rs72960640 G A G EBF1_EBF_1 26 0 - 0 0 . chr3 119323265 119323266 chr3:119323266:G:T rs921447968 G T - EBF1_EBF_1 -19 0 + 0 0 . chr3 119356009 119356010 chr3:119356010:T:G rs10511389 T G T EBF1_EBF_1 22 0 + 0 0 . chr3 119378700 119378701 chr3:119378701:T:C rs36087584 T C C EBF1_EBF_1 23 0 + 0 0 . chr3 119416853 119416854 chr3:119416854:C:G rs145064712 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 119548454 119548455 chr3:119548455:G:A rs16829957 G A A EBF1_EBF_1 -9 0 + 0 0 . chr3 119550820 119550821 chr3:119550821:G:T rs6808536 G T G EBF1_EBF_1 -4 0 + 0 0 . chr3 119551833 119551834 chr3:119551834:C:T rs76659313 C T C EBF1_EBF_1 5 1 + 6.255486828457663 0.933699612916993 ACCCCCATAGGACC chr3 119589506 119589507 chr3:119589507:G:A rs13443 G A G EBF1_EBF_1 9 1 + 6.692800091857972 4.03786082641037 CATCCCATAGGAAT chr3 119613492 119613493 chr3:119613493:T:C rs192384234 T C T EBF1_EBF_1 -1 0 + 0 0 . chr3 119621923 119621924 chr3:119621924:G:C rs77899928 G C G EBF1_EBF_1 -13 0 - 0 0 . chr3 119651657 119651658 chr3:119651658:C:T rs9876919 C T C EBF1_EBF_1 12 1 + 4.084880393174795 3.058613822623945 GCCCCCCTGAGACG chr3 119660129 119660130 chr3:119660130:G:A rs2873788 G A G EBF1_EBF_1 28 0 + 0 0 . chr3 119666292 119666293 chr3:119666293:G:T rs16830291 G T G EBF1_EBF_1 30 0 + 0 0 . chr3 120040323 120040324 chr3:120040324:G:A rs182556468 G A G EBF1_EBF_1 -8 0 - 0 0 . chr3 120094160 120094161 chr3:120094161:C:T rs202219676 C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 120107316 120107317 chr3:120107317:A:T rs12053912 A T A EBF1_EBF_1 22 0 + 0 0 . chr3 120116785 120116786 chr3:120116786:C:T rs112321186 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 120167928 120167929 chr3:120167929:T:A rs902790 T A A EBF1_EBF_1 -13 0 - 0 0 . chr3 120168873 120168874 chr3:120168874:G:A rs145532737 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 120307177 120307178 chr3:120307178:G:A rs77133734 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 120349270 120349271 chr3:120349271:G:A rs752086041 G A G EBF1_EBF_1 -2 0 + 0 0 . chr3 120349276 120349277 chr3:120349277:C:T rs186960035 C T c EBF1_EBF_1 4 1 + 4.921168734492954 0.5517817895480323 ATTCCCCAGAGCGC chr3 120408059 120408060 chr3:120408060:G:T rs75171573 G T G EBF1_EBF_1 -5 0 - 0 0 . chr3 120417569 120417570 chr3:120417570:C:T rs1259292 C T T EBF1_EBF_1 9 1 - 6.627721872102278 3.9727826066546745 AATCCCCGCGGACT chr3 120432570 120432571 chr3:120432571:C:T rs1716837 C T C EBF1_EBF_1 29 0 + 0 0 . chr3 120432859 120432860 chr3:120432860:T:A rs1628541 T A A EBF1_EBF_1 27 0 + 0 0 . chr3 120433149 120433150 chr3:120433150:T:C rs9879383 T C T EBF1_EBF_1 12 1 - 6.745669892163202 5.326852550063725 ATTCCCAGAGGAAG chr3 120450535 120450536 chr3:120450536:T:C rs72960807 T C T EBF1_EBF_1 -20 0 + 0 0 . chr3 120451233 120451234 chr3:120451234:G:T rs566612906 G T G EBF1_EBF_1 14 0 - 0 0 . chr3 120451241 120451242 chr3:120451242:T:G rs538695826 T G T EBF1_EBF_1 6 1 - 4.7923703306229815 4.4307679628217045 GGACCCACGGGACA chr3 120451245 120451246 chr3:120451246:T:C rs947559083 T C T EBF1_EBF_1 2 1 - 4.7923703306229815 1.72851222802139 GGACCCACGGGACA chr3 120460980 120460981 chr3:120460981:G:A rs1259308 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 120555120 120555121 chr3:120555121:G:A rs6770836 G A G EBF1_EBF_1 -16 0 - 0 0 . chr3 120659419 120659420 chr3:120659420:C:T rs6783720 C T C EBF1_EBF_1 4 1 + 4.949495962230715 0.580109017285793 GATCCCTAGGGCAG chr3 120742224 120742225 chr3:120742225:T:C rs371067504 T C T EBF1_EBF_1 -5 0 - 0 0 . chr3 120742299 120742300 chr3:120742300:G:T chr3:120742300:G:T G T G EBF1_EBF_1 27 0 - 0 0 . chr3 120799671 120799672 chr3:120799672:G:A rs115939017 G A G EBF1_EBF_1 -13 0 + 0 0 . chr3 120801468 120801469 chr3:120801469:C:T rs424045 C T C EBF1_EBF_1 2 1 + 6.227182489107141 8.116005900549291 ATCCCCAAAGGATA chr3 120801469 120801470 chr3:120801470:C:T rs424044 C T C EBF1_EBF_1 3 1 + 6.227182489107141 -0.17821625244617606 ATCCCCAAAGGATA chr3 121019159 121019160 chr3:121019160:G:A rs680233 G A G EBF1_EBF_1 9 1 + 5.676582689442247 3.021643423994644 AATCCCCCCGGAAT chr3 121019332 121019333 chr3:121019333:T:G rs9859511 T G G EBF1_EBF_1 19 0 + 0 0 . chr3 121049644 121049645 chr3:121049645:A:G rs9877402 A G a EBF1_EBF_1 7 1 - 5.304436812489544 3.4869320662069203 AGACCCATGGGCCT chr3 121050011 121050012 chr3:121050012:C:T rs2331521 C T C EBF1_EBF_1 -20 0 - 0 0 . chr3 121063594 121063595 chr3:121063595:G:A rs370212712 G A G EBF1_EBF_1 33 0 - 0 0 . chr3 121063623 121063624 chr3:121063624:G:A rs61800061 G A G EBF1_EBF_1 4 1 - 4.236463799102099 -0.13292314584282416 ATCTCCCTGGGACA chr3 121545622 121545623 chr3:121545623:C:A rs569575038 C A C EBF1_EBF_1 20 0 - 0 0 . chr3 121574731 121574732 chr3:121574732:A:G rs66483754 A G G EBF1_EBF_1 11 1 - 7.466411078248011 7.148363636494347 AATCCCCTGGGTCA chr3 121600921 121600922 chr3:121600922:G:C rs9861169 G C G EBF1_EBF_1 32 0 + 0 0 . chr3 121750567 121750568 chr3:121750568:T:C rs1919551 T C C EBF1_EBF_1 32 0 + 0 0 . chr3 121758683 121758684 chr3:121758684:G:C rs4431155 G C G EBF1_EBF_1 5 1 - 6.5362093429145185 -0.3686718097206494 ATTCTCAAGAGATT chr3 121811408 121811409 chr3:121811409:C:T rs773113513 C T C EBF1_EBF_1 -15 0 + 0 0 . chr3 121811426 121811427 chr3:121811427:C:A rs6768621 C A C EBF1_EBF_1 3 1 + 5.233079878783742 -1.673094150682064 ACTCCCCTGGAAAG chr3 121883732 121883733 chr3:121883733:A:G rs73855471 A G A EBF1_EBF_1 -7 0 - 0 0 . chr3 121926590 121926591 chr3:121926591:G:A rs9870245 G A G EBF1_EBF_1 -14 0 + 0 0 . chr3 122021217 122021218 chr3:122021218:A:C rs7627252 A C A EBF1_EBF_1 19 0 + 0 0 . chr3 122074614 122074615 chr3:122074615:A:G rs4678186 A G A EBF1_EBF_1 -18 0 + 0 0 . chr3 122074642 122074643 chr3:122074643:G:A rs2715260 G A G EBF1_EBF_1 10 1 + 6.273408870439393 0.9553804111724287 ACTCCCACGGGTTT chr3 122074660 122074661 chr3:122074661:T:C rs10511408 T C T EBF1_EBF_1 28 0 + 0 0 . chr3 122185088 122185089 chr3:122185089:A:T rs7650687 A T A EBF1_EBF_1 -4 0 - 0 0 . chr3 122260381 122260382 chr3:122260382:G:A rs3804592 G A G EBF1_EBF_1 32 0 + 0 0 . chr3 122309628 122309629 chr3:122309629:G:T rs73186044 G T G EBF1_EBF_1 6 1 - 5.767007409343726 6.1286097771450025 TTTCCCCAGGTAAG chr3 122392402 122392403 chr3:122392403:G:C rs6787230 G C G EBF1_EBF_1 -20 0 - 0 0 . chr3 122398043 122398044 chr3:122398044:A:G rs4677949 A G A EBF1_EBF_1 6 1 - 4.442194364589308 4.14090823572755 ATCCCATTGGGAAC chr3 122444090 122444091 chr3:122444091:A:C rs55717030 A C A EBF1_EBF_1 16 0 + 0 0 . chr3 122681526 122681527 chr3:122681527:G:A chr3:122681527:G:A G A G EBF1_EBF_1 10 1 + 8.331935347884825 3.01390688861786 ATTCCCAGGGGTCC chr3 122687339 122687340 chr3:122687340:A:G rs17270227 A G G EBF1_EBF_1 -3 0 - 0 0 . chr3 122914941 122914942 chr3:122914942:A:C rs143907610 A C A EBF1_EBF_1 7 1 + 8.492291669414307 6.198512683044127 AGTCCCCAGGTACC chr3 122927886 122927887 chr3:122927887:C:T rs2276775 C T C EBF1_EBF_1 -15 0 + 0 0 . chr3 122932551 122932552 chr3:122932552:G:A rs541175421 G A G EBF1_EBF_1 8 1 + 9.10158543446161 4.875295345575348 CATCCCACGGGAAT chr3 122939569 122939570 chr3:122939570:G:T rs3732827 G T G EBF1_EBF_1 -11 0 - 0 0 . chr3 122943015 122943016 chr3:122943016:G:T rs11719594 G T G EBF1_EBF_1 -2 0 - 0 0 . chr3 122943033 122943034 chr3:122943034:C:T rs1031854863 C T C EBF1_EBF_1 -20 0 - 0 0 . chr3 122945117 122945118 chr3:122945118:T:C rs1367785 T C C EBF1_EBF_1 -7 0 + 0 0 . chr3 122961392 122961393 chr3:122961393:C:A rs34020905 C A C EBF1_EBF_1 13 1 + 4.377121467574032 4.003376018288056 CTGCCCCAGGGACC chr3 122996162 122996163 chr3:122996163:G:C rs145555136 G C G EBF1_EBF_1 -1 0 - 0 0 . chr3 122999121 122999122 chr3:122999122:G:A rs1867532 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 123022225 123022226 chr3:123022226:G:C rs6803760 G C C EBF1_EBF_1 21 0 - 0 0 . chr3 123028123 123028124 chr3:123028124:G:T rs534419576 G T G EBF1_EBF_1 6 1 + 4.896983019417387 7.003461211752342 ATCCCCGAGGGCCA chr3 123028150 123028151 chr3:123028151:C:G rs79606162 C G C EBF1_EBF_1 33 0 + 0 0 . chr3 123049111 123049112 chr3:123049112:C:T rs115738236 C T C EBF1_EBF_1 13 1 + 4.244850015086228 5.089344129671746 GCTCCCACGGGCCC chr3 123061875 123061876 chr3:123061876:A:G rs1444811 A G G EBF1_EBF_1 28 0 - 0 0 . chr3 123061899 123061900 chr3:123061900:G:C rs1444810 G C G EBF1_EBF_1 4 1 - 4.827944123066057 -0.7978209780834866 CCCCCCTTGGTACT chr3 123062438 123062439 chr3:123062439:C:T rs187102886 C T C EBF1_EBF_1 8 1 - 3.7945425507520314 -0.43174753813422984 AGCCCCGCGGGGCC chr3 123084525 123084526 chr3:123084526:G:A rs6793950 G A A EBF1_EBF_1 8 1 - 7.356384994726887 5.7836110666643785 AGTCCCCACGGACC chr3 123090447 123090448 chr3:123090448:T:C rs11709464 T C T EBF1_EBF_1 -20 0 - 0 0 . chr3 123097754 123097755 chr3:123097755:C:T rs115232973 C T C EBF1_EBF_1 -1 0 + 0 0 . chr3 123103837 123103838 chr3:123103838:C:G rs1545324 C G G EBF1_EBF_1 -16 0 + 0 0 . chr3 123121028 123121029 chr3:123121029:G:A rs3792366 G A A EBF1_EBF_1 19 0 + 0 0 . chr3 123121897 123121898 chr3:123121898:G:A rs836850 G A A EBF1_EBF_1 15 0 - 0 0 . chr3 123121908 123121909 chr3:123121909:G:A rs836851 G A G EBF1_EBF_1 4 1 - 5.2029784598912086 0.8335915149462845 CTCCCCATGGGGTC chr3 123142719 123142720 chr3:123142720:G:C rs80150198 G C G EBF1_EBF_1 -13 0 + 0 0 . chr3 123151697 123151698 chr3:123151698:C:T rs13062598 C T C EBF1_EBF_1 30 0 + 0 0 . chr3 123152424 123152425 chr3:123152425:C:T rs181896482 C T C EBF1_EBF_1 15 0 - 0 0 . chr3 123294982 123294983 chr3:123294983:C:T rs150858372 C T C EBF1_EBF_1 3 1 + 5.638896957997623 -0.7665017835556936 CTTCCCCAGGGTGC chr3 123299494 123299495 chr3:123299495:G:C rs4621278 G C G EBF1_EBF_1 -7 0 + 0 0 . chr3 123301266 123301267 chr3:123301267:T:C rs535803215 T C T EBF1_EBF_1 -6 0 + 0 0 . chr3 123324063 123324064 chr3:123324064:C:T rs6806851 C T C EBF1_EBF_1 -3 0 - 0 0 . chr3 123330859 123330860 chr3:123330860:G:T rs9829332 G T T EBF1_EBF_1 18 0 - 0 0 . chr3 123332122 123332123 chr3:123332123:G:A rs34452014 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 123348005 123348006 chr3:123348006:C:T rs58790927 C T C EBF1_EBF_1 18 0 - 0 0 . chr3 123348017 123348018 chr3:123348018:C:T rs58842486 C T C EBF1_EBF_1 6 1 - 5.513818177753794 7.68061260902827 AGTCCCGGGAGAGC chr3 123366511 123366512 chr3:123366512:T:C rs6794886 T C T EBF1_EBF_1 21 0 - 0 0 . chr3 123376916 123376917 chr3:123376917:C:T rs62265767 C T C EBF1_EBF_1 11 1 - 7.500794476465762 10.393501320069774 TTCCCCCAGGGGAT chr3 123378978 123378979 chr3:123378979:G:A rs12637493 G A G EBF1_EBF_1 -16 0 - 0 0 . chr3 123379140 123379141 chr3:123379141:T:C rs16834218 T C C EBF1_EBF_1 -12 0 - 0 0 . chr3 123380622 123380623 chr3:123380623:A:G rs16834219 A G G EBF1_EBF_1 13 1 + 6.405650618464394 5.291325046394955 TTCCCCATGAGAAA chr3 123384593 123384594 chr3:123384594:C:T rs6790540 C T C EBF1_EBF_1 21 0 - 0 0 . chr3 123384631 123384632 chr3:123384632:T:C rs6803327 T C T EBF1_EBF_1 -17 0 - 0 0 . chr3 123390646 123390647 chr3:123390647:T:C rs9822061 T C T EBF1_EBF_1 -4 0 + 0 0 . chr3 123391928 123391929 chr3:123391929:C:T rs62262399 C T T EBF1_EBF_1 13 1 - 5.839608922864417 6.953934494933856 GTACCCCTGGGACG chr3 123406931 123406932 chr3:123406932:A:G rs62262427 A G A EBF1_EBF_1 7 1 - 7.651107736620409 5.833602990337783 ATTCCCATAGGAGC chr3 123411836 123411837 chr3:123411837:C:T rs10934647 C T C EBF1_EBF_1 30 0 - 0 0 . chr3 123420186 123420187 chr3:123420187:G:C rs6762009 G C C EBF1_EBF_1 32 0 + 0 0 . chr3 123449204 123449205 chr3:123449205:G:C rs545295616 G C G EBF1_EBF_1 6 1 - 4.281400651275508 2.4762085878023106 TCCCCCCCGGGGCT chr3 123450321 123450322 chr3:123450322:C:G rs967233367 C G C EBF1_EBF_1 -2 0 - 0 0 . chr3 123487015 123487016 chr3:123487016:G:A rs80182611 G A G EBF1_EBF_1 21 0 + 0 0 . chr3 123503520 123503521 chr3:123503521:A:G rs17296876 A G A EBF1_EBF_1 -15 0 + 0 0 . chr3 123521415 123521416 chr3:123521416:C:G rs16834390 C G C EBF1_EBF_1 0 1 - 7.630351557517966 7.2673769433862 GTTCCCCTAGGACT chr3 123559844 123559845 chr3:123559845:G:A rs76512124 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 123613698 123613699 chr3:123613699:C:G rs6438805 C G c EBF1_EBF_1 -4 0 + 0 0 . chr3 123622049 123622050 chr3:123622050:C:G rs147319957 C G C EBF1_EBF_1 20 0 - 0 0 . chr3 123626541 123626542 chr3:123626542:T:C rs820451 T C C EBF1_EBF_1 30 0 - 0 0 . chr3 123629331 123629332 chr3:123629332:A:G rs820447 A G A EBF1_EBF_1 -7 0 + 0 0 . chr3 123632226 123632227 chr3:123632227:C:T rs71332710 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 123648868 123648869 chr3:123648869:C:G rs1262182 C G C EBF1_EBF_1 14 0 - 0 0 . chr3 123653721 123653722 chr3:123653722:C:T rs6800971 C T C EBF1_EBF_1 10 1 - 4.974963160479727 -0.3430652987872396 GGCCCCCAGGGGTC chr3 123653957 123653958 chr3:123653958:C:T rs115069569 C T C EBF1_EBF_1 28 0 + 0 0 . chr3 123653961 123653962 chr3:123653962:C:T rs115814654 C T C EBF1_EBF_1 32 0 + 0 0 . chr3 123714399 123714400 chr3:123714400:C:A rs6786864 C A C EBF1_EBF_1 -5 0 - 0 0 . chr3 123719255 123719256 chr3:123719256:G:A rs116514115 G A G EBF1_EBF_1 6 1 + 4.678427837775314 6.845222269049789 ACCCCCGAGAGAAG chr3 123719281 123719282 chr3:123719282:G:A rs541872572 G A G EBF1_EBF_1 32 0 + 0 0 . chr3 123800164 123800165 chr3:123800165:G:A rs139306939 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 123804798 123804799 chr3:123804799:T:G rs9816400 T G T EBF1_EBF_1 -2 0 + 0 0 . chr3 123808063 123808064 chr3:123808064:A:C rs2124508 A C C EBF1_EBF_1 -20 0 - 0 0 . chr3 123810808 123810809 chr3:123810809:C:A chr3:123810809:C:A C A C EBF1_EBF_1 18 0 + 0 0 . chr3 123883549 123883550 chr3:123883550:A:G rs2700410 A G A EBF1_EBF_1 -18 0 - 0 0 . chr3 124033475 124033476 chr3:124033476:C:G rs938632599 C G C EBF1_EBF_1 26 0 - 0 0 . chr3 124053915 124053916 chr3:124053916:A:G rs551511291 A G A EBF1_EBF_1 -16 0 - 0 0 . chr3 124113557 124113558 chr3:124113558:A:T rs6774002 A T A EBF1_EBF_1 -1 0 - 0 0 . chr3 124168599 124168600 chr3:124168600:C:G rs12636152 C G C EBF1_EBF_1 -16 0 - 0 0 . chr3 124203257 124203258 chr3:124203258:G:A rs59254552 G A G EBF1_EBF_1 29 0 + 0 0 . chr3 124227322 124227323 chr3:124227323:G:A rs73186285 G A G EBF1_EBF_1 15 0 + 0 0 . chr3 124322792 124322793 chr3:124322793:A:G rs12636960 A G A EBF1_EBF_1 33 0 - 0 0 . chr3 124351952 124351953 chr3:124351953:A:G rs6799810 A G G EBF1_EBF_1 9 1 + 4.999653615756342 7.654592881203947 CCTCCCTAGAGAGG chr3 124351963 124351964 chr3:124351964:A:C rs1355873172 A C A EBF1_EBF_1 20 0 + 0 0 . chr3 124386132 124386133 chr3:124386133:G:A rs114716394 G A G EBF1_EBF_1 9 1 + 4.727521861177498 2.0725825957298936 ATTCCCCAGGCAGA chr3 124451948 124451949 chr3:124451949:A:C rs1445631 A C A EBF1_EBF_1 -6 0 + 0 0 . chr3 124468023 124468024 chr3:124468024:G:C rs4678116 G C G EBF1_EBF_1 -9 0 - 0 0 . chr3 124550684 124550685 chr3:124550685:T:C rs33926252 T C T EBF1_EBF_1 -6 0 - 0 0 . chr3 124587355 124587356 chr3:124587356:G:A rs13086167 G A G EBF1_EBF_1 0 1 - 5.152875996854809 5.435078057585388 CTTGCCTTGGGAAC chr3 124587364 124587365 chr3:124587365:A:G rs13085691 A G a EBF1_EBF_1 -9 0 - 0 0 . chr3 124594802 124594803 chr3:124594803:A:T rs6791528 A T A EBF1_EBF_1 21 0 + 0 0 . chr3 124679264 124679265 chr3:124679265:C:T rs13097459 C T C EBF1_EBF_1 33 0 + 0 0 . chr3 124695606 124695607 chr3:124695607:G:A rs3755702 G A A EBF1_EBF_1 -14 0 - 0 0 . chr3 124699998 124699999 chr3:124699999:C:T rs2289427 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 124759846 124759847 chr3:124759847:C:G rs659441 C G G EBF1_EBF_1 -1 0 + 0 0 . chr3 124759886 124759887 chr3:124759887:A:G rs13082230 A G G EBF1_EBF_1 15 0 - 0 0 . chr3 124774713 124774714 chr3:124774714:T:C rs657571 T C T EBF1_EBF_1 -17 0 + 0 0 . chr3 124779257 124779258 chr3:124779258:G:A rs35473994 G A G EBF1_EBF_1 5 1 - 6.499476287137235 1.1776890715965653 ATTCCCCAAAGACC chr3 124813926 124813927 chr3:124813927:A:C rs11927550 A C C EBF1_EBF_1 -6 0 - 0 0 . chr3 124814855 124814856 chr3:124814856:G:A rs140883560 G A G EBF1_EBF_1 33 0 - 0 0 . chr3 124814870 124814871 chr3:124814871:C:G rs182105868 C G C EBF1_EBF_1 18 0 - 0 0 . chr3 124821033 124821034 chr3:124821034:G:A rs61760577 G A G EBF1_EBF_1 8 1 + 6.141667831279156 1.9153777423928937 TTTCCCCTGGGCCA chr3 124829656 124829657 chr3:124829657:G:A rs59749326 G A G EBF1_EBF_1 -13 0 + 0 0 . chr3 124856812 124856813 chr3:124856813:A:G rs3772858 A G A EBF1_EBF_1 -14 0 + 0 0 . chr3 124861210 124861211 chr3:124861211:T:C rs848799 T C T EBF1_EBF_1 -13 0 + 0 0 . chr3 124869069 124869070 chr3:124869070:G:T rs9813129 G T G EBF1_EBF_1 -16 0 + 0 0 . chr3 124876527 124876528 chr3:124876528:C:G chr3:124876528:C:G C G C EBF1_EBF_1 -10 0 + 0 0 . chr3 124906272 124906273 chr3:124906273:A:G rs1532602 A G A EBF1_EBF_1 -18 0 + 0 0 . chr3 124962757 124962758 chr3:124962758:T:C rs56886195 T C T EBF1_EBF_1 1 1 + 13.03714159060645 12.141708150207705 ATTCCCCAGGGAAA chr3 124972625 124972626 chr3:124972626:T:C rs3732610 T C C EBF1_EBF_1 7 1 + 6.2184741952878495 4.400969449005226 GTCCCCATGGGGCA chr3 124982273 124982274 chr3:124982274:G:C rs62267133 G C C EBF1_EBF_1 8 1 + 7.810676394030497 2.492017533115811 ATCCCCCAGAGATC chr3 125054830 125054831 chr3:125054831:G:A rs34598459 G A G EBF1_EBF_1 25 0 + 0 0 . chr3 125061716 125061717 chr3:125061717:G:C rs12496017 G C G EBF1_EBF_1 27 0 - 0 0 . chr3 125087933 125087934 chr3:125087934:C:T rs149808650 C T C EBF1_EBF_1 -7 0 + 0 0 . chr3 125093541 125093542 chr3:125093542:C:T rs2981526 C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 125111911 125111912 chr3:125111912:C:T rs79331947 C T C EBF1_EBF_1 -3 0 + 0 0 . chr3 125116934 125116935 chr3:125116935:G:A rs591803 G A G EBF1_EBF_1 -13 0 - 0 0 . chr3 125120742 125120743 chr3:125120743:C:T rs368281490 C T C EBF1_EBF_1 4 1 + 4.195147868015846 -0.17423907692907736 CTTCCCCAGGGCTG chr3 125123692 125123693 chr3:125123693:C:T rs59337767 C T C EBF1_EBF_1 18 0 + 0 0 . chr3 125123902 125123903 chr3:125123903:A:G rs12486816 A G A EBF1_EBF_1 -13 0 + 0 0 . chr3 125129545 125129546 chr3:125129546:G:C rs6799831 G C G EBF1_EBF_1 18 0 - 0 0 . chr3 125131278 125131279 chr3:125131279:A:G rs9831295 A G A EBF1_EBF_1 25 0 - 0 0 . chr3 125148400 125148401 chr3:125148401:T:C rs7637299 T C T EBF1_EBF_1 15 0 + 0 0 . chr3 125160836 125160837 chr3:125160837:C:A rs816324 C A C EBF1_EBF_1 -10 0 - 0 0 . chr3 125191844 125191845 chr3:125191845:T:C rs4679368 T C t EBF1_EBF_1 32 0 + 0 0 . chr3 125195040 125195041 chr3:125195041:C:T rs79274519 C T C EBF1_EBF_1 10 1 - 4.198782991205056 -1.1192454680619104 ACCCCCCTGAGGCA chr3 125210504 125210505 chr3:125210505:T:G rs79739632 T G t EBF1_EBF_1 32 0 - 0 0 . chr3 125219258 125219259 chr3:125219259:G:A rs6438884 G A A EBF1_EBF_1 7 1 + 4.459315692231648 5.771774097520473 CCTCCCTGGGGCAA chr3 125375042 125375043 chr3:125375043:G:A rs867150451 G A g EBF1_EBF_1 -20 0 + 0 0 . chr3 125680282 125680283 chr3:125680283:T:C rs11922736 T C . EBF1_EBF_1 30 0 - 0 0 . chr3 125683787 125683788 chr3:125683788:G:A rs9847070 G A . EBF1_EBF_1 23 0 - 0 0 . chr3 125764452 125764453 chr3:125764453:C:T rs2684977 C T . EBF1_EBF_1 -20 0 - 0 0 . chr3 125779124 125779125 chr3:125779125:T:C rs2735260 T C . EBF1_EBF_1 11 1 + 6.581944265198666 6.263896823445002 AGCCCCAGGGGTCT chr3 125798646 125798647 chr3:125798647:C:T rs1355044377 C T . EBF1_EBF_1 27 0 - 0 0 . chr3 125821177 125821178 chr3:125821178:C:T rs116610378 C T . EBF1_EBF_1 -9 0 + 0 0 . chr3 125916856 125916857 chr3:125916857:C:G rs577099086 C G . EBF1_EBF_1 -7 0 + 0 0 . chr3 125916874 125916875 chr3:125916875:C:A rs12695470 C A . EBF1_EBF_1 11 1 + 5.57644668032973 9.99240837818212 CATCCCTGGGGCCT chr3 125916875 125916876 chr3:125916876:C:T rs58198667 C T . EBF1_EBF_1 12 1 + 5.57644668032973 4.55018010977888 CATCCCTGGGGCCT chr3 125916895 125916896 chr3:125916896:C:T rs9841194 C T . EBF1_EBF_1 32 0 + 0 0 . chr3 125920550 125920551 chr3:125920551:G:A chr3:125920551:G:A G A . EBF1_EBF_1 -3 0 - 0 0 . chr3 125921041 125921042 chr3:125921042:C:A rs2062772 C A . EBF1_EBF_1 20 0 - 0 0 . chr3 125921067 125921068 chr3:125921068:C:T rs6804367 C T . EBF1_EBF_1 -6 0 - 0 0 . chr3 125928642 125928643 chr3:125928643:A:T rs1976459 A T . EBF1_EBF_1 6 1 - 7.751377952008463 7.811694190947983 CTTCCCTTGAGAGT chr3 125929815 125929816 chr3:125929816:A:T rs9289275 A T . EBF1_EBF_1 -12 0 + 0 0 . chr3 125958931 125958932 chr3:125958932:C:T rs7612255 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 125961721 125961722 chr3:125961722:C:T rs1875686 C T T EBF1_EBF_1 29 0 + 0 0 . chr3 125961724 125961725 chr3:125961725:T:C rs1875685 T C C EBF1_EBF_1 32 0 + 0 0 . chr3 126032117 126032118 chr3:126032118:C:T rs144323068 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 126035847 126035848 chr3:126035848:C:T rs1503072 C T C EBF1_EBF_1 8 1 - 4.255634136011585 0.02934404712532375 GCTCCCCCGAGAGC chr3 126068282 126068283 chr3:126068283:C:T rs6438966 C T C EBF1_EBF_1 16 0 - 0 0 . chr3 126084029 126084030 chr3:126084030:C:G rs567731851 C G - EBF1_EBF_1 3 1 + 3.7502404968554135 -2.0775239512598027 CCCCCCTTGGGCCC chr3 126084276 126084277 chr3:126084277:C:T rs558582548 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 126084291 126084292 chr3:126084292:G:A rs891675720 G A G EBF1_EBF_1 4 1 - 4.076479759976852 -0.292907184968073 AGCCCCCCGGGCCC chr3 126084296 126084297 chr3:126084297:G:A rs560968487 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 126084409 126084410 chr3:126084410:T:C rs17523366 T C C EBF1_EBF_1 31 0 + 0 0 . chr3 126084473 126084474 chr3:126084474:C:T rs72965929 C T C EBF1_EBF_1 -10 0 + 0 0 . chr3 126103334 126103335 chr3:126103335:C:T rs4646762 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 126104435 126104436 chr3:126104436:G:T rs138684014 G T G EBF1_EBF_1 16 0 - 0 0 . chr3 126110986 126110987 chr3:126110987:C:G rs3772426 C G C EBF1_EBF_1 5 1 + 5.429054735512691 -1.4758264171224778 ACTCCCTTAAGACC chr3 126112118 126112119 chr3:126112119:T:G rs75138544 T G T EBF1_EBF_1 14 0 + 0 0 . chr3 126117829 126117830 chr3:126117830:G:A rs6792028 G A A EBF1_EBF_1 7 1 + 4.3198211582692085 5.632279563558034 CCTCCCCGGGGCTT chr3 126128522 126128523 chr3:126128523:C:T rs11718998 C T c EBF1_EBF_1 16 0 - 0 0 . chr3 126152606 126152607 chr3:126152607:G:A rs4646713 G A G EBF1_EBF_1 11 1 - 5.450746213046816 5.76879365480048 AACCCCATGGGCGT chr3 126153540 126153541 chr3:126153541:A:G rs3796191 A G A EBF1_EBF_1 15 0 + 0 0 . chr3 126157606 126157607 chr3:126157607:C:G rs41266449 C G C EBF1_EBF_1 15 0 - 0 0 . chr3 126190681 126190682 chr3:126190682:G:T rs4679103 G T G EBF1_EBF_1 17 0 + 0 0 . chr3 126213334 126213335 chr3:126213335:G:C rs116105667 G C G EBF1_EBF_1 10 1 + 4.121003296470198 -2.7697990908592773 AGCCCCAGAGGACG chr3 126259574 126259575 chr3:126259575:T:G rs11708255 T G G EBF1_EBF_1 16 0 + 0 0 . chr3 126268441 126268442 chr3:126268442:G:C rs68100814 G C G EBF1_EBF_1 -16 0 - 0 0 . chr3 126277879 126277880 chr3:126277880:C:T rs4594577 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 126300435 126300436 chr3:126300436:C:T rs75864267 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 126307499 126307500 chr3:126307500:G:C rs9814339 G C G EBF1_EBF_1 2 1 - 5.117547606950867 0.2580453281925482 TTCCCCTTAGGACC chr3 126317645 126317646 chr3:126317646:A:G rs7612280 A G A EBF1_EBF_1 7 1 + 7.456177934179286 6.14371952889046 TACCCCAAGGGAAG chr3 126317653 126317654 chr3:126317654:C:A rs6438999 C A A EBF1_EBF_1 15 0 + 0 0 . chr3 126318060 126318061 chr3:126318061:G:T rs11712964 G T G EBF1_EBF_1 3 1 - 7.195063090120071 0.2888890606542657 TTTCCCATGGGTCC chr3 126327323 126327324 chr3:126327324:G:A rs889660821 G A G EBF1_EBF_1 -13 0 + 0 0 . chr3 126337928 126337929 chr3:126337929:C:G rs7619823 C G C EBF1_EBF_1 3 1 + 4.5884125493832295 -1.2393518987319878 AGTCCCTGGGTGCC chr3 126337929 126337930 chr3:126337930:C:T rs7619824 C T C EBF1_EBF_1 4 1 + 4.5884125493832295 0.21902560443830466 AGTCCCTGGGTGCC chr3 126343015 126343016 chr3:126343016:G:A chr3:126343016:G:A G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 126343504 126343505 chr3:126343505:C:G rs78382785 C G C EBF1_EBF_1 -16 0 + 0 0 . chr3 126350834 126350835 chr3:126350835:C:A rs9855542 C A C EBF1_EBF_1 5 1 + 6.870251108744694 -0.03463004389047358 CACCCCCAGGGATA chr3 126355888 126355889 chr3:126355889:A:G rs139673452 A G A EBF1_EBF_1 -9 0 - 0 0 . chr3 126376168 126376169 chr3:126376169:C:G rs7628416 C G G EBF1_EBF_1 5 1 + 6.738617799327756 -0.1662633533074127 CCTCCCGGGGGACA chr3 126376203 126376204 chr3:126376204:A:C rs75091694 A C A EBF1_EBF_1 -11 0 - 0 0 . chr3 126389842 126389843 chr3:126389843:G:C rs2030847 G C G EBF1_EBF_1 5 1 - 5.231868410416953 -1.673012742218214 GGTCCCAGAGGACA chr3 126401756 126401757 chr3:126401757:G:A rs13059320 G A G EBF1_EBF_1 32 0 - 0 0 . chr3 126402693 126402694 chr3:126402694:G:A rs76206177 G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 126415792 126415793 chr3:126415793:T:C rs6794488 T C C EBF1_EBF_1 30 0 - 0 0 . chr3 126418714 126418715 chr3:126418715:C:T rs4679242 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 126422329 126422330 chr3:126422330:T:C rs13100907 T C T EBF1_EBF_1 30 0 - 0 0 . chr3 126422341 126422342 chr3:126422342:C:T rs35169523 C T C EBF1_EBF_1 18 0 - 0 0 . chr3 126433280 126433281 chr3:126433281:G:A rs3732541 G A G EBF1_EBF_1 11 1 - 4.556750235817123 4.874797677570787 CTTCCCAAGGGCTG chr3 126434466 126434467 chr3:126434467:C:T rs12631210 C T C EBF1_EBF_1 1 1 + 8.505731448851227 9.401164889249971 ACTCCCAGGAGACA chr3 126447859 126447860 chr3:126447860:G:T rs115563292 G T G EBF1_EBF_1 16 0 - 0 0 . chr3 126461976 126461977 chr3:126461977:G:A rs16837497 G A G EBF1_EBF_1 12 1 - 4.990241741161474 3.963975170610624 GCTCCCTAGGGCCG chr3 126464454 126464455 chr3:126464455:G:A rs115203461 G A G EBF1_EBF_1 25 0 + 0 0 . chr3 126473615 126473616 chr3:126473616:A:G rs777502 A G G EBF1_EBF_1 27 0 + 0 0 . chr3 126477160 126477161 chr3:126477161:C:G rs1091371 C G G EBF1_EBF_1 -9 0 - 0 0 . chr3 126487871 126487872 chr3:126487872:A:C rs777484 A C A EBF1_EBF_1 16 0 + 0 0 . chr3 126488378 126488379 chr3:126488379:A:G rs777483 A G G EBF1_EBF_1 33 0 - 0 0 . chr3 126490953 126490954 chr3:126490954:C:T rs17691411 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 126498943 126498944 chr3:126498944:T:C rs115776471 T C T EBF1_EBF_1 -17 0 + 0 0 . chr3 126524030 126524031 chr3:126524031:A:G rs1388097 A G G EBF1_EBF_1 22 0 + 0 0 . chr3 126525490 126525491 chr3:126525491:G:T rs9878550 G T G EBF1_EBF_1 11 1 - 5.728882574650245 10.144844272502635 TATCCCATGGGCTT chr3 126528935 126528936 chr3:126528936:A:G chr3:126528936:A:G A G A EBF1_EBF_1 29 0 + 0 0 . chr3 126537704 126537705 chr3:126537705:G:A rs72982016 G A A EBF1_EBF_1 -11 0 + 0 0 . chr3 126537735 126537736 chr3:126537736:C:A chr3:126537736:C:A C A C EBF1_EBF_1 20 0 + 0 0 . chr3 126547347 126547348 chr3:126547348:G:A rs9835561 G A G EBF1_EBF_1 19 0 - 0 0 . chr3 126684078 126684079 chr3:126684079:C:A rs370234581 C A C EBF1_EBF_1 11 1 - 8.60096018866845 7.395752776173736 CTTCCCCTGGGGCT chr3 126729669 126729670 chr3:126729670:T:C rs11706588 T C T EBF1_EBF_1 25 0 + 0 0 . chr3 126732961 126732962 chr3:126732962:G:A rs2272488 G A G EBF1_EBF_1 30 0 - 0 0 . chr3 126733685 126733686 chr3:126733686:G:C rs11706899 G C G EBF1_EBF_1 -18 0 + 0 0 . chr3 126841049 126841050 chr3:126841050:T:C rs7636132 T C C EBF1_EBF_1 -12 0 + 0 0 . chr3 126886012 126886013 chr3:126886013:T:C rs17694364 T C T EBF1_EBF_1 33 0 - 0 0 . chr3 126892065 126892066 chr3:126892066:A:G rs9853552 A G G EBF1_EBF_1 26 0 - 0 0 . chr3 126901512 126901513 chr3:126901513:T:A rs6797984 T A A EBF1_EBF_1 25 0 + 0 0 . chr3 126958315 126958316 chr3:126958316:G:A rs541924700 G A G EBF1_EBF_1 -15 0 + 0 0 . chr3 126969715 126969716 chr3:126969716:G:A rs9851250 G A G EBF1_EBF_1 -16 0 + 0 0 . chr3 126969742 126969743 chr3:126969743:G:T rs114821929 G T G EBF1_EBF_1 11 1 + 7.164850477970803 5.959643065476089 AACCCCCGGGGGCT chr3 126973040 126973041 chr3:126973041:C:T rs12637815 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 126984937 126984938 chr3:126984938:A:G rs9865137 A G A EBF1_EBF_1 8 1 + 6.663990939767136 10.890281028653396 GATCCCCTAGGACT chr3 126988532 126988533 chr3:126988533:T:C rs1106863 T C C EBF1_EBF_1 21 0 + 0 0 . chr3 127016171 127016172 chr3:127016172:C:T rs76288851 C T C EBF1_EBF_1 10 1 - 4.308622159038164 -1.009406300228803 CCTCCCCAGAGGCA chr3 127032014 127032015 chr3:127032015:G:A rs78305307 G A A EBF1_EBF_1 13 1 + 4.4024530267813775 5.5167785988508165 GGTCCCCTGGGCCG chr3 127036712 127036713 chr3:127036713:C:T rs41266477 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 127037633 127037634 chr3:127037634:A:G chr3:127037634:A:G A G A EBF1_EBF_1 18 0 - 0 0 . chr3 127037646 127037647 chr3:127037647:G:A rs17751931 G A G EBF1_EBF_1 5 1 - 4.854416059549079 -0.46737115599158985 AATCGCCTGGGAAG chr3 127038267 127038268 chr3:127038268:C:T rs114727332 C T C EBF1_EBF_1 32 0 - 0 0 . chr3 127038275 127038276 chr3:127038276:C:T rs57148902 C T C EBF1_EBF_1 24 0 - 0 0 . chr3 127039335 127039336 chr3:127039336:G:T rs28435826 G T G EBF1_EBF_1 14 0 + 0 0 . chr3 127049283 127049284 chr3:127049284:G:A rs116288703 G A G EBF1_EBF_1 24 0 - 0 0 . chr3 127049713 127049714 chr3:127049714:G:A rs6763410 G A G EBF1_EBF_1 27 0 + 0 0 . chr3 127066761 127066762 chr3:127066762:G:A rs4679330 G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 127090563 127090564 chr3:127090564:C:G rs74733423 C G C EBF1_EBF_1 22 0 - 0 0 . chr3 127091655 127091656 chr3:127091656:G:C rs77992377 G C G EBF1_EBF_1 -1 0 - 0 0 . chr3 127091659 127091660 chr3:127091660:A:G rs9845880 A G A EBF1_EBF_1 -5 0 - 0 0 . chr3 127100495 127100496 chr3:127100496:C:G rs11709640 C G G EBF1_EBF_1 -18 0 + 0 0 . chr3 127106210 127106211 chr3:127106211:G:A rs73203254 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 127108159 127108160 chr3:127108160:T:C rs56298780 T C T EBF1_EBF_1 6 1 - 5.0482068629335455 2.8814124316590712 GCCCCCATGGGCAT chr3 127113543 127113544 chr3:127113544:A:T rs4257496 A T c EBF1_EBF_1 8 1 + 6.142539191443768 3.477396491352834 TATCCCTAAGGACA chr3 127124056 127124057 chr3:127124057:G:T rs80037729 G T G EBF1_EBF_1 30 0 + 0 0 . chr3 127134592 127134593 chr3:127134593:G:C rs55720811 G C G EBF1_EBF_1 -3 0 - 0 0 . chr3 127140755 127140756 chr3:127140756:C:T rs9853091 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 127140782 127140783 chr3:127140783:C:T rs12492852 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 127164260 127164261 chr3:127164261:C:T rs35086983 C T C EBF1_EBF_1 32 0 - 0 0 . chr3 127164636 127164637 chr3:127164637:C:A rs13061581 C A C EBF1_EBF_1 -14 0 - 0 0 . chr3 127167922 127167923 chr3:127167923:C:T rs145719907 C T C EBF1_EBF_1 0 1 - 5.217769306786567 7.110053176351401 GTTCACCTGGGAAC chr3 127167939 127167940 chr3:127167940:G:C rs35653911 G C G EBF1_EBF_1 -17 0 - 0 0 . chr3 127169224 127169225 chr3:127169225:G:A rs34702702 G A G EBF1_EBF_1 4 1 - 6.943947402696163 2.5745604577512404 CCACCCCTGGGAAT chr3 127169241 127169242 chr3:127169242:C:A rs11922090 C A C EBF1_EBF_1 -13 0 - 0 0 . chr3 127197192 127197193 chr3:127197193:G:A rs140075011 G A G EBF1_EBF_1 16 0 + 0 0 . chr3 127204291 127204292 chr3:127204292:G:A rs11713662 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 127206979 127206980 chr3:127206980:C:T rs113930108 C T C EBF1_EBF_1 -19 0 + 0 0 . chr3 127221709 127221710 chr3:127221710:A:G rs13098759 A G G EBF1_EBF_1 -1 0 - 0 0 . chr3 127263911 127263912 chr3:127263912:G:A rs13097206 G A G EBF1_EBF_1 10 1 + 5.747858587280353 0.42983012801338766 GTTCCCAGGGGCCA chr3 127298594 127298595 chr3:127298595:C:T rs6770383 C T C EBF1_EBF_1 5 1 + 5.264256659021718 -0.05753055651895332 GACCCCCTGGGGCC chr3 127347152 127347153 chr3:127347153:G:C rs11924427 G C G EBF1_EBF_1 -14 0 - 0 0 . chr3 127350120 127350121 chr3:127350121:G:A rs35622097 G A A EBF1_EBF_1 -19 0 + 0 0 . chr3 127363789 127363790 chr3:127363790:T:C rs11716391 T C T EBF1_EBF_1 -16 0 - 0 0 . chr3 127370093 127370094 chr3:127370094:C:A rs140624340 C A C EBF1_EBF_1 10 1 - 6.378867967935328 2.1961157817080634 CCACCCTGGGGACT chr3 127370094 127370095 chr3:127370095:C:A rs145629421 C A C EBF1_EBF_1 9 1 - 6.378867967935328 -0.45812144015348166 CCACCCTGGGGACT chr3 127389729 127389730 chr3:127389730:G:A rs7651399 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 127395023 127395024 chr3:127395024:C:T rs4974418 C T C EBF1_EBF_1 7 1 + 7.515459071834046 9.332963818116669 ATTCCCCCGGGCCT chr3 127395896 127395897 chr3:127395897:G:T rs116425969 G T G EBF1_EBF_1 -15 0 + 0 0 . chr3 127406768 127406769 chr3:127406769:G:C rs9827111 G C G EBF1_EBF_1 -7 0 + 0 0 . chr3 127407503 127407504 chr3:127407504:C:T rs565568819 C T C EBF1_EBF_1 -6 0 + 0 0 . chr3 127412091 127412092 chr3:127412092:C:G rs2715675 C G G EBF1_EBF_1 -20 0 + 0 0 . chr3 127418049 127418050 chr3:127418050:A:G rs78036883 A G A EBF1_EBF_1 -3 0 - 0 0 . chr3 127438630 127438631 chr3:127438631:G:T rs12490156 G T G EBF1_EBF_1 3 1 - 5.137180941097596 -1.7689930883682097 ATTCCCAGGGGCGG chr3 127442793 127442794 chr3:127442794:T:C rs11720209 T C T EBF1_EBF_1 11 1 - 7.909513479165857 5.016806635561845 AGCCCCCAGGGAGG chr3 127448508 127448509 chr3:127448509:C:T rs74556741 C T C EBF1_EBF_1 16 0 - 0 0 . chr3 127448524 127448525 chr3:127448525:G:A rs115194278 G A G EBF1_EBF_1 0 1 - 3.9567734643165378 4.238975525047116 CGCCCCCTGGGTCC chr3 127459051 127459052 chr3:127459052:C:A rs2884481 C A C EBF1_EBF_1 -8 0 + 0 0 . chr3 127459057 127459058 chr3:127459058:A:T rs9853841 A T A EBF1_EBF_1 -2 0 + 0 0 . chr3 127479494 127479495 chr3:127479495:T:C rs531547097 T C T EBF1_EBF_1 -7 0 + 0 0 . chr3 127479511 127479512 chr3:127479512:G:A rs11717854 G A G EBF1_EBF_1 10 1 + 5.951274010609734 0.6332455513427673 CTTCCCGTGGGGCC chr3 127483149 127483150 chr3:127483150:G:A rs545763630 G A G EBF1_EBF_1 8 1 + 8.733603591768084 4.507313502881824 ACTCCCCCGGGAAG chr3 127486478 127486479 chr3:127486479:G:T rs532300741 G T G EBF1_EBF_1 18 0 + 0 0 . chr3 127487537 127487538 chr3:127487538:G:A rs78104213 G A G EBF1_EBF_1 -19 0 + 0 0 . chr3 127489374 127489375 chr3:127489375:G:C rs73201059 G C G EBF1_EBF_1 24 0 + 0 0 . chr3 127489688 127489689 chr3:127489689:G:A rs9866427 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 127492316 127492317 chr3:127492317:C:T rs77940331 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 127527151 127527152 chr3:127527152:C:G rs2594200 C G G EBF1_EBF_1 24 0 - 0 0 . chr3 127532527 127532528 chr3:127532528:A:C rs6787910 A C C EBF1_EBF_1 16 0 - 0 0 . chr3 127546672 127546673 chr3:127546673:G:A rs12492351 G A G EBF1_EBF_1 27 0 + 0 0 . chr3 127548063 127548064 chr3:127548064:C:T rs182181996 C T C EBF1_EBF_1 4 1 + 12.310284089846553 7.940897144901632 ACTCCCTTGGGACC chr3 127589379 127589380 chr3:127589380:C:A rs116589155 C A C EBF1_EBF_1 -20 0 + 0 0 . chr3 127589382 127589383 chr3:127589383:C:T rs79855626 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 127589419 127589420 chr3:127589420:G:T rs370888520 G T G EBF1_EBF_1 20 0 + 0 0 . chr3 127590844 127590845 chr3:127590845:A:C rs577843120 A C A EBF1_EBF_1 -9 0 + 0 0 . chr3 127628911 127628912 chr3:127628912:G:A rs535697879 G A . EBF1_EBF_1 24 0 + 0 0 . chr3 127652300 127652301 chr3:127652301:A:G rs557885254 A G A EBF1_EBF_1 23 0 - 0 0 . chr3 127680640 127680641 chr3:127680641:G:A rs72969604 G A G EBF1_EBF_1 5 1 - 5.834766063133335 0.5129788475926653 CCTCCCCTGGGGTC chr3 127681936 127681937 chr3:127681937:G:A rs1979526 G A G EBF1_EBF_1 -20 0 - 0 0 . chr3 127682032 127682033 chr3:127682033:T:C rs79669902 T C T EBF1_EBF_1 -19 0 - 0 0 . chr3 127691081 127691082 chr3:127691082:G:A rs76891800 G A G EBF1_EBF_1 7 1 + 5.963028033679391 7.275486438968217 ACTCCCAGGGTAGC chr3 127694815 127694816 chr3:127694816:G:T rs62265762 G T G EBF1_EBF_1 3 1 - 5.2370821375182475 -1.6690918919475595 AATCCCAGGAGCCT chr3 127694837 127694838 chr3:127694838:C:T rs181661164 C T C EBF1_EBF_1 -19 0 - 0 0 . chr3 127701424 127701425 chr3:127701425:C:T rs11917190 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 127707121 127707122 chr3:127707122:C:T rs114957995 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 127707993 127707994 chr3:127707994:T:C rs713378 T C C EBF1_EBF_1 23 0 + 0 0 . chr3 127716077 127716078 chr3:127716078:C:A rs58959211 C A C EBF1_EBF_1 -8 0 + 0 0 . chr3 127719582 127719583 chr3:127719583:G:A rs564715350 G A G EBF1_EBF_1 13 1 + 5.4935736708116085 6.6078992428810475 AATCCCATGGAACG chr3 127735252 127735253 chr3:127735253:G:A rs1001361482 G A G EBF1_EBF_1 28 0 + 0 0 . chr3 127750551 127750552 chr3:127750552:T:C rs497897 T C C EBF1_EBF_1 6 1 - 7.308226120301634 5.14143168902716 AGTCCCAGGGGCCC chr3 127755053 127755054 chr3:127755054:C:T rs114494057 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 127755072 127755073 chr3:127755073:G:A rs114531308 G A G EBF1_EBF_1 8 1 + 8.030667710402279 3.804377621516016 ATCCCCGGGGGAAA chr3 127756583 127756584 chr3:127756584:A:G rs34421818 A G A EBF1_EBF_1 -9 0 - 0 0 . chr3 127761400 127761401 chr3:127761401:G:T rs79353235 G T G EBF1_EBF_1 -7 0 + 0 0 . chr3 127769656 127769657 chr3:127769657:A:T rs72626398 A T A EBF1_EBF_1 11 1 + 4.503735279771073 0.4058210236723471 AGCCCTGAGGGACT chr3 127775771 127775772 chr3:127775772:T:G rs149454245 T G T EBF1_EBF_1 7 1 - 9.736811214096349 7.443032227726169 CTTCCCCAGGGAGC chr3 127781686 127781687 chr3:127781687:A:G rs76504094 A G A EBF1_EBF_1 1 1 - 5.54770918865015 4.652275748251405 ATTCCCCACGGATG chr3 127784459 127784460 chr3:127784460:C:T rs17203666 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 127787038 127787039 chr3:127787039:T:C rs149572543 T C T EBF1_EBF_1 32 0 + 0 0 . chr3 127787176 127787177 chr3:127787177:C:A rs73862351 C A C EBF1_EBF_1 -2 0 + 0 0 . chr3 127790943 127790944 chr3:127790944:G:A rs74422782 G A g EBF1_EBF_1 8 1 + 5.281946711349736 1.0556566224634745 AACCCCAGGGGGTA chr3 127797091 127797092 chr3:127797092:C:G rs74634676 C G C EBF1_EBF_1 -15 0 + 0 0 . chr3 127804509 127804510 chr3:127804510:G:A rs141830251 G A G EBF1_EBF_1 27 0 + 0 0 . chr3 127887300 127887301 chr3:127887301:G:A rs78335011 G A G EBF1_EBF_1 3 1 - 6.5068762027345315 0.10147746118121492 GTCCCCCGGAGAAT chr3 127948281 127948282 chr3:127948282:C:G rs4857887 C G C EBF1_EBF_1 28 0 - 0 0 . chr3 127991630 127991631 chr3:127991631:G:A rs16845806 G A G EBF1_EBF_1 33 0 - 0 0 . chr3 128005301 128005302 chr3:128005302:A:G rs6767360 A G G EBF1_EBF_1 7 1 + 7.382383964478374 6.069925559189548 TGTCCCAAGAGATC chr3 128022290 128022291 chr3:128022291:C:G rs9833838 C G C EBF1_EBF_1 25 0 - 0 0 . chr3 128049618 128049619 chr3:128049619:C:G rs1984451 C G C EBF1_EBF_1 5 1 + 5.47226796298063 -1.4326131896545389 ACCCCCCAGGGTTC chr3 128060462 128060463 chr3:128060463:G:A rs2304018 G A A EBF1_EBF_1 8 1 - 4.90058402130686 3.327810093244351 GCTCCCCACGGACA chr3 128071932 128071933 chr3:128071933:C:T rs2241687 C T C EBF1_EBF_1 8 1 - 6.705396628671297 2.479106539785035 ATTCTCACGGGACA chr3 128072956 128072957 chr3:128072957:A:G rs12695506 A G A EBF1_EBF_1 -4 0 - 0 0 . chr3 128142214 128142215 chr3:128142215:G:A rs34817706 G A G EBF1_EBF_1 -9 0 + 0 0 . chr3 128142237 128142238 chr3:128142238:T:A rs188860438 T A T EBF1_EBF_1 14 0 + 0 0 . chr3 128220513 128220514 chr3:128220514:T:A rs4323023 T A A EBF1_EBF_1 24 0 - 0 0 . chr3 128264365 128264366 chr3:128264366:C:T rs2999035 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 128264651 128264652 chr3:128264652:T:C rs2811544 T C C EBF1_EBF_1 2 1 + 8.529568296647012 6.640744885204864 GATCCCTCGGGACC chr3 128275163 128275164 chr3:128275164:C:T rs75704347 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 128275195 128275196 chr3:128275196:C:T rs115821219 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 128282671 128282672 chr3:128282672:G:C rs7374227 G C G EBF1_EBF_1 25 0 + 0 0 . chr3 128283618 128283619 chr3:128283619:G:A rs116081714 G A G EBF1_EBF_1 -20 0 + 0 0 . chr3 128293433 128293434 chr3:128293434:G:T rs2811520 G T G EBF1_EBF_1 -9 0 - 0 0 . chr3 128300032 128300033 chr3:128300033:C:G rs73197379 C G C EBF1_EBF_1 6 1 + 4.92466364095007 3.1194715774768715 CTTCCCCAGGTGAT chr3 128301857 128301858 chr3:128301858:G:C rs2999036 G C G EBF1_EBF_1 3 1 - 7.68237660455469 1.8546121564394744 CCCCCCATGGGAGT chr3 128319845 128319846 chr3:128319846:C:A rs12486127 C A C EBF1_EBF_1 -9 0 + 0 0 . chr3 128322209 128322210 chr3:128322210:C:G rs2737772 C G G EBF1_EBF_1 -16 0 - 0 0 . chr3 128324206 128324207 chr3:128324207:C:A rs55675294 C A C EBF1_EBF_1 11 1 + 5.895400265519877 10.311361963372267 TTTCCCAAGGGCAG chr3 128342845 128342846 chr3:128342846:C:T rs58986862 C T C EBF1_EBF_1 22 0 + 0 0 . chr3 128354016 128354017 chr3:128354017:C:T rs7615340 C T C EBF1_EBF_1 4 1 + 6.487287184398209 2.1179002394532858 CCTCCCCTGGGGCA chr3 128379867 128379868 chr3:128379868:A:G rs73861057 A G a EBF1_EBF_1 -13 0 + 0 0 . chr3 128416274 128416275 chr3:128416275:C:T rs546509888 C T C EBF1_EBF_1 -14 0 - 0 0 . chr3 128436006 128436007 chr3:128436007:T:C rs1876285 T C T EBF1_EBF_1 -9 0 + 0 0 . chr3 128436014 128436015 chr3:128436015:A:G rs1876284 A G G EBF1_EBF_1 -1 0 + 0 0 . chr3 128448095 128448096 chr3:128448096:C:T rs4241497 C T T EBF1_EBF_1 15 0 + 0 0 . chr3 128452892 128452893 chr3:128452893:A:G rs2659704 A G G EBF1_EBF_1 1 1 - 5.3688886750351426 4.473455234636398 TTCCCCAGGAGAGT chr3 128453877 128453878 chr3:128453878:G:C rs76123284 G C G EBF1_EBF_1 -5 0 - 0 0 . chr3 128467677 128467678 chr3:128467678:G:A rs7641264 G A A EBF1_EBF_1 -5 0 + 0 0 . chr3 128468213 128468214 chr3:128468214:C:T rs62270938 C T C EBF1_EBF_1 8 1 - 5.0613421010263515 0.8350520121400908 GCTCCCCAGAGAGG chr3 128474018 128474019 chr3:128474019:C:T rs10934856 C T c EBF1_EBF_1 -4 0 - 0 0 . chr3 128482687 128482688 chr3:128482688:C:T rs77307721 C T c EBF1_EBF_1 -19 0 + 0 0 . chr3 128482688 128482689 chr3:128482689:G:A rs12488487 G A G EBF1_EBF_1 -18 0 + 0 0 . chr3 128498947 128498948 chr3:128498948:G:C rs528567818 G C G EBF1_EBF_1 16 0 - 0 0 . chr3 128499695 128499696 chr3:128499696:A:G rs111982775 A G A EBF1_EBF_1 -17 0 - 0 0 . chr3 128504507 128504508 chr3:128504508:G:A rs13076142 G A G EBF1_EBF_1 8 1 + 4.254005421719259 0.027715332832997666 TCCCTCCAGGGACC chr3 128504661 128504662 chr3:128504662:G:C rs7631152 G C G EBF1_EBF_1 6 1 - 6.954522905501154 5.149330842027957 AGTCCCCGAGGATT chr3 128522110 128522111 chr3:128522111:G:A rs7433900 G A G EBF1_EBF_1 -16 0 + 0 0 . chr3 128574000 128574001 chr3:128574001:A:G rs2734047 A G G EBF1_EBF_1 32 0 + 0 0 . chr3 128584786 128584787 chr3:128584787:T:C chr3:128584787:T:C T C T EBF1_EBF_1 6 1 - 4.0959541586283414 1.9291597273538663 GTCCCCACGGGCCT chr3 128586252 128586253 chr3:128586253:C:A rs1229564443 C A C EBF1_EBF_1 20 0 + 0 0 . chr3 128722846 128722847 chr3:128722847:T:G rs9842941 T G G EBF1_EBF_1 -6 0 + 0 0 . chr3 128774283 128774284 chr3:128774284:T:C rs13079562 T C C EBF1_EBF_1 27 0 + 0 0 . chr3 128779916 128779917 chr3:128779917:A:G rs145194566 A G A EBF1_EBF_1 18 0 - 0 0 . chr3 128788754 128788755 chr3:128788755:C:G rs530441874 C G C EBF1_EBF_1 -1 0 + 0 0 . chr3 128840128 128840129 chr3:128840129:C:T rs117387954 C T C EBF1_EBF_1 9 1 - 7.79794143540701 5.143002169959406 ATTCCCCAGGTATA chr3 128845816 128845817 chr3:128845817:C:T rs143050868 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 128851558 128851559 chr3:128851559:T:C rs9871612 T C C EBF1_EBF_1 -12 0 - 0 0 . chr3 128859583 128859584 chr3:128859584:C:T rs62274468 C T C EBF1_EBF_1 9 1 - 7.017519845076673 4.3625805796290695 TGACCCCAGGGACC chr3 128859609 128859610 chr3:128859610:T:C rs789249 T C C EBF1_EBF_1 -17 0 - 0 0 . chr3 128876052 128876053 chr3:128876053:C:G rs1680759 C G G EBF1_EBF_1 29 0 - 0 0 . chr3 128876053 128876054 chr3:128876054:T:C rs1680758 T C C EBF1_EBF_1 28 0 - 0 0 . chr3 128876075 128876076 chr3:128876076:T:C rs789215 T C C EBF1_EBF_1 6 1 - 8.282017014563355 6.115222583288881 ATCCCCAAGGGCCT chr3 128880299 128880300 chr3:128880300:T:C rs16852013 T C T EBF1_EBF_1 15 0 - 0 0 . chr3 128881385 128881386 chr3:128881386:G:A rs789225 G A A EBF1_EBF_1 26 0 + 0 0 . chr3 128883291 128883292 chr3:128883292:G:T rs13323787 G T G EBF1_EBF_1 -5 0 + 0 0 . chr3 128906946 128906947 chr3:128906947:G:T rs1680789 G T g EBF1_EBF_1 1 1 + 6.764951292214907 7.470613078044436 TGCCCCCAGGGGCT chr3 128931124 128931125 chr3:128931125:G:A rs13090699 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 128931150 128931151 chr3:128931151:C:T rs113047597 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 128942416 128942417 chr3:128942417:G:T rs72977135 G T G EBF1_EBF_1 -12 0 - 0 0 . chr3 128950690 128950691 chr3:128950691:T:C rs9864697 T C C EBF1_EBF_1 -12 0 - 0 0 . chr3 129016501 129016502 chr3:129016502:G:A rs77296720 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 129016533 129016534 chr3:129016534:G:A rs78301508 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 129038716 129038717 chr3:129038717:C:G rs2306529 C G C EBF1_EBF_1 -7 0 + 0 0 . chr3 129046389 129046390 chr3:129046390:A:C rs533276251 A C A EBF1_EBF_1 -19 0 - 0 0 . chr3 129106467 129106468 chr3:129106468:G:A rs1180025291 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 129120003 129120004 chr3:129120004:C:G rs1037462125 C G C EBF1_EBF_1 10 1 - 4.857991374679681 -2.0328110126497934 AGTCACTCGGGACC chr3 129121477 129121478 chr3:129121478:C:T rs76233276 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 129183466 129183467 chr3:129183467:G:A rs555138245 G A G EBF1_EBF_1 9 1 + 3.4509413009053245 0.7960020354577207 GGCCCCAAGGGCGC chr3 129183475 129183476 chr3:129183476:G:A rs1047921772 G A G EBF1_EBF_1 18 0 + 0 0 . chr3 129267871 129267872 chr3:129267872:A:C rs61128088 A C C EBF1_EBF_1 30 0 + 0 0 . chr3 129269055 129269056 chr3:129269056:T:A rs10934876 T A A EBF1_EBF_1 0 1 - 5.015422373452226 3.042365950486204 ACCCCCTGAGGAAA chr3 129278644 129278645 chr3:129278645:G:A rs116744832 G A G EBF1_EBF_1 29 0 - 0 0 . chr3 129278764 129278765 chr3:129278765:A:C rs117737301 A C A EBF1_EBF_1 14 0 - 0 0 . chr3 129319108 129319109 chr3:129319109:C:T rs527513563 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 129326434 129326435 chr3:129326435:T:C rs6801264 T C C EBF1_EBF_1 14 0 - 0 0 . chr3 129326456 129326457 chr3:129326457:A:G rs6763907 A G G EBF1_EBF_1 -8 0 - 0 0 . chr3 129334849 129334850 chr3:129334850:A:G rs73862898 A G A EBF1_EBF_1 7 1 + 5.085983008746641 3.773524603457816 CAACCCCAGGGAGC chr3 129341152 129341153 chr3:129341153:T:C rs7373404 T C T EBF1_EBF_1 7 1 - 6.173060444262638 4.860602038973812 GCCCCCAAGGGGCC chr3 129343963 129343964 chr3:129343964:A:T rs6788975 A T T EBF1_EBF_1 -2 0 - 0 0 . chr3 129344471 129344472 chr3:129344472:C:G rs7426927 C G C EBF1_EBF_1 8 1 - 5.8399793065647705 0.5213204456500837 TTTCCCTGGGTACA chr3 129344479 129344480 chr3:129344480:A:G rs7430809 A G G EBF1_EBF_1 0 1 - 5.8399793065647705 5.557777245834193 TTTCCCTGGGTACA chr3 129349473 129349474 chr3:129349474:T:C rs113934528 T C T EBF1_EBF_1 27 0 - 0 0 . chr3 129352237 129352238 chr3:129352238:G:A rs146765777 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 129366005 129366006 chr3:129366006:T:A rs9810570 T A T EBF1_EBF_1 25 0 - 0 0 . chr3 129371095 129371096 chr3:129371096:G:C rs557115461 G C G EBF1_EBF_1 -14 0 - 0 0 . chr3 129400945 129400946 chr3:129400946:C:T rs116441654 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 129419641 129419642 chr3:129419642:G:A rs79193719 G A G EBF1_EBF_1 -5 0 + 0 0 . chr3 129424752 129424753 chr3:129424753:T:C rs73202283 T C T EBF1_EBF_1 -9 0 + 0 0 . chr3 129466797 129466798 chr3:129466798:T:C rs6770233 T C C EBF1_EBF_1 14 0 - 0 0 . chr3 129491794 129491795 chr3:129491795:G:C rs56757509 G C C EBF1_EBF_1 -3 0 + 0 0 . chr3 129535318 129535319 chr3:129535319:C:T rs187923166 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 129554471 129554472 chr3:129554472:A:G rs2713616 A G G EBF1_EBF_1 -2 0 - 0 0 . chr3 129577176 129577177 chr3:129577177:C:T rs2625961 C T T EBF1_EBF_1 22 0 - 0 0 . chr3 129577202 129577203 chr3:129577203:C:T rs189568951 C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 129592958 129592959 chr3:129592959:G:A rs137961609 G A G EBF1_EBF_1 12 1 - 7.035875890800878 6.0096093202500285 TGTCCCTGGAGACC chr3 129596452 129596453 chr3:129596453:C:T rs114234174 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 129596643 129596644 chr3:129596644:G:A rs62267579 G A G EBF1_EBF_1 -17 0 + 0 0 . chr3 129596675 129596676 chr3:129596676:C:T chr3:129596676:C:T C T C EBF1_EBF_1 15 0 + 0 0 . chr3 129598401 129598402 chr3:129598402:T:C rs2128900 T C T EBF1_EBF_1 -8 0 - 0 0 . chr3 129610448 129610449 chr3:129610449:C:T rs11929498 C T C EBF1_EBF_1 0 1 + 6.673329677452299 6.955531738182877 CGTCCCTTGGGCCT chr3 129611945 129611946 chr3:129611946:A:G rs9851359 A G G EBF1_EBF_1 -4 0 + 0 0 . chr3 129614825 129614826 chr3:129614826:G:A rs2811442 G A A EBF1_EBF_1 8 1 + 6.670185914649449 2.443895825763188 AGCCCCTAGAGAGA chr3 129627288 129627289 chr3:129627289:T:A rs564979894 T A T EBF1_EBF_1 15 0 + 0 0 . chr3 129633931 129633932 chr3:129633932:T:C rs80033623 T C C EBF1_EBF_1 7 1 - 3.4935517813134664 2.1810933760246414 AGCCCCCAGGGCGG chr3 129837423 129837424 chr3:129837424:T:C rs78655505 T C T EBF1_EBF_1 15 0 - 0 0 . chr3 129893103 129893104 chr3:129893104:A:G rs73863907 A G A EBF1_EBF_1 -7 0 + 0 0 . chr3 129893288 129893289 chr3:129893289:C:A rs567862759 C A C EBF1_EBF_1 -1 0 - 0 0 . chr3 129893303 129893304 chr3:129893304:T:G rs371052723 T G T EBF1_EBF_1 -16 0 - 0 0 . chr3 130094718 130094719 chr3:130094719:G:A rs6799203 G A g EBF1_EBF_1 3 1 - 5.824217263960405 -0.5811814775929118 AACCCCTTGGTAAA chr3 130098395 130098396 chr3:130098396:C:T rs142691368 C T c EBF1_EBF_1 13 1 + 4.313915738269005 5.158409852854523 AAACCCCAGGGCCC chr3 130109315 130109316 chr3:130109316:C:T rs145176692 C T c EBF1_EBF_1 -12 0 + 0 0 . chr3 130111220 130111221 chr3:130111221:G:A rs113674105 G A g EBF1_EBF_1 11 1 - 5.837145512251033 6.155192954004698 CGTCCCTGGGGCCT chr3 130111242 130111243 chr3:130111243:C:T rs9840458 C T c EBF1_EBF_1 -11 0 - 0 0 . chr3 130345446 130345447 chr3:130345447:C:T rs57130462 C T C EBF1_EBF_1 7 1 + 5.449872197103576 7.2673769433862 CTTCCCCCAGGACT chr3 130345695 130345696 chr3:130345696:A:C rs77463356 A C A EBF1_EBF_1 19 0 - 0 0 . chr3 130379505 130379506 chr3:130379506:G:A rs16845861 G A G EBF1_EBF_1 28 0 + 0 0 . chr3 130490413 130490414 chr3:130490414:C:T rs10934944 C T C EBF1_EBF_1 3 1 + 5.325207379869048 -1.0801913616842695 TCACCCCTGGGATC chr3 130490433 130490434 chr3:130490434:G:T rs322111 G T T EBF1_EBF_1 23 0 + 0 0 . chr3 130508851 130508852 chr3:130508852:T:C rs2645955 T C T EBF1_EBF_1 -10 0 + 0 0 . chr3 130609465 130609466 chr3:130609466:T:C rs566367117 T C T EBF1_EBF_1 28 0 + 0 0 . chr3 130609470 130609471 chr3:130609471:T:A rs77975505 T A T EBF1_EBF_1 33 0 + 0 0 . chr3 130649224 130649225 chr3:130649225:A:G rs7614116 A G G EBF1_EBF_1 -3 0 - 0 0 . chr3 130670571 130670572 chr3:130670572:T:C rs6439252 T C C EBF1_EBF_1 12 1 - 6.867774964977886 5.4489576228784085 GAACCCCAGGGAAC chr3 130814867 130814868 chr3:130814868:G:T rs78842730 G T G EBF1_EBF_1 -5 0 - 0 0 . chr3 130829179 130829180 chr3:130829180:A:C rs77265432 A C A EBF1_EBF_1 -6 0 + 0 0 . chr3 130893700 130893701 chr3:130893701:G:A rs542974197 G A G EBF1_EBF_1 -11 0 + 0 0 . chr3 130893707 130893708 chr3:130893708:C:G rs3755761 C G C EBF1_EBF_1 -4 0 + 0 0 . chr3 130893733 130893734 chr3:130893734:G:T rs115829719 G T G EBF1_EBF_1 22 0 + 0 0 . chr3 130893777 130893778 chr3:130893778:G:A rs864071 G A G EBF1_EBF_1 33 0 - 0 0 . chr3 130893788 130893789 chr3:130893789:G:C rs533288454 G C G EBF1_EBF_1 22 0 - 0 0 . chr3 130893821 130893822 chr3:130893822:A:G rs7631226 A G G EBF1_EBF_1 -11 0 - 0 0 . chr3 130901865 130901866 chr3:130901866:C:T rs75217249 C T C EBF1_EBF_1 5 1 + 5.212769002017372 -0.10901821352329777 GCCCCCGGGGGACA chr3 130901882 130901883 chr3:130901883:T:C rs139177940 T C T EBF1_EBF_1 22 0 + 0 0 . chr3 131042319 131042320 chr3:131042320:G:T rs115975672 G T G EBF1_EBF_1 -7 0 + 0 0 . chr3 131047496 131047497 chr3:131047497:T:C rs13060321 T C C EBF1_EBF_1 7 1 - 6.188133331424908 4.8756749261360826 ATCCCTAAGGGAAA chr3 131053019 131053020 chr3:131053020:C:T rs1160679 C T C EBF1_EBF_1 7 1 + 5.863437524846664 7.680942271129288 CGCCCCCCGGGACA chr3 131216848 131216849 chr3:131216849:T:C rs73873821 T C T EBF1_EBF_1 -9 0 + 0 0 . chr3 131387186 131387187 chr3:131387187:G:T rs72995220 G T g EBF1_EBF_1 -12 0 + 0 0 . chr3 131531605 131531606 chr3:131531606:T:C rs9942066 T C C EBF1_EBF_1 29 0 - 0 0 . chr3 131561637 131561638 chr3:131561638:A:G rs1552369 A G G EBF1_EBF_1 31 0 - 0 0 . chr3 131592854 131592855 chr3:131592855:C:G rs16837170 C G G EBF1_EBF_1 30 0 - 0 0 . chr3 131631336 131631337 chr3:131631337:G:A rs114295060 G A G EBF1_EBF_1 4 1 - 6.684565960019715 2.31517901507479 AATCCCCGGAGAGA chr3 131796631 131796632 chr3:131796632:C:G rs10934980 C G C EBF1_EBF_1 22 0 + 0 0 . chr3 131912356 131912357 chr3:131912357:C:T rs1224997 C T T EBF1_EBF_1 -4 0 - 0 0 . chr3 131958463 131958464 chr3:131958464:T:C rs2699848 T C C EBF1_EBF_1 11 1 - 4.57676796709734 1.684061123493329 ATCGCCTAGGGAGC chr3 131958480 131958481 chr3:131958481:T:C rs2613977 T C T EBF1_EBF_1 -6 0 - 0 0 . chr3 132004781 132004782 chr3:132004782:C:T rs4854866 C T T EBF1_EBF_1 -15 0 + 0 0 . chr3 132009522 132009523 chr3:132009523:A:G rs7628438 A G G EBF1_EBF_1 11 1 + 4.418782754829383 1.526075911225372 CTTCCCCAGGAAGC chr3 132030182 132030183 chr3:132030183:T:G rs1534805 T G g EBF1_EBF_1 27 0 - 0 0 . chr3 132091457 132091458 chr3:132091458:A:G rs3009485 A G G EBF1_EBF_1 6 1 + 7.656422697924664 5.489628266650188 TTTCCCAAGAGAAG chr3 132116724 132116725 chr3:132116725:T:C rs13074860 T C T EBF1_EBF_1 -7 0 - 0 0 . chr3 132119784 132119785 chr3:132119785:G:A rs16838749 G A G EBF1_EBF_1 20 0 + 0 0 . chr3 132154738 132154739 chr3:132154739:G:A rs3844274 G A A EBF1_EBF_1 -10 0 + 0 0 . chr3 132232720 132232721 chr3:132232721:G:A rs10934996 G A A EBF1_EBF_1 -17 0 + 0 0 . chr3 132364215 132364216 chr3:132364216:T:C rs73215952 T C C EBF1_EBF_1 -17 0 + 0 0 . chr3 132377157 132377158 chr3:132377158:C:T rs11720346 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 132379447 132379448 chr3:132379448:T:C rs4141657 T C C EBF1_EBF_1 17 0 - 0 0 . chr3 132418048 132418049 chr3:132418049:C:T rs138573180 C T C EBF1_EBF_1 33 0 - 0 0 . chr3 132545540 132545541 chr3:132545541:C:T rs115984711 C T C EBF1_EBF_1 13 1 - 4.851829823711546 5.9661553957809845 GACCCCAAGAGACG chr3 132554091 132554092 chr3:132554092:T:G rs113241138 T G T EBF1_EBF_1 -3 0 + 0 0 . chr3 132556994 132556995 chr3:132556995:T:C rs79432017 T C T EBF1_EBF_1 -8 0 - 0 0 . chr3 132649676 132649677 chr3:132649677:C:G rs10935026 C G C EBF1_EBF_1 3 1 + 5.890524048136792 0.0627596000215739 GGTCCCCTGGGCCC chr3 132660405 132660406 chr3:132660406:C:T rs969578163 C T C EBF1_EBF_1 5 1 + 4.9775571035417325 -0.344230111998938 CGTCCCCAGGGCTC chr3 132669516 132669517 chr3:132669517:C:T rs974295770 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 132731230 132731231 chr3:132731231:A:T rs73003824 A T T EBF1_EBF_1 -1 0 - 0 0 . chr3 132842559 132842560 chr3:132842560:C:T rs9834019 C T T EBF1_EBF_1 17 0 - 0 0 . chr3 132843991 132843992 chr3:132843992:C:T rs9839975 C T T EBF1_EBF_1 -17 0 + 0 0 . chr3 132844021 132844022 chr3:132844022:A:G rs9881437 A G G EBF1_EBF_1 13 1 + 5.936486961742735 4.822161389673296 AATTCCTAGGGACA chr3 132857475 132857476 chr3:132857476:G:A rs7621588 G A G EBF1_EBF_1 5 1 - 4.744450124369346 -0.5773370911713229 ACTCCCCTGGCACA chr3 132911929 132911930 chr3:132911930:T:C rs1452143 T C C EBF1_EBF_1 -7 0 - 0 0 . chr3 132952135 132952136 chr3:132952136:G:A rs12493518 G A A EBF1_EBF_1 5 1 - 4.618096769881305 -0.7036904456593638 AGCCACCAGGGAAA chr3 133041370 133041371 chr3:133041371:C:G rs144153573 C G C EBF1_EBF_1 4 1 + 5.154220521226966 -0.4715445799225793 ACCCCCCAGGGCTC chr3 133041374 133041375 chr3:133041375:G:A rs2331 G A N EBF1_EBF_1 8 1 + 5.154220521226966 0.9279304323407043 ACCCCCCAGGGCTC chr3 133041376 133041377 chr3:133041377:G:C rs942896667 G C G EBF1_EBF_1 10 1 + 5.154220521226966 -1.7365818661025092 ACCCCCCAGGGCTC chr3 133041379 133041380 chr3:133041380:C:G rs115025704 C G C EBF1_EBF_1 13 1 + 5.154220521226966 3.666149499871551 ACCCCCCAGGGCTC chr3 133075216 133075217 chr3:133075217:C:G rs9846076 C G G EBF1_EBF_1 -4 0 + 0 0 . chr3 133138115 133138116 chr3:133138116:G:A rs6439385 G A A EBF1_EBF_1 -13 0 - 0 0 . chr3 133296999 133297000 chr3:133297000:T:A rs10428212 T A T EBF1_EBF_1 26 0 + 0 0 . chr3 133350715 133350716 chr3:133350716:C:T rs1708356 C T T EBF1_EBF_1 25 0 - 0 0 . chr3 133352940 133352941 chr3:133352941:C:T rs74843682 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 133369250 133369251 chr3:133369251:G:A rs73209829 G A G EBF1_EBF_1 -4 0 - 0 0 . chr3 133374891 133374892 chr3:133374892:G:C rs1197288 G C G EBF1_EBF_1 5 1 - 6.237554979826308 -0.6673261728088595 CTCCCCAGGGGGCT chr3 133383689 133383690 chr3:133383690:G:C rs1197306 G C C EBF1_EBF_1 32 0 - 0 0 . chr3 133383842 133383843 chr3:133383843:A:T rs1197305 A T A EBF1_EBF_1 24 0 + 0 0 . chr3 133390624 133390625 chr3:133390625:G:A rs3732572 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 133392742 133392743 chr3:133392743:G:A rs1708377 G A G EBF1_EBF_1 -19 0 + 0 0 . chr3 133424008 133424009 chr3:133424009:G:A rs6781394 G A G EBF1_EBF_1 25 0 + 0 0 . chr3 133447739 133447740 chr3:133447740:T:G rs13097062 T G T EBF1_EBF_1 21 0 - 0 0 . chr3 133448272 133448273 chr3:133448273:G:A rs75186898 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 133460735 133460736 chr3:133460736:C:T rs931099 C T C EBF1_EBF_1 7 1 + 11.448529022902193 13.266033769184817 ATTCCCACGGGACC chr3 133488168 133488169 chr3:133488169:C:A rs111976656 C A C EBF1_EBF_1 -13 0 - 0 0 . chr3 133536611 133536612 chr3:133536612:C:T rs191780654 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 133576340 133576341 chr3:133576341:T:C rs13088006 T C C EBF1_EBF_1 22 0 - 0 0 . chr3 133664059 133664060 chr3:133664060:G:C rs76923995 G C G EBF1_EBF_1 14 0 - 0 0 . chr3 133674478 133674479 chr3:133674479:A:T rs11718050 A T A EBF1_EBF_1 -7 0 - 0 0 . chr3 133693975 133693976 chr3:133693976:A:G chr3:133693976:A:G A G A EBF1_EBF_1 -16 0 + 0 0 . chr3 133700173 133700174 chr3:133700174:A:G rs13071381 A G G EBF1_EBF_1 33 0 - 0 0 . chr3 133746854 133746855 chr3:133746855:T:C rs4459901 T C T EBF1_EBF_1 13 1 - 5.583919257135121 4.469593685065682 GCACCCAGGGGACA chr3 133747377 133747378 chr3:133747378:A:G rs6796795 A G A EBF1_EBF_1 -1 0 + 0 0 . chr3 133750586 133750587 chr3:133750587:G:A rs7628717 G A G EBF1_EBF_1 -4 0 + 0 0 . chr3 133813922 133813923 chr3:133813923:G:A rs73217233 G A G EBF1_EBF_1 -15 0 - 0 0 . chr3 133833193 133833194 chr3:133833194:C:T rs77769358 C T C EBF1_EBF_1 12 1 + 5.349637125980507 4.3233705554296575 AGTCCCATGTGACA chr3 133833195 133833196 chr3:133833196:G:A rs73861229 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 133835786 133835787 chr3:133835787:G:A rs9838386 G A G EBF1_EBF_1 -7 0 - 0 0 . chr3 133855277 133855278 chr3:133855278:C:T rs78257392 C T C EBF1_EBF_1 22 0 - 0 0 . chr3 133864119 133864120 chr3:133864120:G:A rs7637997 G A G EBF1_EBF_1 -18 0 - 0 0 . chr3 133883465 133883466 chr3:133883466:C:T rs62269107 C T T EBF1_EBF_1 -19 0 + 0 0 . chr3 133883820 133883821 chr3:133883821:C:T rs1525886 C T T EBF1_EBF_1 -5 0 - 0 0 . chr3 133894395 133894396 chr3:133894396:T:C rs116569409 T C T EBF1_EBF_1 -8 0 - 0 0 . chr3 133896002 133896003 chr3:133896003:C:T rs539689375 C T C EBF1_EBF_1 11 1 - 3.1753271081530876 6.068033951757099 CCCCCCGGGGGGCT chr3 133909856 133909857 chr3:133909857:T:C rs1525888 T C T EBF1_EBF_1 9 1 - 6.41847095785582 9.073410223303423 CCTCCCTAGAGAAG chr3 133909871 133909872 chr3:133909872:C:T rs1525887 C T C EBF1_EBF_1 -6 0 - 0 0 . chr3 133910517 133910518 chr3:133910518:A:G rs2692685 A G G EBF1_EBF_1 24 0 - 0 0 . chr3 133926788 133926789 chr3:133926789:C:T rs74743266 C T C EBF1_EBF_1 13 1 + 12.745812551003352 13.590306665588871 AATCCCTAGGGAAC chr3 133927866 133927867 chr3:133927867:C:T rs146280361 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 133937543 133937544 chr3:133937544:C:A rs74704544 C A C EBF1_EBF_1 -14 0 + 0 0 . chr3 133937545 133937546 chr3:133937546:C:T rs6773481 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 133937588 133937589 chr3:133937589:A:C rs6439448 A C A EBF1_EBF_1 31 0 + 0 0 . chr3 133944238 133944239 chr3:133944239:A:G rs139735903 A G A EBF1_EBF_1 -14 0 - 0 0 . chr3 133951546 133951547 chr3:133951547:A:C rs4854769 A C A EBF1_EBF_1 31 0 + 0 0 . chr3 133952113 133952114 chr3:133952114:G:A rs4643708 G A G EBF1_EBF_1 4 1 - 5.389389784592267 1.020002839647344 AGTGCCCAGGGAAG chr3 133955622 133955623 chr3:133955623:A:T rs115388148 A T A EBF1_EBF_1 -15 0 + 0 0 . chr3 133977757 133977758 chr3:133977758:T:C rs4241364 T C T EBF1_EBF_1 13 1 - 5.639883564768092 4.525557992698653 ATTCCCCTGGCACA chr3 133977773 133977774 chr3:133977774:C:A rs11921523 C A C EBF1_EBF_1 -3 0 - 0 0 . chr3 133982274 133982275 chr3:133982275:G:A rs10049390 G A A EBF1_EBF_1 -3 0 + 0 0 . chr3 133982296 133982297 chr3:133982297:C:T rs62272000 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 133988754 133988755 chr3:133988755:A:C rs77406993 A C A EBF1_EBF_1 17 0 - 0 0 . chr3 133993173 133993174 chr3:133993174:G:A rs67286952 G A A EBF1_EBF_1 8 1 + 9.685871888283122 5.45958179939686 CCTCCCCAGGGAGT chr3 133999103 133999104 chr3:133999104:C:T rs4854785 C T C EBF1_EBF_1 -11 0 + 0 0 . chr3 134054876 134054877 chr3:134054877:C:T rs13096547 C T C EBF1_EBF_1 29 0 + 0 0 . chr3 134068000 134068001 chr3:134068001:G:C rs145290751 G C G EBF1_EBF_1 29 0 - 0 0 . chr3 134074559 134074560 chr3:134074560:G:A rs4854609 G A A EBF1_EBF_1 6 1 + 4.297342609408721 6.464137040683196 CCCCCCGTGGGAGA chr3 134085995 134085996 chr3:134085996:G:A rs74798902 G A A EBF1_EBF_1 27 0 + 0 0 . chr3 134144343 134144344 chr3:134144344:C:G rs73215351 C G C EBF1_EBF_1 -15 0 - 0 0 . chr3 134156600 134156601 chr3:134156601:C:G rs77167588 C G C EBF1_EBF_1 8 1 - 4.941199456089502 -0.377459404825184 AGTCCCTGGAGTAC chr3 134336688 134336689 chr3:134336689:G:C rs115279261 G C G EBF1_EBF_1 32 0 - 0 0 . chr3 134351700 134351701 chr3:134351701:G:A rs34098984 G A G EBF1_EBF_1 14 0 - 0 0 . chr3 134356839 134356840 chr3:134356840:T:C rs897325042 T C T EBF1_EBF_1 11 1 - 4.7002033327017285 1.8074964890977168 ATGCCCACGGGACC chr3 134364493 134364494 chr3:134364494:C:T rs11708815 C T C EBF1_EBF_1 -6 0 + 0 0 . chr3 134389338 134389339 chr3:134389339:C:T rs78013546 C T C EBF1_EBF_1 25 0 - 0 0 . chr3 134406663 134406664 chr3:134406664:G:A rs142703655 G A G EBF1_EBF_1 14 0 - 0 0 . chr3 134406981 134406982 chr3:134406982:C:T rs555480749 C T C EBF1_EBF_1 4 1 + 4.649805590014259 0.2804186450693348 GCCCCCAAGGGCCC chr3 134419824 134419825 chr3:134419825:C:T rs16841631 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 134427813 134427814 chr3:134427814:G:A rs7647128 G A G EBF1_EBF_1 7 1 - 8.701904652710606 10.519409398993231 AATCCCACGAGAAT chr3 134476363 134476364 chr3:134476364:T:A rs113010371 T A T EBF1_EBF_1 30 0 - 0 0 . chr3 134484738 134484739 chr3:134484739:G:A rs115444480 G A G EBF1_EBF_1 3 1 - 6.46174784853312 0.056349106979802555 TATCCCAGGAGACA chr3 134484757 134484758 chr3:134484758:T:G rs35782477 T G T EBF1_EBF_1 -16 0 - 0 0 . chr3 134597863 134597864 chr3:134597864:G:C rs9857995 G C C EBF1_EBF_1 -2 0 - 0 0 . chr3 134607419 134607420 chr3:134607420:C:A rs138331114 C A C EBF1_EBF_1 23 0 + 0 0 . chr3 134611370 134611371 chr3:134611371:C:G rs1534029 C G C EBF1_EBF_1 9 1 - 4.596528434472723 -0.47916071187274034 ACACCCAGGGGTCT chr3 134611769 134611770 chr3:134611770:C:T rs1880379 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 134612133 134612134 chr3:134612134:T:C rs7623567 T C C EBF1_EBF_1 -12 0 - 0 0 . chr3 134618433 134618434 chr3:134618434:T:A rs77495257 T A T EBF1_EBF_1 -4 0 - 0 0 . chr3 134647124 134647125 chr3:134647125:G:A rs1015531162 G A G EBF1_EBF_1 -19 0 + 0 0 . chr3 134650577 134650578 chr3:134650578:G:A rs1868164 G A G EBF1_EBF_1 -2 0 - 0 0 . chr3 134659809 134659810 chr3:134659810:C:T rs74969329 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 134663523 134663524 chr3:134663524:C:T rs74690796 C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 134710280 134710281 chr3:134710281:A:T rs13071939 A T T EBF1_EBF_1 26 0 - 0 0 . chr3 134769511 134769512 chr3:134769512:T:A rs139300060 T A T EBF1_EBF_1 -19 0 + 0 0 . chr3 134780418 134780419 chr3:134780419:C:T rs9845878 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 134813979 134813980 chr3:134813980:C:A rs4955456 C A C EBF1_EBF_1 -12 0 + 0 0 . chr3 134853351 134853352 chr3:134853352:G:T rs13072762 G T G EBF1_EBF_1 31 0 + 0 0 . chr3 134867007 134867008 chr3:134867008:C:A rs4955509 C A C EBF1_EBF_1 23 0 - 0 0 . chr3 134875477 134875478 chr3:134875478:C:T rs368557815 C T C EBF1_EBF_1 -18 0 + 0 0 . chr3 134875503 134875504 chr3:134875504:G:A rs73217007 G A G EBF1_EBF_1 8 1 + 10.442656393334772 6.216366304448512 AGCCCCCAGGGAAA chr3 134908491 134908492 chr3:134908492:G:T rs9843661 G T G EBF1_EBF_1 -19 0 + 0 0 . chr3 134911652 134911653 chr3:134911653:T:G rs36209 T G G EBF1_EBF_1 -7 0 - 0 0 . chr3 134913562 134913563 chr3:134913563:A:T rs36207 A T T EBF1_EBF_1 -19 0 + 0 0 . chr3 134921272 134921273 chr3:134921273:A:G rs73224333 A G A EBF1_EBF_1 7 1 + 5.142198242139236 3.8297398368504103 TGTCCCAAGAGGCA chr3 134933405 134933406 chr3:134933406:C:A rs58193970 C A C EBF1_EBF_1 10 1 - 8.211982386778589 4.029230200551323 CCTCCCCAGGGGAT chr3 134948779 134948780 chr3:134948780:C:T rs9868665 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 134958150 134958151 chr3:134958151:G:A rs1562509 G A A EBF1_EBF_1 7 1 + 7.405275949347869 8.717734354636695 AAACCCAGGGGACA chr3 134991813 134991814 chr3:134991814:A:G rs36094 A G A EBF1_EBF_1 14 0 - 0 0 . chr3 135077181 135077182 chr3:135077182:G:C rs55984268 G C G EBF1_EBF_1 -20 0 + 0 0 . chr3 135134039 135134040 chr3:135134040:G:A rs73862027 G A G EBF1_EBF_1 23 0 - 0 0 . chr3 135218490 135218491 chr3:135218491:A:G rs6777929 A G G EBF1_EBF_1 14 0 - 0 0 . chr3 135251094 135251095 chr3:135251095:G:A rs4456886 G A G EBF1_EBF_1 4 1 - 6.502540185367011 2.133153240422088 TCTCCCTGGAGAAA chr3 135263665 135263666 chr3:135263666:A:G rs9877387 A G G EBF1_EBF_1 28 0 + 0 0 . chr3 135490508 135490509 chr3:135490509:C:T rs140363065 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 135559235 135559236 chr3:135559236:A:G rs7638619 A G A EBF1_EBF_1 29 0 - 0 0 . chr3 135565895 135565896 chr3:135565896:A:G rs73229959 A G A EBF1_EBF_1 -16 0 + 0 0 . chr3 135565915 135565916 chr3:135565916:T:A rs56014725 T A A EBF1_EBF_1 4 1 + 4.641309364348398 3.1861366858398545 CCCCTCTTGGGACT chr3 135565938 135565939 chr3:135565939:T:C rs56005512 T C T EBF1_EBF_1 27 0 + 0 0 . chr3 135780279 135780280 chr3:135780280:T:G rs556023720 T G T EBF1_EBF_1 -5 0 - 0 0 . chr3 135811751 135811752 chr3:135811752:C:T rs1123819 C T C EBF1_EBF_1 -10 0 + 0 0 . chr3 135887192 135887193 chr3:135887193:T:C rs6414336 T C C EBF1_EBF_1 -18 0 + 0 0 . chr3 135887213 135887214 chr3:135887214:C:A rs75919659 C A C EBF1_EBF_1 3 1 + 6.017755275604352 -0.8884187538614532 GAACCCCTGGGAAA chr3 135921913 135921914 chr3:135921914:G:A rs9829476 G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 136045120 136045121 chr3:136045121:C:T chr3:136045121:C:T C T C EBF1_EBF_1 33 0 + 0 0 . chr3 136163726 136163727 chr3:136163727:C:A rs141390726 C A C EBF1_EBF_1 16 0 + 0 0 . chr3 136207941 136207942 chr3:136207942:T:C rs61789562 T C T EBF1_EBF_1 -4 0 - 0 0 . chr3 136250758 136250759 chr3:136250759:T:C rs77598352 T C C EBF1_EBF_1 20 0 - 0 0 . chr3 136343881 136343882 chr3:136343882:C:G rs34149860 C G C EBF1_EBF_1 -4 0 + 0 0 . chr3 136751474 136751475 chr3:136751475:G:A rs61790842 G A G EBF1_EBF_1 4 1 - 7.781448957142285 3.41206201219736 AGTCCCCTGAGAGA chr3 136752313 136752314 chr3:136752314:G:A rs1038608763 G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 136793185 136793186 chr3:136793186:C:T rs73230078 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 136892102 136892103 chr3:136892103:G:A rs4678450 G A G EBF1_EBF_1 -13 0 + 0 0 . chr3 136929764 136929765 chr3:136929765:T:C rs1965106 T C T EBF1_EBF_1 -9 0 - 0 0 . chr3 136985725 136985726 chr3:136985726:A:G rs361253 A G g EBF1_EBF_1 -15 0 + 0 0 . chr3 137011312 137011313 chr3:137011313:T:G rs13095028 T G G EBF1_EBF_1 -19 0 + 0 0 . chr3 137011313 137011314 chr3:137011314:T:A rs13095034 T A A EBF1_EBF_1 -18 0 + 0 0 . chr3 137032676 137032677 chr3:137032677:G:T rs145817300 G T G EBF1_EBF_1 3 1 - 3.211832938784794 -3.6943410906810126 GCTCCGCTGGGACC chr3 137032683 137032684 chr3:137032684:A:G rs900948 A G A EBF1_EBF_1 -4 0 - 0 0 . chr3 137065542 137065543 chr3:137065543:A:G rs1470143 A G G EBF1_EBF_1 -7 0 - 0 0 . chr3 137138889 137138890 chr3:137138890:C:T rs13095852 C T c EBF1_EBF_1 -12 0 + 0 0 . chr3 137165715 137165716 chr3:137165716:G:A rs11707198 G A G EBF1_EBF_1 3 1 - 9.172286239571863 2.7668874980185443 ACTCCCCTGAGATT chr3 137420734 137420735 chr3:137420735:G:A rs7631592 G A A EBF1_EBF_1 16 0 + 0 0 . chr3 137762245 137762246 chr3:137762246:T:C rs12628987 T C T EBF1_EBF_1 13 1 - 7.016588819160081 5.902263247090642 CCCCCCAGGGGACA chr3 137766746 137766747 chr3:137766747:G:C rs78763464 G C G EBF1_EBF_1 -3 0 - 0 0 . chr3 137845575 137845576 chr3:137845576:G:A rs2045377 G A G EBF1_EBF_1 9 1 + 5.431771746931846 2.7768324814842424 ATTCCCACTGGAAT chr3 137907488 137907489 chr3:137907489:T:C rs2115751 T C T EBF1_EBF_1 18 0 - 0 0 . chr3 137963080 137963081 chr3:137963081:G:A rs4678393 G A A EBF1_EBF_1 -5 0 - 0 0 . chr3 137989123 137989124 chr3:137989124:A:T rs976035854 A T A EBF1_EBF_1 -16 0 + 0 0 . chr3 138030189 138030190 chr3:138030190:C:T rs56338919 C T T EBF1_EBF_1 0 1 + 6.323158975336437 6.605361036067016 CCCCCCCAGGGGAT chr3 138073128 138073129 chr3:138073129:T:C rs73227511 T C T EBF1_EBF_1 18 0 + 0 0 . chr3 138073482 138073483 chr3:138073483:C:A rs151004968 C A C EBF1_EBF_1 28 0 - 0 0 . chr3 138348373 138348374 chr3:138348374:G:A rs1386672858 G A G EBF1_EBF_1 33 0 - 0 0 . chr3 138368048 138368049 chr3:138368049:A:G rs115308572 A G A EBF1_EBF_1 6 1 + 5.576166849926096 3.409372418651621 GTTGCCATGGGAAC chr3 138369378 138369379 chr3:138369379:T:A rs1199336 T A A EBF1_EBF_1 17 0 - 0 0 . chr3 138377669 138377670 chr3:138377670:C:T rs4678417 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 138396861 138396862 chr3:138396862:G:A rs114198257 G A G EBF1_EBF_1 3 1 - 6.547138451953862 0.14173971040054445 ACCCCCTAGGGGAG chr3 138434822 138434823 chr3:138434823:C:T rs200152310 C T C EBF1_EBF_1 4 1 + 2.8025418573616063 -1.5668450875833173 CGCCCCCGGGGCCC chr3 138434823 138434824 chr3:138434824:C:G rs34196667 C G C EBF1_EBF_1 5 1 + 2.8025418573616063 -4.102339295273561 CGCCCCCGGGGCCC chr3 138435271 138435272 chr3:138435272:G:C rs940234 G C C EBF1_EBF_1 18 0 - 0 0 . chr3 138458418 138458419 chr3:138458419:C:G rs774005 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 138459277 138459278 chr3:138459278:C:T chr3:138459278:C:T C T C EBF1_EBF_1 -9 0 + 0 0 . chr3 138472605 138472606 chr3:138472606:A:T rs35537868 A T A EBF1_EBF_1 11 1 + 7.4920041930913674 3.394089936992642 CATCCCAAGAGACA chr3 138513562 138513563 chr3:138513563:G:A rs56028542 G A G EBF1_EBF_1 -6 0 + 0 0 . chr3 138608722 138608723 chr3:138608723:T:G rs1051134609 T G T EBF1_EBF_1 -17 0 + 0 0 . chr3 138608769 138608770 chr3:138608770:C:A rs770392527 C A C EBF1_EBF_1 30 0 + 0 0 . chr3 138609412 138609413 chr3:138609413:G:A rs748724970 G A g EBF1_EBF_1 -8 0 + 0 0 . chr3 138609416 138609417 chr3:138609417:C:T rs573440404 C T c EBF1_EBF_1 -4 0 + 0 0 . chr3 138835118 138835119 chr3:138835119:T:C chr3:138835119:T:C T C T EBF1_EBF_1 -18 0 + 0 0 . chr3 138835120 138835121 chr3:138835121:C:T rs192405854 C T C EBF1_EBF_1 -16 0 + 0 0 . chr3 138846036 138846037 chr3:138846037:G:A rs189954022 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 138915512 138915513 chr3:138915513:G:T rs560107160 G T G EBF1_EBF_1 -3 0 + 0 0 . chr3 138923587 138923588 chr3:138923588:T:A rs116393854 T A A EBF1_EBF_1 -19 0 - 0 0 . chr3 138923588 138923589 chr3:138923589:T:A rs115629291 T A T EBF1_EBF_1 -20 0 - 0 0 . chr3 138939059 138939060 chr3:138939060:G:A rs114571119 G A G EBF1_EBF_1 8 1 + 8.055748403902 3.8294583150157377 CCTCCCTCGGGATT chr3 138943147 138943148 chr3:138943148:G:A rs3816758 G A A EBF1_EBF_1 25 0 + 0 0 . chr3 138951594 138951595 chr3:138951595:C:A rs79839148 C A C EBF1_EBF_1 17 0 - 0 0 . chr3 138986218 138986219 chr3:138986219:C:T rs6799036 C T C EBF1_EBF_1 -18 0 + 0 0 . chr3 138989570 138989571 chr3:138989571:G:C rs76532431 G C G EBF1_EBF_1 18 0 - 0 0 . chr3 138994861 138994862 chr3:138994862:A:G rs1511412 A G G EBF1_EBF_1 23 0 + 0 0 . chr3 139131729 139131730 chr3:139131730:G:A rs11919416 G A A EBF1_EBF_1 13 1 - 5.914557122334695 6.759051236920213 TTTCACTAGGGAAC chr3 139131956 139131957 chr3:139131957:A:G rs4894382 A G G EBF1_EBF_1 19 0 - 0 0 . chr3 139265047 139265048 chr3:139265048:C:T rs555759762 C T C EBF1_EBF_1 -8 0 + 0 0 . chr3 139281067 139281068 chr3:139281068:C:G rs541538452 C G C EBF1_EBF_1 1 1 + 4.620068905979221 4.809840560548439 ACTGCCTGGGGATC chr3 139292133 139292134 chr3:139292134:C:T rs574778021 C T C EBF1_EBF_1 23 0 - 0 0 . chr3 139306348 139306349 chr3:139306349:G:A rs62270787 G A G EBF1_EBF_1 -9 0 + 0 0 . chr3 139312272 139312273 chr3:139312273:C:T rs11716220 C T C EBF1_EBF_1 23 0 + 0 0 . chr3 139419399 139419400 chr3:139419400:C:G rs1586863 C G C EBF1_EBF_1 10 1 - 5.840432344117804 -1.0503700432116703 AATCCCCAGAGGTC chr3 139472075 139472076 chr3:139472076:A:G rs114214668 A G N EBF1_EBF_1 2 1 + 6.637920012168705 3.5740619095671136 ACACCCATGGGGCT chr3 139513464 139513465 chr3:139513465:G:T rs114766223 G T G EBF1_EBF_1 21 0 + 0 0 . chr3 139535168 139535169 chr3:139535169:C:G rs559620934 C G C EBF1_EBF_1 33 0 + 0 0 . chr3 139539051 139539052 chr3:139539052:C:T rs115059529 C T C EBF1_EBF_1 27 0 + 0 0 . chr3 139540944 139540945 chr3:139540945:T:G rs176990 T G T EBF1_EBF_1 -19 0 + 0 0 . chr3 139759204 139759205 chr3:139759205:A:G rs11714026 A G A EBF1_EBF_1 -6 0 + 0 0 . chr3 139759228 139759229 chr3:139759229:C:G rs543481879 C G C EBF1_EBF_1 18 0 + 0 0 . chr3 139759390 139759391 chr3:139759391:C:A rs11706353 C A C EBF1_EBF_1 13 1 - 4.352196207196783 6.6847613431377155 CCCCCCAAGGGGAG chr3 139788767 139788768 chr3:139788768:C:T rs3943564 C T T EBF1_EBF_1 19 0 + 0 0 . chr3 139791617 139791618 chr3:139791618:G:A rs62271891 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 139839831 139839832 chr3:139839832:A:G rs4683763 A G A EBF1_EBF_1 7 1 - 6.461351851933183 4.6438471056505595 ACTCCCCTGAGGCC chr3 139839854 139839855 chr3:139839855:T:A rs1530648 T A A EBF1_EBF_1 -16 0 - 0 0 . chr3 139893403 139893404 chr3:139893404:C:A rs72632308 C A C EBF1_EBF_1 5 1 + 4.989575730054187 -1.9153054225809802 CCCCCCCAAGGAAT chr3 139949102 139949103 chr3:139949103:A:G rs7642260 A G A EBF1_EBF_1 -9 0 + 0 0 . chr3 139949125 139949126 chr3:139949126:C:T rs575345292 C T C EBF1_EBF_1 13 1 + 6.094929654170818 6.939423768756336 ATCCCCTGGGGCAC chr3 139985470 139985471 chr3:139985471:G:T rs11923151 G T G EBF1_EBF_1 2 1 - 4.768550021628303 2.9729058454715753 GACCCCAAAGGACC chr3 139991673 139991674 chr3:139991674:A:G rs1346136 A G A EBF1_EBF_1 -8 0 - 0 0 . chr3 139995592 139995593 chr3:139995593:C:T rs2882368 C T C EBF1_EBF_1 18 0 - 0 0 . chr3 139995613 139995614 chr3:139995614:C:G rs727891 C G G EBF1_EBF_1 -3 0 - 0 0 . chr3 140008121 140008122 chr3:140008122:A:G rs986561 A G G EBF1_EBF_1 -9 0 - 0 0 . chr3 140008242 140008243 chr3:140008243:G:C rs78181827 G C G EBF1_EBF_1 -2 0 - 0 0 . chr3 140023695 140023696 chr3:140023696:G:A rs75440570 G A G EBF1_EBF_1 24 0 - 0 0 . chr3 140091049 140091050 chr3:140091050:A:G rs7646814 A G A EBF1_EBF_1 17 0 - 0 0 . chr3 140093975 140093976 chr3:140093976:G:T rs892406001 G T G EBF1_EBF_1 7 1 - 5.213075334314439 7.506854320684622 ATTCCCCCGGGCAG chr3 140153205 140153206 chr3:140153206:T:G rs9990262 T G T EBF1_EBF_1 -12 0 - 0 0 . chr3 140206909 140206910 chr3:140206910:G:T rs77579896 G T G EBF1_EBF_1 -19 0 + 0 0 . chr3 140215977 140215978 chr3:140215978:G:A rs73226981 G A G EBF1_EBF_1 15 0 + 0 0 . chr3 140322056 140322057 chr3:140322057:T:C rs35501742 T C C EBF1_EBF_1 -3 0 - 0 0 . chr3 140386366 140386367 chr3:140386367:G:A rs11718581 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 140434446 140434447 chr3:140434447:C:T rs146555799 C T c EBF1_EBF_1 25 0 + 0 0 . chr3 140461757 140461758 chr3:140461758:C:A rs6439929 C A A EBF1_EBF_1 -5 0 + 0 0 . chr3 140505506 140505507 chr3:140505507:G:A rs77626985 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 140510439 140510440 chr3:140510440:A:C rs3887354 A C A EBF1_EBF_1 15 0 - 0 0 . chr3 140510451 140510452 chr3:140510452:G:A rs138739000 G A G EBF1_EBF_1 3 1 - 7.084246741770717 0.6788480002173998 CATCCCCGGGGAGA chr3 140539903 140539904 chr3:140539904:C:T rs78161917 C T C EBF1_EBF_1 26 0 - 0 0 . chr3 140540594 140540595 chr3:140540595:C:T rs349551 C T C EBF1_EBF_1 5 1 + 4.87123947917803 -0.45054773636264017 CATCCCCAGGAAAC chr3 140562880 140562881 chr3:140562881:C:T rs11714465 C T C EBF1_EBF_1 4 1 + 5.402812937497085 1.033425992552161 CTTCCCTTGAGGCC chr3 140580553 140580554 chr3:140580554:G:A rs1845473 G A G EBF1_EBF_1 25 0 + 0 0 . chr3 140580558 140580559 chr3:140580559:G:A rs1845474 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 140714990 140714991 chr3:140714991:G:C rs34014522 G C C EBF1_EBF_1 0 1 - 7.106032405118454 7.469007019250221 CGTCCCATGAGAGT chr3 140720399 140720400 chr3:140720400:C:T rs72979031 C T C EBF1_EBF_1 8 1 - 6.973026158383495 2.746736069497233 CTCCCCCCGGGAAC chr3 140720419 140720420 chr3:140720420:T:C rs72979033 T C C EBF1_EBF_1 -12 0 - 0 0 . chr3 140761508 140761509 chr3:140761509:A:G rs73233051 A G A EBF1_EBF_1 -13 0 + 0 0 . chr3 140803284 140803285 chr3:140803285:G:A rs4339090 G A G EBF1_EBF_1 5 1 - 5.422851533352959 0.1010643178122903 AGTCCCCTGGAAAG chr3 140809510 140809511 chr3:140809511:T:G rs9835982 T G G EBF1_EBF_1 32 0 - 0 0 . chr3 140863779 140863780 chr3:140863780:G:A rs1371031974 G A G EBF1_EBF_1 24 0 + 0 0 . chr3 140887537 140887538 chr3:140887538:T:C rs2176653 T C C EBF1_EBF_1 33 0 + 0 0 . chr3 141050686 141050687 chr3:141050687:C:A rs142413534 C A C EBF1_EBF_1 14 0 + 0 0 . chr3 141051919 141051920 chr3:141051920:C:T rs113898300 C T t EBF1_EBF_1 14 0 - 0 0 . chr3 141057001 141057002 chr3:141057002:C:T rs924169516 C T C EBF1_EBF_1 -9 0 + 0 0 . chr3 141057572 141057573 chr3:141057573:C:G rs7639594 C G G EBF1_EBF_1 -12 0 - 0 0 . chr3 141070177 141070178 chr3:141070178:G:A rs9817298 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 141094792 141094793 chr3:141094793:C:G rs534811416 C G C EBF1_EBF_1 5 1 + 6.839254736299662 -0.06562641633550685 GCCCCCCTGGGAGC chr3 141094801 141094802 chr3:141094802:A:G rs6762085 A G G EBF1_EBF_1 14 0 + 0 0 . chr3 141095242 141095243 chr3:141095243:A:C rs79635224 A C A EBF1_EBF_1 27 0 + 0 0 . chr3 141095447 141095448 chr3:141095448:T:C rs1239264943 T C T EBF1_EBF_1 -13 0 + 0 0 . chr3 141147788 141147789 chr3:141147789:C:T rs2291043 C T T EBF1_EBF_1 -20 0 + 0 0 . chr3 141148005 141148006 chr3:141148006:C:T rs58162346 C T T EBF1_EBF_1 -3 0 - 0 0 . chr3 141152026 141152027 chr3:141152027:G:T rs6776346 G T T EBF1_EBF_1 26 0 + 0 0 . chr3 141188389 141188390 chr3:141188390:A:C rs1511385 A C C EBF1_EBF_1 20 0 - 0 0 . chr3 141239218 141239219 chr3:141239219:T:C rs6779870 T C T EBF1_EBF_1 30 0 + 0 0 . chr3 141243388 141243389 chr3:141243389:T:C rs139514136 T C T EBF1_EBF_1 -9 0 + 0 0 . chr3 141256879 141256880 chr3:141256880:G:A rs114507691 G A G EBF1_EBF_1 12 1 + 5.791495173502458 7.210312515601935 AGACCCCAGAGAGT chr3 141278857 141278858 chr3:141278858:G:A rs894439094 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 141278869 141278870 chr3:141278870:G:A rs116393136 G A G EBF1_EBF_1 3 1 - 8.333494228976752 1.928095487423436 AGTCCCAAGAGGCT chr3 141280433 141280434 chr3:141280434:C:G rs6786821 C G C EBF1_EBF_1 4 1 + 6.570931242950013 0.9451661418004682 AGTTCCTAGGGACC chr3 141284251 141284252 chr3:141284252:T:C rs9880195 T C C EBF1_EBF_1 21 0 - 0 0 . chr3 141290575 141290576 chr3:141290576:C:T rs9838061 C T C EBF1_EBF_1 10 1 - 10.46993785610344 5.151909396836475 TCTCCCTAGGGAAA chr3 141291475 141291476 chr3:141291476:C:T rs7634502 C T C EBF1_EBF_1 4 1 + 8.300444527002846 3.931057582057922 CACCCCCAGGGAAC chr3 141291505 141291506 chr3:141291506:A:G rs9881024 A G A EBF1_EBF_1 33 0 + 0 0 . chr3 141292081 141292082 chr3:141292082:A:C rs3732864 A C C EBF1_EBF_1 1 1 + 6.183971985613301 6.254899162965385 AACCCCAAGAGGCT chr3 141331900 141331901 chr3:141331901:C:G rs753236028 C G C EBF1_EBF_1 -7 0 - 0 0 . chr3 141390505 141390506 chr3:141390506:C:T rs6785012 C T T EBF1_EBF_1 -16 0 + 0 0 . chr3 141399389 141399390 chr3:141399390:T:C rs74888405 T C C EBF1_EBF_1 28 0 + 0 0 . chr3 141402971 141402972 chr3:141402972:A:C rs2871960 A C C EBF1_EBF_1 16 0 - 0 0 . chr3 141409842 141409843 chr3:141409843:C:A rs117567438 C A C EBF1_EBF_1 -5 0 - 0 0 . chr3 141432147 141432148 chr3:141432148:G:A rs6789653 G A G EBF1_EBF_1 8 1 - 6.707310453264696 5.134536525202187 ATTCCCTGCGGAAA chr3 141486729 141486730 chr3:141486730:T:C rs3806648 T C T EBF1_EBF_1 26 0 - 0 0 . chr3 141538055 141538056 chr3:141538056:G:T rs138536275 G T G EBF1_EBF_1 -6 0 - 0 0 . chr3 141623613 141623614 chr3:141623614:G:A rs78166058 G A g EBF1_EBF_1 -4 0 - 0 0 . chr3 141626150 141626151 chr3:141626151:A:T rs62282027 A T A EBF1_EBF_1 12 1 - 4.904711032510301 5.961159001482477 ATCTCCCAGGGATT chr3 141645098 141645099 chr3:141645099:G:A rs996134874 G A G EBF1_EBF_1 -18 0 + 0 0 . chr3 141650964 141650965 chr3:141650965:G:A rs4683616 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 141710970 141710971 chr3:141710971:C:T rs117879843 C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 141729481 141729482 chr3:141729482:A:G rs59077856 A G G EBF1_EBF_1 -4 0 - 0 0 . chr3 141729482 141729483 chr3:141729483:G:C rs73226655 G C G EBF1_EBF_1 -5 0 - 0 0 . chr3 141778626 141778627 chr3:141778627:A:T rs34769632 A T A EBF1_EBF_1 20 0 - 0 0 . chr3 141795544 141795545 chr3:141795545:C:T rs60317930 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 141825776 141825777 chr3:141825777:G:A rs370753989 G A G EBF1_EBF_1 8 1 + 7.971690922603927 3.7454008337176665 ACCCCCCAGAGAAC chr3 141827334 141827335 chr3:141827335:C:T rs35652193 C T C EBF1_EBF_1 9 1 - 4.380235930982479 1.7252966655348752 GCTCCCCCGGGGTC chr3 141929765 141929766 chr3:141929766:T:C rs2902146 T C C EBF1_EBF_1 33 0 - 0 0 . chr3 141941832 141941833 chr3:141941833:A:T rs10804681 A T T EBF1_EBF_1 -13 0 + 0 0 . chr3 141972765 141972766 chr3:141972766:A:T rs76445958 A T T EBF1_EBF_1 10 1 - 5.656582038872818 4.521305765833117 GACCCCAAGGTACT chr3 142149568 142149569 chr3:142149569:A:C chr3:142149569:A:C A C A EBF1_EBF_1 -12 0 + 0 0 . chr3 142149568 142149569 chr3:142149569:A:T rs116895223 A T A EBF1_EBF_1 -12 0 + 0 0 . chr3 142209896 142209897 chr3:142209897:T:C rs150587889 T C T EBF1_EBF_1 -13 0 + 0 0 . chr3 142209926 142209927 chr3:142209927:A:T rs73872596 A T A EBF1_EBF_1 17 0 + 0 0 . chr3 142211163 142211164 chr3:142211164:G:C rs9838853 G C G EBF1_EBF_1 -18 0 - 0 0 . chr3 142243325 142243326 chr3:142243326:T:G rs13325146 T G T EBF1_EBF_1 26 0 - 0 0 . chr3 142338452 142338453 chr3:142338453:C:T rs548453846 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 142438265 142438266 chr3:142438266:C:T rs62276420 C T C EBF1_EBF_1 10 1 - 7.16661334015767 1.8485848808907035 ACTCCCCACGGACC chr3 142446721 142446722 chr3:142446722:T:C rs79922511 T C T EBF1_EBF_1 -4 0 + 0 0 . chr3 142578419 142578420 chr3:142578420:T:A rs1158936316 T A T EBF1_EBF_1 1 1 + 3.917964885417663 2.9516042676668333 CTCCCCCACGGACC chr3 142596342 142596343 chr3:142596343:C:T rs112514146 C T T EBF1_EBF_1 27 0 + 0 0 . chr3 142724399 142724400 chr3:142724400:G:A rs116738866 G A G EBF1_EBF_1 4 1 - 7.128981610261919 2.759594665316995 CCTCCCCTGAGAAC chr3 142732686 142732687 chr3:142732687:A:G rs35467195 A G A EBF1_EBF_1 -10 0 - 0 0 . chr3 142960234 142960235 chr3:142960235:T:C rs2069250 T C T EBF1_EBF_1 -1 0 - 0 0 . chr3 142964114 142964115 chr3:142964115:G:A rs1444325823 G A G EBF1_EBF_1 9 1 - 3.8418661657414646 2.080565903998119 CCTCCCCGGCGACC chr3 143032065 143032066 chr3:143032066:G:A rs6440131 G A A EBF1_EBF_1 -17 0 + 0 0 . chr3 143072187 143072188 chr3:143072188:A:C rs2353408 A C C EBF1_EBF_1 -5 0 - 0 0 . chr3 143120370 143120371 chr3:143120371:G:T rs72551381 G T g EBF1_EBF_1 -15 0 + 0 0 . chr3 143151404 143151405 chr3:143151405:C:T rs4683753 C T T EBF1_EBF_1 33 0 + 0 0 . chr3 143176188 143176189 chr3:143176189:G:A rs539814250 G A - EBF1_EBF_1 6 1 + 6.09016237719003 8.256956808464507 ACCCCCGGGGGAGC chr3 143223199 143223200 chr3:143223200:T:A rs2165521 T A A EBF1_EBF_1 6 1 + 4.597618896226063 4.657935135165583 AGCCCCTCAGGAAC chr3 143228648 143228649 chr3:143228649:G:A rs73006209 G A G EBF1_EBF_1 9 1 + 3.6592912774268402 1.0043520119792362 CCCCCCGAGGGAGG chr3 143244529 143244530 chr3:143244530:G:A rs9874755 G A G EBF1_EBF_1 14 0 + 0 0 . chr3 143324241 143324242 chr3:143324242:T:C rs6770495 T C C EBF1_EBF_1 12 1 - 5.676516874951397 4.25769953285192 ACTGCCTGGGGAAC chr3 143328790 143328791 chr3:143328791:C:T rs16853431 C T C EBF1_EBF_1 24 0 - 0 0 . chr3 143384328 143384329 chr3:143384329:A:G rs13073481 A G A EBF1_EBF_1 -14 0 + 0 0 . chr3 143525539 143525540 chr3:143525540:T:C rs16853721 T C T EBF1_EBF_1 29 0 - 0 0 . chr3 143533209 143533210 chr3:143533210:G:A rs78670442 G A A EBF1_EBF_1 7 1 - 4.810468616504763 6.627973362787387 AGCCCCACGAGAGC chr3 143538386 143538387 chr3:143538387:G:A rs114080254 G A G EBF1_EBF_1 4 1 - 11.449604308026057 7.080217363081134 ATCCCCTAGGGAAA chr3 143567617 143567618 chr3:143567618:T:C rs142450007 T C T EBF1_EBF_1 -19 0 + 0 0 . chr3 143567624 143567625 chr3:143567625:C:T rs13085041 C T T EBF1_EBF_1 -12 0 + 0 0 . chr3 143574505 143574506 chr3:143574506:A:G rs6763515 A G G EBF1_EBF_1 -13 0 - 0 0 . chr3 143587159 143587160 chr3:143587160:G:C rs17581622 G C G EBF1_EBF_1 -14 0 - 0 0 . chr3 143595940 143595941 chr3:143595941:T:A rs998209 T A - EBF1_EBF_1 20 0 - 0 0 . chr3 143650303 143650304 chr3:143650304:G:A rs73007413 G A G EBF1_EBF_1 -13 0 - 0 0 . chr3 143707676 143707677 chr3:143707677:T:G rs1900648 T G T EBF1_EBF_1 25 0 + 0 0 . chr3 143811064 143811065 chr3:143811065:G:C rs114377926 G C G EBF1_EBF_1 7 1 + 4.657713048153537 3.6763924670721817 CTCCCCCGGGGGCA chr3 143812728 143812729 chr3:143812729:C:T rs74737433 C T C EBF1_EBF_1 -14 0 + 0 0 . chr3 143904798 143904799 chr3:143904799:C:T rs77606110 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 143969046 143969047 chr3:143969047:G:T rs2118071 G T G EBF1_EBF_1 25 0 - 0 0 . chr3 143971015 143971016 chr3:143971016:A:G rs1898263 A G A EBF1_EBF_1 7 1 + 5.271201115966851 3.9587427106780253 GCTCCTCAGGGACC chr3 144015304 144015305 chr3:144015305:A:G rs16854816 A G A EBF1_EBF_1 29 0 - 0 0 . chr3 144557461 144557462 chr3:144557462:T:C rs721029 T C T EBF1_EBF_1 0 1 - 6.3719642683171145 4.479680398752282 ATTCCCTAGAGGGA chr3 144864901 144864902 chr3:144864902:C:A rs16856077 C A C EBF1_EBF_1 3 1 + 7.634599570917619 0.7284255414518117 CATCCCCTGGGGCT chr3 145097725 145097726 chr3:145097726:C:T rs7433098 C T T EBF1_EBF_1 27 0 + 0 0 . chr3 145259378 145259379 chr3:145259379:T:C rs1445370 T C C EBF1_EBF_1 29 0 + 0 0 . chr3 145503441 145503442 chr3:145503442:G:A rs1002847303 G A G EBF1_EBF_1 11 1 - 6.515413280565132 6.8334607223187955 TTTCCCCTGGGCCC chr3 145771029 145771030 chr3:145771030:T:G rs112956163 T G G EBF1_EBF_1 14 0 - 0 0 . chr3 145903690 145903691 chr3:145903691:G:A rs7651211 G A G EBF1_EBF_1 10 1 + 4.823396004334988 -0.49463245493197777 AGCCCCCTGGGTGC chr3 145903694 145903695 chr3:145903695:C:T rs768319963 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 145999949 145999950 chr3:145999950:C:T rs9289710 C T T EBF1_EBF_1 26 0 - 0 0 . chr3 146373070 146373071 chr3:146373071:T:C rs74929729 T C C EBF1_EBF_1 25 0 - 0 0 . chr3 146457587 146457588 chr3:146457588:A:G rs4572737 A G G EBF1_EBF_1 -2 0 + 0 0 . chr3 146473867 146473868 chr3:146473868:G:T rs534092 G T G EBF1_EBF_1 32 0 + 0 0 . chr3 146522651 146522652 chr3:146522652:C:T rs343314 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 146525173 146525174 chr3:146525174:C:T rs2587028 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 146544895 146544896 chr3:146544896:T:C rs568665977 T C T EBF1_EBF_1 -10 0 + 0 0 . chr3 146544896 146544897 chr3:146544897:G:T rs535657181 G T G EBF1_EBF_1 -9 0 + 0 0 . chr3 146544911 146544912 chr3:146544912:C:T rs149336627 C T C EBF1_EBF_1 6 1 + 7.966416129426901 8.267702258288656 CCTCCCCCGGGAAC chr3 146558924 146558925 chr3:146558925:C:T rs977757 C T T EBF1_EBF_1 25 0 + 0 0 . chr3 146803962 146803963 chr3:146803963:C:A chr3:146803963:C:A C A C EBF1_EBF_1 4 1 + 4.14571637690534 -1.6788432465481282 ACACCCCAGGGGGA chr3 146974158 146974159 chr3:146974159:G:T rs111281223 G T G EBF1_EBF_1 20 0 - 0 0 . chr3 147058338 147058339 chr3:147058339:G:A rs13061188 G A G EBF1_EBF_1 21 0 + 0 0 . chr3 147154302 147154303 chr3:147154303:G:C rs13066916 G C G EBF1_EBF_1 -13 0 + 0 0 . chr3 147154313 147154314 chr3:147154314:G:A rs9816155 G A G EBF1_EBF_1 -2 0 + 0 0 . chr3 147159403 147159404 chr3:147159404:G:T rs67169136 G T G EBF1_EBF_1 -12 0 - 0 0 . chr3 147299712 147299713 chr3:147299713:C:A rs67690801 C A C EBF1_EBF_1 12 1 - 5.217896796823431 5.5802661699507325 ATTCCCTAGGTGGC chr3 147356218 147356219 chr3:147356219:G:A rs74661729 G A G EBF1_EBF_1 26 0 - 0 0 . chr3 147356232 147356233 chr3:147356233:C:A rs116019822 C A C EBF1_EBF_1 12 1 - 4.873980102961174 5.236349476088476 CCTCCCCGGAGAGC chr3 147389398 147389399 chr3:147389399:T:C rs75273146 T C T EBF1_EBF_1 -19 0 + 0 0 . chr3 147395798 147395799 chr3:147395799:A:T rs73004638 A T A EBF1_EBF_1 -8 0 + 0 0 . chr3 147635784 147635785 chr3:147635785:T:C rs73149995 T C T EBF1_EBF_1 32 0 - 0 0 . chr3 147713164 147713165 chr3:147713165:T:C rs13085866 T C T EBF1_EBF_1 0 1 - 5.233079878783742 3.3407960092189093 ACTCCCCTGGAAAG chr3 148343695 148343696 chr3:148343696:G:A rs6796063 G A G EBF1_EBF_1 -7 0 - 0 0 . chr3 148358857 148358858 chr3:148358858:G:C rs62275775 G C G EBF1_EBF_1 17 0 - 0 0 . chr3 148646032 148646033 chr3:148646033:T:C rs2639381 T C T EBF1_EBF_1 33 0 + 0 0 . chr3 148962747 148962748 chr3:148962748:G:T rs13089077 G T G EBF1_EBF_1 8 1 + 7.6488146472445555 0.7573818582673599 AACCCCCAGGGAGG chr3 148991342 148991343 chr3:148991343:C:T rs139990714 C T C EBF1_EBF_1 -7 0 - 0 0 . chr3 148991371 148991372 chr3:148991372:G:C rs3736641 G C C EBF1_EBF_1 21 0 - 0 0 . chr3 148991412 148991413 chr3:148991413:C:G rs1427068192 C G C EBF1_EBF_1 -20 0 - 0 0 . chr3 148991962 148991963 chr3:148991963:G:A rs184809862 G A - EBF1_EBF_1 32 0 - 0 0 . chr3 149000607 149000608 chr3:149000608:G:A rs4681476 G A G EBF1_EBF_1 -17 0 - 0 0 . chr3 149011054 149011055 chr3:149011055:T:G rs3772573 T G T EBF1_EBF_1 -1 0 + 0 0 . chr3 149043523 149043524 chr3:149043524:A:G rs58937189 A G G EBF1_EBF_1 -8 0 - 0 0 . chr3 149086225 149086226 chr3:149086226:G:T rs78509339 G T G EBF1_EBF_1 9 1 + 5.69429918033506 -1.1426902277537498 ATCGCCCAGGGAAC chr3 149098060 149098061 chr3:149098061:C:T rs115361916 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 149109570 149109571 chr3:149109571:C:T rs772807 C T - EBF1_EBF_1 -7 0 + 0 0 . chr3 149119502 149119503 chr3:149119503:G:A rs4681486 G A A EBF1_EBF_1 0 1 - 7.502615131503933 7.7848171922345095 CCTCCCTAGAGACA chr3 149128221 149128222 chr3:149128222:C:A rs772820 C A C EBF1_EBF_1 14 0 - 0 0 . chr3 149142948 149142949 chr3:149142949:T:C rs150832716 T C T EBF1_EBF_1 19 0 + 0 0 . chr3 149197933 149197934 chr3:149197934:T:G rs74831339 T G T EBF1_EBF_1 0 1 - 5.692069770205558 3.436811286508958 ACCCCCATGGGCCA chr3 149223728 149223729 chr3:149223729:T:C rs3755644 T C C EBF1_EBF_1 -15 0 + 0 0 . chr3 149223750 149223751 chr3:149223751:A:G rs75397759 A G A EBF1_EBF_1 7 1 + 6.688727532530209 5.376269127241384 CCTCCCCAGGGCAT chr3 149223759 149223760 chr3:149223760:A:G rs696993 A G G EBF1_EBF_1 16 0 + 0 0 . chr3 149259526 149259527 chr3:149259527:A:G rs7617219 A G G EBF1_EBF_1 -7 0 - 0 0 . chr3 149288968 149288969 chr3:149288969:C:G rs2099192 C G C EBF1_EBF_1 3 1 + 4.906664211379815 -0.9211002367354013 CTTCCCTCAGGACC chr3 149300117 149300118 chr3:149300118:C:G chr3:149300118:C:G C G C EBF1_EBF_1 21 0 - 0 0 . chr3 149449840 149449841 chr3:149449841:C:T rs1820935 C T C EBF1_EBF_1 4 1 + 5.405996918681236 1.0366099737363124 TTCCCCCAGAGAAG chr3 149472486 149472487 chr3:149472487:G:A rs13064795 G A A EBF1_EBF_1 -11 0 + 0 0 . chr3 149480572 149480573 chr3:149480573:C:G rs74342141 C G C EBF1_EBF_1 -18 0 + 0 0 . chr3 149489198 149489199 chr3:149489199:A:G rs35551531 A G G EBF1_EBF_1 -17 0 - 0 0 . chr3 149498510 149498511 chr3:149498511:C:T rs10935762 C T T EBF1_EBF_1 -18 0 - 0 0 . chr3 149501634 149501635 chr3:149501635:G:A rs114258383 G A G EBF1_EBF_1 -2 0 - 0 0 . chr3 149569113 149569114 chr3:149569114:C:T rs559940425 C T C EBF1_EBF_1 7 1 - 6.56285642430653 7.875314829595357 TCTCCCAGGAGAAA chr3 149580452 149580453 chr3:149580453:G:T rs111554722 G T G EBF1_EBF_1 -7 0 + 0 0 . chr3 149593988 149593989 chr3:149593989:C:G rs59383374 C G C EBF1_EBF_1 -12 0 - 0 0 . chr3 149671235 149671236 chr3:149671236:G:A rs7612150 G A . EBF1_EBF_1 31 0 + 0 0 . chr3 149678423 149678424 chr3:149678424:A:G rs74764051 A G . EBF1_EBF_1 -19 0 - 0 0 . chr3 149784443 149784444 chr3:149784444:A:G rs113952560 A G A EBF1_EBF_1 15 0 - 0 0 . chr3 149812573 149812574 chr3:149812574:T:C rs565021987 T C T EBF1_EBF_1 0 1 - 6.6511235065024 4.758839636937567 AGTCCCCTGAGGCC chr3 150023462 150023463 chr3:150023463:A:G rs150851238 A G A EBF1_EBF_1 23 0 - 0 0 . chr3 150035480 150035481 chr3:150035481:G:A rs6440646 G A A EBF1_EBF_1 12 1 - 8.992638932246464 7.966372361695616 ATCCCCTGGGGACG chr3 150068568 150068569 chr3:150068569:C:T rs6773616 C T C EBF1_EBF_1 2 1 + 6.400440144768359 8.289263556210509 AGCCCCCAGGGCAC chr3 150082580 150082581 chr3:150082581:C:T rs28623397 C T C EBF1_EBF_1 6 1 + 4.311511208884957 4.612797337746715 CCTCCCCTGGGCTC chr3 150082585 150082586 chr3:150082586:C:A rs954804367 C A C EBF1_EBF_1 11 1 + 4.311511208884957 8.727472906737345 CCTCCCCTGGGCTC chr3 150082598 150082599 chr3:150082599:C:T rs115125207 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 150107108 150107109 chr3:150107109:G:A rs116021924 G A G EBF1_EBF_1 4 1 - 10.34374915631279 5.974362211367865 AACCCCCAGGGATT chr3 150140649 150140650 chr3:150140650:T:C rs7637310 T C T EBF1_EBF_1 11 1 - 5.962059286593122 3.0693524429891106 ACTCCCCTTGGACT chr3 150223560 150223561 chr3:150223561:C:G rs12637321 C G G EBF1_EBF_1 25 0 - 0 0 . chr3 150259872 150259873 chr3:150259873:A:G rs78763611 A G A EBF1_EBF_1 13 1 - 8.174063564075285 7.3295694494897665 CTTCCCATGAGATT chr3 150277382 150277383 chr3:150277383:A:G rs11718906 A G G EBF1_EBF_1 -17 0 + 0 0 . chr3 150365410 150365411 chr3:150365411:T:C rs76739268 T C T EBF1_EBF_1 22 0 - 0 0 . chr3 150365433 150365434 chr3:150365434:C:A rs2868426 C A C EBF1_EBF_1 -1 0 - 0 0 . chr3 150385337 150385338 chr3:150385338:G:A rs13092207 G A G EBF1_EBF_1 5 1 - 5.208753710771523 -0.11303350476914609 AGTCCCTAAGGCAT chr3 150385347 150385348 chr3:150385348:G:A rs11719654 G A G EBF1_EBF_1 -5 0 - 0 0 . chr3 150497763 150497764 chr3:150497764:T:C rs9289814 T C C EBF1_EBF_1 2 1 + 4.075673054588636 2.186849643146486 AGTCCCCAGTGAGA chr3 150545995 150545996 chr3:150545996:G:A rs145182128 G A G EBF1_EBF_1 9 1 + 3.671459681744503 1.0165204162969004 CGGCCCCAGGGACC chr3 150598925 150598926 chr3:150598926:G:A rs114662645 G A G EBF1_EBF_1 -7 0 - 0 0 . chr3 150663069 150663070 chr3:150663070:G:C rs73869260 G C G EBF1_EBF_1 -13 0 + 0 0 . chr3 150747265 150747266 chr3:150747266:C:T rs73008903 C T G EBF1_EBF_1 16 0 + 0 0 . chr3 150763811 150763812 chr3:150763812:T:C rs913623895 T C T EBF1_EBF_1 2 1 + 5.138134320102844 3.2493109086606937 TTTCCCAGCGGACC chr3 150763818 150763819 chr3:150763819:G:T rs141858510 G T G EBF1_EBF_1 9 1 + 5.138134320102844 -1.6988550879859665 TTTCCCAGCGGACC chr3 150763820 150763821 chr3:150763821:A:T rs145547794 A T A EBF1_EBF_1 11 1 + 5.138134320102844 1.0402200640041182 TTTCCCAGCGGACC chr3 150925819 150925820 chr3:150925820:C:G rs6763566 C G C EBF1_EBF_1 -16 0 + 0 0 . chr3 151146218 151146219 chr3:151146219:C:T rs771573499 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 151146253 151146254 chr3:151146254:C:T rs539680755 C T C EBF1_EBF_1 -7 0 - 0 0 . chr3 151210333 151210334 chr3:151210334:A:C rs12497969 A C A EBF1_EBF_1 13 1 + 7.1993974465554444 7.57314289584142 TTTCCCAAAGGAAA chr3 151429252 151429253 chr3:151429253:C:A rs6768812 C A C EBF1_EBF_1 23 0 - 0 0 . chr3 151481630 151481631 chr3:151481631:A:C rs6784030 A C C EBF1_EBF_1 -10 0 + 0 0 . chr3 151481673 151481674 chr3:151481674:T:C rs6772583 T C C EBF1_EBF_1 33 0 + 0 0 . chr3 151592600 151592601 chr3:151592601:A:C rs775265026 A C A EBF1_EBF_1 -18 0 + 0 0 . chr3 151638783 151638784 chr3:151638784:T:G rs62272506 T G T EBF1_EBF_1 -14 0 - 0 0 . chr3 151665707 151665708 chr3:151665708:G:A rs3988152 G A G EBF1_EBF_1 10 1 + 5.7157534678991615 0.39772500863219573 TACCCCCAGAGATT chr3 151740019 151740020 chr3:151740020:G:A rs13085859 G A G EBF1_EBF_1 -5 0 - 0 0 . chr3 151753894 151753895 chr3:151753895:G:A rs73164690 G A G EBF1_EBF_1 20 0 - 0 0 . chr3 151867830 151867831 chr3:151867831:A:G rs6800968 A G A EBF1_EBF_1 29 0 - 0 0 . chr3 151910199 151910200 chr3:151910200:C:T rs6806340 C T T EBF1_EBF_1 5 1 + 6.505294926401849 1.1835077108611791 AATCACATGGGAAC chr3 151974297 151974298 chr3:151974298:C:T rs73869909 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 152022199 152022200 chr3:152022200:C:T chr3:152022200:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr3 152073507 152073508 chr3:152073508:A:G rs689074 A G G EBF1_EBF_1 1 1 - 11.890283502948236 10.99485006254949 ATCCCCCTGGGAAT chr3 152073518 152073519 chr3:152073519:C:T rs143308306 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 152126348 152126349 chr3:152126349:T:G rs167811 T G G EBF1_EBF_1 -20 0 + 0 0 . chr3 152260640 152260641 chr3:152260641:T:G rs323624 T G T EBF1_EBF_1 -3 0 - 0 0 . chr3 152279380 152279381 chr3:152279381:C:T rs35369452 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 152516363 152516364 chr3:152516364:A:G rs6778047 A G A EBF1_EBF_1 16 0 - 0 0 . chr3 152516376 152516377 chr3:152516377:G:A rs16864367 G A G EBF1_EBF_1 3 1 - 6.624512904664703 0.21911416311138604 GGTCCCATGAGAGC chr3 152537772 152537773 chr3:152537773:G:A rs6792768 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 152659182 152659183 chr3:152659183:T:C rs7636794 T C C EBF1_EBF_1 29 0 + 0 0 . chr3 152672074 152672075 chr3:152672075:C:T rs73022617 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 152714252 152714253 chr3:152714253:C:T rs9877505 C T C EBF1_EBF_1 23 0 - 0 0 . chr3 152745846 152745847 chr3:152745847:C:T rs78962609 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 152835124 152835125 chr3:152835125:C:A rs78011023 C A C EBF1_EBF_1 32 0 + 0 0 . chr3 152835434 152835435 chr3:152835435:C:T rs561477731 C T C EBF1_EBF_1 30 0 - 0 0 . chr3 152835663 152835664 chr3:152835664:C:T rs112530526 C T C EBF1_EBF_1 -2 0 - 0 0 . chr3 152835665 152835666 chr3:152835666:G:A rs564086997 G A G EBF1_EBF_1 -4 0 - 0 0 . chr3 152835673 152835674 chr3:152835674:G:A rs73020223 G A G EBF1_EBF_1 -12 0 - 0 0 . chr3 152893962 152893963 chr3:152893963:C:G rs7627648 C G G EBF1_EBF_1 3 1 + 11.33504818189227 5.507283733777055 CATCCCTAGGGAAT chr3 152894140 152894141 chr3:152894141:T:C rs12497454 T C T EBF1_EBF_1 1 1 + 6.335734479426166 5.440301039027421 ATTCCCATGGGCTG chr3 152894205 152894206 chr3:152894206:C:T rs12494099 C T T EBF1_EBF_1 -11 0 + 0 0 . chr3 152894232 152894233 chr3:152894233:A:G rs7649985 A G G EBF1_EBF_1 16 0 + 0 0 . chr3 152964928 152964929 chr3:152964929:T:C rs7612892 T C T EBF1_EBF_1 27 0 + 0 0 . chr3 153072977 153072978 chr3:153072978:G:A rs541827 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 153162473 153162474 chr3:153162474:C:G rs74804245 C G c EBF1_EBF_1 -7 0 + 0 0 . chr3 153216198 153216199 chr3:153216199:G:A rs368358952 G A G EBF1_EBF_1 4 1 - 11.769251586306353 7.399864641361432 TTTCCCAAGGGACC chr3 153216205 153216206 chr3:153216206:G:A rs76297569 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 153216207 153216208 chr3:153216208:G:A rs74857689 G A g EBF1_EBF_1 -5 0 - 0 0 . chr3 153274562 153274563 chr3:153274563:C:T rs6762759 C T T EBF1_EBF_1 21 0 + 0 0 . chr3 153328847 153328848 chr3:153328848:T:C rs1876394 T C C EBF1_EBF_1 20 0 - 0 0 . chr3 153595298 153595299 chr3:153595299:T:C rs115070449 T C T EBF1_EBF_1 -20 0 + 0 0 . chr3 153678306 153678307 chr3:153678307:A:G rs1490543 A G A EBF1_EBF_1 -17 0 + 0 0 . chr3 153784367 153784368 chr3:153784368:T:G rs112020316 T G t EBF1_EBF_1 26 0 - 0 0 . chr3 153832653 153832654 chr3:153832654:T:A rs4680103 T A T EBF1_EBF_1 -17 0 - 0 0 . chr3 153857039 153857040 chr3:153857040:T:C rs188573181 T C T EBF1_EBF_1 -6 0 + 0 0 . chr3 153963194 153963195 chr3:153963195:T:C rs1521318 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 153997551 153997552 chr3:153997552:T:C rs7611756 T C T EBF1_EBF_1 -10 0 + 0 0 . chr3 153997560 153997561 chr3:153997561:G:C rs7644373 G C C EBF1_EBF_1 13 1 - 3.4713608542911953 1.9832898329357809 CCCCCCAGGGGGTC chr3 154045151 154045152 chr3:154045152:T:G rs1521325 T G T EBF1_EBF_1 -13 0 + 0 0 . chr3 154108680 154108681 chr3:154108681:C:T rs1727946 C T T EBF1_EBF_1 -4 0 - 0 0 . chr3 154156776 154156777 chr3:154156777:G:A rs1713811 G A A EBF1_EBF_1 -2 0 + 0 0 . chr3 154226718 154226719 chr3:154226719:A:G rs2122363 A G A EBF1_EBF_1 6 1 - 8.791204584455771 8.489918455594013 GTTCCCTAGAGAAA chr3 154285428 154285429 chr3:154285429:G:C rs9873603 G C G EBF1_EBF_1 -13 0 + 0 0 . chr3 154387663 154387664 chr3:154387664:C:T rs701114 C T C EBF1_EBF_1 21 0 - 0 0 . chr3 154616934 154616935 chr3:154616935:G:T rs59657677 G T T EBF1_EBF_1 8 1 + 11.003580654609186 4.112147865631993 CATCCCCAGGGACT chr3 154684439 154684440 chr3:154684440:A:G rs142702583 A G A EBF1_EBF_1 23 0 - 0 0 . chr3 155018876 155018877 chr3:155018877:A:G rs76976830 A G C EBF1_EBF_1 -10 0 + 0 0 . chr3 155185874 155185875 chr3:155185875:A:T rs78081541 A T A EBF1_EBF_1 -9 0 - 0 0 . chr3 155203055 155203056 chr3:155203056:T:C rs62277316 T C T EBF1_EBF_1 24 0 - 0 0 . chr3 155225555 155225556 chr3:155225556:A:G rs62277325 A G A EBF1_EBF_1 -16 0 + 0 0 . chr3 155271167 155271168 chr3:155271168:T:C rs114995799 T C T EBF1_EBF_1 2 1 + 5.026161345362851 3.137337933920701 AATCACTTGGGAGC chr3 155271208 155271209 chr3:155271209:C:G rs73170654 C G C EBF1_EBF_1 -17 0 + 0 0 . chr3 155271214 155271215 chr3:155271215:G:T rs9846392 G T G EBF1_EBF_1 -11 0 + 0 0 . chr3 155271231 155271232 chr3:155271232:T:C rs146353244 T C T EBF1_EBF_1 6 1 + 4.459315692231648 4.15802956336989 CCTCCCTGGGGCAA chr3 155280025 155280026 chr3:155280026:G:A rs59587542 G A G EBF1_EBF_1 23 0 - 0 0 . chr3 155318295 155318296 chr3:155318296:C:T rs9853876 C T C EBF1_EBF_1 0 1 - 4.718806937468031 6.611090807032864 GTTCCCTAGAGCCC chr3 155321553 155321554 chr3:155321554:C:T rs77319860 C T C EBF1_EBF_1 4 1 + 8.59694413100707 4.227557186062147 GATCCCATGAGACT chr3 155556960 155556961 chr3:155556961:G:A rs359554 G A G EBF1_EBF_1 -8 0 + 0 0 . chr3 155620815 155620816 chr3:155620816:G:C rs10936011 G C G EBF1_EBF_1 30 0 + 0 0 . chr3 155712271 155712272 chr3:155712272:C:A rs28415967 C A C EBF1_EBF_1 20 0 - 0 0 . chr3 155745364 155745365 chr3:155745365:G:A chr3:155745365:G:A G A G EBF1_EBF_1 8 1 + 6.484816025751203 2.2585259368649417 AACCCCTCGGGGCT chr3 155806321 155806322 chr3:155806322:A:C chr3:155806322:A:C A C A EBF1_EBF_1 -15 0 + 0 0 . chr3 156010860 156010861 chr3:156010861:G:C rs819872 G C C EBF1_EBF_1 -12 0 - 0 0 . chr3 156017715 156017716 chr3:156017716:T:C rs1588965 T C C EBF1_EBF_1 8 1 - 8.233740913518616 12.460031002404877 ATTCCCAGAGGAAC chr3 156073329 156073330 chr3:156073330:T:C rs147271955 T C C EBF1_EBF_1 -17 0 + 0 0 . chr3 156073379 156073380 chr3:156073380:C:G rs191286030 C G C EBF1_EBF_1 33 0 + 0 0 . chr3 156075326 156075327 chr3:156075327:T:C rs148342662 T C C EBF1_EBF_1 6 1 + 10.085207004571279 9.783920875709521 CCTCCCTTGGGAAC chr3 156094285 156094286 chr3:156094286:G:A rs9681730 G A A EBF1_EBF_1 -18 0 - 0 0 . chr3 156291719 156291720 chr3:156291720:G:T rs7620003 G T G EBF1_EBF_1 -13 0 - 0 0 . chr3 156298049 156298050 chr3:156298050:T:C rs478973 T C C EBF1_EBF_1 32 0 + 0 0 . chr3 156453720 156453721 chr3:156453721:C:T rs73873383 C T C EBF1_EBF_1 27 0 + 0 0 . chr3 156522926 156522927 chr3:156522927:T:A rs756335 T A T EBF1_EBF_1 26 0 - 0 0 . chr3 156554755 156554756 chr3:156554756:C:T rs550963537 C T C EBF1_EBF_1 -17 0 + 0 0 . chr3 156554756 156554757 chr3:156554757:G:A rs3773720 G A G EBF1_EBF_1 -16 0 + 0 0 . chr3 156587851 156587852 chr3:156587852:G:T rs4680284 G T T EBF1_EBF_1 3 1 - 4.4594419034217365 -2.44673212604407 CCTCGCTTGGGAAC chr3 156616026 156616027 chr3:156616027:T:C rs57842933 T C T EBF1_EBF_1 4 1 - 5.937239869632775 6.136034391936698 AACCACAAGGGAAT chr3 156658765 156658766 chr3:156658766:A:G rs1397190 A G A EBF1_EBF_1 22 0 + 0 0 . chr3 156675199 156675200 chr3:156675200:A:G rs572334364 A G A EBF1_EBF_1 -15 0 - 0 0 . chr3 156732471 156732472 chr3:156732472:C:T rs343996 C T T EBF1_EBF_1 -4 0 - 0 0 . chr3 156761293 156761294 chr3:156761294:T:C rs77131486 T C T EBF1_EBF_1 12 1 + 4.261874701148587 5.288141271699437 GGCCCCAGGAGATC chr3 156761294 156761295 chr3:156761295:C:T rs344048 C T T EBF1_EBF_1 13 1 + 4.261874701148587 5.106368815734105 GGCCCCAGGAGATC chr3 156788334 156788335 chr3:156788335:A:C rs34354387 A C A EBF1_EBF_1 33 0 + 0 0 . chr3 156796450 156796451 chr3:156796451:T:C rs73019748 T C T EBF1_EBF_1 28 0 - 0 0 . chr3 156812762 156812763 chr3:156812763:A:G rs79939258 A G A EBF1_EBF_1 -18 0 + 0 0 . chr3 156820880 156820881 chr3:156820881:A:G rs9874866 A G G EBF1_EBF_1 -14 0 - 0 0 . chr3 157029555 157029556 chr3:157029556:A:G rs1482855 A G A EBF1_EBF_1 -2 0 + 0 0 . chr3 157084790 157084791 chr3:157084791:C:T rs16827231 C T C EBF1_EBF_1 15 0 - 0 0 . chr3 157095442 157095443 chr3:157095443:G:T rs79673103 G T G EBF1_EBF_1 32 0 - 0 0 . chr3 157096232 157096233 chr3:157096233:C:A rs13077741 C A A EBF1_EBF_1 8 1 - 4.805623335206759 -2.0858094537704357 CCTCCCAGGGAACT chr3 157109528 157109529 chr3:157109529:T:C rs13072657 T C C EBF1_EBF_1 -3 0 - 0 0 . chr3 157109654 157109655 chr3:157109655:T:C rs13072987 T C C EBF1_EBF_1 11 1 - 6.682548562138281 3.7898417185342703 AGTCCCCAGTGACT chr3 157110128 157110129 chr3:157110129:A:G rs13098118 A G G EBF1_EBF_1 -17 0 - 0 0 . chr3 157134351 157134352 chr3:157134352:C:T rs4368453 C T C EBF1_EBF_1 -6 0 - 0 0 . chr3 157239190 157239191 chr3:157239191:G:C rs990048344 G C G EBF1_EBF_1 -7 0 - 0 0 . chr3 157239213 157239214 chr3:157239214:C:G rs80234565 C G C EBF1_EBF_1 26 0 - 0 0 . chr3 157318997 157318998 chr3:157318998:G:A rs12486955 G A A EBF1_EBF_1 29 0 + 0 0 . chr3 157333838 157333839 chr3:157333839:T:A rs115988706 T A T EBF1_EBF_1 -16 0 + 0 0 . chr3 157370140 157370141 chr3:157370141:A:G rs7632367 A G A EBF1_EBF_1 28 0 - 0 0 . chr3 157370147 157370148 chr3:157370148:A:C rs76070197 A C C EBF1_EBF_1 21 0 - 0 0 . chr3 157387755 157387756 chr3:157387756:T:C rs190927539 T C T EBF1_EBF_1 24 0 + 0 0 . chr3 157438537 157438538 chr3:157438538:G:A rs55757068 G A G EBF1_EBF_1 -12 0 - 0 0 . chr3 157438622 157438623 chr3:157438623:G:A rs115111756 G A G EBF1_EBF_1 -3 0 + 0 0 . chr3 157479502 157479503 chr3:157479503:C:G rs62280981 C G C EBF1_EBF_1 -7 0 - 0 0 . chr3 157572704 157572705 chr3:157572705:A:C chr3:157572705:A:C A C A EBF1_EBF_1 17 0 - 0 0 . chr3 157572723 157572724 chr3:157572724:G:T rs188711773 G T G EBF1_EBF_1 -2 0 - 0 0 . chr3 157699953 157699954 chr3:157699954:C:T rs1279636058 C T C EBF1_EBF_1 5 1 + 7.247909881362115 1.926122665821445 AGTCCCTGGGGCCC chr3 157810987 157810988 chr3:157810988:G:C rs149841458 G C G EBF1_EBF_1 17 0 - 0 0 . chr3 157859001 157859002 chr3:157859002:A:T rs9833313 A T A EBF1_EBF_1 33 0 - 0 0 . chr3 158099648 158099649 chr3:158099649:A:G rs35588117 A G G EBF1_EBF_1 0 1 + 7.74856905855453 5.856285188989697 ATTCCCATGAGGAC chr3 158569899 158569900 chr3:158569900:G:C rs58034569 G C G EBF1_EBF_1 -19 0 - 0 0 . chr3 158571369 158571370 chr3:158571370:C:T rs559395661 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 158644905 158644906 chr3:158644906:G:C rs138999949 G C G EBF1_EBF_1 15 0 - 0 0 . chr3 158649767 158649768 chr3:158649768:A:G rs73156455 A G A EBF1_EBF_1 -6 0 + 0 0 . chr3 158710158 158710159 chr3:158710159:G:T rs12629956 G T G EBF1_EBF_1 4 1 - 4.884879803116767 -0.9396798203367004 AACCCCCAGGTAAG chr3 158720748 158720749 chr3:158720749:C:T rs61794799 C T C EBF1_EBF_1 -8 0 - 0 0 . chr3 158727079 158727080 chr3:158727080:C:T rs773703347 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 158732734 158732735 chr3:158732735:C:T rs150975468 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 158753968 158753969 chr3:158753969:C:T rs9857480 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 158762909 158762910 chr3:158762910:A:G rs7617706 A G A EBF1_EBF_1 24 0 - 0 0 . chr3 158763401 158763402 chr3:158763402:A:C rs56105525 A C A EBF1_EBF_1 7 1 - 7.802282316353114 6.966098151151847 GTTCCCATGGGCCT chr3 158769470 158769471 chr3:158769471:A:G rs138830840 A G A EBF1_EBF_1 -3 0 + 0 0 . chr3 158772630 158772631 chr3:158772631:A:G rs1346834 A G G EBF1_EBF_1 31 0 - 0 0 . chr3 158918267 158918268 chr3:158918268:A:G rs340261 A G a EBF1_EBF_1 -2 0 - 0 0 . chr3 158948574 158948575 chr3:158948575:C:T rs61794286 C T T EBF1_EBF_1 -8 0 - 0 0 . chr3 158991580 158991581 chr3:158991581:G:A rs12497096 G A G EBF1_EBF_1 -15 0 - 0 0 . chr3 159045422 159045423 chr3:159045423:G:T rs141632776 G T G EBF1_EBF_1 16 0 + 0 0 . chr3 159196611 159196612 chr3:159196612:C:T rs4020070 C T T EBF1_EBF_1 -19 0 + 0 0 . chr3 159208177 159208178 chr3:159208178:G:T rs13085707 G T G EBF1_EBF_1 -12 0 - 0 0 . chr3 159258376 159258377 chr3:159258377:A:G rs2621304 A G G EBF1_EBF_1 -3 0 - 0 0 . chr3 159328693 159328694 chr3:159328694:G:A rs1449025 G A G EBF1_EBF_1 15 0 + 0 0 . chr3 159604346 159604347 chr3:159604347:A:G rs118035485 A G A EBF1_EBF_1 2 1 + 7.571914883403213 4.508056780801624 AGACCCAAGAGAAT chr3 159752690 159752691 chr3:159752691:G:A rs7636630 G A G EBF1_EBF_1 17 0 - 0 0 . chr3 159764050 159764051 chr3:159764051:C:T rs190558083 C T C EBF1_EBF_1 3 1 + 9.405637473509668 3.0002387319563493 CGCCCCCAGGGAAT chr3 159765310 159765311 chr3:159765311:C:A rs73015941 C A C EBF1_EBF_1 -10 0 - 0 0 . chr3 159766378 159766379 chr3:159766379:T:C rs61597037 T C T EBF1_EBF_1 -5 0 - 0 0 . chr3 159960947 159960948 chr3:159960948:T:C rs12494630 T C T EBF1_EBF_1 -17 0 + 0 0 . chr3 160026564 160026565 chr3:160026565:C:T rs2279742 C T T EBF1_EBF_1 17 0 + 0 0 . chr3 160224483 160224484 chr3:160224484:C:T rs190287662 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 160449424 160449425 chr3:160449425:C:T rs1209990489 C T C EBF1_EBF_1 -3 0 + 0 0 . chr3 160490757 160490758 chr3:160490758:G:A rs4679887 G A G EBF1_EBF_1 -19 0 - 0 0 . chr3 160491237 160491238 chr3:160491238:A:T rs1369666 A T A EBF1_EBF_1 14 0 + 0 0 . chr3 160565337 160565338 chr3:160565338:A:C rs4621370 A C C EBF1_EBF_1 25 0 + 0 0 . chr3 160635482 160635483 chr3:160635483:T:C rs13094009 T C T EBF1_EBF_1 14 0 - 0 0 . chr3 160679035 160679036 chr3:160679036:G:A rs1403045 G A A EBF1_EBF_1 -6 0 + 0 0 . chr3 160685361 160685362 chr3:160685362:A:C rs142415555 A C A EBF1_EBF_1 24 0 + 0 0 . chr3 160755735 160755736 chr3:160755736:C:T rs111805961 C T C EBF1_EBF_1 9 1 - 4.5956393645806415 1.9407000991330372 ACACCCCGGGGGCC chr3 160755860 160755861 chr3:160755861:T:C rs111314651 T C C EBF1_EBF_1 18 0 - 0 0 . chr3 160755877 160755878 chr3:160755878:G:A rs533438138 G A G EBF1_EBF_1 1 1 - 4.901226911694862 5.796660352093608 GCCCCCTCGAGACT chr3 160885824 160885825 chr3:160885825:C:T rs1447615 C T C EBF1_EBF_1 17 0 - 0 0 . chr3 160885848 160885849 chr3:160885849:A:G rs1003933167 A G A EBF1_EBF_1 -7 0 - 0 0 . chr3 160928628 160928629 chr3:160928629:A:C rs6770488 A C C EBF1_EBF_1 11 1 + 5.607537014052786 1.191575316200397 AATCCCCAGTGAAC chr3 160950825 160950826 chr3:160950826:G:A rs183236656 G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 160969597 160969598 chr3:160969598:A:G rs13098884 A G A EBF1_EBF_1 27 0 - 0 0 . chr3 160982910 160982911 chr3:160982911:G:T rs2376477 G T G EBF1_EBF_1 25 0 + 0 0 . chr3 160991440 160991441 chr3:160991441:C:T rs6441346 C T T EBF1_EBF_1 6 1 + 6.425673541869882 6.726959670731641 AATCCCCAAGGAGA chr3 161015987 161015988 chr3:161015988:T:C rs9857855 T C T EBF1_EBF_1 20 0 + 0 0 . chr3 161026058 161026059 chr3:161026059:A:G rs16831758 A G A EBF1_EBF_1 17 0 - 0 0 . chr3 161070908 161070909 chr3:161070909:G:T rs2279107 G T G EBF1_EBF_1 -13 0 + 0 0 . chr3 161139448 161139449 chr3:161139449:A:G rs112433725 A G a EBF1_EBF_1 -20 0 - 0 0 . chr3 161171797 161171798 chr3:161171798:G:A rs76692269 G A G EBF1_EBF_1 17 0 - 0 0 . chr3 161221141 161221142 chr3:161221142:C:T chr3:161221142:C:T C T C EBF1_EBF_1 25 0 - 0 0 . chr3 161221158 161221159 chr3:161221159:C:G rs1331678370 C G C EBF1_EBF_1 8 1 - 6.281959549750784 0.9633006888360984 AGTCCCAGGGGCTC chr3 161382796 161382797 chr3:161382797:A:G rs465964 A G G EBF1_EBF_1 7 1 - 6.546462200824255 4.728957454541631 ATCCCCTTAGGAGT chr3 161426629 161426630 chr3:161426630:G:A rs9861165 G A G EBF1_EBF_1 26 0 - 0 0 . chr3 161430204 161430205 chr3:161430205:C:T rs140208875 C T C EBF1_EBF_1 4 1 + 4.97669005261262 0.6073031076676961 CCTCCCAAAGGAGC chr3 161557975 161557976 chr3:161557976:A:G rs16832734 A G G EBF1_EBF_1 24 0 - 0 0 . chr3 161854662 161854663 chr3:161854663:C:T rs9879766 C T C EBF1_EBF_1 32 0 + 0 0 . chr3 161940042 161940043 chr3:161940043:G:A rs13317296 G A G EBF1_EBF_1 -4 0 - 0 0 . chr3 161982948 161982949 chr3:161982949:T:G rs13062562 T G T EBF1_EBF_1 -9 0 + 0 0 . chr3 162042760 162042761 chr3:162042761:T:C rs951475 T C t EBF1_EBF_1 -5 0 + 0 0 . chr3 162286468 162286469 chr3:162286469:G:A rs13100513 G A G EBF1_EBF_1 -6 0 - 0 0 . chr3 162521454 162521455 chr3:162521455:T:C rs9871703 T C C EBF1_EBF_1 16 0 + 0 0 . chr3 162521557 162521558 chr3:162521558:T:C rs7433829 T C C EBF1_EBF_1 -18 0 + 0 0 . chr3 162521596 162521597 chr3:162521597:A:G rs2169726 A G G EBF1_EBF_1 21 0 + 0 0 . chr3 162525623 162525624 chr3:162525624:G:A rs9873471 G A G EBF1_EBF_1 4 1 - 8.854981281517974 4.485594336573051 ATCCCCAAGAGAAA chr3 162525639 162525640 chr3:162525640:C:T rs1531530 C T T EBF1_EBF_1 -12 0 - 0 0 . chr3 162526115 162526116 chr3:162526116:A:G rs1531527 A G A EBF1_EBF_1 30 0 + 0 0 . chr3 162716730 162716731 chr3:162716731:T:C rs2361608 T C T EBF1_EBF_1 22 0 - 0 0 . chr3 162984994 162984995 chr3:162984995:C:T rs9822407 C T T EBF1_EBF_1 -18 0 + 0 0 . chr3 162985025 162985026 chr3:162985026:G:A rs9822721 G A G EBF1_EBF_1 13 1 + 7.523247675170762 8.637573247240201 ATTCTCCAGGGACG chr3 163096619 163096620 chr3:163096620:T:C rs118033269 T C T EBF1_EBF_1 -14 0 + 0 0 . chr3 163153536 163153537 chr3:163153537:A:G rs34711167 A G A EBF1_EBF_1 24 0 - 0 0 . chr3 163153540 163153541 chr3:163153541:C:T rs34515780 C T T EBF1_EBF_1 20 0 - 0 0 . chr3 163839252 163839253 chr3:163839253:A:G rs954360782 A G A EBF1_EBF_1 27 0 - 0 0 . chr3 163839288 163839289 chr3:163839289:C:G rs62293633 C G C EBF1_EBF_1 -9 0 - 0 0 . chr3 163953470 163953471 chr3:163953471:G:A rs11710022 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 163968458 163968459 chr3:163968459:A:G rs7626252 A G G EBF1_EBF_1 28 0 + 0 0 . chr3 164004239 164004240 chr3:164004240:T:A rs7644950 T A T EBF1_EBF_1 11 1 - 10.116714091419961 6.0187998353212375 GCTCCCCTGGGACC chr3 164008444 164008445 chr3:164008445:T:G rs7643223 T G G EBF1_EBF_1 -8 0 - 0 0 . chr3 164066692 164066693 chr3:164066693:C:T rs1551231 C T T EBF1_EBF_1 10 1 - 4.149780871328207 -1.1682475879387593 ATTCCCAGTGGAGC chr3 164182014 164182015 chr3:164182015:T:G rs36095708 T G T EBF1_EBF_1 -4 0 + 0 0 . chr3 164193289 164193290 chr3:164193290:G:A rs35633016 G A G EBF1_EBF_1 -17 0 + 0 0 . chr3 164284037 164284038 chr3:164284038:A:C rs80186955 A C A EBF1_EBF_1 -6 0 - 0 0 . chr3 164376458 164376459 chr3:164376459:A:G rs13063708 A G A EBF1_EBF_1 28 0 + 0 0 . chr3 164723415 164723416 chr3:164723416:T:C rs6548371 T C c EBF1_EBF_1 -14 0 + 0 0 . chr3 164927646 164927647 chr3:164927647:A:C rs80336735 A C A EBF1_EBF_1 20 0 - 0 0 . chr3 165426025 165426026 chr3:165426026:C:G rs889047904 C G C EBF1_EBF_1 3 1 + 6.450921514109157 0.6231570659939413 GCTCCCTAGGAAAT chr3 165665075 165665076 chr3:165665076:G:A rs3106436 G A A EBF1_EBF_1 12 1 - 6.379050867352419 5.352784296801569 GATCCCCAGAGACG chr3 165713222 165713223 chr3:165713223:T:G rs608171 T G G EBF1_EBF_1 32 0 + 0 0 . chr3 165975354 165975355 chr3:165975355:C:T rs73167075 C T C EBF1_EBF_1 5 1 + 4.428400640989706 -0.8933865745509655 ACCCCCCTGGAACA chr3 166444784 166444785 chr3:166444785:T:C rs9860363 T C C EBF1_EBF_1 33 0 + 0 0 . chr3 166837852 166837853 chr3:166837853:C:G rs114978043 C G C EBF1_EBF_1 -4 0 - 0 0 . chr3 166849610 166849611 chr3:166849611:T:C rs6802594 T C C EBF1_EBF_1 24 0 + 0 0 . chr3 167092342 167092343 chr3:167092343:G:A rs114940641 G A G EBF1_EBF_1 6 1 + 4.24100144002983 6.4077958713043035 GTTCCCGAGGGCTC chr3 167116249 167116250 chr3:167116250:T:A rs115254996 T A A EBF1_EBF_1 -5 0 + 0 0 . chr3 167212548 167212549 chr3:167212549:T:C rs116176858 T C C EBF1_EBF_1 21 0 + 0 0 . chr3 167269961 167269962 chr3:167269962:C:G rs78262058 C G c EBF1_EBF_1 19 0 - 0 0 . chr3 167700349 167700350 chr3:167700350:G:C rs61702773 G C G EBF1_EBF_1 -4 0 + 0 0 . chr3 167729786 167729787 chr3:167729787:A:G rs79824166 A G A EBF1_EBF_1 -19 0 + 0 0 . chr3 167731580 167731581 chr3:167731581:C:T rs7649555 C T T EBF1_EBF_1 25 0 + 0 0 . chr3 167773900 167773901 chr3:167773901:A:G rs1552745 A G G EBF1_EBF_1 -9 0 + 0 0 . chr3 167773913 167773914 chr3:167773914:C:T rs7613321 C T C EBF1_EBF_1 4 1 + 6.9661709115417025 2.59678396659678 TTTCCCCAGGGTAA chr3 167777570 167777571 chr3:167777571:G:A rs115679822 G A G EBF1_EBF_1 -16 0 - 0 0 . chr3 167789178 167789179 chr3:167789179:A:G rs34582040 A G A EBF1_EBF_1 22 0 - 0 0 . chr3 167816282 167816283 chr3:167816283:C:T rs9824029 C T T EBF1_EBF_1 9 1 + 5.045867095104386 3.28456683336104 AGCCCCAAGCGATC chr3 167820779 167820780 chr3:167820780:G:A rs62274818 G A A EBF1_EBF_1 5 1 - 4.571917318624544 -0.7498698969161268 TTTCACTGGGGACC chr3 167866379 167866380 chr3:167866380:G:A rs17476946 G A g EBF1_EBF_1 9 1 + 6.1698168119616446 3.5148775465140405 ACTCCCATGGTGAT chr3 167912844 167912845 chr3:167912845:A:G rs9839241 A G A EBF1_EBF_1 13 1 + 5.292998189177183 4.178672617107744 GCTCCCAAGGAAAA chr3 168073813 168073814 chr3:168073814:A:G rs75827057 A G a EBF1_EBF_1 21 0 - 0 0 . chr3 168119959 168119960 chr3:168119960:T:C rs4345115 T C T EBF1_EBF_1 18 0 - 0 0 . chr3 168165768 168165769 chr3:168165769:G:C rs141179823 G C G EBF1_EBF_1 22 0 - 0 0 . chr3 168165808 168165809 chr3:168165809:C:T rs371642285 C T C EBF1_EBF_1 -18 0 - 0 0 . chr3 168220437 168220438 chr3:168220438:C:T rs75516670 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 168582166 168582167 chr3:168582167:T:C rs114042017 T C T EBF1_EBF_1 -14 0 + 0 0 . chr3 168780358 168780359 chr3:168780359:A:G rs659754 A G a EBF1_EBF_1 33 0 + 0 0 . chr3 168812670 168812671 chr3:168812671:A:G rs595594 A G G EBF1_EBF_1 -2 0 + 0 0 . chr3 169022636 169022637 chr3:169022637:G:T rs13099165 G T G EBF1_EBF_1 -13 0 + 0 0 . chr3 169147656 169147657 chr3:169147657:C:A rs181922041 C A C EBF1_EBF_1 -9 0 + 0 0 . chr3 169147693 169147694 chr3:169147694:A:C rs186144554 A C A EBF1_EBF_1 28 0 + 0 0 . chr3 169171842 169171843 chr3:169171843:C:G rs59062934 C G G EBF1_EBF_1 15 0 - 0 0 . chr3 169405048 169405049 chr3:169405049:G:A rs17485157 G A G EBF1_EBF_1 31 0 - 0 0 . chr3 169405062 169405063 chr3:169405063:G:C rs79973355 G C G EBF1_EBF_1 17 0 - 0 0 . chr3 169467610 169467611 chr3:169467611:A:G rs34585560 A G G EBF1_EBF_1 -17 0 - 0 0 . chr3 169478601 169478602 chr3:169478602:A:T rs9290368 A T T EBF1_EBF_1 -13 0 + 0 0 . chr3 169510842 169510843 chr3:169510843:A:G rs76792744 A G A EBF1_EBF_1 -20 0 + 0 0 . chr3 169537191 169537192 chr3:169537192:G:C rs7625633 G C G EBF1_EBF_1 9 1 + 9.030408485490671 3.954719339145208 TTCCCCATGGGACA chr3 169602627 169602628 chr3:169602628:C:T rs7632201 C T C EBF1_EBF_1 -6 0 + 0 0 . chr3 169658780 169658781 chr3:169658781:G:A rs1255805616 G A G EBF1_EBF_1 -3 0 - 0 0 . chr3 169660988 169660989 chr3:169660989:G:A rs78536931 G A G EBF1_EBF_1 1 1 + 7.605658542329651 7.34495971040835 AGACCCTGGGGACA chr3 169661010 169661011 chr3:169661011:G:A rs73032054 G A G EBF1_EBF_1 23 0 + 0 0 . chr3 169661542 169661543 chr3:169661543:A:G chr3:169661543:A:G A G A EBF1_EBF_1 26 0 - 0 0 . chr3 169661576 169661577 chr3:169661577:T:C rs866550938 T C T EBF1_EBF_1 -8 0 - 0 0 . chr3 169662372 169662373 chr3:169662373:A:C rs192242330 A C C EBF1_EBF_1 -16 0 - 0 0 . chr3 169669404 169669405 chr3:169669405:G:A rs76043567 G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 169669624 169669625 chr3:169669625:C:A rs112754628 C A C EBF1_EBF_1 -6 0 + 0 0 . chr3 169669659 169669660 chr3:169669660:A:T rs80016938 A T A EBF1_EBF_1 29 0 + 0 0 . chr3 169699903 169699904 chr3:169699904:G:T rs115833500 G T G EBF1_EBF_1 -12 0 - 0 0 . chr3 169773904 169773905 chr3:169773905:C:G rs78105580 C G C EBF1_EBF_1 -1 0 + 0 0 . chr3 169812169 169812170 chr3:169812170:A:G rs9878797 A G G EBF1_EBF_1 11 1 + 5.196297178330283 2.303590334726272 GGACCCACGGGAAC chr3 169914582 169914583 chr3:169914583:A:C rs16854599 A C A EBF1_EBF_1 -12 0 + 0 0 . chr3 169967217 169967218 chr3:169967218:G:A chr3:169967218:G:A G A G EBF1_EBF_1 32 0 + 0 0 . chr3 170006192 170006193 chr3:170006193:T:C rs2421900 T C T EBF1_EBF_1 2 1 + 7.868805782883179 5.979982371441029 ACTCCCTTGGGTAA chr3 170023201 170023202 chr3:170023202:G:A rs9868424 G A G EBF1_EBF_1 33 0 + 0 0 . chr3 170064415 170064416 chr3:170064416:T:C rs6804193 T C C EBF1_EBF_1 15 0 + 0 0 . chr3 170075523 170075524 chr3:170075524:G:A rs56761528 G A G EBF1_EBF_1 4 1 + 4.740367193552233 4.54157267124831 AATCGCCAGGGGCT chr3 170176891 170176892 chr3:170176892:G:A rs1386333 G A G EBF1_EBF_1 4 1 - 5.0904872700886745 0.7211003251437513 ATCCCCTTGAGCCT chr3 170181431 170181432 chr3:170181432:G:C rs77198775 G C G EBF1_EBF_1 14 0 - 0 0 . chr3 170181465 170181466 chr3:170181466:C:A rs143514178 C A C EBF1_EBF_1 -20 0 - 0 0 . chr3 170181648 170181649 chr3:170181649:T:C rs377436309 T C T EBF1_EBF_1 -10 0 - 0 0 . chr3 170205734 170205735 chr3:170205735:G:A rs77426195 G A G EBF1_EBF_1 -9 0 + 0 0 . chr3 170206603 170206604 chr3:170206604:C:T rs113705550 C T C EBF1_EBF_1 -19 0 + 0 0 . chr3 170240514 170240515 chr3:170240515:C:A rs1473038 C A C EBF1_EBF_1 21 0 + 0 0 . chr3 170358252 170358253 chr3:170358253:C:A rs961627641 C A C EBF1_EBF_1 -7 0 + 0 0 . chr3 170373855 170373856 chr3:170373856:T:A rs6774380 T A A EBF1_EBF_1 30 0 - 0 0 . chr3 170418733 170418734 chr3:170418734:T:C rs115005268 T C T EBF1_EBF_1 0 1 - 5.29332278750841 3.4010389179435756 AACCCCAGGGGGGC chr3 170419654 170419655 chr3:170419655:G:A rs111275821 G A G EBF1_EBF_1 -2 0 + 0 0 . chr3 170419674 170419675 chr3:170419675:G:A rs79080158 G A G EBF1_EBF_1 18 0 + 0 0 . chr3 170426040 170426041 chr3:170426041:C:T rs17826537 C T C EBF1_EBF_1 18 0 + 0 0 . chr3 170470584 170470585 chr3:170470585:G:A rs569688287 G A G EBF1_EBF_1 13 1 - 4.54375858959253 5.388252704178048 AGCCCTAGGGGAAC chr3 170555518 170555519 chr3:170555519:A:G rs74905446 A G A EBF1_EBF_1 17 0 - 0 0 . chr3 170689705 170689706 chr3:170689706:A:G rs78955841 A G A EBF1_EBF_1 22 0 - 0 0 . chr3 170690368 170690369 chr3:170690369:T:C rs499061 T C C EBF1_EBF_1 11 1 - 6.107763237494896 3.215056393890885 CCACCCCGGGGAAT chr3 170809669 170809670 chr3:170809670:G:A rs116029550 G A G EBF1_EBF_1 28 0 - 0 0 . chr3 170812851 170812852 chr3:170812852:C:T rs150465437 C T C EBF1_EBF_1 3 1 + 5.669508123655868 -0.7358906178974486 AGTCCCCAGAGGAG chr3 170947506 170947507 chr3:170947507:A:C rs35321613 A C C EBF1_EBF_1 -11 0 + 0 0 . chr3 170947547 170947548 chr3:170947548:G:A rs57014745 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 170968783 170968784 chr3:170968784:A:G rs9875793 A G A EBF1_EBF_1 28 0 - 0 0 . chr3 171206626 171206627 chr3:171206627:A:G rs35718342 A G G EBF1_EBF_1 -15 0 - 0 0 . chr3 171230984 171230985 chr3:171230985:C:G rs960778696 C G C EBF1_EBF_1 -1 0 - 0 0 . chr3 171273062 171273063 chr3:171273063:G:C rs61794362 G C G EBF1_EBF_1 30 0 - 0 0 . chr3 171282743 171282744 chr3:171282744:T:C rs10513694 T C T EBF1_EBF_1 -16 0 + 0 0 . chr3 171348234 171348235 chr3:171348235:T:C rs187583585 T C T EBF1_EBF_1 30 0 + 0 0 . chr3 171349576 171349577 chr3:171349577:A:G rs2222819 A G A EBF1_EBF_1 24 0 + 0 0 . chr3 171393587 171393588 chr3:171393588:G:C rs1448191357 G C G EBF1_EBF_1 10 1 + 6.745741751893224 -0.14506063543625036 AATCCAAAGGGAAT chr3 171461153 171461154 chr3:171461154:C:T rs61732719 C T C EBF1_EBF_1 8 1 + 7.718482729691765 6.145708801629256 ATTCCCCACGGAAA chr3 171511550 171511551 chr3:171511551:G:C rs146674873 G C G EBF1_EBF_1 24 0 + 0 0 . chr3 171568922 171568923 chr3:171568923:T:C rs360414 T C T EBF1_EBF_1 17 0 - 0 0 . chr3 171584275 171584276 chr3:171584276:C:T rs431196 C T C EBF1_EBF_1 4 1 + 5.2943238728017645 0.9249369278568419 TATCCCAGAGGAAC chr3 171590309 171590310 chr3:171590310:C:A rs114775930 C A C EBF1_EBF_1 25 0 + 0 0 . chr3 171690594 171690595 chr3:171690595:T:G rs4894494 T G G EBF1_EBF_1 -9 0 - 0 0 . chr3 171700867 171700868 chr3:171700868:G:A rs73041816 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 171704959 171704960 chr3:171704960:G:A rs73041831 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 171710645 171710646 chr3:171710646:C:T rs7618276 C T C EBF1_EBF_1 8 1 - 4.311237068680944 0.08494697979468208 ACCCCCTCGGGGTA chr3 171719362 171719363 chr3:171719363:G:A rs9290432 G A A EBF1_EBF_1 12 1 - 4.296588691122232 3.270322120571382 TGTTCCCAGGGACC chr3 171736824 171736825 chr3:171736825:G:A rs73043861 G A G EBF1_EBF_1 7 1 + 6.113720190181438 7.4261785954702635 CTCCCCCGGAGACT chr3 171791186 171791187 chr3:171791187:T:C rs2178532 T C C EBF1_EBF_1 33 0 + 0 0 . chr3 171792953 171792954 chr3:171792954:A:T rs73176191 A T A EBF1_EBF_1 31 0 + 0 0 . chr3 171811228 171811229 chr3:171811229:G:T chr3:171811229:G:T G T G EBF1_EBF_1 20 0 - 0 0 . chr3 171833902 171833903 chr3:171833903:G:A rs3896023 G A G EBF1_EBF_1 2 1 - 4.969381086240131 6.858204497682281 CTCCCCATGGTAAC chr3 171864221 171864222 chr3:171864222:G:A rs17421040 G A G EBF1_EBF_1 24 0 - 0 0 . chr3 171897244 171897245 chr3:171897245:G:C rs115874516 G C G EBF1_EBF_1 -12 0 + 0 0 . chr3 171939112 171939113 chr3:171939113:C:T rs148575159 C T C EBF1_EBF_1 8 1 - 9.675480126480323 5.449190037594061 ACACCCCAGGGAAT chr3 171973006 171973007 chr3:171973007:T:A rs536296479 T A T EBF1_EBF_1 -6 0 - 0 0 . chr3 172034966 172034967 chr3:172034967:T:C rs111765681 T C T EBF1_EBF_1 -5 0 - 0 0 . chr3 172155976 172155977 chr3:172155977:A:T rs6790787 A T A EBF1_EBF_1 -20 0 + 0 0 . chr3 172180184 172180185 chr3:172180185:C:G rs4894525 C G C EBF1_EBF_1 1 1 - 6.753356101282723 6.563584446713505 CGACCCAAGGGAAA chr3 172257055 172257056 chr3:172257056:A:G rs7637779 A G G EBF1_EBF_1 -7 0 - 0 0 . chr3 172318262 172318263 chr3:172318263:G:A rs181155881 G A G EBF1_EBF_1 6 1 - 7.326536038617535 7.627822167479291 GCTCCCCAGGGGCA chr3 172318264 172318265 chr3:172318265:G:A rs548071635 G A G EBF1_EBF_1 4 1 - 7.326536038617535 2.95714909367261 GCTCCCCAGGGGCA chr3 172323422 172323423 chr3:172323423:A:G rs73167275 A G A EBF1_EBF_1 0 1 + 5.48650339094814 3.5942195213833066 AATCCCTTGAGTCC chr3 172438326 172438327 chr3:172438327:C:T rs1868186 C T C EBF1_EBF_1 13 1 + 5.274753412793004 6.119247527378522 TCTCCCTGAGGACC chr3 172467067 172467068 chr3:172467068:C:T rs529820665 C T C EBF1_EBF_1 -8 0 + 0 0 . chr3 172496291 172496292 chr3:172496292:C:T rs2080196 C T C EBF1_EBF_1 -6 0 - 0 0 . chr3 172506284 172506285 chr3:172506285:C:T rs1131535 C T T EBF1_EBF_1 10 1 - 9.022627216114765 3.7045987568478003 TATCCCAAGAGAAT chr3 172585845 172585846 chr3:172585846:C:A rs16845864 C A C EBF1_EBF_1 12 1 + 4.799637543026514 4.82981894144784 ACACTCCTGGGACT chr3 172591914 172591915 chr3:172591915:A:G rs57582432 A G G EBF1_EBF_1 -15 0 - 0 0 . chr3 172592304 172592305 chr3:172592305:G:A rs59860072 G A G EBF1_EBF_1 29 0 + 0 0 . chr3 172614305 172614306 chr3:172614306:A:T rs990266532 A T A EBF1_EBF_1 30 0 - 0 0 . chr3 172614306 172614307 chr3:172614307:C:T rs185001261 C T C EBF1_EBF_1 29 0 - 0 0 . chr3 172824463 172824464 chr3:172824464:G:A rs12636336 G A G EBF1_EBF_1 3 1 - 4.784643074695635 -1.6207556668576835 ATCCCCCTGGTGCT chr3 172824482 172824483 chr3:172824483:A:G rs12630930 A G A EBF1_EBF_1 -16 0 - 0 0 . chr3 172846625 172846626 chr3:172846626:T:A rs924399 T A A EBF1_EBF_1 19 0 - 0 0 . chr3 172846631 172846632 chr3:172846632:A:G rs1161655333 A G A EBF1_EBF_1 13 1 - 14.61698352227922 13.772489407693701 ATTCCCAAGGGAAT chr3 172849024 172849025 chr3:172849025:C:T rs75702137 C T C EBF1_EBF_1 11 1 - 6.538639444067287 9.431346287671298 ATTCCCAGGAGGAA chr3 172873568 172873569 chr3:172873569:G:A rs6799845 G A A EBF1_EBF_1 9 1 + 9.538797895653213 6.883858630205609 ACTCCCAAGGTAAT chr3 172908963 172908964 chr3:172908964:C:T rs139719145 C T C EBF1_EBF_1 22 0 - 0 0 . chr3 172946223 172946224 chr3:172946224:C:T rs13320274 C T C EBF1_EBF_1 -7 0 - 0 0 . chr3 173360957 173360958 chr3:173360958:T:G rs73178834 T G T EBF1_EBF_1 -1 0 - 0 0 . chr3 173553893 173553894 chr3:173553894:C:A rs9290474 C A A EBF1_EBF_1 -18 0 + 0 0 . chr3 173553936 173553937 chr3:173553937:T:A rs150672792 T A T EBF1_EBF_1 25 0 + 0 0 . chr3 173585162 173585163 chr3:173585163:C:T rs537507335 C T C EBF1_EBF_1 8 1 - 7.180071913061602 2.9537818241753406 ATCCCCCCGGGGAT chr3 173585188 173585189 chr3:173585189:G:T rs575944093 G T G EBF1_EBF_1 -18 0 - 0 0 . chr3 173645630 173645631 chr3:173645631:G:A rs1004964 G A G EBF1_EBF_1 3 1 - 4.54639782794921 -1.8590009136041068 TTTCCCCATGGAAC chr3 173719536 173719537 chr3:173719537:G:C rs55756412 G C G EBF1_EBF_1 29 0 + 0 0 . chr3 173856200 173856201 chr3:173856201:C:T rs13100306 C T C EBF1_EBF_1 5 1 + 5.046656427083872 -0.27513078845679817 CACCTCTAGGGACT chr3 173890325 173890326 chr3:173890326:C:A rs57066541 C A C EBF1_EBF_1 -13 0 + 0 0 . chr3 173914414 173914415 chr3:173914415:G:A rs9846617 G A G EBF1_EBF_1 32 0 + 0 0 . chr3 173958133 173958134 chr3:173958134:A:G rs143460211 A G A EBF1_EBF_1 7 1 + 5.578981779925473 4.266523374636648 ATCCCCAAAGGGCT chr3 174002749 174002750 chr3:174002750:A:G rs12491801 A G A EBF1_EBF_1 12 1 + 6.349005618734475 4.930188276634998 ACCCCCTGGGGGAA chr3 174016386 174016387 chr3:174016387:G:T rs80134369 G T G EBF1_EBF_1 3 1 - 5.911858575720271 -0.9943154537455362 ACTCCATAGGGAAC chr3 174100493 174100494 chr3:174100494:A:C rs9834157 A C A EBF1_EBF_1 -14 0 - 0 0 . chr3 174194002 174194003 chr3:174194003:C:T rs13076207 C T C EBF1_EBF_1 -3 0 - 0 0 . chr3 174194007 174194008 chr3:174194008:C:A rs60148964 C A C EBF1_EBF_1 -8 0 - 0 0 . chr3 174195595 174195596 chr3:174195596:G:C rs6774303 G C G EBF1_EBF_1 3 1 - 4.777902045084614 -1.0498624030306007 GGCCCCTAGAGAGA chr3 174202676 174202677 chr3:174202677:A:T rs9843486 A T A EBF1_EBF_1 21 0 - 0 0 . chr3 174289815 174289816 chr3:174289816:T:A rs556678363 T A T EBF1_EBF_1 29 0 + 0 0 . chr3 174413198 174413199 chr3:174413199:G:A rs6797369 G A A EBF1_EBF_1 13 1 - 7.831327870106515 8.675821984692034 ATACCCCTGGGAGC chr3 174431910 174431911 chr3:174431911:G:A rs187717720 G A G EBF1_EBF_1 -17 0 + 0 0 . chr3 174776482 174776483 chr3:174776483:A:G rs146065168 A G A EBF1_EBF_1 24 0 - 0 0 . chr3 174810498 174810499 chr3:174810499:T:C rs35570653 T C T EBF1_EBF_1 -17 0 - 0 0 . chr3 174817278 174817279 chr3:174817279:T:C rs16864800 T C T EBF1_EBF_1 19 0 + 0 0 . chr3 175258902 175258903 chr3:175258903:T:C rs2862003 T C T EBF1_EBF_1 -8 0 + 0 0 . chr3 175287039 175287040 chr3:175287040:C:T rs11916945 C T T EBF1_EBF_1 20 0 + 0 0 . chr3 175525934 175525935 chr3:175525935:C:G rs10513735 C G C EBF1_EBF_1 28 0 - 0 0 . chr3 175729827 175729828 chr3:175729828:A:C rs5017490 A C C EBF1_EBF_1 14 0 + 0 0 . chr3 175803822 175803823 chr3:175803823:A:G rs7640306 A G G EBF1_EBF_1 17 0 - 0 0 . chr3 175885373 175885374 chr3:175885374:A:G rs34104587 A G A EBF1_EBF_1 -12 0 + 0 0 . chr3 175885750 175885751 chr3:175885751:C:T rs115883470 C T C EBF1_EBF_1 3 1 + 7.522203570028193 1.116804828474876 TCTCCCAAAGGAAT chr3 176345434 176345435 chr3:176345435:G:A rs2625697 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 176354679 176354680 chr3:176354680:T:C rs6787112 T C T EBF1_EBF_1 -16 0 - 0 0 . chr3 176575633 176575634 chr3:176575634:G:A rs73881718 G A G EBF1_EBF_1 3 1 - 6.283627983784477 -0.12177075776883961 GATCCCAAAGGACA chr3 176767575 176767576 chr3:176767576:C:T rs57674890 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 176998567 176998568 chr3:176998568:C:T rs2220120 C T C EBF1_EBF_1 12 1 + 10.382403989779625 9.356137419228777 CTCCCCTAGGGACT chr3 177051305 177051306 chr3:177051306:T:A rs73039620 T A T EBF1_EBF_1 -19 0 - 0 0 . chr3 177119960 177119961 chr3:177119961:G:A rs1950081 G A . EBF1_EBF_1 -2 0 - 0 0 . chr3 177222026 177222027 chr3:177222027:T:C rs77358962 T C T EBF1_EBF_1 13 1 + 4.552263664568309 3.7077695499827903 CCTCCCAGGGTAGT chr3 177333931 177333932 chr3:177333932:C:T rs76749341 C T C EBF1_EBF_1 12 1 + 4.908226501835085 3.8819599312842343 CCTCCTCAGGGACC chr3 177338784 177338785 chr3:177338785:A:G rs143895286 A G A EBF1_EBF_1 -9 0 - 0 0 . chr3 177338795 177338796 chr3:177338796:A:C rs544730739 A C A EBF1_EBF_1 -20 0 - 0 0 . chr3 177349550 177349551 chr3:177349551:T:C rs9840651 T C T EBF1_EBF_1 29 0 - 0 0 . chr3 177354874 177354875 chr3:177354875:G:T rs74727604 G T G EBF1_EBF_1 1 1 - 5.366088688010792 5.2951615106587075 ACCCCCTAGAGAGG chr3 177358244 177358245 chr3:177358245:A:C rs113424655 A C A EBF1_EBF_1 33 0 + 0 0 . chr3 177361581 177361582 chr3:177361582:G:T rs9856885 G T G EBF1_EBF_1 1 1 - 6.371418681400048 6.300491504047963 ACCCCCTAGGTAAA chr3 177374508 177374509 chr3:177374509:C:T rs7432880 C T T EBF1_EBF_1 -18 0 + 0 0 . chr3 177377436 177377437 chr3:177377437:G:C rs73034483 G C G EBF1_EBF_1 -9 0 + 0 0 . chr3 177408628 177408629 chr3:177408629:T:G rs147899648 T G T EBF1_EBF_1 20 0 - 0 0 . chr3 177420467 177420468 chr3:177420468:G:A rs543033378 G A G EBF1_EBF_1 -6 0 - 0 0 . chr3 177421668 177421669 chr3:177421669:A:G rs1566412 A G G EBF1_EBF_1 14 0 - 0 0 . chr3 177491715 177491716 chr3:177491716:C:A rs10936944 C A A EBF1_EBF_1 9 1 - 7.36394836550075 0.5269589574119412 ATTCCCCATGGAAT chr3 177498483 177498484 chr3:177498484:T:C rs115073073 T C T EBF1_EBF_1 9 1 - 5.601992157686843 8.256931423134448 GCTCCCTCGAGAAA chr3 177672826 177672827 chr3:177672827:C:G rs10513749 C G G EBF1_EBF_1 -1 0 + 0 0 . chr3 177690490 177690491 chr3:177690491:C:T rs6768558 C T T EBF1_EBF_1 24 0 - 0 0 . chr3 177700964 177700965 chr3:177700965:A:C rs35110045 A C C EBF1_EBF_1 -16 0 + 0 0 . chr3 177700985 177700986 chr3:177700986:C:T rs34763113 C T C EBF1_EBF_1 5 1 + 6.406159358887058 1.0843721433463884 AGTCCCAGGGAACC chr3 177812817 177812818 chr3:177812818:G:A rs9840298 G A G EBF1_EBF_1 -14 0 - 0 0 . chr3 177816735 177816736 chr3:177816736:G:A rs145844567 G A G EBF1_EBF_1 0 1 + 7.3755928835245745 9.267876753089407 GTTCCCCAGAGAAG chr3 177860077 177860078 chr3:177860078:T:C rs9822930 T C C EBF1_EBF_1 -10 0 + 0 0 . chr3 177860606 177860607 chr3:177860607:G:A rs768936 G A A EBF1_EBF_1 3 1 - 6.819443001537349 0.41404425998403277 TTTCCCATGGAACT chr3 177866249 177866250 chr3:177866250:A:G rs6779459 A G G EBF1_EBF_1 22 0 + 0 0 . chr3 178480120 178480121 chr3:178480121:C:A rs142903335 C A C EBF1_EBF_1 3 1 + 4.6146363117586215 -2.291537717707184 GGTCACCAGGGAAA chr3 178551950 178551951 chr3:178551951:G:A rs1477770 G A A EBF1_EBF_1 31 0 + 0 0 . chr3 178574564 178574565 chr3:178574565:T:C rs9290656 T C T EBF1_EBF_1 -18 0 - 0 0 . chr3 178663688 178663689 chr3:178663689:T:C rs6443556 T C C EBF1_EBF_1 -19 0 - 0 0 . chr3 178712316 178712317 chr3:178712317:C:T rs12487889 C T C EBF1_EBF_1 -8 0 + 0 0 . chr3 178778015 178778016 chr3:178778016:T:C rs75192142 T C T EBF1_EBF_1 32 0 - 0 0 . chr3 178849767 178849768 chr3:178849768:C:T rs34801218 C T T EBF1_EBF_1 -2 0 - 0 0 . chr3 179037327 179037328 chr3:179037328:G:A rs6769215 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 179182077 179182078 chr3:179182078:A:G rs78217546 A G A EBF1_EBF_1 11 1 + 4.461497900489889 1.5687910568858778 ACTCCCTAGTGACG chr3 179182078 179182079 chr3:179182079:C:T rs9878005 C T C EBF1_EBF_1 12 1 + 4.461497900489889 3.4352313299390396 ACTCCCTAGTGACG chr3 179267101 179267102 chr3:179267102:C:T rs34339875 C T . EBF1_EBF_1 -1 0 - 0 0 . chr3 179322738 179322739 chr3:179322739:A:G rs149812336 A G a EBF1_EBF_1 -1 0 + 0 0 . chr3 179322750 179322751 chr3:179322751:C:T rs184758798 C T C EBF1_EBF_1 11 1 + 6.890687618088545 7.208735059842208 GTTCCCCAGGGCTT chr3 179323268 179323269 chr3:179323269:G:C rs142375387 G C G EBF1_EBF_1 17 0 - 0 0 . chr3 179604866 179604867 chr3:179604867:C:G chr3:179604867:C:G C G C EBF1_EBF_1 23 0 - 0 0 . chr3 179604874 179604875 chr3:179604875:C:T rs2271840 C T C EBF1_EBF_1 15 0 - 0 0 . chr3 179655408 179655409 chr3:179655409:A:G rs189443370 A G A EBF1_EBF_1 24 0 - 0 0 . chr3 179768175 179768176 chr3:179768176:A:T rs562196612 A T A EBF1_EBF_1 16 0 - 0 0 . chr3 179772578 179772579 chr3:179772579:C:T rs2284884 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 179860917 179860918 chr3:179860918:C:T rs56677569 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 180030684 180030685 chr3:180030685:C:T rs114250235 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 180037535 180037536 chr3:180037536:G:A rs67219312 G A A EBF1_EBF_1 7 1 + 6.411314919777394 7.72377332506622 AGTCCCTGAGGATC chr3 180356647 180356648 chr3:180356648:A:G rs62290972 A G a EBF1_EBF_1 -7 0 + 0 0 . chr3 180679647 180679648 chr3:180679648:C:G rs73885327 C G G EBF1_EBF_1 14 0 - 0 0 . chr3 180743908 180743909 chr3:180743909:C:G rs55826936 C G C EBF1_EBF_1 -10 0 - 0 0 . chr3 181328617 181328618 chr3:181328618:G:A rs1260623296 G A G EBF1_EBF_1 24 0 - 0 0 . chr3 181701092 181701093 chr3:181701093:C:T rs4574342 C T C EBF1_EBF_1 -1 0 - 0 0 . chr3 181730674 181730675 chr3:181730675:C:T rs11922124 C T C EBF1_EBF_1 5 1 + 6.029363660668586 0.7075764451279158 ACTCCCGGGGTACT chr3 181772435 181772436 chr3:181772436:G:C rs13327557 G C G EBF1_EBF_1 20 0 - 0 0 . chr3 182306091 182306092 chr3:182306092:G:A rs12631792 G A G EBF1_EBF_1 33 0 + 0 0 . chr3 182414798 182414799 chr3:182414799:T:C rs143443394 T C T EBF1_EBF_1 -14 0 - 0 0 . chr3 182597781 182597782 chr3:182597782:G:A rs2607953 G A G EBF1_EBF_1 -20 0 - 0 0 . chr3 182980691 182980692 chr3:182980692:C:A rs998535417 C A c EBF1_EBF_1 28 0 - 0 0 . chr3 182980723 182980724 chr3:182980724:C:T chr3:182980724:C:T C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 183099826 183099827 chr3:183099827:G:C rs1436374241 G C G EBF1_EBF_1 0 1 - 6.001266331124925 6.36424094525669 CTTCCCCAGGGTTC chr3 183116068 183116069 chr3:183116069:A:G rs578111 A G G EBF1_EBF_1 21 0 + 0 0 . chr3 183139460 183139461 chr3:183139461:A:T rs552648 A T T EBF1_EBF_1 -4 0 - 0 0 . chr3 183139474 183139475 chr3:183139475:G:A rs629478 G A G EBF1_EBF_1 -18 0 - 0 0 . chr3 183151206 183151207 chr3:183151207:G:A rs589445 G A G EBF1_EBF_1 16 0 + 0 0 . chr3 183151320 183151321 chr3:183151321:T:A rs481410 T A T EBF1_EBF_1 -5 0 - 0 0 . chr3 183172625 183172626 chr3:183172626:C:T rs7621440 C T C EBF1_EBF_1 13 1 + 4.695020171547452 5.53951428613297 AGCCCCCTAGGAGC chr3 183179353 183179354 chr3:183179354:G:A rs183261612 G A G EBF1_EBF_1 -18 0 - 0 0 . chr3 183258593 183258594 chr3:183258594:T:C rs73184917 T C T EBF1_EBF_1 31 0 - 0 0 . chr3 183290463 183290464 chr3:183290464:C:T rs115097994 C T T EBF1_EBF_1 21 0 - 0 0 . chr3 183290497 183290498 chr3:183290498:A:G rs115806875 A G G EBF1_EBF_1 -13 0 - 0 0 . chr3 183302770 183302771 chr3:183302771:T:C rs7634411 T C C EBF1_EBF_1 6 1 + 5.883079849587487 5.581793720725729 CTTCCCTGGCGACT chr3 183304428 183304429 chr3:183304429:A:G rs9875596 A G A EBF1_EBF_1 31 0 - 0 0 . chr3 183308915 183308916 chr3:183308916:C:T rs139245334 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 183317897 183317898 chr3:183317898:T:G rs73068089 T G T EBF1_EBF_1 -4 0 - 0 0 . chr3 183370106 183370107 chr3:183370107:G:A rs6765654 G A G EBF1_EBF_1 5 1 - 4.270631189762786 -1.0511560257778847 TTTCACCGGGGACC chr3 183438335 183438336 chr3:183438336:G:A rs6793314 G A A EBF1_EBF_1 8 1 + 4.335513636914356 0.10922354802809427 AGTCCCCTGTGATC chr3 183447436 183447437 chr3:183447437:G:A rs535883569 G A G EBF1_EBF_1 0 1 + 4.535323486614562 6.427607356179395 GACCCCCAGGGTCC chr3 183447455 183447456 chr3:183447456:G:A rs79627415 G A G EBF1_EBF_1 19 0 + 0 0 . chr3 183447652 183447653 chr3:183447653:T:C rs530921365 T C T EBF1_EBF_1 27 0 - 0 0 . chr3 183478083 183478084 chr3:183478084:A:C rs4859195 A C C EBF1_EBF_1 14 0 + 0 0 . chr3 183486450 183486451 chr3:183486451:A:G rs79751527 A G A EBF1_EBF_1 25 0 + 0 0 . chr3 183520484 183520485 chr3:183520485:C:T rs6797186 C T C EBF1_EBF_1 -14 0 - 0 0 . chr3 183539808 183539809 chr3:183539809:T:C rs902961286 T C T EBF1_EBF_1 21 0 - 0 0 . chr3 183553501 183553502 chr3:183553502:G:A rs76700787 G A G EBF1_EBF_1 1 1 + 6.878499187099426 6.617800355178123 CGTCCCCAGGGCAT chr3 183554216 183554217 chr3:183554217:A:G rs9846646 A G G EBF1_EBF_1 -15 0 + 0 0 . chr3 183557173 183557174 chr3:183557174:T:C rs9816714 T C C EBF1_EBF_1 7 1 + 5.108213414996121 3.2907086687134983 CGCCCCATGGTAAT chr3 183635794 183635795 chr3:183635795:T:C rs112664695 T C C EBF1_EBF_1 23 0 - 0 0 . chr3 183636219 183636220 chr3:183636220:G:A rs71318332 G A G EBF1_EBF_1 15 0 - 0 0 . chr3 183636225 183636226 chr3:183636226:C:G rs116340275 C G G EBF1_EBF_1 9 1 - 5.5937590608844525 0.5180699145389893 ACTCCCCCGGGCTT chr3 183636254 183636255 chr3:183636255:C:A rs752195901 C A C EBF1_EBF_1 -20 0 - 0 0 . chr3 183636463 183636464 chr3:183636464:G:A rs2271978 G A G EBF1_EBF_1 5 1 - 7.792458904863282 2.470671689322611 GCTCCCCGGGGACG chr3 183832623 183832624 chr3:183832624:A:T rs34190894 A T A EBF1_EBF_1 16 0 - 0 0 . chr3 183845782 183845783 chr3:183845783:T:A rs6443907 T A A EBF1_EBF_1 25 0 - 0 0 . chr3 183868671 183868672 chr3:183868672:G:T rs61591183 G T G EBF1_EBF_1 9 1 + 5.256084610330021 -1.5809047977587902 CTTCCCAAGGTGCT chr3 183930296 183930297 chr3:183930297:C:T rs62285804 C T c EBF1_EBF_1 14 0 - 0 0 . chr3 184156739 184156740 chr3:184156740:A:C rs182837860 A C A EBF1_EBF_1 0 1 + 9.723971012722721 7.468712529026121 ACTCCCAGGAGACT chr3 184168875 184168876 chr3:184168876:A:G rs576218303 A G A EBF1_EBF_1 17 0 - 0 0 . chr3 184175429 184175430 chr3:184175430:A:C rs552118339 A C A EBF1_EBF_1 0 1 + 3.5909745300144484 1.3357160463178486 ACCCCCATGGCACC chr3 184177579 184177580 chr3:184177580:T:C rs118022228 T C T EBF1_EBF_1 21 0 - 0 0 . chr3 184185821 184185822 chr3:184185822:T:C rs971115974 T C T EBF1_EBF_1 24 0 - 0 0 . chr3 184226880 184226881 chr3:184226881:A:G rs6763247 A G a EBF1_EBF_1 21 0 - 0 0 . chr3 184233642 184233643 chr3:184233643:C:T rs902417 C T C EBF1_EBF_1 9 1 - 5.3481410155817874 2.693201750134183 CGTCCCGAGGGGCA chr3 184235452 184235453 chr3:184235453:A:G rs543361579 A G A EBF1_EBF_1 6 1 - 5.710278035622987 5.408991906761231 ATCCCCTGAGGAGT chr3 184237320 184237321 chr3:184237321:C:T rs843334 C T C EBF1_EBF_1 6 1 + 4.577077363378978 4.878363492240736 GACCCCCCGGGAGA chr3 184239678 184239679 chr3:184239679:G:T rs843332 G T G EBF1_EBF_1 -1 0 + 0 0 . chr3 184258314 184258315 chr3:184258315:C:T rs11546878 C T C EBF1_EBF_1 0 1 - 4.150804180403688 6.043088049968523 GGCCTCCAGGGACA chr3 184258319 184258320 chr3:184258320:T:C rs902415 T C C EBF1_EBF_1 -5 0 - 0 0 . chr3 184283165 184283166 chr3:184283166:A:G rs74720080 A G A EBF1_EBF_1 0 1 + 9.94764526976975 8.055361400204916 AGTCCCTAGAGACA chr3 184298964 184298965 chr3:184298965:C:T rs114651463 C T C EBF1_EBF_1 24 0 - 0 0 . chr3 184320296 184320297 chr3:184320297:A:G chr3:184320297:A:G A G A EBF1_EBF_1 30 0 + 0 0 . chr3 184334670 184334671 chr3:184334671:G:A rs557940224 G A G EBF1_EBF_1 3 1 - 6.575727528198203 0.17032878664488665 CCACCCCAGGGAAC chr3 184362717 184362718 chr3:184362718:T:A rs116989297 T A t EBF1_EBF_1 23 0 - 0 0 . chr3 184418056 184418057 chr3:184418057:A:C rs76389137 A C C EBF1_EBF_1 20 0 + 0 0 . chr3 184461454 184461455 chr3:184461455:C:T rs9833131 C T T EBF1_EBF_1 -16 0 - 0 0 . chr3 184466708 184466709 chr3:184466709:G:A chr3:184466709:G:A G A G EBF1_EBF_1 -2 0 + 0 0 . chr3 184467653 184467654 chr3:184467654:A:G rs4912557 A G A EBF1_EBF_1 -6 0 + 0 0 . chr3 184551524 184551525 chr3:184551525:C:T rs62287426 C T T EBF1_EBF_1 -7 0 - 0 0 . chr3 184559351 184559352 chr3:184559352:C:A rs73182814 C A C EBF1_EBF_1 -20 0 + 0 0 . chr3 184561029 184561030 chr3:184561030:A:T rs74914990 A T A EBF1_EBF_1 15 0 + 0 0 . chr3 184561036 184561037 chr3:184561037:G:A rs185176348 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 184561037 184561038 chr3:184561038:C:T rs62287428 C T T EBF1_EBF_1 23 0 + 0 0 . chr3 184564573 184564574 chr3:184564574:C:T rs77470042 C T C EBF1_EBF_1 12 1 - 4.978062298943109 6.396879641042586 GCTCCCCAAGGAGC chr3 184566490 184566491 chr3:184566491:C:T rs9647371 C T T EBF1_EBF_1 23 0 - 0 0 . chr3 184566573 184566574 chr3:184566574:G:A rs139096844 G A G EBF1_EBF_1 27 0 - 0 0 . chr3 184568693 184568694 chr3:184568694:G:A rs149726745 G A G EBF1_EBF_1 -17 0 + 0 0 . chr3 184577527 184577528 chr3:184577528:C:T rs7644377 C T C EBF1_EBF_1 25 0 - 0 0 . chr3 184579340 184579341 chr3:184579341:T:C rs6771138 T C C EBF1_EBF_1 6 1 - 7.853558592194963 5.686764160920488 GCTCCCATGAGAAC chr3 184581625 184581626 chr3:184581626:C:T rs2230596 C T C EBF1_EBF_1 15 0 - 0 0 . chr3 184582520 184582521 chr3:184582521:T:C rs6763519 T C C EBF1_EBF_1 29 0 + 0 0 . chr3 184584261 184584262 chr3:184584262:T:A rs10049176 T A T EBF1_EBF_1 -13 0 - 0 0 . chr3 184585054 184585055 chr3:184585055:C:G rs7652545 C G C EBF1_EBF_1 9 1 - 5.323040754889104 0.2473516085436394 GCCCCCATGGGGCA chr3 184593914 184593915 chr3:184593915:C:T rs73188408 C T C EBF1_EBF_1 0 1 - 4.139587786677214 6.031871656242048 GCTCCCTGGGGCTC chr3 184595000 184595001 chr3:184595001:G:A rs555975823 G A G EBF1_EBF_1 14 0 - 0 0 . chr3 184603099 184603100 chr3:184603100:C:T rs62288575 C T C EBF1_EBF_1 -11 0 - 0 0 . chr3 184603120 184603121 chr3:184603121:C:G rs78966331 C G C EBF1_EBF_1 -6 0 + 0 0 . chr3 184603465 184603466 chr3:184603466:T:C rs7374378 T C C EBF1_EBF_1 -18 0 - 0 0 . chr3 184603466 184603467 chr3:184603467:G:C rs7373484 G C G EBF1_EBF_1 -19 0 - 0 0 . chr3 184642787 184642788 chr3:184642788:C:T rs151186915 C T C EBF1_EBF_1 6 1 + 5.837282320936518 6.138568449798274 ATTCCCCGGGTGCT chr3 184647562 184647563 chr3:184647563:T:C rs1240360931 T C T EBF1_EBF_1 12 1 + 5.273364270648143 6.299630841198993 CAACCCTTGGGATC chr3 184648946 184648947 chr3:184648947:C:T rs10937184 C T T EBF1_EBF_1 24 0 - 0 0 . chr3 184648948 184648949 chr3:184648949:C:G rs114313003 C G C EBF1_EBF_1 22 0 - 0 0 . chr3 184679610 184679611 chr3:184679611:C:T rs4361260 C T C EBF1_EBF_1 14 0 + 0 0 . chr3 184695205 184695206 chr3:184695206:G:A rs13076337 G A G EBF1_EBF_1 9 1 + 7.470613078044436 4.815673812596832 TTCCCCCAGGGGCT chr3 184711114 184711115 chr3:184711115:T:G rs9872799 T G T EBF1_EBF_1 20 0 + 0 0 . chr3 184711115 184711116 chr3:184711116:C:G rs111926609 C G C EBF1_EBF_1 21 0 + 0 0 . chr3 184711123 184711124 chr3:184711124:T:C rs148701170 T C T EBF1_EBF_1 29 0 + 0 0 . chr3 184717272 184717273 chr3:184717273:C:G chr3:184717273:C:G C G C EBF1_EBF_1 17 0 + 0 0 . chr3 184736294 184736295 chr3:184736295:C:G rs9854547 C G C EBF1_EBF_1 -17 0 + 0 0 . chr3 184752604 184752605 chr3:184752605:C:G rs4686394 C G G EBF1_EBF_1 -5 0 - 0 0 . chr3 184768146 184768147 chr3:184768147:C:G rs55915715 C G C EBF1_EBF_1 -20 0 - 0 0 . chr3 184786696 184786697 chr3:184786697:G:A rs2889555 G A A EBF1_EBF_1 -16 0 - 0 0 . chr3 184803391 184803392 chr3:184803392:G:A rs573434067 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 185040094 185040095 chr3:185040095:C:G rs80119502 C G C EBF1_EBF_1 32 0 + 0 0 . chr3 185051613 185051614 chr3:185051614:A:G rs6783157 A G G EBF1_EBF_1 29 0 - 0 0 . chr3 185067329 185067330 chr3:185067330:C:G rs12636670 C G C EBF1_EBF_1 -15 0 + 0 0 . chr3 185070606 185070607 chr3:185070607:G:C rs55868764 G C G EBF1_EBF_1 -7 0 - 0 0 . chr3 185071777 185071778 chr3:185071778:G:A rs7640697 G A G EBF1_EBF_1 16 0 + 0 0 . chr3 185152432 185152433 chr3:185152433:G:A rs6444034 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 185172875 185172876 chr3:185172876:C:A rs61114388 C A c EBF1_EBF_1 1 1 + 5.778624922877827 5.707697745525743 ACCCCCATGAGGCT chr3 185172901 185172902 chr3:185172902:A:G rs7620635 A G G EBF1_EBF_1 27 0 + 0 0 . chr3 185181634 185181635 chr3:185181635:A:T rs6784316 A T C EBF1_EBF_1 -20 0 - 0 0 . chr3 185354343 185354344 chr3:185354344:C:T rs62288720 C T T EBF1_EBF_1 23 0 - 0 0 . chr3 185457537 185457538 chr3:185457538:C:A rs9831272 C A C EBF1_EBF_1 28 0 + 0 0 . chr3 185533212 185533213 chr3:185533213:C:T rs612304 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 185533994 185533995 chr3:185533995:G:A rs112306592 G A G EBF1_EBF_1 -8 0 - 0 0 . chr3 185552608 185552609 chr3:185552609:G:A rs79952553 G A G EBF1_EBF_1 4 1 - 13.006511382924655 8.637124437979733 AGTCCCTAGGGAAC chr3 185586239 185586240 chr3:185586240:C:T rs551242220 C T C EBF1_EBF_1 26 0 - 0 0 . chr3 185586282 185586283 chr3:185586283:T:G rs936500019 T G T EBF1_EBF_1 -17 0 - 0 0 . chr3 185630562 185630563 chr3:185630563:T:C rs4687477 T C c EBF1_EBF_1 -5 0 - 0 0 . chr3 185813872 185813873 chr3:185813873:C:T rs1374910 C T C EBF1_EBF_1 2 1 + 7.410131651570104 9.298955063012254 ATCCCCAAGGAAAT chr3 185834794 185834795 chr3:185834795:C:T rs73063014 C T C EBF1_EBF_1 10 1 - 5.5432748288491975 0.2252463695822316 CTCCCCAAGAGATA chr3 185915473 185915474 chr3:185915474:G:T rs186678596 G T G EBF1_EBF_1 -7 0 - 0 0 . chr3 185937055 185937056 chr3:185937056:T:C rs114971448 T C T EBF1_EBF_1 18 0 + 0 0 . chr3 185962090 185962091 chr3:185962091:A:G rs4686714 A G A EBF1_EBF_1 8 1 - 5.4400470157997285 7.012820943862237 AGTCCCCATGGAAA chr3 185977681 185977682 chr3:185977682:C:T rs114909541 C T C EBF1_EBF_1 1 1 + 5.273871445611132 6.169304886009877 ACCCCCAAAGGAAG chr3 185988680 185988681 chr3:185988681:A:T rs13091989 A T A EBF1_EBF_1 13 1 - 7.600935416133205 6.382695852261711 ACTCCCCTAGGATT chr3 186078065 186078066 chr3:186078066:A:C rs12638263 A C C EBF1_EBF_1 21 0 - 0 0 . chr3 186080235 186080236 chr3:186080236:C:T rs77805826 C T C EBF1_EBF_1 16 0 - 0 0 . chr3 186097484 186097485 chr3:186097485:C:T rs73178156 C T C EBF1_EBF_1 7 1 + 7.135455370775127 8.95296011705775 AACCCCACGGGAAG chr3 186188309 186188310 chr3:186188310:T:C rs139876309 T C T EBF1_EBF_1 28 0 + 0 0 . chr3 186197536 186197537 chr3:186197537:C:T rs2268849 C T C EBF1_EBF_1 32 0 + 0 0 . chr3 186210830 186210831 chr3:186210831:C:T rs115377343 C T C EBF1_EBF_1 -8 0 + 0 0 . chr3 186242402 186242403 chr3:186242403:A:G rs6799929 A G G EBF1_EBF_1 7 1 + 4.706647865692098 3.394189460403271 AGTCCCCAGCGGCC chr3 186246974 186246975 chr3:186246975:C:T rs6792471 C T C EBF1_EBF_1 8 1 - 7.045218231138848 2.818928142252587 ATTCCCAAGGTATG chr3 186269487 186269488 chr3:186269488:C:T rs9814470 C T C EBF1_EBF_1 11 1 - 5.7278776363833614 8.620584479987372 ACTCCCAAAGGGCC chr3 186276666 186276667 chr3:186276667:C:A rs1371688388 C A C EBF1_EBF_1 -18 0 + 0 0 . chr3 186287948 186287949 chr3:186287949:T:C rs191327859 T C T EBF1_EBF_1 30 0 + 0 0 . chr3 186331345 186331346 chr3:186331346:A:C rs114760907 A C A EBF1_EBF_1 13 1 - 5.282694021046484 2.950128885105551 TTCCCCAAGGGCTT chr3 186432151 186432152 chr3:186432152:T:C rs7616678 T C T EBF1_EBF_1 -3 0 - 0 0 . chr3 186456089 186456090 chr3:186456090:C:G rs4619780 C G C EBF1_EBF_1 6 1 + 6.4683848549289555 4.663192791455757 GTCCCCCTGAGACC chr3 186458514 186458515 chr3:186458515:G:T rs12635731 G T G EBF1_EBF_1 -4 0 + 0 0 . chr3 186458543 186458544 chr3:186458544:C:A rs12635702 C A C EBF1_EBF_1 25 0 + 0 0 . chr3 186488009 186488010 chr3:186488010:C:G rs9825125 C G C EBF1_EBF_1 5 1 + 4.903309347861544 -2.001571804773624 TCTCCCTGGGGCTT chr3 186614781 186614782 chr3:186614782:G:A rs2593813 G A G EBF1_EBF_1 16 0 - 0 0 . chr3 186701545 186701546 chr3:186701546:C:T rs974629 C T C EBF1_EBF_1 10 1 - 6.195064950591759 0.8770364913247937 GCTCCCAAGGGCAA chr3 186713707 186713708 chr3:186713708:G:T rs35853604 G T T EBF1_EBF_1 13 1 - 6.489903254141625 6.116157804855649 ATTCCCCAGGCACC chr3 186713731 186713732 chr3:186713732:C:T rs1630281 C T C EBF1_EBF_1 -11 0 - 0 0 . chr3 186722693 186722694 chr3:186722694:T:C rs1648714 T C C EBF1_EBF_1 -10 0 + 0 0 . chr3 186727964 186727965 chr3:186727965:C:T rs5030028 C T T EBF1_EBF_1 -11 0 + 0 0 . chr3 186755026 186755027 chr3:186755027:C:T rs1846899 C T C EBF1_EBF_1 19 0 + 0 0 . chr3 186757876 186757877 chr3:186757877:G:A rs57024526 G A T EBF1_EBF_1 -17 0 - 0 0 . chr3 186776632 186776633 chr3:186776633:G:A rs185554 G A . EBF1_EBF_1 22 0 + 0 0 . chr3 186827388 186827389 chr3:186827389:A:G rs266770 A G G EBF1_EBF_1 7 1 + 6.043867347516732 4.731408942227907 ATTCCCGAGAGAGG chr3 186909522 186909523 chr3:186909523:G:A rs7622427 G A G EBF1_EBF_1 18 0 - 0 0 . chr3 186932772 186932773 chr3:186932773:C:T rs73187734 C T T EBF1_EBF_1 17 0 - 0 0 . chr3 186933916 186933917 chr3:186933917:A:G rs34180584 A G G EBF1_EBF_1 15 0 - 0 0 . chr3 186937255 186937256 chr3:186937256:A:T rs34963554 A T T EBF1_EBF_1 19 0 - 0 0 . chr3 186959332 186959333 chr3:186959333:C:G rs73069442 C G G EBF1_EBF_1 -6 0 - 0 0 . chr3 186966844 186966845 chr3:186966845:G:A rs16861388 G A G EBF1_EBF_1 -1 0 - 0 0 . chr3 186977396 186977397 chr3:186977397:G:C rs571626278 G C G EBF1_EBF_1 -12 0 - 0 0 . chr3 186985894 186985895 chr3:186985895:A:C rs552565017 A C A EBF1_EBF_1 27 0 - 0 0 . chr3 186989813 186989814 chr3:186989814:G:T rs7622133 G T G EBF1_EBF_1 -8 0 + 0 0 . chr3 187018093 187018094 chr3:187018094:T:C chr3:187018094:T:C T C T EBF1_EBF_1 -18 0 - 0 0 . chr3 187021477 187021478 chr3:187021478:G:T rs58409806 G T G EBF1_EBF_1 30 0 + 0 0 . chr3 187025088 187025089 chr3:187025089:C:G rs74925087 C G C EBF1_EBF_1 -3 0 - 0 0 . chr3 187040206 187040207 chr3:187040207:A:T rs2268532 A T A EBF1_EBF_1 12 1 - 6.14885461222717 7.205302581199346 ACTCCCGTGAGATA chr3 187066755 187066756 chr3:187066756:T:C rs9869746 T C T EBF1_EBF_1 -1 0 + 0 0 . chr3 187079826 187079827 chr3:187079827:C:T rs4686453 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 187112872 187112873 chr3:187112873:G:T rs270161 G T T EBF1_EBF_1 20 0 - 0 0 . chr3 187187425 187187426 chr3:187187426:G:A rs79480970 G A G EBF1_EBF_1 5 1 - 5.229853770145504 -0.09193344539516546 ACTTCCCTGGGACA chr3 187208443 187208444 chr3:187208444:C:T rs113542587 C T T EBF1_EBF_1 -4 0 - 0 0 . chr3 187294108 187294109 chr3:187294109:C:T rs182024529 C T C EBF1_EBF_1 14 0 - 0 0 . chr3 187336391 187336392 chr3:187336392:C:A chr3:187336392:C:A C A C EBF1_EBF_1 17 0 + 0 0 . chr3 187336391 187336392 chr3:187336392:C:T rs28415742 C T C EBF1_EBF_1 17 0 + 0 0 . chr3 187441298 187441299 chr3:187441299:G:C rs73182537 G C G EBF1_EBF_1 20 0 + 0 0 . chr3 187450537 187450538 chr3:187450538:G:C rs11925063 G C G EBF1_EBF_1 18 0 + 0 0 . chr3 187500710 187500711 chr3:187500711:T:C rs2600990 T C C EBF1_EBF_1 6 1 + 6.229820008413922 5.928533879552164 AATGCCTTGGGATT chr3 187533965 187533966 chr3:187533966:C:T rs79559584 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 187564443 187564444 chr3:187564444:G:A rs933833 G A G EBF1_EBF_1 20 0 - 0 0 . chr3 187593546 187593547 chr3:187593547:A:C rs6779252 A C A EBF1_EBF_1 6 1 - 6.8861310107020905 4.779652818367136 GATCCCTGGAGAAC chr3 187728422 187728423 chr3:187728423:C:T rs2229362 C T C EBF1_EBF_1 24 0 + 0 0 . chr3 187742118 187742119 chr3:187742119:G:A rs3774299 G A G EBF1_EBF_1 5 1 - 6.682114085649993 1.360326870109323 TTCCCCCAGAGATT chr3 187801613 187801614 chr3:187801614:C:G rs11713384 C G C EBF1_EBF_1 6 1 + 7.088457683694326 5.283265620221129 ATTCCCCTGGGCTA chr3 187837170 187837171 chr3:187837171:T:C rs577790 T C C EBF1_EBF_1 26 0 - 0 0 . chr3 187837467 187837468 chr3:187837468:A:G rs147672430 A G A EBF1_EBF_1 6 1 - 5.125485311502684 4.8241991826409265 AATGCCTAGGGAGA chr3 187889762 187889763 chr3:187889763:A:G rs16862692 A G A EBF1_EBF_1 -7 0 - 0 0 . chr3 187898857 187898858 chr3:187898858:G:A rs2611622 G A A EBF1_EBF_1 -14 0 - 0 0 . chr3 187940587 187940588 chr3:187940588:G:A rs563275 G A G EBF1_EBF_1 4 1 - 6.813889956983137 2.444503012038213 AATCCCCTGGGTTC chr3 187963915 187963916 chr3:187963916:G:A chr3:187963916:G:A G A G EBF1_EBF_1 -10 0 + 0 0 . chr3 187963923 187963924 chr3:187963924:C:T rs509399 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 187974844 187974845 chr3:187974845:G:A rs77292072 G A G EBF1_EBF_1 9 1 + 11.92281601853701 9.267876753089407 ATTCCCCAGGGAAG chr3 187974867 187974868 chr3:187974868:C:T rs11706015 C T C EBF1_EBF_1 32 0 + 0 0 . chr3 187979010 187979011 chr3:187979011:G:T rs2030034 G T G EBF1_EBF_1 -19 0 - 0 0 . chr3 187979699 187979700 chr3:187979700:G:A rs115430045 G A G EBF1_EBF_1 -10 0 - 0 0 . chr3 188006852 188006853 chr3:188006853:T:C chr3:188006853:T:C T C T EBF1_EBF_1 23 0 + 0 0 . chr3 188207998 188207999 chr3:188207999:C:T rs773167827 C T C EBF1_EBF_1 -7 0 - 0 0 . chr3 188208612 188208613 chr3:188208613:C:T rs568027354 C T C EBF1_EBF_1 31 0 - 0 0 . chr3 188234461 188234462 chr3:188234462:T:C rs4381925 T C C EBF1_EBF_1 30 0 + 0 0 . chr3 188283050 188283051 chr3:188283051:T:A rs7614199 T A A EBF1_EBF_1 -8 0 - 0 0 . chr3 188322449 188322450 chr3:188322450:G:C rs12486769 G C G EBF1_EBF_1 -20 0 + 0 0 . chr3 188358975 188358976 chr3:188358976:G:A rs13073738 G A G EBF1_EBF_1 -5 0 + 0 0 . chr3 188427706 188427707 chr3:188427707:A:G rs6807597 A G A EBF1_EBF_1 -9 0 - 0 0 . chr3 188508760 188508761 chr3:188508761:C:A rs986605937 C A C EBF1_EBF_1 10 1 - 4.68434479539343 0.5015926091661647 AATTCCAGGGGACA chr3 188510523 188510524 chr3:188510524:G:T rs146294832 G T G EBF1_EBF_1 -18 0 + 0 0 . chr3 188531498 188531499 chr3:188531499:A:C rs73888809 A C A EBF1_EBF_1 32 0 - 0 0 . chr3 188531534 188531535 chr3:188531535:A:C rs551646999 A C A EBF1_EBF_1 -4 0 - 0 0 . chr3 188579210 188579211 chr3:188579211:C:G rs115150029 C G C EBF1_EBF_1 9 1 - 9.423733191726448 4.348044045380984 AATCCCCTGGGATG chr3 188583346 188583347 chr3:188583347:G:A rs62291680 G A G EBF1_EBF_1 18 0 + 0 0 . chr3 188780483 188780484 chr3:188780484:A:C rs11920167 A C A EBF1_EBF_1 -12 0 + 0 0 . chr3 188833184 188833185 chr3:188833185:A:G rs148102359 A G A EBF1_EBF_1 29 0 - 0 0 . chr3 188862097 188862098 chr3:188862098:A:T rs9831757 A T A EBF1_EBF_1 -18 0 - 0 0 . chr3 188997333 188997334 chr3:188997334:T:C rs61374972 T C T EBF1_EBF_1 12 1 - 9.491800355847223 8.072983013747747 AGCCCCAGGGGAAA chr3 189032344 189032345 chr3:189032345:A:T rs849001 A T T EBF1_EBF_1 24 0 + 0 0 . chr3 189040819 189040820 chr3:189040820:C:T rs710513 C T C EBF1_EBF_1 20 0 + 0 0 . chr3 189147181 189147182 chr3:189147182:A:G rs17479144 A G A EBF1_EBF_1 -20 0 + 0 0 . chr3 189241774 189241775 chr3:189241775:C:T rs73184455 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 189276843 189276844 chr3:189276844:T:G chr3:189276844:T:G T G T EBF1_EBF_1 -19 0 - 0 0 . chr3 189277314 189277315 chr3:189277315:A:G rs79351481 A G A EBF1_EBF_1 29 0 - 0 0 . chr3 189485540 189485541 chr3:189485541:G:A rs6771927 G A G EBF1_EBF_1 26 0 - 0 0 . chr3 189485591 189485592 chr3:189485592:C:G rs6771835 C G C EBF1_EBF_1 -12 0 + 0 0 . chr3 189485625 189485626 chr3:189485626:G:A rs2633450 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 189554917 189554918 chr3:189554918:C:A rs1920258 C A C EBF1_EBF_1 1 1 - 5.098580274256389 5.804242060085917 GGTCCCCAAGGAAG chr3 189588658 189588659 chr3:189588659:G:A rs3846098 G A A EBF1_EBF_1 1 1 - 5.232383652086642 6.1278170924853885 ACCCCTTAGGGAAA chr3 189702543 189702544 chr3:189702544:C:T rs58200304 C T C EBF1_EBF_1 -10 0 + 0 0 . chr3 189744802 189744803 chr3:189744803:T:C rs6444395 T C C EBF1_EBF_1 14 0 + 0 0 . chr3 189781070 189781071 chr3:189781071:A:G rs73197834 A G A EBF1_EBF_1 8 1 + 6.074105860299773 10.300395949186035 AATCCCACAGGATT chr3 189902941 189902942 chr3:189902942:C:T rs141121732 C T C EBF1_EBF_1 27 0 - 0 0 . chr3 189937691 189937692 chr3:189937692:C:T rs6806596 C T C EBF1_EBF_1 9 1 - 8.55582217929818 5.900882913850581 ATTCCCACGGGGCC chr3 189937716 189937717 chr3:189937717:T:C rs56123905 T C C EBF1_EBF_1 -16 0 - 0 0 . chr3 190001574 190001575 chr3:190001575:C:T rs146280542 C T C EBF1_EBF_1 16 0 + 0 0 . chr3 190012821 190012822 chr3:190012822:G:A rs560763865 G A G EBF1_EBF_1 -17 0 - 0 0 . chr3 190115044 190115045 chr3:190115045:T:C rs6801014 T C T EBF1_EBF_1 -4 0 + 0 0 . chr3 190121492 190121493 chr3:190121493:C:T rs938512 C T T EBF1_EBF_1 29 0 - 0 0 . chr3 190121507 190121508 chr3:190121508:T:C rs938513 T C C EBF1_EBF_1 14 0 - 0 0 . chr3 190125759 190125760 chr3:190125760:G:A rs838703 G A A EBF1_EBF_1 17 0 + 0 0 . chr3 190131518 190131519 chr3:190131519:C:G rs151084082 C G C EBF1_EBF_1 -6 0 + 0 0 . chr3 190132202 190132203 chr3:190132203:T:C rs2675413 T C T EBF1_EBF_1 -4 0 + 0 0 . chr3 190177196 190177197 chr3:190177197:G:A rs62278608 G A - EBF1_EBF_1 4 1 - 5.577528561323758 1.2081416163788332 CTTCCCGTGGGGCA chr3 190252151 190252152 chr3:190252152:G:C rs2103272 G C G EBF1_EBF_1 12 1 - 3.9611292206212405 2.572493276943089 CCTCCCAGGGAACC chr3 190262068 190262069 chr3:190262069:A:C rs3954258 A C C EBF1_EBF_1 32 0 + 0 0 . chr3 190304138 190304139 chr3:190304139:A:G rs1436662 A G G EBF1_EBF_1 33 0 - 0 0 . chr3 190357970 190357971 chr3:190357971:A:G rs112692469 A G G EBF1_EBF_1 -2 0 + 0 0 . chr3 190364580 190364581 chr3:190364581:C:T rs6766716 C T C EBF1_EBF_1 23 0 - 0 0 . chr3 190491565 190491566 chr3:190491566:A:G rs184298545 A G A EBF1_EBF_1 13 1 - 7.6951933658290415 6.850699251243523 GCTCCCTAGAGAGT chr3 190554460 190554461 chr3:190554461:C:G rs79288207 C G C EBF1_EBF_1 0 1 - 6.023984455950291 5.661009841818524 GTCCCCAGGAGAAC chr3 190586704 190586705 chr3:190586705:C:T rs146524629 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 190586731 190586732 chr3:190586732:G:A rs565146653 G A G EBF1_EBF_1 -8 0 - 0 0 . chr3 190693606 190693607 chr3:190693607:G:A rs79970059 G A G EBF1_EBF_1 32 0 - 0 0 . chr3 190706692 190706693 chr3:190706693:G:A rs6769569 G A A EBF1_EBF_1 21 0 - 0 0 . chr3 190746657 190746658 chr3:190746658:A:G rs7653117 A G A EBF1_EBF_1 25 0 + 0 0 . chr3 190878126 190878127 chr3:190878127:T:C rs182515927 T C T EBF1_EBF_1 -6 0 + 0 0 . chr3 190926758 190926759 chr3:190926759:G:T rs13081641 G T G EBF1_EBF_1 3 1 - 5.261358878929854 -1.6448151505359534 AACCGCAAGGGACC chr3 190935040 190935041 chr3:190935041:C:T rs34859790 C T C EBF1_EBF_1 -17 0 - 0 0 . chr3 190939304 190939305 chr3:190939305:C:T rs883841 C T T EBF1_EBF_1 -13 0 + 0 0 . chr3 190953005 190953006 chr3:190953006:C:T rs35327527 C T C EBF1_EBF_1 -1 0 + 0 0 . chr3 191046883 191046884 chr3:191046884:C:T rs9758884 C T T EBF1_EBF_1 30 0 - 0 0 . chr3 191146088 191146089 chr3:191146089:C:T rs2168155 C T T EBF1_EBF_1 8 1 - 8.724624088992774 4.498334000106515 ACACCCAGGGGAAT chr3 191176828 191176829 chr3:191176829:G:A rs7635224 G A A EBF1_EBF_1 0 1 - 5.217087750813691 5.499289811544268 CTTCCCCAGAGTCT chr3 191297191 191297192 chr3:191297192:A:G rs9835846 A G A EBF1_EBF_1 -12 0 - 0 0 . chr3 191329912 191329913 chr3:191329913:C:G rs373754274 C G C EBF1_EBF_1 25 0 - 0 0 . chr3 191329936 191329937 chr3:191329937:G:T rs112686490 G T G EBF1_EBF_1 1 1 - 7.42295736209215 7.352030184740066 ACCCCCTTGAGAAA chr3 191338014 191338015 chr3:191338015:A:C rs1520202 A C A EBF1_EBF_1 7 1 - 7.644473318792201 6.808289153590934 ACTCCCCTGGTATT chr3 191572877 191572878 chr3:191572878:A:G rs6796470 A G G EBF1_EBF_1 -16 0 + 0 0 . chr3 191572922 191572923 chr3:191572923:C:T rs6771996 C T C EBF1_EBF_1 29 0 + 0 0 . chr3 191702056 191702057 chr3:191702057:C:G rs61039969 C G C EBF1_EBF_1 -1 0 + 0 0 . chr3 191703090 191703091 chr3:191703091:C:G rs77199312 C G C EBF1_EBF_1 27 0 + 0 0 . chr3 191741906 191741907 chr3:191741907:A:G rs9876443 A G A EBF1_EBF_1 24 0 - 0 0 . chr3 191741909 191741910 chr3:191741910:G:A rs1007327806 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 191743365 191743366 chr3:191743366:C:T rs709088 C T T EBF1_EBF_1 4 1 + 4.928834355131151 0.5594474101862281 TCCCCCACGGGAGA chr3 191778802 191778803 chr3:191778803:A:G rs779303 A G A EBF1_EBF_1 6 1 + 6.762788933661402 4.595994502386927 AGTGCCATGGGAAC chr3 191994208 191994209 chr3:191994209:T:C rs2366231 T C T EBF1_EBF_1 -7 0 + 0 0 . chr3 192024648 192024649 chr3:192024649:G:A rs1491519 G A A EBF1_EBF_1 18 0 + 0 0 . chr3 192085203 192085204 chr3:192085204:G:A rs28796929 G A G EBF1_EBF_1 16 0 + 0 0 . chr3 192449359 192449360 chr3:192449360:C:A rs6775137 C A C EBF1_EBF_1 -16 0 + 0 0 . chr3 192629907 192629908 chr3:192629908:A:G rs1503592 A G G EBF1_EBF_1 11 1 + 7.586197787148858 4.693490943544848 GCTCCCTAGGTAAT chr3 192658424 192658425 chr3:192658425:C:T rs74491194 C T C EBF1_EBF_1 -18 0 - 0 0 . chr3 192820370 192820371 chr3:192820371:T:C rs6444661 T C T EBF1_EBF_1 28 0 + 0 0 . chr3 192820523 192820524 chr3:192820524:T:C rs6444663 T C C EBF1_EBF_1 -4 0 - 0 0 . chr3 192821454 192821455 chr3:192821455:C:T rs12633617 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 192848900 192848901 chr3:192848901:G:A rs34931225 G A G EBF1_EBF_1 -11 0 - 0 0 . chr3 192857600 192857601 chr3:192857601:G:A rs7626384 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 192879554 192879555 chr3:192879555:A:C rs6778146 A C A EBF1_EBF_1 15 0 + 0 0 . chr3 192889539 192889540 chr3:192889540:C:T rs6769993 C T C EBF1_EBF_1 14 0 - 0 0 . chr3 192895131 192895132 chr3:192895132:T:G rs1463878 T G T EBF1_EBF_1 11 1 - 4.606119575027096 0.19015787717470678 GGCCCCTTGAGAAG chr3 192915578 192915579 chr3:192915579:G:A rs116696103 G A G EBF1_EBF_1 6 1 - 9.211565294152686 9.512851423014444 TGCCCCCTGGGAAT chr3 193033314 193033315 chr3:193033315:T:C rs9818779 T C C EBF1_EBF_1 32 0 + 0 0 . chr3 193041174 193041175 chr3:193041175:A:G rs2367277 A G A EBF1_EBF_1 -10 0 + 0 0 . chr3 193053256 193053257 chr3:193053257:C:A rs115568943 C A C EBF1_EBF_1 10 1 - 10.13440414374798 5.951651957520717 ACTCCCAGGGGATA chr3 193055793 193055794 chr3:193055794:G:A rs73058535 G A G EBF1_EBF_1 -2 0 + 0 0 . chr3 193169071 193169072 chr3:193169072:A:G rs9837828 A G G EBF1_EBF_1 27 0 + 0 0 . chr3 193240849 193240850 chr3:193240850:A:T rs920412451 A T a EBF1_EBF_1 1 1 - 6.086720597755027 5.1203599800041975 ATTCCCTTAGGGCC chr3 193268737 193268738 chr3:193268738:G:A rs2367474 G A G EBF1_EBF_1 21 0 - 0 0 . chr3 193387582 193387583 chr3:193387583:A:G rs7649049 A G G EBF1_EBF_1 10 1 - 6.622532619072028 3.9144824179698166 ATTCCCTAGGTAGG chr3 193407809 193407810 chr3:193407810:T:C rs1915570 T C c EBF1_EBF_1 17 0 + 0 0 . chr3 193419200 193419201 chr3:193419201:G:A rs62285693 G A G EBF1_EBF_1 10 1 + 9.114450150095157 3.7964216908281916 TGCCCCTAGGGACC chr3 193499622 193499623 chr3:193499623:G:A rs6803383 G A G EBF1_EBF_1 -3 0 + 0 0 . chr3 193596106 193596107 chr3:193596107:G:A rs1462400767 G A G EBF1_EBF_1 8 1 + 5.712572329548501 1.4862822406622396 CATCCCACGGGATG chr3 193646678 193646679 chr3:193646679:G:T rs903991 G T G EBF1_EBF_1 18 0 - 0 0 . chr3 193707599 193707600 chr3:193707600:G:A rs280533 G A g EBF1_EBF_1 -8 0 + 0 0 . chr3 193731139 193731140 chr3:193731140:C:T rs62287190 C T C EBF1_EBF_1 -5 0 - 0 0 . chr3 193765835 193765836 chr3:193765836:G:A rs144899391 G A G EBF1_EBF_1 -6 0 - 0 0 . chr3 193791513 193791514 chr3:193791514:C:T rs1018153591 C T C EBF1_EBF_1 15 0 + 0 0 . chr3 193812728 193812729 chr3:193812729:C:T rs4686647 C T C EBF1_EBF_1 1 1 + 4.974356880886997 5.869790321285743 GCACCCCTGGGAAG chr3 193842655 193842656 chr3:193842656:A:G rs73076054 A G A EBF1_EBF_1 26 0 - 0 0 . chr3 193842681 193842682 chr3:193842682:G:A rs184769842 G A G EBF1_EBF_1 0 1 - 6.442445958475271 6.724648019205849 CCTCCCAGGAGATT chr3 193843075 193843076 chr3:193843076:A:G rs1697343 A G G EBF1_EBF_1 22 0 + 0 0 . chr3 193844949 193844950 chr3:193844950:C:G rs143683925 C G C EBF1_EBF_1 22 0 + 0 0 . chr3 193850706 193850707 chr3:193850707:A:G rs12330159 A G A EBF1_EBF_1 12 1 + 6.857929588928782 5.439112246829304 TCACCCCAGGGAAC chr3 193886029 193886030 chr3:193886030:G:A rs77672825 G A G EBF1_EBF_1 22 0 + 0 0 . chr3 193898810 193898811 chr3:193898811:C:T rs115165713 C T C EBF1_EBF_1 9 1 - 7.576255183130739 4.921315917683136 ACCCCCAAAGGACT chr3 193901328 193901329 chr3:193901329:C:A rs57150998 C A C EBF1_EBF_1 4 1 + 3.712046978684959 -2.1125126447685085 CAGCCCTAGGGACC chr3 193909488 193909489 chr3:193909489:G:A rs13318019 G A G EBF1_EBF_1 24 0 - 0 0 . chr3 193909525 193909526 chr3:193909526:T:G rs141386119 T G T EBF1_EBF_1 -13 0 - 0 0 . chr3 193950274 193950275 chr3:193950275:A:G rs62286557 A G A EBF1_EBF_1 -4 0 - 0 0 . chr3 194000083 194000084 chr3:194000084:C:G rs78623880 C G C EBF1_EBF_1 23 0 + 0 0 . chr3 194003131 194003132 chr3:194003132:C:G rs116695136 C G C EBF1_EBF_1 29 0 - 0 0 . chr3 194003159 194003160 chr3:194003160:A:G rs1477087262 A G A EBF1_EBF_1 1 1 - 9.260536974008792 8.365103533610045 CTTCCCCTGGGAGC chr3 194003460 194003461 chr3:194003461:G:A rs10804421 G A G EBF1_EBF_1 27 0 - 0 0 . chr3 194003473 194003474 chr3:194003474:A:C rs890773168 A C A EBF1_EBF_1 14 0 - 0 0 . chr3 194003474 194003475 chr3:194003475:G:T rs1008337363 G T G EBF1_EBF_1 13 1 - 7.725234288456747 7.351488839170771 TTTCCCCCGGGAGC chr3 194024361 194024362 chr3:194024362:T:C rs56247656 T C C EBF1_EBF_1 6 1 - 4.604465138434762 2.437670707160288 GCTCCCATGGGCAG chr3 194034289 194034290 chr3:194034290:A:G rs3843354 A G A EBF1_EBF_1 -6 0 - 0 0 . chr3 194035032 194035033 chr3:194035033:C:T rs4687502 C T C EBF1_EBF_1 12 1 - 5.447823408352354 6.866640750451832 ACACCCCTGGGAGG chr3 194039124 194039125 chr3:194039125:T:A rs7623689 T A T EBF1_EBF_1 -9 0 + 0 0 . chr3 194057935 194057936 chr3:194057936:A:G rs13315650 A G A EBF1_EBF_1 -20 0 + 0 0 . chr3 194057942 194057943 chr3:194057943:A:G rs12486913 A G G EBF1_EBF_1 -13 0 + 0 0 . chr3 194058287 194058288 chr3:194058288:C:G rs761048245 C G C EBF1_EBF_1 -20 0 + 0 0 . chr3 194060507 194060508 chr3:194060508:A:G rs9833721 A G A EBF1_EBF_1 30 0 + 0 0 . chr3 194070985 194070986 chr3:194070986:G:T rs544194387 G T G EBF1_EBF_1 -14 0 + 0 0 . chr3 194082129 194082130 chr3:194082130:T:A rs9841295 T A T EBF1_EBF_1 23 0 + 0 0 . chr3 194082694 194082695 chr3:194082695:T:C rs2368040 T C C EBF1_EBF_1 -14 0 + 0 0 . chr3 194086267 194086268 chr3:194086268:C:T rs75493946 C T C EBF1_EBF_1 15 0 + 0 0 . chr3 194097663 194097664 chr3:194097664:G:C rs11717857 G C G EBF1_EBF_1 -16 0 - 0 0 . chr3 194098726 194098727 chr3:194098727:G:T rs62286292 G T G EBF1_EBF_1 10 1 + 6.940748194839461 2.7579960086121975 TCTCCCCAGGGCCT chr3 194100801 194100802 chr3:194100802:C:A rs58585527 C A C EBF1_EBF_1 10 1 - 4.78887726862409 0.6061250823968254 CTTCCCCTGGGTGA chr3 194104579 194104580 chr3:194104580:A:G rs11714952 A G A EBF1_EBF_1 14 0 + 0 0 . chr3 194160784 194160785 chr3:194160785:C:G rs28636613 C G C EBF1_EBF_1 -15 0 - 0 0 . chr3 194166140 194166141 chr3:194166141:A:G rs7644893 A G G EBF1_EBF_1 -9 0 - 0 0 . chr3 194172747 194172748 chr3:194172748:A:T rs35000070 A T A EBF1_EBF_1 -17 0 - 0 0 . chr3 194201012 194201013 chr3:194201013:T:C rs76963575 T C T EBF1_EBF_1 6 1 - 8.19466190022076 6.027867468946288 ATTCCCAAGAGGCC chr3 194211167 194211168 chr3:194211168:T:C rs59479131 T C T EBF1_EBF_1 7 1 - 4.779261005643956 3.4668026003551304 GGCCCCTAGGGCCC chr3 194225346 194225347 chr3:194225347:G:A rs140349532 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 194247905 194247906 chr3:194247906:C:G rs4687538 C G C EBF1_EBF_1 -6 0 - 0 0 . chr3 194247918 194247919 chr3:194247919:A:G rs12637012 A G a EBF1_EBF_1 -19 0 - 0 0 . chr3 194251518 194251519 chr3:194251519:C:A rs76973444 C A C EBF1_EBF_1 -5 0 + 0 0 . chr3 194252016 194252017 chr3:194252017:A:G rs9811548 A G A EBF1_EBF_1 -10 0 + 0 0 . chr3 194257445 194257446 chr3:194257446:G:T rs77055234 G T G EBF1_EBF_1 10 1 + 4.50890269629529 0.32615051006802537 GGTGCCCTGGGAAC chr3 194264907 194264908 chr3:194264908:A:G rs10933725 A G - EBF1_EBF_1 -6 0 - 0 0 . chr3 194271834 194271835 chr3:194271835:C:T rs904515329 C T C EBF1_EBF_1 32 0 + 0 0 . chr3 194271935 194271936 chr3:194271936:A:G rs60219871 A G A EBF1_EBF_1 -10 0 + 0 0 . chr3 194273518 194273519 chr3:194273519:G:C rs12634276 G C G EBF1_EBF_1 23 0 - 0 0 . chr3 194277834 194277835 chr3:194277835:G:C rs184745700 G C G EBF1_EBF_1 33 0 + 0 0 . chr3 194278548 194278549 chr3:194278549:A:T rs78836428 A T A EBF1_EBF_1 30 0 + 0 0 . chr3 194281990 194281991 chr3:194281991:C:T rs7623239 C T C EBF1_EBF_1 -10 0 - 0 0 . chr3 194286133 194286134 chr3:194286134:G:C rs74650275 G C G EBF1_EBF_1 -9 0 - 0 0 . chr3 194296928 194296929 chr3:194296929:G:T rs117987277 G T G EBF1_EBF_1 -11 0 + 0 0 . chr3 194296929 194296930 chr3:194296930:C:T rs117729140 C T C EBF1_EBF_1 -10 0 + 0 0 . chr3 194298753 194298754 chr3:194298754:G:A rs570852645 G A G EBF1_EBF_1 -16 0 + 0 0 . chr3 194304998 194304999 chr3:194304999:T:G rs4075949 T G G EBF1_EBF_1 19 0 - 0 0 . chr3 194305952 194305953 chr3:194305953:G:A rs190142084 G A G EBF1_EBF_1 1 1 + 6.034005072513908 5.773306240592605 CGTCCCCAGGGCAC chr3 194306510 194306511 chr3:194306511:A:G rs537809085 A G A EBF1_EBF_1 15 0 + 0 0 . chr3 194310583 194310584 chr3:194310584:A:G rs76612263 A G A EBF1_EBF_1 12 1 - 5.554972911313701 6.581239481864551 ACTCCCCAGGGCTG chr3 194312816 194312817 chr3:194312817:A:G rs73889780 A G A EBF1_EBF_1 7 1 - 5.270411161543058 3.4529064152604345 TCCCCCCTGGGAGG chr3 194312818 194312819 chr3:194312819:G:C rs9288767 G C G EBF1_EBF_1 5 1 - 5.270411161543058 -1.634469991092109 TCCCCCCTGGGAGG chr3 194313209 194313210 chr3:194313210:G:A rs11921732 G A G EBF1_EBF_1 28 0 + 0 0 . chr3 194325597 194325598 chr3:194325598:T:C rs984075525 T C t EBF1_EBF_1 22 0 - 0 0 . chr3 194333549 194333550 chr3:194333550:C:T rs149692374 C T T EBF1_EBF_1 -9 0 - 0 0 . chr3 194338695 194338696 chr3:194338696:A:G rs6437403 A G a EBF1_EBF_1 -20 0 + 0 0 . chr3 194338722 194338723 chr3:194338723:G:A rs1265407323 G A g EBF1_EBF_1 7 1 + 4.894749626787633 6.207208032076458 GCTCCCCGGGGCAC chr3 194339278 194339279 chr3:194339279:T:C rs6806282 T C t EBF1_EBF_1 7 1 - 5.367031082373681 4.054572677084856 CTCCCCAAGCGACT chr3 194347036 194347037 chr3:194347037:T:C rs9870895 T C C EBF1_EBF_1 -9 0 - 0 0 . chr3 194373113 194373114 chr3:194373114:G:A rs6779341 G A G EBF1_EBF_1 32 0 + 0 0 . chr3 194374158 194374159 chr3:194374159:C:T rs111684072 C T C EBF1_EBF_1 10 1 - 8.638175910007599 3.3201474507406328 TGCCCCTTGGGACC chr3 194384691 194384692 chr3:194384692:C:T rs6777687 C T C EBF1_EBF_1 -6 0 - 0 0 . chr3 194392770 194392771 chr3:194392771:C:G rs7632273 C G G EBF1_EBF_1 5 1 + 4.991842219167281 -1.913038933467887 AGCCACCAGGGAAC chr3 194396860 194396861 chr3:194396861:G:A rs78332923 G A G EBF1_EBF_1 2 1 - 3.1655164714933726 5.054339882935524 GGCCCCCGGGGCCC chr3 194402058 194402059 chr3:194402059:T:A rs3755618 T A T EBF1_EBF_1 17 0 - 0 0 . chr3 194486307 194486308 chr3:194486308:C:G rs11916640 C G G EBF1_EBF_1 23 0 + 0 0 . chr3 194486617 194486618 chr3:194486618:G:T rs576253872 G T G EBF1_EBF_1 -8 0 + 0 0 . chr3 194486645 194486646 chr3:194486646:G:A rs561954891 G A G EBF1_EBF_1 20 0 + 0 0 . chr3 194486645 194486646 chr3:194486646:G:C chr3:194486646:G:C G C G EBF1_EBF_1 20 0 + 0 0 . chr3 194499183 194499184 chr3:194499184:A:G rs2153519 A G G EBF1_EBF_1 15 0 - 0 0 . chr3 194564943 194564944 chr3:194564944:T:C rs789839 T C C EBF1_EBF_1 -16 0 - 0 0 . chr3 194573695 194573696 chr3:194573696:T:A rs73195017 T A T EBF1_EBF_1 11 1 - 7.444241321776048 3.3463270656773223 CTTCCCCAGAGATC chr3 194626092 194626093 chr3:194626093:G:A rs1572979 G A G EBF1_EBF_1 -16 0 + 0 0 . chr3 194654264 194654265 chr3:194654265:T:C rs1037930 T C C EBF1_EBF_1 15 0 + 0 0 . chr3 194674032 194674033 chr3:194674033:G:A rs1397912 G A A EBF1_EBF_1 -20 0 - 0 0 . chr3 194686162 194686163 chr3:194686163:C:T rs958103590 C T C EBF1_EBF_1 20 0 + 0 0 . chr3 194700175 194700176 chr3:194700176:C:A rs73202608 C A C EBF1_EBF_1 32 0 - 0 0 . chr3 194706140 194706141 chr3:194706141:C:T rs34675035 C T C EBF1_EBF_1 7 1 - 8.526875819811258 9.839334225100082 GACCCCAGGGGACT chr3 194732788 194732789 chr3:194732789:C:T rs6806654 C T C EBF1_EBF_1 4 1 + 6.783833695725307 2.414446750780385 AGTCCCCAGAGGAA chr3 194746005 194746006 chr3:194746006:G:C rs11922795 G C g EBF1_EBF_1 5 1 - 5.12690654156166 -1.7779746110735086 CACCCCATGAGACA chr3 194754744 194754745 chr3:194754745:T:G rs4234200 T G G EBF1_EBF_1 13 1 - 10.66721123462357 11.040956683909545 CTTCCCATGGGAAA chr3 194757039 194757040 chr3:194757040:T:C rs11919157 T C C EBF1_EBF_1 24 0 + 0 0 . chr3 194761230 194761231 chr3:194761231:G:T rs73890235 G T G EBF1_EBF_1 3 1 - 8.224843298642087 1.3186692691762827 ATTCCCAAGAGGAC chr3 194768738 194768739 chr3:194768739:T:A rs114779001 T A T EBF1_EBF_1 31 0 + 0 0 . chr3 194786817 194786818 chr3:194786818:T:C rs55738706 T C T EBF1_EBF_1 -10 0 - 0 0 . chr3 194786821 194786822 chr3:194786822:A:G rs6773766 A G A EBF1_EBF_1 -14 0 - 0 0 . chr3 194788455 194788456 chr3:194788456:G:A rs536010728 G A G EBF1_EBF_1 18 0 - 0 0 . chr3 194788462 194788463 chr3:194788463:A:C rs4497978 A C A EBF1_EBF_1 11 1 - 6.569296978524845 7.77450439101956 CTTCCCATGGGTAA chr3 194805805 194805806 chr3:194805806:C:A rs12494814 C A C EBF1_EBF_1 8 1 - 7.688138406418812 0.7967056174416163 GCTCCCAAGGGGCA chr3 194816795 194816796 chr3:194816796:G:A rs4467385 G A G EBF1_EBF_1 26 0 + 0 0 . chr3 194818391 194818392 chr3:194818392:T:C rs9837804 T C T EBF1_EBF_1 -17 0 + 0 0 . chr3 194830485 194830486 chr3:194830486:T:C rs4677758 T C C EBF1_EBF_1 16 0 - 0 0 . chr3 194842980 194842981 chr3:194842981:A:G rs56196810 A G A EBF1_EBF_1 28 0 - 0 0 . chr3 194850565 194850566 chr3:194850566:C:T rs61399165 C T C EBF1_EBF_1 31 0 + 0 0 . chr3 194863127 194863128 chr3:194863128:A:T rs56116739 A T A EBF1_EBF_1 -3 0 - 0 0 . chr3 194874306 194874307 chr3:194874307:G:A rs184530771 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 194874309 194874310 chr3:194874310:C:T rs141041080 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 194925898 194925899 chr3:194925899:G:C rs76976276 G C G EBF1_EBF_1 -3 0 - 0 0 . chr3 194929061 194929062 chr3:194929062:T:C rs13080784 T C T EBF1_EBF_1 -13 0 + 0 0 . chr3 194932073 194932074 chr3:194932074:C:G rs4393850 C G G EBF1_EBF_1 -4 0 + 0 0 . chr3 194935353 194935354 chr3:194935354:C:T rs76127851 C T C EBF1_EBF_1 -4 0 + 0 0 . chr3 194935895 194935896 chr3:194935896:C:T rs114401804 C T C EBF1_EBF_1 15 0 - 0 0 . chr3 194938965 194938966 chr3:194938966:G:A rs56343097 G A G EBF1_EBF_1 13 1 - 6.386450836284196 7.230944950869714 CCTCCCAGGGGGCC chr3 194939514 194939515 chr3:194939515:C:A rs60442859 C A A EBF1_EBF_1 3 1 + 8.557948913242605 1.6517748837767954 ACACCCTAGGGAGT chr3 194945669 194945670 chr3:194945670:C:T rs112251431 C T C EBF1_EBF_1 3 1 + 5.619336014082051 -0.7860627274712667 TGCCCCGAGGGAGC chr3 194949656 194949657 chr3:194949657:T:A rs11707082 T A A EBF1_EBF_1 7 1 + 6.8770325122546305 7.353306752342189 CCTCCCCTGGGAGG chr3 194964971 194964972 chr3:194964972:G:T rs188168087 G T G EBF1_EBF_1 12 1 + 6.443051199479736 6.805420572607038 GCTCCCAGGAGAGT chr3 194964973 194964974 chr3:194964974:T:C rs181319750 T C T EBF1_EBF_1 14 0 + 0 0 . chr3 194982136 194982137 chr3:194982137:G:T rs823042 G T T EBF1_EBF_1 27 0 + 0 0 . chr3 194983337 194983338 chr3:194983338:C:T rs823044 C T C EBF1_EBF_1 -12 0 + 0 0 . chr3 194988321 194988322 chr3:194988322:C:A rs60647790 C A C EBF1_EBF_1 -5 0 + 0 0 . chr3 194995640 194995641 chr3:194995641:T:C rs77801340 T C T EBF1_EBF_1 -4 0 - 0 0 . chr3 195013172 195013173 chr3:195013173:G:A rs9884013 G A G EBF1_EBF_1 7 1 + 4.432286160594092 5.744744565882918 AGTCCCCGGGGCTG chr3 195031967 195031968 chr3:195031968:G:A rs73890624 G A G EBF1_EBF_1 11 1 + 6.677475375475344 9.570182219079355 ACCCCCCAGGGGTC chr3 195032849 195032850 chr3:195032850:G:C rs10933692 G C G EBF1_EBF_1 -8 0 + 0 0 . chr3 195034966 195034967 chr3:195034967:A:G rs6437451 A G G EBF1_EBF_1 21 0 - 0 0 . chr3 195041512 195041513 chr3:195041513:C:T rs146263692 C T C EBF1_EBF_1 30 0 + 0 0 . chr3 195042252 195042253 chr3:195042253:G:C rs112943294 G C - EBF1_EBF_1 3 1 - 6.106346933684194 0.2785824855689798 AGTCCCAAGGGCTG chr3 195044442 195044443 chr3:195044443:G:A rs56054709 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 195045125 195045126 chr3:195045126:A:G rs2720894 A G A EBF1_EBF_1 1 1 + 9.712993698357437 9.97369253027874 CATCCCCTGGGAAC chr3 195045935 195045936 chr3:195045936:C:T rs7639502 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 195046396 195046397 chr3:195046397:A:C rs36086945 A C A EBF1_EBF_1 30 0 - 0 0 . chr3 195046398 195046399 chr3:195046399:C:T rs2720891 C T C EBF1_EBF_1 28 0 - 0 0 . chr3 195046434 195046435 chr3:195046435:T:G rs10933693 T G T EBF1_EBF_1 -8 0 - 0 0 . chr3 195046442 195046443 chr3:195046443:C:T rs34192945 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 195058887 195058888 chr3:195058888:C:T rs73204395 C T C EBF1_EBF_1 7 1 - 5.898833997521042 7.2112924028098675 GGTCCCCGGGGCCT chr3 195059481 195059482 chr3:195059482:C:G rs66615414 C G G EBF1_EBF_1 -15 0 + 0 0 . chr3 195059487 195059488 chr3:195059488:C:T rs67381912 C T C EBF1_EBF_1 -9 0 + 0 0 . chr3 195059646 195059647 chr3:195059647:G:T rs73068277 G T G EBF1_EBF_1 18 0 - 0 0 . chr3 195059656 195059657 chr3:195059657:C:G rs79089130 C G C EBF1_EBF_1 8 1 - 4.2958873463585086 -1.0227715145561778 CCTGCCAGGGGACT chr3 195059684 195059685 chr3:195059685:C:G rs72607892 C G G EBF1_EBF_1 -20 0 - 0 0 . chr3 195069692 195069693 chr3:195069693:G:A rs3796158 G A G EBF1_EBF_1 6 1 - 3.929238032677703 4.230524161539461 TGCCCCCGGGGCCT chr3 195069704 195069705 chr3:195069705:C:G rs3796159 C G G EBF1_EBF_1 -6 0 - 0 0 . chr3 195070050 195070051 chr3:195070051:G:A rs116550317 G A G EBF1_EBF_1 5 1 - 4.487785513441914 -0.8340017020987576 CCCCCCGAGGGGCT chr3 195078737 195078738 chr3:195078738:A:G rs1353222 A G G EBF1_EBF_1 19 0 + 0 0 . chr3 195079233 195079234 chr3:195079234:G:C rs10933695 G C C EBF1_EBF_1 29 0 - 0 0 . chr3 195082780 195082781 chr3:195082781:A:C rs6774906 A C C EBF1_EBF_1 12 1 + 5.949981520582008 5.919800122160682 AACCCCGGGGGAAG chr3 195087245 195087246 chr3:195087246:C:T rs78388630 C T C EBF1_EBF_1 -19 0 + 0 0 . chr3 195095053 195095054 chr3:195095054:A:G rs12636956 A G A EBF1_EBF_1 7 1 + 4.585436927374992 3.2729785220861656 AGCCCCCAGGTGAT chr3 195114459 195114460 chr3:195114460:G:A rs869519 G A G EBF1_EBF_1 -13 0 + 0 0 . chr3 195118645 195118646 chr3:195118646:T:G rs34500381 T G T EBF1_EBF_1 0 1 + 4.321021798900307 4.401794352301495 TGCCCCAGGGGCAT chr3 195120978 195120979 chr3:195120979:A:C rs79580586 A C A EBF1_EBF_1 23 0 + 0 0 . chr3 195130970 195130971 chr3:195130971:A:G rs1552893 A G G EBF1_EBF_1 24 0 + 0 0 . chr3 195150362 195150363 chr3:195150363:G:A rs115155928 G A G EBF1_EBF_1 -18 0 + 0 0 . chr3 195150407 195150408 chr3:195150408:T:C chr3:195150408:T:C T C T EBF1_EBF_1 27 0 + 0 0 . chr3 195154580 195154581 chr3:195154581:G:A rs60329099 G A A EBF1_EBF_1 -15 0 - 0 0 . chr3 195159865 195159866 chr3:195159866:C:T rs62285226 C T C EBF1_EBF_1 -17 0 - 0 0 . chr3 195159867 195159868 chr3:195159868:G:C rs62285227 G C G EBF1_EBF_1 -19 0 - 0 0 . chr3 195160842 195160843 chr3:195160843:C:T rs11710992 C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 195169254 195169255 chr3:195169255:C:T rs1484363651 C T c EBF1_EBF_1 27 0 - 0 0 . chr3 195195401 195195402 chr3:195195402:C:T rs9856486 C T t EBF1_EBF_1 -8 0 - 0 0 . chr3 195195584 195195585 chr3:195195585:G:A rs73890732 G A g EBF1_EBF_1 -9 0 - 0 0 . chr3 195199225 195199226 chr3:195199226:C:T rs2410806 C T T EBF1_EBF_1 31 0 - 0 0 . chr3 195199273 195199274 chr3:195199274:C:G rs369156438 C G C EBF1_EBF_1 -17 0 - 0 0 . chr3 195209262 195209263 chr3:195209263:C:T rs12496459 C T c EBF1_EBF_1 -5 0 + 0 0 . chr3 195209298 195209299 chr3:195209299:C:T rs3796161 C T T EBF1_EBF_1 31 0 + 0 0 . chr3 195221507 195221508 chr3:195221508:G:A rs73067084 G A G EBF1_EBF_1 26 0 - 0 0 . chr3 195254101 195254102 chr3:195254102:C:G rs11917438 C G C EBF1_EBF_1 25 0 - 0 0 . chr3 195258326 195258327 chr3:195258327:G:A rs76870863 G A G EBF1_EBF_1 15 0 + 0 0 . chr3 195258677 195258678 chr3:195258678:C:T rs12486835 C T T EBF1_EBF_1 -4 0 - 0 0 . chr3 195260444 195260445 chr3:195260445:C:T chr3:195260445:C:T C T C EBF1_EBF_1 2 1 + 4.246323228756168 6.135146640198318 ACCCCCCTGGGCAG chr3 195262323 195262324 chr3:195262324:A:G rs2410840 A G G EBF1_EBF_1 0 1 - 7.304004999233072 7.0218029385024945 TGCCCCTAGAGACT chr3 195270270 195270271 chr3:195270271:G:A rs74943389 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 195443303 195443304 chr3:195443304:A:C rs184468216 A C A EBF1_EBF_1 19 0 - 0 0 . chr3 195443311 195443312 chr3:195443312:T:G rs1053807780 T G C EBF1_EBF_1 11 1 - 6.169021066308812 1.7530593684564217 CTTCCCAAGGAACC chr3 195466968 195466969 chr3:195466969:C:G rs75848463 C G C EBF1_EBF_1 -7 0 - 0 0 . chr3 195544297 195544298 chr3:195544298:C:T rs115624833 C T c EBF1_EBF_1 3 1 + 4.4264062234063 -1.9789925181470174 CAACCCCAGGGGCT chr3 195571543 195571544 chr3:195571544:C:G rs78923543 C G C EBF1_EBF_1 19 0 - 0 0 . chr3 195574464 195574465 chr3:195574465:A:C rs67379562 A C A EBF1_EBF_1 -8 0 - 0 0 . chr3 195595942 195595943 chr3:195595943:G:A rs115070567 G A G EBF1_EBF_1 31 0 + 0 0 . chr3 195598105 195598106 chr3:195598106:C:A rs145753093 C A C EBF1_EBF_1 28 0 + 0 0 . chr3 195617214 195617215 chr3:195617215:G:A rs78917598 G A G EBF1_EBF_1 25 0 - 0 0 . chr3 195638872 195638873 chr3:195638873:A:G rs374681778 A G G EBF1_EBF_1 -14 0 + 0 0 . chr3 195642414 195642415 chr3:195642415:C:T rs373402530 C T C EBF1_EBF_1 -16 0 - 0 0 . chr3 195653920 195653921 chr3:195653921:G:A rs76572588 G A a EBF1_EBF_1 32 0 - 0 0 . chr3 195653922 195653923 chr3:195653923:A:G rs61468683 A G g EBF1_EBF_1 30 0 - 0 0 . chr3 195656074 195656075 chr3:195656075:G:C chr3:195656075:G:C G C G EBF1_EBF_1 22 0 + 0 0 . chr3 195678142 195678143 chr3:195678143:G:A rs148834058 G A G EBF1_EBF_1 18 0 + 0 0 . chr3 195698272 195698273 chr3:195698273:G:A rs9876987 G A G EBF1_EBF_1 -15 0 - 0 0 . chr3 195702456 195702457 chr3:195702457:G:T rs2020258 G T G EBF1_EBF_1 27 0 - 0 0 . chr3 195720999 195721000 chr3:195721000:C:T rs11185524 C T c EBF1_EBF_1 27 0 - 0 0 . chr3 195725933 195725934 chr3:195725934:T:C rs138659995 T C t EBF1_EBF_1 26 0 + 0 0 . chr3 195728574 195728575 chr3:195728575:T:C rs2688546 T C N EBF1_EBF_1 -11 0 + 0 0 . chr3 195728615 195728616 chr3:195728616:T:C rs4927742 T C N EBF1_EBF_1 30 0 + 0 0 . chr3 195735542 195735543 chr3:195735543:G:C rs2641729 G C g EBF1_EBF_1 -18 0 + 0 0 . chr3 195748126 195748127 chr3:195748127:A:G rs116807615 A G a EBF1_EBF_1 17 0 - 0 0 . chr3 195749321 195749322 chr3:195749322:G:T rs59455239 G T g EBF1_EBF_1 12 1 - 6.3909068880998685 6.4210882865211945 TTTCCCTAGGAACC chr3 195749337 195749338 chr3:195749338:G:T rs866775926 G T g EBF1_EBF_1 -4 0 - 0 0 . chr3 195750914 195750915 chr3:195750915:C:T rs6808605 C T c EBF1_EBF_1 5 1 + 4.643711895131807 -0.6780753204088634 CTTCCCCGGAGATG chr3 195750919 195750920 chr3:195750920:G:A rs2291652 G A g EBF1_EBF_1 10 1 + 4.643711895131807 -0.6743165641351594 CTTCCCCGGAGATG chr3 195775066 195775067 chr3:195775067:T:G rs2550251 T G t EBF1_EBF_1 27 0 + 0 0 . chr3 195802559 195802560 chr3:195802560:G:A rs62282509 G A g EBF1_EBF_1 32 0 - 0 0 . chr3 195810487 195810488 chr3:195810488:C:T rs76770075 C T c EBF1_EBF_1 5 1 + 6.9291542550135 1.6073670394728292 GCCCCCGAGGGAAC chr3 195811846 195811847 chr3:195811847:G:A rs73085353 G A g EBF1_EBF_1 6 1 - 9.736811214096349 10.038097342958105 CTTCCCCAGGGAGC chr3 195827842 195827843 chr3:195827843:G:T rs73085388 G T g EBF1_EBF_1 1 1 + 3.961513085925395 4.6671748717549235 AGCCCCAGGGGCAG chr3 195828828 195828829 chr3:195828829:G:A rs11922971 G A g EBF1_EBF_1 -13 0 + 0 0 . chr3 195835966 195835967 chr3:195835967:C:T rs62283271 C T N EBF1_EBF_1 30 0 - 0 0 . chr3 195836361 195836362 chr3:195836362:A:C rs62283273 A C a EBF1_EBF_1 20 0 - 0 0 . chr3 195836376 195836377 chr3:195836377:G:A rs73205778 G A g EBF1_EBF_1 5 1 - 4.695127272301792 -0.6266599432388782 CCTCCCGTGGGTCT chr3 195872052 195872053 chr3:195872053:C:T rs112937592 C T C EBF1_EBF_1 0 1 + 5.600693602879004 5.882895663609583 CTCCCCTGGAGAAC chr3 195877723 195877724 chr3:195877724:G:A rs113375305 G A G EBF1_EBF_1 -19 0 + 0 0 . chr3 195882936 195882937 chr3:195882937:A:G rs6771909 A G A EBF1_EBF_1 24 0 + 0 0 . chr3 195884972 195884973 chr3:195884973:G:A rs3747673 G A G EBF1_EBF_1 -14 0 - 0 0 . chr3 195887106 195887107 chr3:195887107:T:C rs112035920 T C T EBF1_EBF_1 21 0 - 0 0 . chr3 195892509 195892510 chr3:195892510:C:T rs140843768 C T C EBF1_EBF_1 18 0 - 0 0 . chr3 195893563 195893564 chr3:195893564:C:T rs77456141 C T C EBF1_EBF_1 5 1 + 3.529991772900604 -1.7917954426400668 GCCCCCGTGGGGCC chr3 195896201 195896202 chr3:195896202:G:A rs940802928 G A G EBF1_EBF_1 8 1 + 5.325729948132141 1.0994398592458785 TCTCCCCCGGGGCC chr3 195896205 195896206 chr3:195896206:C:A rs1040750833 C A C EBF1_EBF_1 12 1 + 5.325729948132141 5.355911346553467 TCTCCCCCGGGGCC chr3 195901018 195901019 chr3:195901019:A:G rs79051401 A G A EBF1_EBF_1 -13 0 + 0 0 . chr3 195908422 195908423 chr3:195908423:G:A rs78226512 G A G EBF1_EBF_1 9 1 + 4.886450676956788 2.2315114115091843 TCTCGCCAGGGACC chr3 195909894 195909895 chr3:195909895:T:G rs192744668 T G T EBF1_EBF_1 2 1 + 5.775531516849784 -0.9727941733506844 ACTCCCCCGGGCCC chr3 195909895 195909896 chr3:195909896:C:A rs541617034 C A C EBF1_EBF_1 3 1 + 5.775531516849784 -1.1306425126160229 ACTCCCCCGGGCCC chr3 195909896 195909897 chr3:195909897:C:T rs983186732 C T C EBF1_EBF_1 4 1 + 5.775531516849784 1.4061445719048602 ACTCCCCCGGGCCC chr3 195909904 195909905 chr3:195909905:C:G rs79414530 C G C EBF1_EBF_1 12 1 + 5.775531516849784 4.386895573171633 ACTCCCCCGGGCCC chr3 195910180 195910181 chr3:195910181:C:G rs560817716 C G C EBF1_EBF_1 -17 0 + 0 0 . chr3 195910227 195910228 chr3:195910228:G:A rs9880526 G A G EBF1_EBF_1 30 0 + 0 0 . chr3 195910762 195910763 chr3:195910763:T:C rs80090804 T C T EBF1_EBF_1 -17 0 + 0 0 . chr3 195939764 195939765 chr3:195939765:T:C rs6764284 T C - EBF1_EBF_1 7 1 + 8.123790216229462 6.306285469946839 ATCCCCATGGGTCT chr3 195950890 195950891 chr3:195950891:C:T rs28470278 C T - EBF1_EBF_1 -17 0 - 0 0 . chr3 195956819 195956820 chr3:195956820:T:C rs9839111 T C - EBF1_EBF_1 2 1 + 5.812846811235342 3.9240233997931924 GTTCCCCCAGGACT chr3 195956834 195956835 chr3:195956835:T:A rs150735999 T A - EBF1_EBF_1 17 0 + 0 0 . chr3 195971055 195971056 chr3:195971056:A:G rs9881259 A G - EBF1_EBF_1 -12 0 - 0 0 . chr3 195996714 195996715 chr3:195996715:G:A rs56022806 G A G EBF1_EBF_1 31 0 + 0 0 . chr3 195999250 195999251 chr3:195999251:C:T rs62283432 C T c EBF1_EBF_1 -6 0 + 0 0 . chr3 195999263 195999264 chr3:195999264:T:C rs147977548 T C t EBF1_EBF_1 7 1 + 8.123790216229462 6.306285469946839 ATCCCCATGGGTCT chr3 196000098 196000099 chr3:196000099:G:A rs3853590 G A - EBF1_EBF_1 -11 0 + 0 0 . chr3 196073731 196073732 chr3:196073732:C:A rs9859401 C A C EBF1_EBF_1 3 1 + 8.372443312690663 1.466269283224856 ACTCCCCAGAGAAG chr3 196075494 196075495 chr3:196075495:A:G rs9877119 A G A EBF1_EBF_1 -16 0 - 0 0 . chr3 196081513 196081514 chr3:196081514:T:C chr3:196081514:T:C T C T EBF1_EBF_1 21 0 - 0 0 . chr3 196081515 196081516 chr3:196081516:C:T rs1022177668 C T C EBF1_EBF_1 19 0 - 0 0 . chr3 196081676 196081677 chr3:196081677:G:A rs537443846 G A G EBF1_EBF_1 9 1 + 4.432286160594092 1.777346895146489 AGTCCCCGGGGCTG chr3 196094794 196094795 chr3:196094795:G:A rs1004992 G A G EBF1_EBF_1 29 0 + 0 0 . chr3 196096915 196096916 chr3:196096916:G:C rs9835540 G C G EBF1_EBF_1 -3 0 - 0 0 . chr3 196096916 196096917 chr3:196096917:C:T rs3887716 C T C EBF1_EBF_1 -4 0 - 0 0 . chr3 196123123 196123124 chr3:196123124:G:C rs6771592 G C G EBF1_EBF_1 10 1 + 4.6114404309720545 -2.2793619563574214 CATCCCCAGAGGCC chr3 196142342 196142343 chr3:196142343:C:T rs73893146 C T C EBF1_EBF_1 9 1 - 5.347262180455428 2.692322915007825 TTTCCACAGGGACT chr3 196144516 196144517 chr3:196144517:C:A rs4927884 C A A EBF1_EBF_1 5 1 + 7.017650314710206 0.1127691620750369 GGCCCCCTGGGATA chr3 196160490 196160491 chr3:196160491:G:A rs79443469 G A g EBF1_EBF_1 -11 0 + 0 0 . chr3 196160491 196160492 chr3:196160492:G:C rs78122934 G C g EBF1_EBF_1 -10 0 + 0 0 . chr3 196164079 196164080 chr3:196164080:C:T rs1411596771 C T C EBF1_EBF_1 -7 0 + 0 0 . chr3 196189732 196189733 chr3:196189733:G:A rs12163558 G A G EBF1_EBF_1 -13 0 + 0 0 . chr3 196195968 196195969 chr3:196195969:C:T rs9325435 C T C EBF1_EBF_1 21 0 + 0 0 . chr3 196196491 196196492 chr3:196196492:A:G rs35629370 A G A EBF1_EBF_1 1 1 + 6.0031979915237015 6.263896823445002 AACCCCAGGGGCCT chr3 196199218 196199219 chr3:196199219:G:C rs939891 G C C EBF1_EBF_1 1 1 + 5.557730832426351 5.3679591778571325 CGTCCCCTGGGCAC chr3 196228400 196228401 chr3:196228401:G:A rs12491227 G A A EBF1_EBF_1 -20 0 + 0 0 . chr3 196263460 196263461 chr3:196263461:G:A rs66927787 G A G EBF1_EBF_1 3 1 - 4.7994269338780216 -1.6059718076752965 GATCCCTTGAGGCC chr3 196288310 196288311 chr3:196288311:C:T chr3:196288311:C:T C T C EBF1_EBF_1 14 0 + 0 0 . chr3 196288900 196288901 chr3:196288901:C:A rs67199839 C A C EBF1_EBF_1 -11 0 + 0 0 . chr3 196317964 196317965 chr3:196317965:C:A rs540709226 C A C EBF1_EBF_1 16 0 - 0 0 . chr3 196335568 196335569 chr3:196335569:C:T rs66655835 C T T EBF1_EBF_1 0 1 + 4.548272726571612 4.83047478730219 CTACCCCAGGGGCC chr3 196525135 196525136 chr3:196525136:G:A rs7648243 G A G EBF1_EBF_1 -19 0 + 0 0 . chr3 196529080 196529081 chr3:196529081:C:T rs1038167617 C T C EBF1_EBF_1 3 1 + 6.424885163022705 0.01948642146938817 ACTCCGCAGGGACT chr3 196529082 196529083 chr3:196529083:G:C rs9839388 G C C EBF1_EBF_1 5 1 + 6.424885163022705 13.329766315657873 ACTCCGCAGGGACT chr3 196529096 196529097 chr3:196529097:G:A rs77266815 G A G EBF1_EBF_1 19 0 + 0 0 . chr3 196568999 196569000 chr3:196569000:C:G rs558273863 C G C EBF1_EBF_1 8 1 - 3.569729319702793 -1.7489295412118933 AGCCCCCGGGGCCG chr3 196569016 196569017 chr3:196569017:C:T rs576470291 C T C EBF1_EBF_1 -9 0 - 0 0 . chr3 196609494 196609495 chr3:196609495:G:T rs115775444 G T G EBF1_EBF_1 1 1 - 5.3679591778571325 5.297032000505048 CCTCCCCTGGGCAC chr3 196633724 196633725 chr3:196633725:C:T rs1798630 C T C EBF1_EBF_1 3 1 + 8.072726037351892 1.6673272957985743 CGCCCCATGGGAAA chr3 196639827 196639828 chr3:196639828:C:T rs1684448 C T C EBF1_EBF_1 2 1 + 5.330751752107578 7.219575163549728 AGCCCCCGGAGACG chr3 196640831 196640832 chr3:196640832:G:C rs370066424 G C G EBF1_EBF_1 14 0 - 0 0 . chr3 196640864 196640865 chr3:196640865:G:T rs74995688 G T G EBF1_EBF_1 -19 0 - 0 0 . chr3 196645836 196645837 chr3:196645837:C:T rs843523 C T C EBF1_EBF_1 24 0 - 0 0 . chr3 196646684 196646685 chr3:196646685:G:A rs73221626 G A G EBF1_EBF_1 11 1 - 6.233211219834554 6.551258661588218 CTTCCCCTGGGCCC chr3 196646689 196646690 chr3:196646690:G:A rs4916532 G A A EBF1_EBF_1 6 1 - 6.233211219834554 6.53449734869631 CTTCCCCTGGGCCC chr3 196646710 196646711 chr3:196646711:C:T rs4916533 C T C EBF1_EBF_1 -15 0 - 0 0 . chr3 196697457 196697458 chr3:196697458:T:C rs12718035 T C C EBF1_EBF_1 26 0 - 0 0 . chr3 196697466 196697467 chr3:196697467:T:A rs12718036 T A A EBF1_EBF_1 17 0 - 0 0 . chr3 196741022 196741023 chr3:196741023:G:T rs1630029 G T T EBF1_EBF_1 -17 0 - 0 0 . chr3 196778018 196778019 chr3:196778019:G:C rs7626440 G C C EBF1_EBF_1 17 0 + 0 0 . chr3 196778030 196778031 chr3:196778031:G:C rs7626444 G C C EBF1_EBF_1 29 0 + 0 0 . chr3 196789906 196789907 chr3:196789907:C:T rs147443945 C T C EBF1_EBF_1 14 0 - 0 0 . chr3 196790694 196790695 chr3:196790695:G:A rs6806591 G A G EBF1_EBF_1 -13 0 + 0 0 . chr3 196838029 196838030 chr3:196838030:C:T rs1004478020 C T C EBF1_EBF_1 21 0 - 0 0 . chr3 196962523 196962524 chr3:196962524:A:G rs76347663 A G A EBF1_EBF_1 29 0 - 0 0 . chr3 196970970 196970971 chr3:196970971:G:A rs35795085 G A A EBF1_EBF_1 3 1 - 7.6653601382410805 1.2599613966877634 TTTCCCTAGAGAGC chr3 196978580 196978581 chr3:196978581:C:A rs475273 C A C EBF1_EBF_1 3 1 + 5.711663934918238 -1.1945100945475695 AATCCCCTGAGCCT chr3 196978584 196978585 chr3:196978585:T:C rs115323958 T C T EBF1_EBF_1 7 1 + 5.711663934918238 3.894159188635614 AATCCCCTGAGCCT chr3 196989953 196989954 chr3:196989954:A:G rs114437368 A G A EBF1_EBF_1 -1 0 + 0 0 . chr3 196989980 196989981 chr3:196989981:C:T rs575353615 C T C EBF1_EBF_1 26 0 + 0 0 . chr3 196990593 196990594 chr3:196990594:G:A rs114808088 G A G EBF1_EBF_1 6 1 + 5.796748260891347 7.963542692165823 AGACCCGAGGGAGC chr3 196996317 196996318 chr3:196996318:A:G rs113728539 A G A EBF1_EBF_1 -13 0 - 0 0 . chr3 197002637 197002638 chr3:197002638:G:A rs115578681 G A G EBF1_EBF_1 23 0 - 0 0 . chr3 197002657 197002658 chr3:197002658:G:A chr3:197002658:G:A G A G EBF1_EBF_1 3 1 - 5.8006448941631845 -0.604753847390133 ACCCCCGTGGGATG chr3 197003001 197003002 chr3:197003002:C:T rs1043272351 C T C EBF1_EBF_1 7 1 - 6.204921622282889 7.517380027571714 GCCCCCTGGGGACG chr3 197004925 197004926 chr3:197004926:C:T rs75328726 C T C EBF1_EBF_1 -2 0 + 0 0 . chr3 197018022 197018023 chr3:197018023:C:T rs73208232 C T C EBF1_EBF_1 4 1 + 5.368714972925505 0.9993280279805831 AACCCCGAAGGAAT chr3 197026483 197026484 chr3:197026484:T:C rs4916598 T C T EBF1_EBF_1 22 0 - 0 0 . chr3 197026495 197026496 chr3:197026496:C:G rs78993299 C G C EBF1_EBF_1 10 1 - 13.006960192185122 6.116157804855649 ATTCCCCAGGGACA chr3 197029143 197029144 chr3:197029144:C:T rs537291688 C T c EBF1_EBF_1 -9 0 + 0 0 . chr3 197031092 197031093 chr3:197031093:C:T rs60350243 C T c EBF1_EBF_1 -11 0 - 0 0 . chr3 197331607 197331608 chr3:197331608:A:G rs9325384 A G A EBF1_EBF_1 23 0 + 0 0 . chr3 197336466 197336467 chr3:197336467:A:G rs732189 A G a EBF1_EBF_1 20 0 - 0 0 . chr3 197340111 197340112 chr3:197340112:G:T rs541012099 G T G EBF1_EBF_1 3 1 - 6.621867100714304 -0.28430692875150254 ACACCCGAGGGACA chr3 197340124 197340125 chr3:197340125:A:G rs112341161 A G A EBF1_EBF_1 -10 0 - 0 0 . chr3 197349611 197349612 chr3:197349612:C:A rs148299642 C A c EBF1_EBF_1 14 0 - 0 0 . chr3 197367724 197367725 chr3:197367725:G:A rs144618349 G A G EBF1_EBF_1 22 0 - 0 0 . chr3 197398486 197398487 chr3:197398487:C:T rs77242804 C T C EBF1_EBF_1 -7 0 + 0 0 . chr3 197398493 197398494 chr3:197398494:G:A rs13079958 G A G EBF1_EBF_1 0 1 + 5.083023030352949 6.975306899917784 GTTTCCCAGGGACC chr3 197398503 197398504 chr3:197398504:G:C rs190520550 G C G EBF1_EBF_1 10 1 + 5.083023030352949 -1.8077793569765253 GTTTCCCAGGGACC chr3 197407019 197407020 chr3:197407020:T:C rs9829832 T C T EBF1_EBF_1 22 0 - 0 0 . chr3 197408173 197408174 chr3:197408174:C:T rs11706392 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 197408511 197408512 chr3:197408512:C:A rs55792255 C A C EBF1_EBF_1 -4 0 + 0 0 . chr3 197424824 197424825 chr3:197424825:A:G rs6784748 A G A EBF1_EBF_1 -18 0 + 0 0 . chr3 197424865 197424866 chr3:197424866:C:A rs6772127 C A A EBF1_EBF_1 23 0 + 0 0 . chr3 197434977 197434978 chr3:197434978:C:A rs6788537 C A A EBF1_EBF_1 27 0 - 0 0 . chr3 197450219 197450220 chr3:197450220:C:T rs2116292 C T C EBF1_EBF_1 2 1 + 6.389733144998523 8.278556556440671 GTCCCCAAGGGCCT chr3 197456563 197456564 chr3:197456564:C:T rs115310041 C T C EBF1_EBF_1 20 0 - 0 0 . chr3 197462592 197462593 chr3:197462593:G:A rs80212462 G A G EBF1_EBF_1 -7 0 + 0 0 . chr3 197473774 197473775 chr3:197473775:C:G rs149826557 C G C EBF1_EBF_1 -19 0 - 0 0 . chr3 197495241 197495242 chr3:197495242:G:C rs113799291 G C G EBF1_EBF_1 13 1 - 5.900882913850581 4.412811892495166 ATTCCCACGAGGCC chr3 197495474 197495475 chr3:197495475:A:G rs73076553 A G G EBF1_EBF_1 -4 0 - 0 0 . chr3 197505671 197505672 chr3:197505672:C:T rs6790736 C T T EBF1_EBF_1 9 1 + 4.818162339984639 3.0568620782412923 ACTCCCTAGCGGCC chr3 197516646 197516647 chr3:197516647:C:T rs145422446 C T C EBF1_EBF_1 7 1 + 4.671896558007921 6.489401304290544 TGTCCCACGGGTCC chr3 197543853 197543854 chr3:197543854:G:T rs4857527 G T G EBF1_EBF_1 6 1 - 7.2457634852163455 7.6073658530176225 TCTCCCCAGGGGCA chr3 197548115 197548116 chr3:197548116:C:T rs77726882 C T T EBF1_EBF_1 -15 0 - 0 0 . chr3 197599496 197599497 chr3:197599497:C:T rs116100995 C T . EBF1_EBF_1 5 1 + 5.646024725749706 0.3242375102090355 AGTGCCTTGGGATC chr3 197599752 197599753 chr3:197599753:T:A rs143258498 T A . EBF1_EBF_1 25 0 - 0 0 . chr3 197632024 197632025 chr3:197632025:C:T rs74376096 C T . EBF1_EBF_1 31 0 + 0 0 . chr3 197647387 197647388 chr3:197647388:T:C rs75426772 T C . EBF1_EBF_1 2 1 + 6.091469196048134 4.202645784605986 GTTCCCTGGGGCAC chr3 197658844 197658845 chr3:197658845:T:C rs5027262 T C . EBF1_EBF_1 7 1 - 3.56635959428337 2.253901188994545 GGTGCCCAGGGAGC chr3 197665147 197665148 chr3:197665148:G:A rs62283393 G A . EBF1_EBF_1 -19 0 + 0 0 . chr3 197666968 197666969 chr3:197666969:G:A rs111742194 G A . EBF1_EBF_1 -5 0 - 0 0 . chr3 197795267 197795268 chr3:197795268:T:C rs635652 T C C EBF1_EBF_1 24 0 - 0 0 . chr4 10518 10519 chr4:10519:G:A rs577504332 G A . EBF1_EBF_1 17 0 - 0 0 . chr4 19916 19917 chr4:19917:G:C rs4282115 G C . EBF1_EBF_1 10 1 + 7.782807917701624 0.8920055303721495 AGTCCCCTGGGCCC chr4 124548 124549 chr4:124549:C:G rs3747694 C G C EBF1_EBF_1 21 0 - 0 0 . chr4 206841 206842 chr4:206842:A:G rs6826124 A G G EBF1_EBF_1 2 1 - 4.183350784639026 2.294527373196875 ATTCCCACTGGACA chr4 329684 329685 chr4:329685:C:T rs56678228 C T C EBF1_EBF_1 8 1 - 4.073019301854169 -0.1532707870320934 GGTCCCCCGGGCCC chr4 408455 408456 chr4:408456:G:A rs61200955 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 425775 425776 chr4:425776:C:T rs7666919 C T T EBF1_EBF_1 8 1 - 7.122149536603341 2.8958594477170783 TCTCCCACGGGAAG chr4 529002 529003 chr4:529003:T:C rs78838181 T C T EBF1_EBF_1 24 0 + 0 0 . chr4 532653 532654 chr4:532654:C:T rs73072175 C T C EBF1_EBF_1 6 1 + 3.7410018047733384 4.042287933635098 GACCCCCTGGGCCC chr4 544833 544834 chr4:544834:C:T rs113093626 C T C EBF1_EBF_1 14 0 - 0 0 . chr4 576343 576344 chr4:576344:G:C rs184855414 G C C EBF1_EBF_1 10 1 + 4.57600568542211 -2.3147967019073654 CCTCCCAGGAGGCT chr4 603231 603232 chr4:603232:C:T rs113149015 C T c EBF1_EBF_1 -5 0 + 0 0 . chr4 618189 618190 chr4:618190:G:A rs13136626 G A G EBF1_EBF_1 10 1 + 5.0505874828221 -0.26744097644486664 CCTCCCCTGAGGCT chr4 624412 624413 chr4:624413:C:T rs191813455 C T C EBF1_EBF_1 17 0 + 0 0 . chr4 625332 625333 chr4:625333:G:A rs532076700 G A G EBF1_EBF_1 -11 0 - 0 0 . chr4 628704 628705 chr4:628705:T:C rs1417318598 T C T EBF1_EBF_1 0 1 - 5.651776582772453 3.759492713207618 AGACCCCTGGGGAC chr4 630009 630010 chr4:630010:G:A rs34366493 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 634004 634005 chr4:634005:T:G rs34698095 T G T EBF1_EBF_1 -8 0 + 0 0 . chr4 634011 634012 chr4:634012:G:A rs118140599 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 634050 634051 chr4:634051:C:T rs34568772 C T C EBF1_EBF_1 -11 0 + 0 0 . chr4 638984 638985 chr4:638985:G:C rs79102845 G C t EBF1_EBF_1 13 1 - 4.525976236864203 3.037905215508788 TTTTCCCTGGGACC chr4 639015 639016 chr4:639016:C:G rs34421911 C G C EBF1_EBF_1 -18 0 - 0 0 . chr4 654919 654920 chr4:654920:G:C rs10027549 G C g EBF1_EBF_1 6 1 - 6.4360943196881095 4.630902256214911 CTCCCCCGGGGACG chr4 654963 654964 chr4:654964:G:A rs540363860 G A g EBF1_EBF_1 13 1 + 4.882187724991618 5.996513297061057 AGCCCCCAGGGCCG chr4 655288 655289 chr4:655289:G:A rs923482591 G A g EBF1_EBF_1 7 1 - 5.961336982026507 7.778841728309131 AGCCCCACGGGGCC chr4 663046 663047 chr4:663047:G:T rs143341363 G T G EBF1_EBF_1 -16 0 + 0 0 . chr4 663689 663690 chr4:663690:C:A rs537733879 C A C EBF1_EBF_1 30 0 - 0 0 . chr4 663708 663709 chr4:663709:T:G rs967920837 T G T EBF1_EBF_1 11 1 - 3.642537132672696 -0.7734245651796936 GGTGCCCGGGGACC chr4 670118 670119 chr4:670119:A:G rs28675771 A G G EBF1_EBF_1 7 1 - 9.484926488177836 7.667421741895213 GTCCCCATGGGACC chr4 676016 676017 chr4:676017:G:T rs963589393 G T G EBF1_EBF_1 -7 0 + 0 0 . chr4 677040 677041 chr4:677041:C:T rs114450750 C T C EBF1_EBF_1 2 1 + 7.504695389951974 9.393518801394121 CGCCCCCAGGGATC chr4 683793 683794 chr4:683794:G:A rs185054342 G A G EBF1_EBF_1 8 1 + 3.70789283338425 -0.5183972555020112 GGCCCCAAGAGGCC chr4 684708 684709 chr4:684709:C:T rs77375475 C T C EBF1_EBF_1 17 0 + 0 0 . chr4 707466 707467 chr4:707467:C:T rs111623827 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 707484 707485 chr4:707485:C:T rs138807284 C T - EBF1_EBF_1 0 1 - 7.409744831713173 9.302028701278008 GCCCCCAGGGGAAA chr4 713345 713346 chr4:713346:T:C rs73221104 T C N EBF1_EBF_1 28 0 - 0 0 . chr4 721099 721100 chr4:721100:C:A rs116446669 C A C EBF1_EBF_1 9 1 - 6.132099461277029 -0.7048899468117809 CCTCCCCAGGGTCC chr4 742913 742914 chr4:742914:G:A rs145604307 G A G EBF1_EBF_1 9 1 + 4.028073312384675 1.3731340469370703 GGTCCCCGGGGCTC chr4 742939 742940 chr4:742940:T:G rs190136547 T G T EBF1_EBF_1 6 1 - 6.8435487709536424 6.4819464031523655 AACCCCAAGAGAGC chr4 742953 742954 chr4:742954:G:A rs148878148 G A G EBF1_EBF_1 -8 0 - 0 0 . chr4 748802 748803 chr4:748803:G:A rs10213001 G A G EBF1_EBF_1 17 0 - 0 0 . chr4 748803 748804 chr4:748804:C:T rs10213196 C T c EBF1_EBF_1 16 0 - 0 0 . chr4 752649 752650 chr4:752650:G:T rs899390 G T T EBF1_EBF_1 -12 0 - 0 0 . chr4 763627 763628 chr4:763628:G:A rs1016763525 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 765585 765586 chr4:765586:T:C rs6815857 T C t EBF1_EBF_1 27 0 - 0 0 . chr4 765617 765618 chr4:765618:G:A rs12642051 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 782700 782701 chr4:782701:A:G rs13147679 A G G EBF1_EBF_1 33 0 + 0 0 . chr4 784587 784588 chr4:784588:G:A rs3733356 G A G EBF1_EBF_1 -1 0 - 0 0 . chr4 785746 785747 chr4:785747:G:C rs116320846 G C G EBF1_EBF_1 -8 0 + 0 0 . chr4 790583 790584 chr4:790584:C:T rs142964794 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 818224 818225 chr4:818225:G:T rs80189576 G T G EBF1_EBF_1 -13 0 - 0 0 . chr4 818890 818891 chr4:818891:G:T rs7687101 G T G EBF1_EBF_1 -10 0 + 0 0 . chr4 820854 820855 chr4:820855:C:A rs115183778 C A C EBF1_EBF_1 15 0 - 0 0 . chr4 821532 821533 chr4:821533:A:G rs11248044 A G A EBF1_EBF_1 9 1 + 4.579760633768894 7.234699899216498 AGCCCCATGAGGGT chr4 822662 822663 chr4:822663:G:C rs6823196 G C C EBF1_EBF_1 13 1 - 6.3700822434882864 4.8820112221328715 GACCCCAAGAGAAC chr4 823993 823994 chr4:823994:A:G rs550684822 A G A EBF1_EBF_1 -16 0 - 0 0 . chr4 824651 824652 chr4:824652:G:A rs2276906 G A G EBF1_EBF_1 -2 0 + 0 0 . chr4 824676 824677 chr4:824677:T:C chr4:824677:T:C T C T EBF1_EBF_1 23 0 + 0 0 . chr4 826983 826984 chr4:826984:C:T rs543288568 C T C EBF1_EBF_1 9 1 - 4.506987407819882 1.8520481423722779 AATCCCGGGGGCCA chr4 827451 827452 chr4:827452:T:C rs58352100 T C C EBF1_EBF_1 6 1 - 3.9917588939613697 1.8249644626868948 GCCCCCATGGGCTT chr4 829954 829955 chr4:829955:G:A rs7376916 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 830966 830967 chr4:830967:C:T rs7656533 C T C EBF1_EBF_1 -20 0 + 0 0 . chr4 831009 831010 chr4:831010:A:G rs7665945 A G G EBF1_EBF_1 23 0 + 0 0 . chr4 831056 831057 chr4:831057:A:G rs7665967 A G A EBF1_EBF_1 17 0 - 0 0 . chr4 832920 832921 chr4:832921:G:A rs73207770 G A G EBF1_EBF_1 -1 0 - 0 0 . chr4 832936 832937 chr4:832937:G:A rs79565855 G A G EBF1_EBF_1 -17 0 - 0 0 . chr4 834637 834638 chr4:834638:C:T rs1078140 C T C EBF1_EBF_1 -6 0 + 0 0 . chr4 862486 862487 chr4:862487:C:T rs145341437 C T C EBF1_EBF_1 13 1 - 6.683797454336859 7.798123026406298 AACCCCTAGAGACG chr4 865227 865228 chr4:865228:C:T rs142955360 C T C EBF1_EBF_1 24 0 - 0 0 . chr4 875518 875519 chr4:875519:C:T rs187506674 C T C EBF1_EBF_1 26 0 + 0 0 . chr4 878801 878802 chr4:878802:A:C rs146733762 A C a EBF1_EBF_1 7 1 + 4.688089382669394 2.3943103962992125 ACCCCCCAGAGTCT chr4 886468 886469 chr4:886469:G:A rs56785826 G A G EBF1_EBF_1 31 0 + 0 0 . chr4 906997 906998 chr4:906998:C:T rs3775114 C T C EBF1_EBF_1 -11 0 - 0 0 . chr4 924834 924835 chr4:924835:G:A rs202080882 G A g EBF1_EBF_1 5 1 - 5.253721235592907 -0.06806597994776269 CCCCCCGGGGGAAC chr4 924925 924926 chr4:924926:T:C rs548195526 T C T EBF1_EBF_1 27 0 + 0 0 . chr4 946218 946219 chr4:946219:A:C rs56664216 A C A EBF1_EBF_1 -5 0 - 0 0 . chr4 948343 948344 chr4:948344:C:A rs754596846 C A C EBF1_EBF_1 26 0 - 0 0 . chr4 949044 949045 chr4:949045:C:T rs11730111 C T C EBF1_EBF_1 22 0 - 0 0 . chr4 979295 979296 chr4:979296:G:T rs544884055 G T G EBF1_EBF_1 9 1 + 5.1766843032593215 -1.6603051048294895 TTTCCCCAGGTGCT chr4 982809 982810 chr4:982810:T:C rs17783233 T C C EBF1_EBF_1 -1 0 + 0 0 . chr4 995374 995375 chr4:995375:T:C rs11248063 T C t EBF1_EBF_1 6 1 - 5.454789784076501 3.2879953528020263 GCTCCCAAGGAATT chr4 999599 999600 chr4:999600:G:A rs148959726 G A - EBF1_EBF_1 9 1 + 5.78172984468015 3.126790579232547 TGTCCCCTCGGAAT chr4 1000044 1000045 chr4:1000045:G:A rs13108467 G A t EBF1_EBF_1 7 1 - 5.900882913850581 7.718387660133204 ATTCCCACGAGGCC chr4 1001193 1001194 chr4:1001194:G:A rs3733342 G A g EBF1_EBF_1 33 0 - 0 0 . chr4 1001633 1001634 chr4:1001634:G:C rs6829789 G C G EBF1_EBF_1 -3 0 + 0 0 . chr4 1003197 1003198 chr4:1003198:G:T rs188458034 G T G EBF1_EBF_1 14 0 - 0 0 . chr4 1003209 1003210 chr4:1003210:G:T rs113289555 G T G EBF1_EBF_1 2 1 - 8.302669803260022 6.507025627103297 ACCCCCCCGGGACC chr4 1003306 1003307 chr4:1003307:T:C rs1131853 T C C EBF1_EBF_1 1 1 + 5.600693602879004 4.705260162480259 CTCCCCTGGAGAAC chr4 1009445 1009446 chr4:1009446:G:C rs1479552473 G C G EBF1_EBF_1 20 0 + 0 0 . chr4 1010403 1010404 chr4:1010404:C:G rs113395464 C G G EBF1_EBF_1 9 1 - 4.550422824500492 -0.5252663218449725 CGTCCCAAGGTGCT chr4 1010970 1010971 chr4:1010971:A:G rs114228690 A G a EBF1_EBF_1 31 0 - 0 0 . chr4 1011075 1011076 chr4:1011076:T:C rs78520297 T C T EBF1_EBF_1 -20 0 + 0 0 . chr4 1011118 1011119 chr4:1011119:A:C rs528153554 A C a EBF1_EBF_1 23 0 + 0 0 . chr4 1011128 1011129 chr4:1011129:C:A rs138648196 C A C EBF1_EBF_1 33 0 + 0 0 . chr4 1013135 1013136 chr4:1013136:C:T rs11734750 C T C EBF1_EBF_1 17 0 + 0 0 . chr4 1013198 1013199 chr4:1013199:C:T rs76051363 C T c EBF1_EBF_1 -18 0 + 0 0 . chr4 1013223 1013224 chr4:1013224:T:A rs148219913 T A t EBF1_EBF_1 7 1 + 3.8550478518208946 4.331322091908453 CATGCCCTGGGACC chr4 1015311 1015312 chr4:1015312:C:A rs113509981 C A C EBF1_EBF_1 12 1 + 4.964569669054718 4.994751067476044 TCTCCCCAGAGGCC chr4 1016288 1016289 chr4:1016289:T:C rs35654957 T C T EBF1_EBF_1 9 1 - 4.060919126987174 6.715858392434778 ACTCCCCAGAGGGG chr4 1036990 1036991 chr4:1036991:T:C rs35321695 T C C EBF1_EBF_1 -12 0 + 0 0 . chr4 1051534 1051535 chr4:1051535:C:G rs935970 C G C EBF1_EBF_1 25 0 + 0 0 . chr4 1059564 1059565 chr4:1059565:C:T rs6858612 C T C EBF1_EBF_1 -6 0 + 0 0 . chr4 1073478 1073479 chr4:1073479:G:T rs2290408 G T T EBF1_EBF_1 -15 0 + 0 0 . chr4 1082708 1082709 chr4:1082709:C:G rs62294746 C G C EBF1_EBF_1 4 1 + 3.9277711837782974 -1.697993917371247 GCTCCCAGAGGACG chr4 1093742 1093743 chr4:1093743:T:C rs615381 T C C EBF1_EBF_1 14 0 - 0 0 . chr4 1113987 1113988 chr4:1113988:C:G rs11510471 C G - EBF1_EBF_1 -1 0 - 0 0 . chr4 1131335 1131336 chr4:1131336:A:G rs11726675 A G A EBF1_EBF_1 -18 0 + 0 0 . chr4 1167444 1167445 chr4:1167445:G:T rs372312414 G T G EBF1_EBF_1 12 1 - 5.359086469919965 5.389267868341291 AGCCCCTGGGGCCC chr4 1167940 1167941 chr4:1167941:G:A rs1871758 G A A EBF1_EBF_1 13 1 - 6.121604036566329 6.966098151151847 GTTCCCAGGGGCCC chr4 1172381 1172382 chr4:1172382:C:T rs146113450 C T C EBF1_EBF_1 33 0 - 0 0 . chr4 1172382 1172383 chr4:1172383:C:T rs6812059 C T C EBF1_EBF_1 32 0 - 0 0 . chr4 1172836 1172837 chr4:1172837:T:C rs114586256 T C T EBF1_EBF_1 -20 0 - 0 0 . chr4 1173441 1173442 chr4:1173442:C:T rs28578449 C T C EBF1_EBF_1 -20 0 + 0 0 . chr4 1176062 1176063 chr4:1176063:T:C rs878324 T C C EBF1_EBF_1 23 0 - 0 0 . chr4 1177914 1177915 chr4:1177915:C:G rs13435166 C G C EBF1_EBF_1 3 1 + 8.98499473446546 3.1572302863502424 TCCCCCAAGGGACC chr4 1192013 1192014 chr4:1192014:A:G rs4974645 A G G EBF1_EBF_1 6 1 + 5.998577975868371 3.831783544593897 AGCCCCATGAGGAT chr4 1193368 1193369 chr4:1193369:G:A rs1213667459 G A G EBF1_EBF_1 7 1 - 5.591457676529937 7.408962422812561 ACTCCCCCAGGACA chr4 1194020 1194021 chr4:1194021:G:T rs1008299396 G T G EBF1_EBF_1 1 1 - 4.995993722893071 4.925066545540987 ACCCCCCTGGGTTC chr4 1194398 1194399 chr4:1194399:G:C rs6840196 G C G EBF1_EBF_1 7 1 + 6.611236593727912 5.629916012646556 AGCCCCCGGGGGAC chr4 1194402 1194403 chr4:1194403:G:T rs566780193 G T G EBF1_EBF_1 11 1 + 6.611236593727912 5.406029181233198 AGCCCCCGGGGGAC chr4 1194404 1194405 chr4:1194405:C:T chr4:1194405:C:T C T C EBF1_EBF_1 13 1 + 6.611236593727912 7.45573070831343 AGCCCCCGGGGGAC chr4 1203763 1203764 chr4:1203764:G:A rs1250103 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 1208235 1208236 chr4:1208236:G:A rs1250106 G A G EBF1_EBF_1 0 1 + 3.5055360086064797 5.397819878171314 GCCCCCACGGGGCA chr4 1208244 1208245 chr4:1208245:G:T rs142741425 G T G EBF1_EBF_1 9 1 + 3.5055360086064797 -3.3314533994823305 GCCCCCACGGGGCA chr4 1209617 1209618 chr4:1209618:C:T rs141254890 C T C EBF1_EBF_1 26 0 - 0 0 . chr4 1209734 1209735 chr4:1209735:G:A rs150318646 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 1209759 1209760 chr4:1209760:C:T rs900024 C T T EBF1_EBF_1 12 1 + 4.1370966653765 3.1108300948256504 ACTCCCCGGAGGCG chr4 1210360 1210361 chr4:1210361:A:C rs59429463 A C A EBF1_EBF_1 15 0 - 0 0 . chr4 1210738 1210739 chr4:1210739:G:C rs73069911 G C G EBF1_EBF_1 11 1 + 5.491369031367787 3.9681141771194084 CATCCCGAGGGGAC chr4 1213808 1213809 chr4:1213809:G:C rs11937232 G C G EBF1_EBF_1 20 0 - 0 0 . chr4 1234162 1234163 chr4:1234163:C:T rs1250109 C T C EBF1_EBF_1 29 0 + 0 0 . chr4 1242773 1242774 chr4:1242774:C:G rs1564509 C G C EBF1_EBF_1 3 1 + 4.939199687818805 -0.8885647602964124 CTCCCCATGGTACC chr4 1246302 1246303 chr4:1246303:T:C rs730830 T C C EBF1_EBF_1 7 1 + 5.135090569863948 3.317585823581326 GTTCCCTTGGGCGA chr4 1246303 1246304 chr4:1246304:G:A rs74856648 G A G EBF1_EBF_1 8 1 + 5.135090569863948 0.9088004809776882 GTTCCCTTGGGCGA chr4 1249320 1249321 chr4:1249321:C:G rs1004311841 C G C EBF1_EBF_1 32 0 - 0 0 . chr4 1249975 1249976 chr4:1249976:C:T rs548525682 C T C EBF1_EBF_1 -9 0 + 0 0 . chr4 1249986 1249987 chr4:1249987:T:C rs3755919 T C T EBF1_EBF_1 2 1 + 5.814316871029124 3.9254934595869733 TCTCCCGAGGGGCC chr4 1250005 1250006 chr4:1250006:A:G rs74987021 A G A EBF1_EBF_1 21 0 + 0 0 . chr4 1289502 1289503 chr4:1289503:C:A rs112765972 C A C EBF1_EBF_1 -14 0 + 0 0 . chr4 1292688 1292689 chr4:1292689:C:A rs73069974 C A A EBF1_EBF_1 6 1 + 6.5442666209451605 6.905868988746438 ACACCCCTGAGAAT chr4 1292715 1292716 chr4:1292716:T:C rs73069976 T C T EBF1_EBF_1 33 0 + 0 0 . chr4 1293688 1293689 chr4:1293689:A:G rs4974590 A G G EBF1_EBF_1 -18 0 + 0 0 . chr4 1307814 1307815 chr4:1307815:A:C rs58058346 A C C EBF1_EBF_1 -18 0 + 0 0 . chr4 1307853 1307854 chr4:1307854:A:G rs56240712 A G G EBF1_EBF_1 21 0 + 0 0 . chr4 1326753 1326754 chr4:1326754:C:G rs60722337 C G C EBF1_EBF_1 -6 0 + 0 0 . chr4 1331776 1331777 chr4:1331777:G:A rs61073965 G A G EBF1_EBF_1 21 0 - 0 0 . chr4 1336741 1336742 chr4:1336742:G:C rs12646130 G C G EBF1_EBF_1 14 0 - 0 0 . chr4 1340198 1340199 chr4:1340199:C:T rs7679860 C T C EBF1_EBF_1 6 1 + 4.50159361423454 4.802879743096298 CCTCCCCGGGGCCC chr4 1340218 1340219 chr4:1340219:G:A rs13128825 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 1347263 1347264 chr4:1347264:G:C rs369800228 G C G EBF1_EBF_1 -13 0 + 0 0 . chr4 1356397 1356398 chr4:1356398:A:G rs3806754 A G A EBF1_EBF_1 25 0 + 0 0 . chr4 1360421 1360422 chr4:1360422:C:T rs1317924 C T T EBF1_EBF_1 8 1 - 4.65284839512589 0.42655830623962826 GACCCCACGGGGCT chr4 1361273 1361274 chr4:1361274:A:G rs28437782 A G a EBF1_EBF_1 -13 0 + 0 0 . chr4 1362850 1362851 chr4:1362851:C:G rs4974607 C G C EBF1_EBF_1 0 1 - 4.584454913337296 4.2214802992055285 GGTCACCAGGGACA chr4 1364976 1364977 chr4:1364977:C:T rs60682925 C T C EBF1_EBF_1 -6 0 - 0 0 . chr4 1367268 1367269 chr4:1367269:T:C rs11247997 T C T EBF1_EBF_1 -6 0 - 0 0 . chr4 1374323 1374324 chr4:1374324:C:T rs78476820 C T C EBF1_EBF_1 32 0 - 0 0 . chr4 1384084 1384085 chr4:1384085:A:G rs9683830 A G G EBF1_EBF_1 17 0 - 0 0 . chr4 1398766 1398767 chr4:1398767:G:T rs13119951 G T G EBF1_EBF_1 6 1 - 4.418227117771346 4.779829485572623 TCCCCCCGGGGGCC chr4 1408583 1408584 chr4:1408584:A:G rs4974560 A G G EBF1_EBF_1 -13 0 + 0 0 . chr4 1445891 1445892 chr4:1445892:T:C rs968506148 T C t EBF1_EBF_1 17 0 + 0 0 . chr4 1450146 1450147 chr4:1450147:T:C rs917313806 T C T EBF1_EBF_1 21 0 + 0 0 . chr4 1464903 1464904 chr4:1464904:G:A rs897640158 G A G EBF1_EBF_1 19 0 - 0 0 . chr4 1469326 1469327 chr4:1469327:A:G rs878950435 A G a EBF1_EBF_1 -3 0 - 0 0 . chr4 1469698 1469699 chr4:1469699:G:A rs1031397745 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 1473559 1473560 chr4:1473560:G:A rs939255352 G A G EBF1_EBF_1 -14 0 + 0 0 . chr4 1473579 1473580 chr4:1473580:G:A rs993272801 G A A EBF1_EBF_1 6 1 + 10.203805897511598 12.370600328786074 ACTCCCGTGGGACC chr4 1474480 1474481 chr4:1474481:G:A rs913412503 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 1477239 1477240 chr4:1477240:C:T rs36198130 C T C EBF1_EBF_1 27 0 + 0 0 . chr4 1489130 1489131 chr4:1489131:C:T rs911361923 C T C EBF1_EBF_1 20 0 + 0 0 . chr4 1491551 1491552 chr4:1491552:C:T rs139679516 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 1495167 1495168 chr4:1495168:A:T rs62286822 A T T EBF1_EBF_1 -17 0 + 0 0 . chr4 1496447 1496448 chr4:1496448:C:T rs72613116 C T C EBF1_EBF_1 4 1 + 9.39758450052127 5.028197555576347 AGCCCCCAGGGAGC chr4 1496468 1496469 chr4:1496469:T:G rs74779799 T G T EBF1_EBF_1 25 0 + 0 0 . chr4 1498796 1498797 chr4:1498797:C:G rs74599691 C G C EBF1_EBF_1 1 1 - 4.7706100444445 4.580838389875283 AGCCCCCGGAGGCT chr4 1500171 1500172 chr4:1500172:G:T rs7685554 G T G EBF1_EBF_1 5 1 - 3.573024877067803 -3.331856275567365 AGCCACCAGGGAGC chr4 1503773 1503774 chr4:1503774:G:A rs560378158 G A g EBF1_EBF_1 22 0 + 0 0 . chr4 1503819 1503820 chr4:1503820:G:A rs140102906 G A G EBF1_EBF_1 7 1 - 4.377765888838609 6.195270635121232 AGCCCCTCGGGCCC chr4 1505360 1505361 chr4:1505361:T:C rs12645003 T C T EBF1_EBF_1 13 1 + 4.923419754192103 4.078925639606585 AGCCCCCACGGAGT chr4 1506015 1506016 chr4:1506016:C:T rs111514027 C T C EBF1_EBF_1 5 1 + 5.40610033933667 0.08431312379599953 GGTCCCGAGGGCCT chr4 1506533 1506534 chr4:1506534:C:G rs6823247 C G c EBF1_EBF_1 19 0 - 0 0 . chr4 1507019 1507020 chr4:1507020:G:C rs141029872 G C G EBF1_EBF_1 -6 0 - 0 0 . chr4 1509035 1509036 chr4:1509036:G:A rs4279275 G A G EBF1_EBF_1 13 1 - 4.132541356190658 4.977035470776176 CATCCCAGGCGACC chr4 1512263 1512264 chr4:1512264:T:C rs7673556 T C C EBF1_EBF_1 -15 0 - 0 0 . chr4 1512829 1512830 chr4:1512830:G:A rs4073971 G A G EBF1_EBF_1 0 1 - 4.377121467574032 4.6593235283046095 CTGCCCCAGGGACC chr4 1515832 1515833 chr4:1515833:A:G rs67856226 A G G EBF1_EBF_1 -7 0 + 0 0 . chr4 1515853 1515854 chr4:1515854:G:A rs62287788 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 1516037 1516038 chr4:1516038:G:A rs74469303 G A G EBF1_EBF_1 -3 0 + 0 0 . chr4 1516072 1516073 chr4:1516073:G:A rs77028956 G A G EBF1_EBF_1 32 0 + 0 0 . chr4 1519426 1519427 chr4:1519427:G:C rs4376203 G C G EBF1_EBF_1 -19 0 + 0 0 . chr4 1532738 1532739 chr4:1532739:C:T rs141266043 C T C EBF1_EBF_1 14 0 + 0 0 . chr4 1536167 1536168 chr4:1536168:C:T rs145143468 C T C EBF1_EBF_1 4 1 + 4.788390689976981 0.41900374503205634 GCTCCCCAGGGCGC chr4 1539263 1539264 chr4:1539264:C:T rs58218970 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 1539280 1539281 chr4:1539281:T:G rs55640008 T G G EBF1_EBF_1 6 1 - 5.523583244612531 5.161980876811253 CGCCCCATGGGGCC chr4 1539342 1539343 chr4:1539343:G:A rs28597777 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 1540959 1540960 chr4:1540960:G:A rs58300082 G A G EBF1_EBF_1 -7 0 + 0 0 . chr4 1540970 1540971 chr4:1540971:C:T rs941713481 C T C EBF1_EBF_1 4 1 + 9.785341090737692 5.41595414579277 CATCCCCAGGGACA chr4 1542171 1542172 chr4:1542172:T:C rs4865500 T C C EBF1_EBF_1 19 0 - 0 0 . chr4 1542426 1542427 chr4:1542427:C:T rs80203286 C T C EBF1_EBF_1 33 0 + 0 0 . chr4 1549466 1549467 chr4:1549467:T:C rs4076609 T C C EBF1_EBF_1 17 0 + 0 0 . chr4 1550873 1550874 chr4:1550874:G:A rs55864506 G A A EBF1_EBF_1 15 0 + 0 0 . chr4 1556156 1556157 chr4:1556157:A:G rs149053694 A G - EBF1_EBF_1 28 0 - 0 0 . chr4 1565772 1565773 chr4:1565773:A:G rs6849046 A G G EBF1_EBF_1 -5 0 - 0 0 . chr4 1565778 1565779 chr4:1565779:C:G rs11730466 C G C EBF1_EBF_1 -11 0 - 0 0 . chr4 1565872 1565873 chr4:1565873:C:G rs62284903 C G C EBF1_EBF_1 24 0 - 0 0 . chr4 1566082 1566083 chr4:1566083:G:A rs78067244 G A A EBF1_EBF_1 14 0 - 0 0 . chr4 1566462 1566463 chr4:1566463:T:C rs7377488 T C - EBF1_EBF_1 -5 0 - 0 0 . chr4 1570894 1570895 chr4:1570895:C:G rs11248089 C G C EBF1_EBF_1 -9 0 - 0 0 . chr4 1571224 1571225 chr4:1571225:C:G rs74414173 C G C EBF1_EBF_1 -2 0 + 0 0 . chr4 1579388 1579389 chr4:1579389:G:A rs145571423 G A G EBF1_EBF_1 10 1 + 5.575267871843132 0.2572394125761657 TACCCCATGGGGAC chr4 1579729 1579730 chr4:1579730:T:G rs28421868 T G T EBF1_EBF_1 2 1 + 4.897389791145009 -1.8509358990554594 TGTCCCCAGGGCGC chr4 1584769 1584770 chr4:1584770:T:C rs6599431 T C T EBF1_EBF_1 -7 0 + 0 0 . chr4 1594445 1594446 chr4:1594446:C:T rs6817439 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 1596971 1596972 chr4:1596972:C:T rs550081466 C T C EBF1_EBF_1 -18 0 - 0 0 . chr4 1598012 1598013 chr4:1598013:G:A rs4865491 G A A EBF1_EBF_1 18 0 - 0 0 . chr4 1603702 1603703 chr4:1603703:G:T rs116678467 G T G EBF1_EBF_1 -18 0 + 0 0 . chr4 1608480 1608481 chr4:1608481:G:A rs28661564 G A A EBF1_EBF_1 -14 0 + 0 0 . chr4 1622397 1622398 chr4:1622398:C:G rs4865444 C G C EBF1_EBF_1 1 1 - 11.217154232707482 11.027382578138266 AGTCCCCAGGGAAG chr4 1622986 1622987 chr4:1622987:C:T rs7663492 C T T EBF1_EBF_1 24 0 - 0 0 . chr4 1623003 1623004 chr4:1623004:A:G rs74605618 A G A EBF1_EBF_1 7 1 - 5.018373635345585 3.200868889062961 TTCCCCATGGGCAC chr4 1623389 1623390 chr4:1623390:C:G rs28722656 C G G EBF1_EBF_1 -8 0 + 0 0 . chr4 1629195 1629196 chr4:1629196:C:G rs4865448 C G C EBF1_EBF_1 33 0 - 0 0 . chr4 1631558 1631559 chr4:1631559:C:T rs17674641 C T C EBF1_EBF_1 31 0 + 0 0 . chr4 1642300 1642301 chr4:1642301:G:A rs12508137 G A G EBF1_EBF_1 19 0 + 0 0 . chr4 1645099 1645100 chr4:1645100:G:A rs7686315 G A G EBF1_EBF_1 -5 0 + 0 0 . chr4 1645624 1645625 chr4:1645625:T:C rs112792510 T C C EBF1_EBF_1 11 1 - 5.934066663532119 3.0413598199281067 TCCCCCTTGGGATG chr4 1653481 1653482 chr4:1653482:A:G rs12640301 A G G EBF1_EBF_1 -10 0 + 0 0 . chr4 1654276 1654277 chr4:1654277:C:T rs115436832 C T A EBF1_EBF_1 25 0 - 0 0 . chr4 1654282 1654283 chr4:1654283:C:T rs73188108 C T C EBF1_EBF_1 19 0 - 0 0 . chr4 1657400 1657401 chr4:1657401:T:C rs2895461 T C C EBF1_EBF_1 -13 0 + 0 0 . chr4 1658489 1658490 chr4:1658490:A:G rs55712932 A G A EBF1_EBF_1 28 0 + 0 0 . chr4 1659400 1659401 chr4:1659401:C:A rs55656010 C A C EBF1_EBF_1 11 1 + 5.386256219479076 9.802217917331465 GTTCCCCGGGGCCA chr4 1661908 1661909 chr4:1661909:G:A rs115260941 G A G EBF1_EBF_1 21 0 - 0 0 . chr4 1683909 1683910 chr4:1683910:G:C rs145397528 G C G EBF1_EBF_1 -5 0 - 0 0 . chr4 1699589 1699590 chr4:1699590:G:A rs2247341 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 1711341 1711342 chr4:1711342:C:A rs113082018 C A C EBF1_EBF_1 3 1 + 4.6808986983093055 -2.2252753311565 AGCCCCTCGAGAAG chr4 1712112 1712113 chr4:1712113:G:C rs558373324 G C G EBF1_EBF_1 32 0 + 0 0 . chr4 1716405 1716406 chr4:1716406:G:T rs148843894 G T G EBF1_EBF_1 -7 0 - 0 0 . chr4 1716878 1716879 chr4:1716879:G:C rs56215097 G C G EBF1_EBF_1 -6 0 - 0 0 . chr4 1718089 1718090 chr4:1718090:A:G rs712983 A G G EBF1_EBF_1 18 0 + 0 0 . chr4 1728183 1728184 chr4:1728184:G:A rs144462438 G A G EBF1_EBF_1 20 0 + 0 0 . chr4 1729398 1729399 chr4:1729399:G:A rs798761 G A g EBF1_EBF_1 -17 0 - 0 0 . chr4 1732718 1732719 chr4:1732719:A:G rs9985880 A G G EBF1_EBF_1 33 0 - 0 0 . chr4 1733164 1733165 chr4:1733165:C:T rs56199839 C T C EBF1_EBF_1 10 1 - 4.515567979079723 -0.8024604801872434 AGCCACCTGGGAAC chr4 1738248 1738249 chr4:1738249:C:T rs28716870 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 1738249 1738250 chr4:1738250:G:A rs17619338 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 1746538 1746539 chr4:1746539:T:C rs62285133 T C T EBF1_EBF_1 -13 0 + 0 0 . chr4 1755827 1755828 chr4:1755828:T:C rs4535386 T C C EBF1_EBF_1 -2 0 - 0 0 . chr4 1755831 1755832 chr4:1755832:A:G rs2896518 A G G EBF1_EBF_1 -6 0 - 0 0 . chr4 1756794 1756795 chr4:1756795:T:G rs13126073 T G T EBF1_EBF_1 -13 0 - 0 0 . chr4 1766940 1766941 chr4:1766941:G:A rs187246320 G A G EBF1_EBF_1 10 1 + 6.0030705710983945 0.6850421118314284 GCCCCCCGGGGAGC chr4 1776225 1776226 chr4:1776226:G:A rs546663204 G A G EBF1_EBF_1 7 1 - 4.5713877852263405 6.388892531508964 ACTCCCTCGAGGCA chr4 1779866 1779867 chr4:1779867:A:G rs145323108 A G A EBF1_EBF_1 -5 0 + 0 0 . chr4 1784896 1784897 chr4:1784897:C:T rs77914314 C T C EBF1_EBF_1 4 1 + 5.713553088071548 1.3441661431266247 GCCCCCCTGGGATG chr4 1784898 1784899 chr4:1784899:C:T rs56112783 C T C EBF1_EBF_1 6 1 + 5.713553088071548 6.014839216933308 GCCCCCCTGGGATG chr4 1784912 1784913 chr4:1784913:C:G rs571338044 C G C EBF1_EBF_1 20 0 + 0 0 . chr4 1784974 1784975 chr4:1784975:A:G rs7668207 A G A EBF1_EBF_1 -2 0 - 0 0 . chr4 1794452 1794453 chr4:1794453:G:A rs3135835 G A G EBF1_EBF_1 32 0 + 0 0 . chr4 1794704 1794705 chr4:1794705:C:G rs17881768 C G - EBF1_EBF_1 9 1 - 8.35597384927702 3.280284702931557 CGCCCCTTGGGACC chr4 1795718 1795719 chr4:1795719:T:A rs557819204 T A T EBF1_EBF_1 25 0 + 0 0 . chr4 1795756 1795757 chr4:1795757:C:G rs3135846 C G G EBF1_EBF_1 23 0 + 0 0 . chr4 1802096 1802097 chr4:1802097:C:G rs2305184 C G G EBF1_EBF_1 -11 0 - 0 0 . chr4 1802548 1802549 chr4:1802549:G:A rs3135877 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 1802577 1802578 chr4:1802578:G:A rs114077584 G A G EBF1_EBF_1 -1 0 - 0 0 . chr4 1810502 1810503 chr4:1810503:C:G rs3135907 C G C EBF1_EBF_1 10 1 - 7.086657130355895 0.19585474302641975 CCTCCCATGAGACA chr4 1864107 1864108 chr4:1864108:A:G rs75950729 A G A EBF1_EBF_1 27 0 + 0 0 . chr4 1870294 1870295 chr4:1870295:G:A rs183490871 G A G EBF1_EBF_1 -5 0 + 0 0 . chr4 1872160 1872161 chr4:1872161:A:G rs142639234 A G A EBF1_EBF_1 12 1 + 6.841319722203251 5.422502380103774 GCTCCCCCGGGAAG chr4 1899476 1899477 chr4:1899477:A:G rs111264375 A G A EBF1_EBF_1 -13 0 - 0 0 . chr4 1910782 1910783 chr4:1910783:T:G rs10026943 T G G EBF1_EBF_1 -7 0 - 0 0 . chr4 2008380 2008381 chr4:2008381:A:G rs543944133 A G G EBF1_EBF_1 19 0 - 0 0 . chr4 2008581 2008582 chr4:2008582:T:C chr4:2008582:T:C T C G EBF1_EBF_1 -19 0 - 0 0 . chr4 2008581 2008582 chr4:2008582:T:G rs170756 T G G EBF1_EBF_1 -19 0 - 0 0 . chr4 2009103 2009104 chr4:2009104:G:C rs231189 G C C EBF1_EBF_1 6 1 + 3.964221479886722 5.76941354335992 CTTCCCGGGAGAGC chr4 2033869 2033870 chr4:2033870:T:C rs7377932 T C C EBF1_EBF_1 20 0 + 0 0 . chr4 2040945 2040946 chr4:2040946:C:G chr4:2040946:C:G C G C EBF1_EBF_1 31 0 - 0 0 . chr4 2040966 2040967 chr4:2040967:C:G rs60806863 C G G EBF1_EBF_1 10 1 - 4.088882380339721 -2.8019200069897545 AACCCCCGGGGTTC chr4 2069915 2069916 chr4:2069916:G:T rs73071277 G T G EBF1_EBF_1 10 1 + 5.5167785988508165 1.3340264126235508 GGTCCCCTGGGCCA chr4 2069927 2069928 chr4:2069928:G:A rs383370 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 2075378 2075379 chr4:2075379:T:C rs996861133 T C T EBF1_EBF_1 14 0 - 0 0 . chr4 2080021 2080022 chr4:2080022:G:A rs35850407 G A G EBF1_EBF_1 17 0 + 0 0 . chr4 2081828 2081829 chr4:2081829:C:A rs1335534536 C A C EBF1_EBF_1 27 0 + 0 0 . chr4 2081861 2081862 chr4:2081862:A:G rs34576286 A G A EBF1_EBF_1 -2 0 + 0 0 . chr4 2177942 2177943 chr4:2177943:G:C rs28623714 G C C EBF1_EBF_1 23 0 + 0 0 . chr4 2242416 2242417 chr4:2242417:G:T rs780208202 G T G EBF1_EBF_1 33 0 - 0 0 . chr4 2242446 2242447 chr4:2242447:G:T chr4:2242447:G:T G T G EBF1_EBF_1 3 1 - 3.656706278777755 -3.2494677506880527 GCTCCCCAGAGGGC chr4 2245566 2245567 chr4:2245567:C:T rs77879099 C T C EBF1_EBF_1 31 0 + 0 0 . chr4 2247034 2247035 chr4:2247035:C:T rs115621149 C T C EBF1_EBF_1 -6 0 + 0 0 . chr4 2250165 2250166 chr4:2250166:G:A rs61789367 G A G EBF1_EBF_1 -3 0 - 0 0 . chr4 2251920 2251921 chr4:2251921:G:T rs61789368 G T T EBF1_EBF_1 -2 0 - 0 0 . chr4 2255648 2255649 chr4:2255649:C:T rs11736085 C T C EBF1_EBF_1 19 0 - 0 0 . chr4 2257122 2257123 chr4:2257123:G:A rs2237001 G A A EBF1_EBF_1 6 1 + 6.67193668793197 8.838731119206445 AATCCCGGGAGAAC chr4 2257307 2257308 chr4:2257308:G:A rs79584963 G A G EBF1_EBF_1 22 0 - 0 0 . chr4 2258836 2258837 chr4:2258837:G:A rs76474103 G A G EBF1_EBF_1 26 0 - 0 0 . chr4 2263085 2263086 chr4:2263086:T:C rs1002823223 T C T EBF1_EBF_1 9 1 + 3.97651249887928 5.737812760622626 TCTCCCTAGTGACC chr4 2264096 2264097 chr4:2264097:C:G rs76560457 C G C EBF1_EBF_1 10 1 - 3.7118590227767454 -3.1789433645527296 GGTCCCCAGAGCCC chr4 2267753 2267754 chr4:2267754:T:C rs11248092 T C T EBF1_EBF_1 -8 0 + 0 0 . chr4 2273417 2273418 chr4:2273418:G:A rs736455 G A G EBF1_EBF_1 29 0 - 0 0 . chr4 2280795 2280796 chr4:2280796:A:G rs17132396 A G G EBF1_EBF_1 7 1 - 6.413041131670499 4.5955363853878755 CTTCCCATGGGCTT chr4 2285578 2285579 chr4:2285579:A:G rs79235229 A G A EBF1_EBF_1 7 1 + 5.624879237216178 4.312420831927353 CTTCCCAAGGAAGT chr4 2290128 2290129 chr4:2290129:C:G rs11248095 C G G EBF1_EBF_1 17 0 - 0 0 . chr4 2298740 2298741 chr4:2298741:C:T rs551562508 C T c EBF1_EBF_1 12 1 + 4.934627595149201 3.908361024598351 GCCCCCGTGGGACG chr4 2300483 2300484 chr4:2300484:G:C rs77182340 G C G EBF1_EBF_1 -15 0 + 0 0 . chr4 2305011 2305012 chr4:2305012:C:A rs553390216 C A C EBF1_EBF_1 33 0 - 0 0 . chr4 2332441 2332442 chr4:2332442:G:A rs572397087 G A G EBF1_EBF_1 3 1 - 4.7191370107210435 -1.6862617308322743 GCTCCCCAGGGCAG chr4 2334486 2334487 chr4:2334487:A:G rs944689451 A G A EBF1_EBF_1 7 1 - 7.60498336295035 5.787478616667726 CCTCCCGTGGGAAA chr4 2335097 2335098 chr4:2335098:G:A rs2071684 G A G EBF1_EBF_1 -7 0 + 0 0 . chr4 2335116 2335117 chr4:2335117:C:T rs76567014 C T C EBF1_EBF_1 12 1 + 4.627753736744257 3.6014871661934067 AGCCCCACAGGACC chr4 2335121 2335122 chr4:2335122:C:T rs79592795 C T C EBF1_EBF_1 17 0 + 0 0 . chr4 2339173 2339174 chr4:2339174:T:G rs657189 T G T EBF1_EBF_1 -1 0 + 0 0 . chr4 2341558 2341559 chr4:2341559:G:A rs148045802 G A G EBF1_EBF_1 0 1 - 5.694250239089887 5.9764522998204646 CGCCCCCAGAGATT chr4 2356800 2356801 chr4:2356801:A:G rs3128828 A G a EBF1_EBF_1 6 1 - 6.031871656242048 5.73058552738029 ACTCCCTGGGGCTC chr4 2366415 2366416 chr4:2366416:G:A rs149974702 G A G EBF1_EBF_1 27 0 + 0 0 . chr4 2367375 2367376 chr4:2367376:C:T rs1894434 C T C EBF1_EBF_1 -18 0 - 0 0 . chr4 2395458 2395459 chr4:2395459:A:G rs3135082 A G G EBF1_EBF_1 -16 0 - 0 0 . chr4 2401157 2401158 chr4:2401158:T:C rs875131 T C C EBF1_EBF_1 -3 0 - 0 0 . chr4 2402143 2402144 chr4:2402144:G:A rs3128777 G A G EBF1_EBF_1 5 1 - 3.7572564316269856 -1.564530783913683 GCTCACTGGGGACC chr4 2412049 2412050 chr4:2412050:G:C rs73074341 G C G EBF1_EBF_1 9 1 + 3.7663402616171986 -1.3093488847282655 TCCCCCCTGGGGCG chr4 2412069 2412070 chr4:2412070:C:T rs74541919 C T C EBF1_EBF_1 29 0 + 0 0 . chr4 2412104 2412105 chr4:2412105:T:C rs6812334 T C C EBF1_EBF_1 -12 0 - 0 0 . chr4 2425780 2425781 chr4:2425781:C:A rs11248105 C A C EBF1_EBF_1 31 0 - 0 0 . chr4 2425916 2425917 chr4:2425917:G:A rs736679 G A G EBF1_EBF_1 -2 0 + 0 0 . chr4 2425928 2425929 chr4:2425929:G:C rs11732175 G C G EBF1_EBF_1 10 1 + 7.017519845076673 0.12671745774719734 TGACCCCAGGGACC chr4 2427339 2427340 chr4:2427340:T:C rs6842928 T C T EBF1_EBF_1 7 1 - 4.223873935290816 2.911415530001991 GTTCCCCAGGCACA chr4 2427727 2427728 chr4:2427728:G:A rs1203779 G A G EBF1_EBF_1 19 0 - 0 0 . chr4 2427749 2427750 chr4:2427750:A:C rs13110795 A C A EBF1_EBF_1 -3 0 - 0 0 . chr4 2428507 2428508 chr4:2428508:A:G rs12500482 A G G EBF1_EBF_1 -3 0 + 0 0 . chr4 2428535 2428536 chr4:2428536:T:C rs1200582969 T C T EBF1_EBF_1 25 0 + 0 0 . chr4 2434529 2434530 chr4:2434530:G:A rs1203766 G A G EBF1_EBF_1 32 0 + 0 0 . chr4 2441643 2441644 chr4:2441644:C:T rs115218909 C T C EBF1_EBF_1 -9 0 + 0 0 . chr4 2442178 2442179 chr4:2442179:G:A rs151017865 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 2451205 2451206 chr4:2451206:T:C rs3888113 T C C EBF1_EBF_1 -6 0 + 0 0 . chr4 2451629 2451630 chr4:2451630:C:G rs3135155 C G C EBF1_EBF_1 -8 0 - 0 0 . chr4 2506214 2506215 chr4:2506215:G:A rs1203851 G A G EBF1_EBF_1 21 0 + 0 0 . chr4 2597470 2597471 chr4:2597471:C:G rs79166615 C G C EBF1_EBF_1 8 1 - 5.976172818921064 0.6575139580063802 GTTCCCAAGGGCAG chr4 2599140 2599141 chr4:2599141:G:C rs74662349 G C C EBF1_EBF_1 -15 0 - 0 0 . chr4 2601964 2601965 chr4:2601965:G:A rs61790221 G A A EBF1_EBF_1 4 1 - 4.6796783818259895 0.31029143688106603 CTACCCAGGAGACT chr4 2623605 2623606 chr4:2623606:C:T chr4:2623606:C:T C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 2630490 2630491 chr4:2630491:T:C rs17164055 T C T EBF1_EBF_1 30 0 + 0 0 . chr4 2670032 2670033 chr4:2670033:G:A rs144485462 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 2686991 2686992 chr4:2686992:A:G rs12509340 A G a EBF1_EBF_1 -14 0 - 0 0 . chr4 2691950 2691951 chr4:2691951:A:G rs6605350 A G g EBF1_EBF_1 0 1 + 5.493021964336719 3.6007380947718843 ACCCCCAGGGTAAC chr4 2693972 2693973 chr4:2693973:G:C rs16843212 G C g EBF1_EBF_1 22 0 + 0 0 . chr4 2715599 2715600 chr4:2715600:T:C rs2237003 T C C EBF1_EBF_1 2 1 + 4.351861871801514 2.463038460359363 TGTCCCCTGGGCAG chr4 2729381 2729382 chr4:2729382:G:C rs12509910 G C G EBF1_EBF_1 19 0 + 0 0 . chr4 2742791 2742792 chr4:2742792:A:G rs57232780 A G A EBF1_EBF_1 -3 0 + 0 0 . chr4 2742793 2742794 chr4:2742794:C:T rs17831665 C T C EBF1_EBF_1 -1 0 + 0 0 . chr4 2755872 2755873 chr4:2755873:G:A rs1267882014 G A G EBF1_EBF_1 10 1 + 4.306738665883435 -1.0112897933835312 AACCCCTCAGGACC chr4 2758602 2758603 chr4:2758603:C:A rs71608235 C A C EBF1_EBF_1 12 1 - 5.1015051486511975 5.463874521778499 TTCCCCCAGAGAGA chr4 2762210 2762211 chr4:2762211:G:T rs1784163 G T G EBF1_EBF_1 10 1 + 3.773735463954968 -0.4090167222722959 GAGCCCCAGGGACC chr4 2762214 2762215 chr4:2762215:T:C rs1784162 T C T EBF1_EBF_1 14 0 + 0 0 . chr4 2787062 2787063 chr4:2787063:C:T rs186282765 C T C EBF1_EBF_1 8 1 - 4.6536969904921595 0.4274069016058977 GACCCCAGGAGACA chr4 2788844 2788845 chr4:2788845:G:T rs552914923 G T G EBF1_EBF_1 33 0 + 0 0 . chr4 2790908 2790909 chr4:2790909:G:A rs71608241 G A G EBF1_EBF_1 -2 0 - 0 0 . chr4 2793156 2793157 chr4:2793157:T:A rs1178215467 T A T EBF1_EBF_1 21 0 - 0 0 . chr4 2793181 2793182 chr4:2793182:G:C rs1169100420 G C g EBF1_EBF_1 -4 0 - 0 0 . chr4 2793226 2793227 chr4:2793227:G:T rs182820 G T g EBF1_EBF_1 32 0 - 0 0 . chr4 2793236 2793237 chr4:2793237:C:T rs231337 C T c EBF1_EBF_1 31 0 - 0 0 . chr4 2793926 2793927 chr4:2793927:T:C rs385715 T C C EBF1_EBF_1 1 1 - 7.163829245633947 7.42452807755525 TACCCCCAGGGAGC chr4 2798926 2798927 chr4:2798927:G:A rs11722784 G A G EBF1_EBF_1 -6 0 - 0 0 . chr4 2799184 2799185 chr4:2799185:G:A rs115407572 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 2799241 2799242 chr4:2799242:C:T rs79614546 C T C EBF1_EBF_1 7 1 + 7.526332949554505 9.343837695837127 TTCCCCTCGGGACC chr4 2799267 2799268 chr4:2799268:G:T rs185596788 G T G EBF1_EBF_1 33 0 + 0 0 . chr4 2799645 2799646 chr4:2799646:T:G rs231340 T G G EBF1_EBF_1 -20 0 + 0 0 . chr4 2799799 2799800 chr4:2799800:G:A rs545662586 G A G EBF1_EBF_1 8 1 + 3.428689435021192 -0.7976006538650698 ATCCCCCCGGGGGG chr4 2800456 2800457 chr4:2800457:G:A rs116590203 G A G EBF1_EBF_1 4 1 - 5.542982566828287 1.1735956218833623 TGCCCCTAGGGCCT chr4 2800476 2800477 chr4:2800477:G:T rs114264509 G T G EBF1_EBF_1 -16 0 - 0 0 . chr4 2800681 2800682 chr4:2800682:C:T rs28422992 C T C EBF1_EBF_1 7 1 + 5.40739157142541 7.2248963177080325 GCTCCCACGGGTCT chr4 2800759 2800760 chr4:2800760:A:G rs77363958 A G A EBF1_EBF_1 1 1 + 8.770450596345517 9.03114942826682 CATCCCCAGGGAGC chr4 2804999 2805000 chr4:2805000:T:C rs421714 T C t EBF1_EBF_1 -4 0 - 0 0 . chr4 2805181 2805182 chr4:2805182:A:G rs382379 A G A EBF1_EBF_1 -16 0 + 0 0 . chr4 2807040 2807041 chr4:2807041:C:T rs145263749 C T C EBF1_EBF_1 -10 0 + 0 0 . chr4 2812222 2812223 chr4:2812223:G:A chr4:2812223:G:A G A G EBF1_EBF_1 -3 0 + 0 0 . chr4 2819919 2819920 chr4:2819920:A:G rs112873919 A G A EBF1_EBF_1 6 1 - 4.434306912712846 4.133020783851088 ACTGCCTTGGGGCT chr4 2820434 2820435 chr4:2820435:G:A rs231401 G A G EBF1_EBF_1 -3 0 + 0 0 . chr4 2820739 2820740 chr4:2820740:G:T rs231402 G T G EBF1_EBF_1 30 0 - 0 0 . chr4 2823901 2823902 chr4:2823902:C:T rs923070460 C T C EBF1_EBF_1 26 0 - 0 0 . chr4 2831400 2831401 chr4:2831401:G:A rs2269484 G A A EBF1_EBF_1 -2 0 - 0 0 . chr4 2836784 2836785 chr4:2836785:C:G rs185045655 C G C EBF1_EBF_1 21 0 - 0 0 . chr4 2837807 2837808 chr4:2837808:G:T rs80306465 G T G EBF1_EBF_1 32 0 - 0 0 . chr4 2842913 2842914 chr4:2842914:T:A rs78058050 T A T EBF1_EBF_1 18 0 - 0 0 . chr4 2869900 2869901 chr4:2869901:C:G rs1263357 C G C EBF1_EBF_1 -8 0 - 0 0 . chr4 2931510 2931511 chr4:2931511:A:C rs200846962 A C G EBF1_EBF_1 11 1 - 5.445916094007686 6.6511235065024 AGTCCCCTGAGTCC chr4 2931963 2931964 chr4:2931964:G:A rs112615013 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 2932962 2932963 chr4:2932963:G:A rs772386369 G A G EBF1_EBF_1 8 1 + 5.86422313268028 1.6379330437940192 GGTCCCCCGAGAAC chr4 2935829 2935830 chr4:2935830:C:T rs755112009 C T C EBF1_EBF_1 16 0 + 0 0 . chr4 2940763 2940764 chr4:2940764:C:A rs543881625 C A C EBF1_EBF_1 17 0 - 0 0 . chr4 2945074 2945075 chr4:2945075:C:T rs28474697 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 2949791 2949792 chr4:2949792:A:G rs2515961 A G G EBF1_EBF_1 -16 0 + 0 0 . chr4 2962725 2962726 chr4:2962726:A:G rs981686844 A G A EBF1_EBF_1 13 1 + 5.795275617022836 4.680950044953397 CTTCCCAAGGAACA chr4 2978723 2978724 chr4:2978724:C:T rs183064939 C T C EBF1_EBF_1 18 0 - 0 0 . chr4 2978727 2978728 chr4:2978728:T:C rs2040061 T C T EBF1_EBF_1 14 0 - 0 0 . chr4 3028369 3028370 chr4:3028370:G:A rs3775065 G A G EBF1_EBF_1 -14 0 + 0 0 . chr4 3030641 3030642 chr4:3030642:A:G rs2857842 A G G EBF1_EBF_1 6 1 - 4.671328069777487 4.37004194091573 ACCCCCTAGAGCCT chr4 3036344 3036345 chr4:3036345:C:T rs2995802 C T C EBF1_EBF_1 1 1 - 3.6759436578117137 3.4152448258904107 CGCCCCTCGGGGAC chr4 3085025 3085026 chr4:3085026:A:T rs4690071 A T A EBF1_EBF_1 -5 0 - 0 0 . chr4 3106708 3106709 chr4:3106709:G:C chr4:3106709:G:C G C G EBF1_EBF_1 23 0 - 0 0 . chr4 3220736 3220737 chr4:3220737:G:A rs142391912 G A G EBF1_EBF_1 -16 0 + 0 0 . chr4 3235916 3235917 chr4:3235917:T:C rs362312 T C T EBF1_EBF_1 -17 0 + 0 0 . chr4 3256131 3256132 chr4:3256132:C:T rs111983276 C T C EBF1_EBF_1 17 0 - 0 0 . chr4 3257645 3257646 chr4:3257646:C:T rs362284 C T C EBF1_EBF_1 28 0 + 0 0 . chr4 3258091 3258092 chr4:3258092:G:A rs362281 G A G EBF1_EBF_1 -11 0 + 0 0 . chr4 3268177 3268178 chr4:3268178:A:G rs2071668 A G G EBF1_EBF_1 28 0 + 0 0 . chr4 3277273 3277274 chr4:3277274:G:T rs543821395 G T G EBF1_EBF_1 23 0 - 0 0 . chr4 3287865 3287866 chr4:3287866:C:T rs10024540 C T C EBF1_EBF_1 10 1 - 6.238724821787857 0.9206963625208906 GGCCCCGTGGGACA chr4 3287880 3287881 chr4:3287881:T:C rs57904538 T C C EBF1_EBF_1 -5 0 - 0 0 . chr4 3288463 3288464 chr4:3288464:A:G rs28652612 A G G EBF1_EBF_1 -5 0 + 0 0 . chr4 3288545 3288546 chr4:3288546:G:C rs116028648 G C C EBF1_EBF_1 5 1 - 5.22574055936232 -1.6791405932728483 CCTCCCGAGAGAGT chr4 3288675 3288676 chr4:3288676:A:G rs2071666 A G G EBF1_EBF_1 6 1 - 7.378991463281828 7.077705334420072 CTTCCCTTGGGCCT chr4 3293237 3293238 chr4:3293238:G:A rs866811127 G A g EBF1_EBF_1 2 1 - 3.965520234057404 5.854343645499554 GACCCCGAGGGGAC chr4 3294050 3294051 chr4:3294051:T:C rs139352546 T C C EBF1_EBF_1 33 0 - 0 0 . chr4 3312080 3312081 chr4:3312081:T:A rs61211078 T A T EBF1_EBF_1 25 0 - 0 0 . chr4 3331749 3331750 chr4:3331750:A:G rs114771446 A G G EBF1_EBF_1 1 1 + 4.739833342813555 5.000532174734858 CATCCCCAGCGAAA chr4 3331955 3331956 chr4:3331956:G:A rs138953670 G A G EBF1_EBF_1 19 0 - 0 0 . chr4 3331961 3331962 chr4:3331962:G:A rs79545144 G A G EBF1_EBF_1 13 1 - 9.337130432345344 10.181624546930863 ATTCCCCAGAGAGC chr4 3338033 3338034 chr4:3338034:T:C rs2749782 T C C EBF1_EBF_1 27 0 - 0 0 . chr4 3338502 3338503 chr4:3338503:C:T rs185647957 C T C EBF1_EBF_1 -12 0 + 0 0 . chr4 3340712 3340713 chr4:3340713:T:C rs75225149 T C C EBF1_EBF_1 -18 0 + 0 0 . chr4 3341839 3341840 chr4:3341840:G:A rs13130998 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 3362027 3362028 chr4:3362028:C:G rs16844192 C G G EBF1_EBF_1 32 0 - 0 0 . chr4 3367080 3367081 chr4:3367081:G:A rs538703348 G A G EBF1_EBF_1 30 0 - 0 0 . chr4 3368951 3368952 chr4:3368952:G:A rs74322875 G A G EBF1_EBF_1 -8 0 - 0 0 . chr4 3368953 3368954 chr4:3368954:C:T chr4:3368954:C:T C T C EBF1_EBF_1 -10 0 - 0 0 . chr4 3368955 3368956 chr4:3368956:A:G rs114125384 A G A EBF1_EBF_1 -12 0 - 0 0 . chr4 3370535 3370536 chr4:3370536:A:G rs6826720 A G A EBF1_EBF_1 -19 0 + 0 0 . chr4 3370561 3370562 chr4:3370562:C:T rs184930356 C T C EBF1_EBF_1 7 1 + 7.144392811091546 8.961897557374169 AACCCCTCGGGAGC chr4 3374040 3374041 chr4:3374041:G:A rs3129332 G A G EBF1_EBF_1 20 0 + 0 0 . chr4 3376063 3376064 chr4:3376064:G:A rs16843151 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 3376071 3376072 chr4:3376072:C:T rs76250142 C T C EBF1_EBF_1 17 0 - 0 0 . chr4 3383116 3383117 chr4:3383117:A:G rs1005187 A G A EBF1_EBF_1 -5 0 + 0 0 . chr4 3385089 3385090 chr4:3385090:A:T rs3129326 A T A EBF1_EBF_1 2 1 - 5.936527848608893 2.2520602610100164 CCTCCCAAGGGGGA chr4 3388763 3388764 chr4:3388764:G:T rs541281585 G T G EBF1_EBF_1 21 0 - 0 0 . chr4 3389271 3389272 chr4:3389272:G:C rs368222446 G C G EBF1_EBF_1 23 0 - 0 0 . chr4 3405224 3405225 chr4:3405225:G:A rs1203119 G A G EBF1_EBF_1 -9 0 + 0 0 . chr4 3406135 3406136 chr4:3406136:G:A rs112850234 G A G EBF1_EBF_1 21 0 - 0 0 . chr4 3434334 3434335 chr4:3434335:G:A rs4690014 G A T EBF1_EBF_1 7 1 - 4.911547280571974 6.729052026854599 AATCCCCCGGTATC chr4 3434989 3434990 chr4:3434990:C:T rs28612295 C T C EBF1_EBF_1 27 0 + 0 0 . chr4 3435896 3435897 chr4:3435897:C:T rs4613510 C T C EBF1_EBF_1 25 0 - 0 0 . chr4 3440853 3440854 chr4:3440854:T:C rs1440614941 T C T EBF1_EBF_1 -7 0 + 0 0 . chr4 3449866 3449867 chr4:3449867:C:G rs28581225 C G C EBF1_EBF_1 16 0 + 0 0 . chr4 3461793 3461794 chr4:3461794:C:G rs112154913 C G c EBF1_EBF_1 28 0 - 0 0 . chr4 3462260 3462261 chr4:3462261:C:T rs115561442 C T C EBF1_EBF_1 7 1 + 5.593678924709874 7.411183670992497 TCTCCCCCGAGAAC chr4 3462263 3462264 chr4:3462264:G:A chr4:3462264:G:A G A G EBF1_EBF_1 10 1 + 5.593678924709874 0.2756504654429078 TCTCCCCCGAGAAC chr4 3463711 3463712 chr4:3463712:C:T rs7663875 C T C EBF1_EBF_1 0 1 - 3.8375346524894325 5.7298185220542655 GCTCCCAGGGGCGC chr4 3463724 3463725 chr4:3463725:C:A rs149895148 C A C EBF1_EBF_1 -13 0 - 0 0 . chr4 3464786 3464787 chr4:3464787:C:G rs10027913 C G G EBF1_EBF_1 -8 0 + 0 0 . chr4 3469555 3469556 chr4:3469556:G:T rs1881809 G T G EBF1_EBF_1 0 1 - 3.7315115708365907 5.986770054533192 CCTCCCAGGAGGCC chr4 3471722 3471723 chr4:3471723:C:T rs35283737 C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 3477960 3477961 chr4:3477961:C:T rs183453780 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 3480950 3480951 chr4:3480951:C:T rs2699434 C T T EBF1_EBF_1 15 0 - 0 0 . chr4 3485015 3485016 chr4:3485016:G:A chr4:3485016:G:A G A G EBF1_EBF_1 3 1 - 5.9618915533091155 -0.4435071882442012 AGACCCCAGAGACA chr4 3485910 3485911 chr4:3485911:G:A rs62272672 G A G EBF1_EBF_1 -16 0 - 0 0 . chr4 3486943 3486944 chr4:3486944:G:A rs547935059 G A G EBF1_EBF_1 -12 0 - 0 0 . chr4 3489210 3489211 chr4:3489211:C:T rs5855788 C T C EBF1_EBF_1 13 1 + 9.020757666464021 9.86525178104954 AGACCCCAGGGAAC chr4 3489538 3489539 chr4:3489539:A:G rs116124630 A G A EBF1_EBF_1 -16 0 + 0 0 . chr4 3489549 3489550 chr4:3489550:C:T rs760509104 C T C EBF1_EBF_1 -5 0 + 0 0 . chr4 3489702 3489703 chr4:3489703:G:C rs749222988 G C G EBF1_EBF_1 21 0 + 0 0 . chr4 3497425 3497426 chr4:3497426:G:A rs7680504 G A G EBF1_EBF_1 -16 0 + 0 0 . chr4 3497490 3497491 chr4:3497491:C:G rs35348914 C G C EBF1_EBF_1 18 0 + 0 0 . chr4 3497497 3497498 chr4:3497498:A:T rs7661518 A T A EBF1_EBF_1 25 0 + 0 0 . chr4 3497865 3497866 chr4:3497866:G:C rs78633374 G C G EBF1_EBF_1 -13 0 + 0 0 . chr4 3520499 3520500 chr4:3520500:G:A rs73197136 G A G EBF1_EBF_1 13 1 + 4.980313833573541 6.09463940564298 GTCCCCCTGAGACG chr4 3520850 3520851 chr4:3520851:G:A rs7674588 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 3526257 3526258 chr4:3526258:C:T rs73793989 C T c EBF1_EBF_1 6 1 + 9.038713926082425 9.34000005494418 ATTCCCCCGGGGCT chr4 3526259 3526260 chr4:3526260:G:A rs78471790 G A g EBF1_EBF_1 8 1 + 9.038713926082425 4.812423837196165 ATTCCCCCGGGGCT chr4 3527840 3527841 chr4:3527841:C:G rs140209183 C G c EBF1_EBF_1 -8 0 + 0 0 . chr4 3529042 3529043 chr4:3529043:G:A rs62272716 G A g EBF1_EBF_1 15 0 - 0 0 . chr4 3532481 3532482 chr4:3532482:A:C rs1305324973 A C a EBF1_EBF_1 24 0 - 0 0 . chr4 3532497 3532498 chr4:3532498:C:T chr4:3532498:C:T C T c EBF1_EBF_1 8 1 - 9.774910338535946 5.548620249649688 ATTCCCAGGAGACC chr4 3545329 3545330 chr4:3545330:G:A rs370069895 G A g EBF1_EBF_1 -18 0 - 0 0 . chr4 3562704 3562705 chr4:3562705:C:T rs148526968 C T C EBF1_EBF_1 0 1 + 7.069866696400454 7.352068757131032 CGCCCCTAGGGAGA chr4 3570010 3570011 chr4:3570011:G:A rs67074573 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 3576822 3576823 chr4:3576823:T:C rs1188597 T C c EBF1_EBF_1 16 0 - 0 0 . chr4 3576841 3576842 chr4:3576842:A:C rs1188596 A C c EBF1_EBF_1 -3 0 - 0 0 . chr4 3577345 3577346 chr4:3577346:G:C rs1188594 G C C EBF1_EBF_1 -2 0 + 0 0 . chr4 3577411 3577412 chr4:3577412:G:C rs11723081 G C G EBF1_EBF_1 31 0 + 0 0 . chr4 3582544 3582545 chr4:3582545:G:A rs62275453 G A G EBF1_EBF_1 -12 0 + 0 0 . chr4 3582552 3582553 chr4:3582553:C:T rs4689992 C T C EBF1_EBF_1 -4 0 + 0 0 . chr4 3590190 3590191 chr4:3590191:C:T rs539852535 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 3597579 3597580 chr4:3597580:G:C rs62273027 G C G EBF1_EBF_1 -2 0 - 0 0 . chr4 3605147 3605148 chr4:3605148:G:T rs16844952 G T T EBF1_EBF_1 -3 0 - 0 0 . chr4 3607899 3607900 chr4:3607900:T:C rs570534709 T C T EBF1_EBF_1 19 0 + 0 0 . chr4 3631279 3631280 chr4:3631280:G:C rs933223961 G C G EBF1_EBF_1 10 1 + 9.218841440948687 2.328039053619212 CCTCCCTGGGGACC chr4 3639942 3639943 chr4:3639943:A:C rs79155699 A C a EBF1_EBF_1 1 1 - 6.413041131670499 5.7073793458409705 CTTCCCATGGGCTT chr4 3640351 3640352 chr4:3640352:C:T chr4:3640352:C:T C T C EBF1_EBF_1 -13 0 - 0 0 . chr4 3640358 3640359 chr4:3640359:T:A chr4:3640359:T:A T A T EBF1_EBF_1 -20 0 - 0 0 . chr4 3645438 3645439 chr4:3645439:C:A rs111955784 C A C EBF1_EBF_1 31 0 - 0 0 . chr4 3651933 3651934 chr4:3651934:C:T rs28448780 C T C EBF1_EBF_1 6 1 - 5.007784414248704 7.174578845523178 AACCCCGTGGGGAA chr4 3654691 3654692 chr4:3654692:C:T rs57624623 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 3654695 3654696 chr4:3654696:C:T rs28473030 C T c EBF1_EBF_1 28 0 + 0 0 . chr4 3655493 3655494 chr4:3655494:G:A rs150439358 G A g EBF1_EBF_1 9 1 + 5.888022001987081 3.233082736539477 CCTCCCCCGGGGCT chr4 3655771 3655772 chr4:3655772:T:A rs116400137 T A T EBF1_EBF_1 -8 0 - 0 0 . chr4 3662610 3662611 chr4:3662611:A:G rs177768 A G g EBF1_EBF_1 -16 0 - 0 0 . chr4 3664756 3664757 chr4:3664757:C:G rs1884411 C G G EBF1_EBF_1 22 0 - 0 0 . chr4 3667075 3667076 chr4:3667076:C:A rs114944530 C A C EBF1_EBF_1 4 1 + 3.8524606963180847 -1.9720989271353826 GGTCCCCAGGGCTG chr4 3669698 3669699 chr4:3669699:C:T rs180937470 C T C EBF1_EBF_1 -6 0 - 0 0 . chr4 3672870 3672871 chr4:3672871:G:A rs724943 G A A EBF1_EBF_1 32 0 - 0 0 . chr4 3674659 3674660 chr4:3674660:C:T rs58737668 C T C EBF1_EBF_1 26 0 - 0 0 . chr4 3675948 3675949 chr4:3675949:C:A rs28435337 C A C EBF1_EBF_1 17 0 + 0 0 . chr4 3679705 3679706 chr4:3679706:T:C rs62272073 T C C EBF1_EBF_1 -4 0 - 0 0 . chr4 3684776 3684777 chr4:3684777:C:T rs75164909 C T C EBF1_EBF_1 25 0 - 0 0 . chr4 3685068 3685069 chr4:3685069:G:A rs60983938 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 3688418 3688419 chr4:3688419:C:T rs141758204 C T c EBF1_EBF_1 -7 0 - 0 0 . chr4 3690254 3690255 chr4:3690255:G:T rs576281297 G T G EBF1_EBF_1 24 0 - 0 0 . chr4 3703757 3703758 chr4:3703758:C:T rs28676648 C T C EBF1_EBF_1 15 0 + 0 0 . chr4 3703764 3703765 chr4:3703765:C:T rs551018872 C T C EBF1_EBF_1 22 0 + 0 0 . chr4 3720679 3720680 chr4:3720680:A:G rs2748763 A G G EBF1_EBF_1 -15 0 - 0 0 . chr4 3721418 3721419 chr4:3721419:G:A rs2748760 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 3724974 3724975 chr4:3724975:G:A rs114565996 G A G EBF1_EBF_1 6 1 - 4.494329891570989 4.795616020432747 CTTCCCCGCGGACC chr4 3728782 3728783 chr4:3728783:G:T rs2748755 G T G EBF1_EBF_1 3 1 - 3.9919210298710084 -2.914252999594799 ACACCCACGGGAGG chr4 3728790 3728791 chr4:3728791:G:A rs113288337 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 3729666 3729667 chr4:3729667:C:T rs2857952 C T C EBF1_EBF_1 -6 0 + 0 0 . chr4 3738989 3738990 chr4:3738990:A:G rs2857959 A G G EBF1_EBF_1 -16 0 - 0 0 . chr4 3739932 3739933 chr4:3739933:T:C rs2857955 T C t EBF1_EBF_1 -4 0 - 0 0 . chr4 3750426 3750427 chr4:3750427:C:T rs11728495 C T C EBF1_EBF_1 2 1 + 4.928762477899084 6.817585889341234 AGCCTCCAGGGACG chr4 3752987 3752988 chr4:3752988:C:G rs17813250 C G G EBF1_EBF_1 13 1 + 4.907684733321027 3.419613711965612 TGTCCCCTGGAACC chr4 3763608 3763609 chr4:3763609:G:A rs9790376 G A A EBF1_EBF_1 19 0 + 0 0 . chr4 3767601 3767602 chr4:3767602:G:A rs185354316 G A G EBF1_EBF_1 -14 0 + 0 0 . chr4 3770850 3770851 chr4:3770851:A:G rs13144322 A G A EBF1_EBF_1 -13 0 + 0 0 . chr4 3770861 3770862 chr4:3770862:T:C rs114418570 T C T EBF1_EBF_1 -2 0 + 0 0 . chr4 3786640 3786641 chr4:3786641:A:T rs13126964 A T A EBF1_EBF_1 33 0 - 0 0 . chr4 3811969 3811970 chr4:3811970:T:C rs34055087 T C T EBF1_EBF_1 16 0 + 0 0 . chr4 3818832 3818833 chr4:3818833:G:C rs28735274 G C - EBF1_EBF_1 24 0 - 0 0 . chr4 3821798 3821799 chr4:3821799:T:C rs28711012 T C C EBF1_EBF_1 2 1 + 3.7079647342939746 1.8191413228518258 GCTCCCTGGGGCAG chr4 3826894 3826895 chr4:3826895:G:A rs62291153 G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 3827759 3827760 chr4:3827760:G:A rs62291154 G A G EBF1_EBF_1 6 1 - 6.17187981673179 6.473165945593548 CTTCCTCTGGGACT chr4 3829076 3829077 chr4:3829077:A:G rs34923422 A G G EBF1_EBF_1 21 0 + 0 0 . chr4 3830751 3830752 chr4:3830752:A:T rs73082773 A T A EBF1_EBF_1 7 1 - 4.842265161982013 5.31853940206957 CTCCCCATGGGTTT chr4 3830923 3830924 chr4:3830924:C:T rs149812207 C T C EBF1_EBF_1 5 1 + 5.325327785935481 0.0035405703948105938 TGTCCCGAGGGCCT chr4 3830934 3830935 chr4:3830935:C:G rs62292160 C G C EBF1_EBF_1 16 0 + 0 0 . chr4 3833576 3833577 chr4:3833577:A:G rs62292164 A G G EBF1_EBF_1 7 1 - 5.89979258089936 4.082287834616736 ATTCCCATGGAGCT chr4 3833583 3833584 chr4:3833584:T:C rs62292165 T C C EBF1_EBF_1 0 1 - 5.89979258089936 4.007508711334527 ATTCCCATGGAGCT chr4 3833585 3833586 chr4:3833586:T:C rs62292166 T C C EBF1_EBF_1 -2 0 - 0 0 . chr4 3843843 3843844 chr4:3843844:C:A rs79770542 C A C EBF1_EBF_1 22 0 - 0 0 . chr4 3864296 3864297 chr4:3864297:G:A rs73792723 G A G EBF1_EBF_1 -20 0 - 0 0 . chr4 3864533 3864534 chr4:3864534:C:T rs115447147 C T C EBF1_EBF_1 -20 0 - 0 0 . chr4 3864820 3864821 chr4:3864821:C:A rs73792726 C A C EBF1_EBF_1 27 0 + 0 0 . chr4 3867393 3867394 chr4:3867394:G:A rs60251054 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 3867522 3867523 chr4:3867523:T:C rs28570136 T C C EBF1_EBF_1 -15 0 - 0 0 . chr4 3871277 3871278 chr4:3871278:G:A rs116427368 G A G EBF1_EBF_1 25 0 + 0 0 . chr4 3881267 3881268 chr4:3881268:G:C rs574684443 G C G EBF1_EBF_1 5 1 - 4.438117806770084 -2.466763345865086 AGACCCTGGGGCAT chr4 3883989 3883990 chr4:3883990:A:C rs28636788 A C - EBF1_EBF_1 26 0 + 0 0 . chr4 3909778 3909779 chr4:3909779:G:A rs34786091 G A - EBF1_EBF_1 -9 0 - 0 0 . chr4 3910172 3910173 chr4:3910173:A:G rs28451599 A G - EBF1_EBF_1 1 1 - 8.308737954861998 7.4133045144632534 GTTCCCAAGGGCAT chr4 3938859 3938860 chr4:3938860:G:T rs67757503 G T G EBF1_EBF_1 5 1 - 5.824217263960405 -1.080663888674762 AACCCCTTGGTAAA chr4 3940128 3940129 chr4:3940129:T:C rs112178852 T C T EBF1_EBF_1 29 0 - 0 0 . chr4 3940172 3940173 chr4:3940173:C:A rs150374388 C A C EBF1_EBF_1 -15 0 - 0 0 . chr4 3940174 3940175 chr4:3940175:G:A rs185117341 G A G EBF1_EBF_1 -17 0 - 0 0 . chr4 3941006 3941007 chr4:3941007:G:T rs73076825 G T g EBF1_EBF_1 -11 0 - 0 0 . chr4 3942525 3942526 chr4:3942526:T:C rs34274024 T C c EBF1_EBF_1 -14 0 + 0 0 . chr4 3943226 3943227 chr4:3943227:A:G rs62289007 A G a EBF1_EBF_1 9 1 + 7.811694190947983 10.466633456395588 CTTCCCATGAGAGT chr4 3951002 3951003 chr4:3951003:T:C rs9684871 T C c EBF1_EBF_1 21 0 + 0 0 . chr4 3953252 3953253 chr4:3953253:C:A rs186610175 C A - EBF1_EBF_1 25 0 - 0 0 . chr4 3954822 3954823 chr4:3954823:C:T rs189127936 C T - EBF1_EBF_1 -18 0 - 0 0 . chr4 4094001 4094002 chr4:4094002:T:C rs34730698 T C - EBF1_EBF_1 13 1 - 4.463553875197023 3.3492283031275845 CATCCTCAGGGACA chr4 4108089 4108090 chr4:4108090:G:T rs12500461 G T g EBF1_EBF_1 -10 0 + 0 0 . chr4 4119327 4119328 chr4:4119328:G:T rs73206843 G T g EBF1_EBF_1 -1 0 + 0 0 . chr4 4164459 4164460 chr4:4164460:G:C rs11730017 G C g EBF1_EBF_1 4 1 - 4.761825707138334 -0.8639393940112103 ACCCCCAAGAGCAT chr4 4164480 4164481 chr4:4164481:T:G rs11735995 T G c EBF1_EBF_1 -17 0 - 0 0 . chr4 4169168 4169169 chr4:4169169:G:A chr4:4169169:G:A G A - EBF1_EBF_1 14 0 - 0 0 . chr4 4170425 4170426 chr4:4170426:T:G rs6851315 T G - EBF1_EBF_1 -17 0 - 0 0 . chr4 4192438 4192439 chr4:4192439:A:G rs2920168 A G A EBF1_EBF_1 6 1 + 9.27098843670041 7.104194005425935 AATCCCATGAGACA chr4 4197355 4197356 chr4:4197356:T:G rs34666677 T G T EBF1_EBF_1 13 1 - 5.8334625827904825 6.207208032076458 GCTCCCCAGGGCAA chr4 4227510 4227511 chr4:4227511:T:G rs1821414 T G G EBF1_EBF_1 -17 0 + 0 0 . chr4 4250149 4250150 chr4:4250150:C:G rs2916459 C G C EBF1_EBF_1 5 1 + 6.650649118580483 -0.25423203405468375 ACTCCCAAGAGCAT chr4 4252036 4252037 chr4:4252037:G:A rs7654659 G A G EBF1_EBF_1 1 1 + 6.4182353438841435 6.1575365119628405 GGTCCCCAGGTATT chr4 4259825 4259826 chr4:4259826:G:A rs34740679 G A g EBF1_EBF_1 32 0 + 0 0 . chr4 4289789 4289790 chr4:4289790:T:C rs2980156 T C C EBF1_EBF_1 29 0 - 0 0 . chr4 4289830 4289831 chr4:4289831:C:G rs2920258 C G G EBF1_EBF_1 -12 0 - 0 0 . chr4 4302877 4302878 chr4:4302878:G:A rs4689254 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 4313564 4313565 chr4:4313565:C:T rs2920204 C T C EBF1_EBF_1 5 1 + 3.6688391647433107 -1.6529480507973586 CCACCCCAGGGAGG chr4 4327848 4327849 chr4:4327849:G:A rs569288355 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 4329824 4329825 chr4:4329825:C:T rs78238159 C T C EBF1_EBF_1 -20 0 + 0 0 . chr4 4335321 4335322 chr4:4335322:A:G rs10937866 A G g EBF1_EBF_1 -16 0 + 0 0 . chr4 4340039 4340040 chr4:4340040:G:A rs73195892 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 4343162 4343163 chr4:4343163:G:C rs4689310 G C C EBF1_EBF_1 15 0 - 0 0 . chr4 4365999 4366000 chr4:4366000:G:C rs13113115 G C G EBF1_EBF_1 -1 0 + 0 0 . chr4 4378415 4378416 chr4:4378416:G:A rs13111266 G A G EBF1_EBF_1 -1 0 - 0 0 . chr4 4386819 4386820 chr4:4386820:C:G rs561485889 C G c EBF1_EBF_1 -4 0 + 0 0 . chr4 4387250 4387251 chr4:4387251:T:C rs553646991 T C T EBF1_EBF_1 -6 0 - 0 0 . chr4 4395961 4395962 chr4:4395962:G:A rs73793258 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 4409831 4409832 chr4:4409832:T:C rs7655690 T C C EBF1_EBF_1 5 1 - 3.8468205558533564 3.8468205558533564 CTTCCACAGGGACA chr4 4415805 4415806 chr4:4415806:C:T rs35412725 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 4415823 4415824 chr4:4415824:C:T rs7691887 C T C EBF1_EBF_1 -3 0 - 0 0 . chr4 4568834 4568835 chr4:4568835:A:G rs191114201 A G A EBF1_EBF_1 1 1 - 5.219114746608767 4.3236813062100214 ATTCCCAGGTGACA chr4 4583742 4583743 chr4:4583743:T:C rs13142209 T C T EBF1_EBF_1 17 0 - 0 0 . chr4 4619134 4619135 chr4:4619135:G:C rs73203658 G C G EBF1_EBF_1 16 0 + 0 0 . chr4 4688259 4688260 chr4:4688260:C:T rs10937886 C T C EBF1_EBF_1 21 0 + 0 0 . chr4 4714561 4714562 chr4:4714562:G:A rs78427812 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 4718261 4718262 chr4:4718262:G:A rs78047899 G A G EBF1_EBF_1 31 0 + 0 0 . chr4 4719626 4719627 chr4:4719627:A:T rs4293743 A T T EBF1_EBF_1 -14 0 - 0 0 . chr4 4730039 4730040 chr4:4730040:T:G rs13136121 T G T EBF1_EBF_1 -15 0 + 0 0 . chr4 4730063 4730064 chr4:4730064:G:A rs10011923 G A G EBF1_EBF_1 9 1 + 9.582886389696222 6.927947124248619 AATCCCGAGGGATC chr4 4751479 4751480 chr4:4751480:A:C rs9996904 A C T EBF1_EBF_1 31 0 + 0 0 . chr4 4760342 4760343 chr4:4760343:C:T rs111646084 C T C EBF1_EBF_1 -11 0 + 0 0 . chr4 4810531 4810532 chr4:4810532:G:A rs551441220 G A G EBF1_EBF_1 12 1 - 5.257082994111222 4.2308164235603725 CTCCCCAGGAGACA chr4 4818673 4818674 chr4:4818674:C:G rs57716907 C G C EBF1_EBF_1 12 1 + 6.945661641807996 5.557025698129845 CACCCCAGGGGACA chr4 4838271 4838272 chr4:4838272:C:T rs28672865 C T C EBF1_EBF_1 27 0 - 0 0 . chr4 4851071 4851072 chr4:4851072:G:A rs143004075 G A g EBF1_EBF_1 13 1 - 5.920705446558118 6.765199561143636 GGTCCCCTGGGCAC chr4 4856522 4856523 chr4:4856523:C:G rs34756084 C G C EBF1_EBF_1 -9 0 + 0 0 . chr4 4858849 4858850 chr4:4858850:C:A rs74351585 C A C EBF1_EBF_1 1 1 - 6.591930095092125 7.297591880921654 TGTCCCAAGGGTCA chr4 4859395 4859396 chr4:4859396:G:C rs78448576 G C G EBF1_EBF_1 8 1 + 10.150747435771414 4.832088574856729 AATCCCCCGGGAAC chr4 4859408 4859409 chr4:4859409:G:T rs80120240 G T G EBF1_EBF_1 21 0 + 0 0 . chr4 4859656 4859657 chr4:4859657:G:A rs527295263 G A G EBF1_EBF_1 1 1 - 7.968230464481244 8.863663904879989 GCTCCCCAGAGAAC chr4 4859670 4859671 chr4:4859671:C:T rs558561774 C T C EBF1_EBF_1 -13 0 - 0 0 . chr4 4860957 4860958 chr4:4860958:G:C rs3116581 G C G EBF1_EBF_1 28 0 - 0 0 . chr4 4867435 4867436 chr4:4867436:C:A rs113144937 C A C EBF1_EBF_1 -12 0 + 0 0 . chr4 4867609 4867610 chr4:4867610:G:T rs76418652 G T G EBF1_EBF_1 -4 0 - 0 0 . chr4 4870426 4870427 chr4:4870427:G:C rs4280678 G C G EBF1_EBF_1 8 1 + 4.289476931127143 -1.0291819297875426 AGTCCCCCGAGGGT chr4 4872928 4872929 chr4:4872929:A:G rs12512185 A G A EBF1_EBF_1 -9 0 - 0 0 . chr4 4889631 4889632 chr4:4889632:G:T rs112701760 G T G EBF1_EBF_1 32 0 + 0 0 . chr4 4913483 4913484 chr4:4913484:T:C rs4528997 T C C EBF1_EBF_1 22 0 - 0 0 . chr4 4951250 4951251 chr4:4951251:G:T rs1180334049 G T G EBF1_EBF_1 -8 0 + 0 0 . chr4 4951254 4951255 chr4:4951255:T:G rs4689190 T G G EBF1_EBF_1 -4 0 + 0 0 . chr4 4951268 4951269 chr4:4951269:G:C rs143489388 G C G EBF1_EBF_1 10 1 + 7.672536329176634 0.7817339418471592 TCCCCCAGGGGACC chr4 4968323 4968324 chr4:4968324:C:T rs78813650 C T C EBF1_EBF_1 -1 0 + 0 0 . chr4 4981565 4981566 chr4:4981566:C:T rs1012137301 C T C EBF1_EBF_1 -13 0 + 0 0 . chr4 4987027 4987028 chr4:4987028:T:C rs7686383 T C C EBF1_EBF_1 -20 0 + 0 0 . chr4 4996284 4996285 chr4:4996285:C:T rs11936053 C T T EBF1_EBF_1 5 1 + 3.6220163895814137 -1.6997708259592563 CTTCCCGGGGGCAC chr4 5017301 5017302 chr4:5017302:G:A rs28652843 G A G EBF1_EBF_1 27 0 + 0 0 . chr4 5024913 5024914 chr4:5024914:A:C rs9998211 A C C EBF1_EBF_1 26 0 + 0 0 . chr4 5052234 5052235 chr4:5052235:G:T rs7668155 G T T EBF1_EBF_1 -13 0 + 0 0 . chr4 5115004 5115005 chr4:5115005:C:G rs716675 C G C EBF1_EBF_1 -13 0 + 0 0 . chr4 5115016 5115017 chr4:5115017:G:A rs716674 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 5121805 5121806 chr4:5121806:G:A rs12640988 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 5121811 5121812 chr4:5121812:T:A rs13146687 T A T EBF1_EBF_1 0 1 + 10.328241983389573 12.301298406355595 TGTCCCCAGGGACA chr4 5130144 5130145 chr4:5130145:G:C rs10937626 G C C EBF1_EBF_1 -19 0 - 0 0 . chr4 5130956 5130957 chr4:5130957:C:A rs116223189 C A C EBF1_EBF_1 20 0 - 0 0 . chr4 5137960 5137961 chr4:5137961:C:T rs6446325 C T C EBF1_EBF_1 26 0 - 0 0 . chr4 5142106 5142107 chr4:5142107:A:G rs151130279 A G A EBF1_EBF_1 19 0 - 0 0 . chr4 5144078 5144079 chr4:5144079:C:T rs10012571 C T T EBF1_EBF_1 32 0 - 0 0 . chr4 5161089 5161090 chr4:5161090:A:G rs13122321 A G A EBF1_EBF_1 22 0 - 0 0 . chr4 5186726 5186727 chr4:5186727:T:C rs10937629 T C C EBF1_EBF_1 -5 0 + 0 0 . chr4 5205052 5205053 chr4:5205053:C:G rs190736853 C G C EBF1_EBF_1 15 0 + 0 0 . chr4 5211701 5211702 chr4:5211702:T:G rs1031237 T G T EBF1_EBF_1 17 0 - 0 0 . chr4 5381493 5381494 chr4:5381494:C:A rs10937640 C A A EBF1_EBF_1 16 0 + 0 0 . chr4 5383349 5383350 chr4:5383350:G:A rs11724574 G A A EBF1_EBF_1 22 0 + 0 0 . chr4 5394518 5394519 chr4:5394519:A:G rs2003484 A G A EBF1_EBF_1 24 0 + 0 0 . chr4 5445355 5445356 chr4:5445356:T:G rs9291091 T G G EBF1_EBF_1 -6 0 + 0 0 . chr4 5445368 5445369 chr4:5445369:G:A rs11737633 G A G EBF1_EBF_1 7 1 + 3.4066786054322185 4.7191370107210435 GCTCCCCGGGGCAG chr4 5449596 5449597 chr4:5449597:C:A rs734085 C A C EBF1_EBF_1 30 0 + 0 0 . chr4 5449732 5449733 chr4:5449733:A:G rs2011642 A G G EBF1_EBF_1 24 0 - 0 0 . chr4 5449756 5449757 chr4:5449757:T:C rs2369710 T C C EBF1_EBF_1 0 1 - 9.171519234245839 7.2792353646810035 ACTCCCATGAGAGT chr4 5455281 5455282 chr4:5455282:T:G rs17367340 T G T EBF1_EBF_1 -17 0 - 0 0 . chr4 5463357 5463358 chr4:5463358:C:T chr4:5463358:C:T C T C EBF1_EBF_1 -20 0 - 0 0 . chr4 5464406 5464407 chr4:5464407:G:A rs58854245 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 5466503 5466504 chr4:5466504:A:G rs3815189 A G A EBF1_EBF_1 -2 0 - 0 0 . chr4 5527645 5527646 chr4:5527646:G:A rs10488938 G A C EBF1_EBF_1 30 0 + 0 0 . chr4 5546150 5546151 chr4:5546151:T:G rs13138206 T G T EBF1_EBF_1 -7 0 + 0 0 . chr4 5655589 5655590 chr4:5655590:C:T rs73198198 C T C EBF1_EBF_1 -13 0 + 0 0 . chr4 5659132 5659133 chr4:5659133:G:C rs57008416 G C G EBF1_EBF_1 26 0 - 0 0 . chr4 5697086 5697087 chr4:5697087:C:T rs7673803 C T T EBF1_EBF_1 -5 0 + 0 0 . chr4 5712589 5712590 chr4:5712590:A:G rs1545791 A G G EBF1_EBF_1 24 0 - 0 0 . chr4 5712617 5712618 chr4:5712618:A:C rs1216057427 A C A EBF1_EBF_1 -4 0 - 0 0 . chr4 5712632 5712633 chr4:5712633:G:A rs76005147 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 5719585 5719586 chr4:5719586:C:T rs4539985 C T C EBF1_EBF_1 1 1 + 8.339910797101888 9.235344237500634 ACCCCCCTGAGAAT chr4 5730529 5730530 chr4:5730530:G:A rs28667895 G A G EBF1_EBF_1 15 0 - 0 0 . chr4 5733814 5733815 chr4:5733815:G:C rs2291153 G C t EBF1_EBF_1 15 0 - 0 0 . chr4 5735104 5735105 chr4:5735105:T:G rs1871585 T G G EBF1_EBF_1 -6 0 + 0 0 . chr4 5747435 5747436 chr4:5747436:G:A rs61101670 G A G EBF1_EBF_1 8 1 + 3.965086365987699 -0.261203722898562 ACTCCCCCGAGCCT chr4 5747632 5747633 chr4:5747633:C:T rs73795044 C T C EBF1_EBF_1 25 0 + 0 0 . chr4 5749437 5749438 chr4:5749438:G:A rs6811452 G A A EBF1_EBF_1 6 1 + 4.756956916948595 6.923751348223072 ACACCCGTGGGAGA chr4 5752387 5752388 chr4:5752388:G:A rs3774867 G A G EBF1_EBF_1 21 0 + 0 0 . chr4 5753304 5753305 chr4:5753305:C:T rs116816567 C T A EBF1_EBF_1 15 0 + 0 0 . chr4 5753317 5753318 chr4:5753318:C:A rs114844852 C A C EBF1_EBF_1 28 0 + 0 0 . chr4 5753322 5753323 chr4:5753323:C:T rs11731603 C T T EBF1_EBF_1 33 0 + 0 0 . chr4 5754109 5754110 chr4:5754110:T:A rs2302074 T A T EBF1_EBF_1 17 0 + 0 0 . chr4 5757210 5757211 chr4:5757211:A:G rs62300391 A G a EBF1_EBF_1 23 0 + 0 0 . chr4 5757879 5757880 chr4:5757880:G:A rs12505053 G A G EBF1_EBF_1 25 0 + 0 0 . chr4 5761718 5761719 chr4:5761719:G:T rs10004227 G T T EBF1_EBF_1 25 0 - 0 0 . chr4 5767834 5767835 chr4:5767835:T:G rs13112879 T G G EBF1_EBF_1 30 0 + 0 0 . chr4 5773896 5773897 chr4:5773897:C:T rs79855049 C T C EBF1_EBF_1 10 1 - 11.488421771906264 6.1703933126393 GTTCCCCAGGGACC chr4 5803732 5803733 chr4:5803733:G:A rs34744125 G A G EBF1_EBF_1 20 0 + 0 0 . chr4 5861304 5861305 chr4:5861305:G:A rs13130069 G A G EBF1_EBF_1 30 0 - 0 0 . chr4 5885660 5885661 chr4:5885661:A:C rs3774893 A C C EBF1_EBF_1 13 1 + 5.435905999846538 5.809651449132514 TCTCCCCTAGGACA chr4 5886731 5886732 chr4:5886732:T:G rs79831076 T G T EBF1_EBF_1 6 1 - 10.621797483598357 10.26019511579708 CCTCCCAAGGGAAC chr4 5887939 5887940 chr4:5887940:T:C rs73065534 T C t EBF1_EBF_1 32 0 - 0 0 . chr4 5915127 5915128 chr4:5915128:A:G rs116392195 A G A EBF1_EBF_1 18 0 + 0 0 . chr4 5921153 5921154 chr4:5921154:G:A rs10008569 G A G EBF1_EBF_1 23 0 - 0 0 . chr4 5921189 5921190 chr4:5921190:C:T rs10937692 C T C EBF1_EBF_1 -13 0 - 0 0 . chr4 5921192 5921193 chr4:5921193:G:C rs114321603 G C G EBF1_EBF_1 -16 0 - 0 0 . chr4 5922561 5922562 chr4:5922562:C:A rs4425309 C A A EBF1_EBF_1 -18 0 - 0 0 . chr4 5928443 5928444 chr4:5928444:A:G rs6810570 A G A EBF1_EBF_1 6 1 - 5.265006458436606 4.963720329574848 ACTCCCTTGGTGAC chr4 5928536 5928537 chr4:5928537:G:A rs73210719 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 5933685 5933686 chr4:5933686:G:A rs114356306 G A G EBF1_EBF_1 32 0 - 0 0 . chr4 5933695 5933696 chr4:5933696:G:A rs116103863 G A G EBF1_EBF_1 22 0 - 0 0 . chr4 5933708 5933709 chr4:5933709:C:T rs114464731 C T C EBF1_EBF_1 9 1 - 6.907197046787733 4.2522577813401305 TTTCCCATGGGCAC chr4 5935476 5935477 chr4:5935477:A:G rs16837855 A G G EBF1_EBF_1 -20 0 + 0 0 . chr4 5935512 5935513 chr4:5935513:T:C rs28495535 T C C EBF1_EBF_1 16 0 + 0 0 . chr4 5940007 5940008 chr4:5940008:C:T rs35850781 C T C EBF1_EBF_1 17 0 - 0 0 . chr4 5944269 5944270 chr4:5944270:C:A rs6822221 C A C EBF1_EBF_1 -12 0 - 0 0 . chr4 5947656 5947657 chr4:5947657:G:A rs76666020 G A A EBF1_EBF_1 26 0 + 0 0 . chr4 5955522 5955523 chr4:5955523:A:G rs6851472 A G G EBF1_EBF_1 11 1 + 7.458289864705276 4.565583021101265 TTTCCCAGGAGAAA chr4 5961092 5961093 chr4:5961093:C:A rs6830217 C A A EBF1_EBF_1 18 0 + 0 0 . chr4 5964214 5964215 chr4:5964215:G:A rs118128436 G A G EBF1_EBF_1 27 0 - 0 0 . chr4 5971415 5971416 chr4:5971416:G:A rs148721841 G A g EBF1_EBF_1 -5 0 + 0 0 . chr4 5971436 5971437 chr4:5971437:A:G rs115742203 A G A EBF1_EBF_1 16 0 + 0 0 . chr4 5991405 5991406 chr4:5991406:T:C rs6446428 T C C EBF1_EBF_1 -6 0 + 0 0 . chr4 5991630 5991631 chr4:5991631:G:C rs4642177 G C C EBF1_EBF_1 8 1 - 5.300883421443187 10.619542282357871 AGCCCCTGCGGACT chr4 5992296 5992297 chr4:5992297:C:T rs73212655 C T C EBF1_EBF_1 9 1 - 4.951805573131667 2.2968663076840627 CATCCCTGAGGAAC chr4 5992854 5992855 chr4:5992855:G:A rs564896882 G A G EBF1_EBF_1 -16 0 - 0 0 . chr4 5993004 5993005 chr4:5993005:G:A rs73212660 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 5993007 5993008 chr4:5993008:C:T rs1227660169 C T C EBF1_EBF_1 19 0 + 0 0 . chr4 5993011 5993012 chr4:5993012:A:G rs58595292 A G G EBF1_EBF_1 23 0 + 0 0 . chr4 5993036 5993037 chr4:5993037:C:A rs73212661 C A C EBF1_EBF_1 -17 0 + 0 0 . chr4 5994108 5994109 chr4:5994109:G:A rs73196030 G A G EBF1_EBF_1 29 0 + 0 0 . chr4 5994528 5994529 chr4:5994529:T:C rs4993357 T C C EBF1_EBF_1 -11 0 + 0 0 . chr4 5994572 5994573 chr4:5994573:G:A rs73196033 G A G EBF1_EBF_1 33 0 + 0 0 . chr4 5998963 5998964 chr4:5998964:T:C rs3887346 T C C EBF1_EBF_1 16 0 - 0 0 . chr4 6003193 6003194 chr4:6003194:G:A rs4689285 G A A EBF1_EBF_1 -11 0 + 0 0 . chr4 6007031 6007032 chr4:6007032:G:A rs77050218 G A G EBF1_EBF_1 30 0 + 0 0 . chr4 6030450 6030451 chr4:6030451:C:T rs13105242 C T C EBF1_EBF_1 25 0 - 0 0 . chr4 6033086 6033087 chr4:6033087:G:C rs62284775 G C G EBF1_EBF_1 -10 0 + 0 0 . chr4 6035033 6035034 chr4:6035034:A:G rs66944062 A G G EBF1_EBF_1 15 0 + 0 0 . chr4 6038811 6038812 chr4:6038812:G:A rs11724999 G A G EBF1_EBF_1 -8 0 + 0 0 . chr4 6066625 6066626 chr4:6066626:C:T rs73073458 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 6085643 6085644 chr4:6085644:G:A rs73196084 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 6089584 6089585 chr4:6089585:C:T rs1467145 C T C EBF1_EBF_1 -16 0 + 0 0 . chr4 6092457 6092458 chr4:6092458:C:G rs55782166 C G C EBF1_EBF_1 23 0 - 0 0 . chr4 6105016 6105017 chr4:6105017:A:C rs13111011 A C A EBF1_EBF_1 2 1 - 5.379537233703121 -1.3687884564973465 ATTCCAAAGGGAAG chr4 6106719 6106720 chr4:6106720:C:T rs6847323 C T C EBF1_EBF_1 13 1 - 10.822213068491138 11.936538640560578 ACTCCCTTGGGACG chr4 6120109 6120110 chr4:6120110:T:C rs17767306 T C T EBF1_EBF_1 -19 0 - 0 0 . chr4 6150443 6150444 chr4:6150444:A:G rs6812643 A G G EBF1_EBF_1 -7 0 + 0 0 . chr4 6172294 6172295 chr4:6172295:C:T rs73075498 C T C EBF1_EBF_1 26 0 - 0 0 . chr4 6172525 6172526 chr4:6172526:A:G rs11735749 A G G EBF1_EBF_1 -10 0 - 0 0 . chr4 6192525 6192526 chr4:6192526:A:G rs13105098 A G A EBF1_EBF_1 9 1 + 6.832172694794968 9.487111960242572 AACCCCAAGAGATA chr4 6198054 6198055 chr4:6198055:C:T rs4234722 C T C EBF1_EBF_1 19 0 + 0 0 . chr4 6200576 6200577 chr4:6200577:C:T rs757649635 C T C EBF1_EBF_1 -20 0 + 0 0 . chr4 6200616 6200617 chr4:6200617:G:T rs193168949 G T G EBF1_EBF_1 20 0 + 0 0 . chr4 6200629 6200630 chr4:6200630:T:C chr4:6200630:T:C T C T EBF1_EBF_1 33 0 + 0 0 . chr4 6209434 6209435 chr4:6209435:A:G rs4689359 A G A EBF1_EBF_1 -8 0 + 0 0 . chr4 6230727 6230728 chr4:6230728:G:T rs536871185 G T G EBF1_EBF_1 -9 0 + 0 0 . chr4 6252170 6252171 chr4:6252171:G:T rs113222712 G T G EBF1_EBF_1 27 0 - 0 0 . chr4 6270494 6270495 chr4:6270495:G:A rs373905832 G A G EBF1_EBF_1 9 1 + 4.944510123895978 2.289570858448374 GTCCCCCAGGGGGA chr4 6271882 6271883 chr4:6271883:C:A rs76328451 C A C EBF1_EBF_1 21 0 + 0 0 . chr4 6273830 6273831 chr4:6273831:C:T rs78195061 C T T EBF1_EBF_1 5 1 + 10.555703010699446 5.233915795158776 AACCCCCAGGGAAC chr4 6277319 6277320 chr4:6277320:C:T rs10937714 C T T EBF1_EBF_1 -13 0 - 0 0 . chr4 6280429 6280430 chr4:6280430:T:C rs1079214 T C C EBF1_EBF_1 23 0 - 0 0 . chr4 6281732 6281733 chr4:6281733:C:T rs10028875 C T C EBF1_EBF_1 -5 0 - 0 0 . chr4 6294125 6294126 chr4:6294126:C:T rs73071607 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 6303823 6303824 chr4:6303824:T:C rs113243263 T C T EBF1_EBF_1 13 1 + 7.357812191460414 6.513318076874896 AGCCCCTTGGGTCT chr4 6313604 6313605 chr4:6313605:C:T rs7695981 C T T EBF1_EBF_1 8 1 - 4.360651169931275 0.13436108104501288 CCTCCCAAGGTGCT chr4 6314622 6314623 chr4:6314623:G:A rs4234732 G A G EBF1_EBF_1 8 1 + 6.700431038966605 2.4741409500803453 ATTCCCAGGAGGTT chr4 6319074 6319075 chr4:6319075:A:G rs73073110 A G G EBF1_EBF_1 14 0 - 0 0 . chr4 6327217 6327218 chr4:6327218:G:A rs373913421 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 6330537 6330538 chr4:6330538:A:T rs529262500 A T A EBF1_EBF_1 -1 0 + 0 0 . chr4 6330622 6330623 chr4:6330623:T:G rs4547869 T G T EBF1_EBF_1 28 0 + 0 0 . chr4 6339262 6339263 chr4:6339263:G:A rs28491260 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 6347188 6347189 chr4:6347189:G:A rs35776737 G A G EBF1_EBF_1 2 1 - 4.666087549358005 6.554910960800156 AGCCCCAGGAGATG chr4 6354613 6354614 chr4:6354614:T:C rs13138424 T C T EBF1_EBF_1 19 0 + 0 0 . chr4 6361685 6361686 chr4:6361686:G:C rs12647559 G C G EBF1_EBF_1 13 1 + 4.917281923460395 6.40535294481581 CACCCCCTGGGAGG chr4 6380783 6380784 chr4:6380784:G:A rs76147260 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 6383552 6383553 chr4:6383553:C:T rs56220760 C T C EBF1_EBF_1 21 0 + 0 0 . chr4 6388214 6388215 chr4:6388215:A:G rs7657197 A G G EBF1_EBF_1 -18 0 + 0 0 . chr4 6390147 6390148 chr4:6390148:A:G rs11733217 A G a EBF1_EBF_1 13 1 + 4.755271972745514 3.640946400676075 ACTTCCAGGGGACA chr4 6390153 6390154 chr4:6390154:G:C rs56239345 G C g EBF1_EBF_1 19 0 + 0 0 . chr4 6390301 6390302 chr4:6390302:G:T rs939169754 G T G EBF1_EBF_1 -1 0 + 0 0 . chr4 6393670 6393671 chr4:6393671:C:T rs11935416 C T C EBF1_EBF_1 32 0 + 0 0 . chr4 6393901 6393902 chr4:6393902:C:T rs57906533 C T C EBF1_EBF_1 -19 0 + 0 0 . chr4 6393904 6393905 chr4:6393905:G:A rs143752188 G A g EBF1_EBF_1 -16 0 + 0 0 . chr4 6396041 6396042 chr4:6396042:A:G rs6831981 A G A EBF1_EBF_1 1 1 - 3.3389739585286318 2.443540518129886 GTTCCCCGGTGACC chr4 6402551 6402552 chr4:6402552:G:A rs11722260 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 6402572 6402573 chr4:6402573:G:A rs11722264 G A G EBF1_EBF_1 -1 0 - 0 0 . chr4 6405633 6405634 chr4:6405634:G:T rs75916889 G T G EBF1_EBF_1 -10 0 - 0 0 . chr4 6411134 6411135 chr4:6411135:G:T rs10804981 G T T EBF1_EBF_1 10 1 + 4.317113267272278 0.13436108104501288 CCTCCCAAAGGGCT chr4 6416830 6416831 chr4:6416831:C:T rs11945944 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 6416862 6416863 chr4:6416863:T:A rs12501177 T A T EBF1_EBF_1 -11 0 - 0 0 . chr4 6416863 6416864 chr4:6416864:C:T rs12511794 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 6417642 6417643 chr4:6417643:G:A rs143330491 G A G EBF1_EBF_1 -3 0 + 0 0 . chr4 6424804 6424805 chr4:6424805:G:A rs71599891 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 6431563 6431564 chr4:6431564:G:C rs77094575 G C G EBF1_EBF_1 29 0 + 0 0 . chr4 6441414 6441415 chr4:6441415:C:A rs13150377 C A C EBF1_EBF_1 2 1 + 7.778841728309131 5.983197552152404 AGCCCCATGGGGCC chr4 6441415 6441416 chr4:6441416:C:T rs71646645 C T C EBF1_EBF_1 3 1 + 7.778841728309131 1.3734429867558127 AGCCCCATGGGGCC chr4 6448026 6448027 chr4:6448027:A:T rs9968308 A T A EBF1_EBF_1 -2 0 + 0 0 . chr4 6452118 6452119 chr4:6452119:G:A rs955315784 G A G EBF1_EBF_1 -8 0 + 0 0 . chr4 6463263 6463264 chr4:6463264:T:C rs750812300 T C T EBF1_EBF_1 22 0 + 0 0 . chr4 6464359 6464360 chr4:6464360:G:T rs10027973 G T G EBF1_EBF_1 19 0 + 0 0 . chr4 6467107 6467108 chr4:6467108:C:T rs34330982 C T C EBF1_EBF_1 22 0 - 0 0 . chr4 6492588 6492589 chr4:6492589:T:A rs75020917 T A T EBF1_EBF_1 26 0 + 0 0 . chr4 6506886 6506887 chr4:6506887:C:T rs142159507 C T T EBF1_EBF_1 -17 0 - 0 0 . chr4 6533585 6533586 chr4:6533586:C:T rs28548874 C T C EBF1_EBF_1 -16 0 + 0 0 . chr4 6542227 6542228 chr4:6542228:T:C rs34596014 T C C EBF1_EBF_1 30 0 + 0 0 . chr4 6575398 6575399 chr4:6575399:C:G rs145124954 C G C EBF1_EBF_1 3 1 + 4.111386125938121 -1.7163783221770954 CTCCCCGCGGGATC chr4 6578555 6578556 chr4:6578556:A:G rs3764809 A G G EBF1_EBF_1 31 0 + 0 0 . chr4 6581481 6581482 chr4:6581482:C:A rs12649143 C A A EBF1_EBF_1 17 0 + 0 0 . chr4 6581986 6581987 chr4:6581987:C:T rs62291356 C T C EBF1_EBF_1 -15 0 - 0 0 . chr4 6591389 6591390 chr4:6591390:T:C rs6847759 T C C EBF1_EBF_1 23 0 - 0 0 . chr4 6614786 6614787 chr4:6614787:C:T rs10019800 C T C EBF1_EBF_1 24 0 - 0 0 . chr4 6628082 6628083 chr4:6628083:C:T rs59593745 C T C EBF1_EBF_1 29 0 - 0 0 . chr4 6628089 6628090 chr4:6628090:A:T rs58348189 A T A EBF1_EBF_1 22 0 - 0 0 . chr4 6633180 6633181 chr4:6633181:C:T rs77401241 C T C EBF1_EBF_1 -8 0 + 0 0 . chr4 6645286 6645287 chr4:6645287:G:T rs4318722 G T G EBF1_EBF_1 13 1 - 6.605781192797235 6.232035743511259 AACCCCAAGGGGGC chr4 6656973 6656974 chr4:6656974:C:T rs72616125 C T C EBF1_EBF_1 4 1 + 5.946791390416999 1.5774044454720764 CACCCCTAGAGAAC chr4 6671824 6671825 chr4:6671825:G:A rs11946525 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 6689063 6689064 chr4:6689064:C:T rs76949134 C T C EBF1_EBF_1 -3 0 + 0 0 . chr4 6689092 6689093 chr4:6689093:A:G rs140955951 A G A EBF1_EBF_1 26 0 + 0 0 . chr4 6693094 6693095 chr4:6693095:G:A rs141863304 G A G EBF1_EBF_1 16 0 - 0 0 . chr4 6697428 6697429 chr4:6697429:G:A rs75064529 G A G EBF1_EBF_1 -20 0 - 0 0 . chr4 6703426 6703427 chr4:6703427:G:C rs75124673 G C T EBF1_EBF_1 8 1 + 6.178697192436273 0.8600383315215863 CATCCCACGGGGCT chr4 6727481 6727482 chr4:6727482:G:A rs79257242 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 6729171 6729172 chr4:6729172:T:C rs59835527 T C T EBF1_EBF_1 -10 0 - 0 0 . chr4 6732568 6732569 chr4:6732569:C:G rs4689042 C G C EBF1_EBF_1 -8 0 + 0 0 . chr4 6732585 6732586 chr4:6732586:G:A rs4689043 G A A EBF1_EBF_1 9 1 + 3.965520234057404 1.3105809686098 GACCCCGAGGGGAC chr4 6742422 6742423 chr4:6742423:C:T rs9684706 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 6743016 6743017 chr4:6743017:T:C rs35268593 T C C EBF1_EBF_1 17 0 - 0 0 . chr4 6746381 6746382 chr4:6746382:G:C rs10804986 G C C EBF1_EBF_1 -4 0 - 0 0 . chr4 6751153 6751154 chr4:6751154:G:A rs16839203 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 6751743 6751744 chr4:6751744:C:T rs4689540 C T T EBF1_EBF_1 13 1 + 5.557887763219117 6.402381877804635 ACTCCCCGAGGAGC chr4 6752311 6752312 chr4:6752312:C:A rs4414952 C A A EBF1_EBF_1 10 1 - 4.317113267272278 0.13436108104501288 CCTCCCAAAGGGCT chr4 6753119 6753120 chr4:6753120:G:C rs12643965 G C G EBF1_EBF_1 -17 0 + 0 0 . chr4 6760683 6760684 chr4:6760684:C:T rs12644245 C T C EBF1_EBF_1 -5 0 - 0 0 . chr4 6765142 6765143 chr4:6765143:G:A rs7681358 G A A EBF1_EBF_1 -3 0 + 0 0 . chr4 6768772 6768773 chr4:6768773:C:T rs111560980 C T C EBF1_EBF_1 10 1 + 5.479797941456601 8.18784814255881 ACTCCCATGGCACC chr4 6780029 6780030 chr4:6780030:C:T rs77209486 C T C EBF1_EBF_1 -9 0 + 0 0 . chr4 6855711 6855712 chr4:6855712:C:T rs73199921 C T C EBF1_EBF_1 25 0 - 0 0 . chr4 6880455 6880456 chr4:6880456:C:T rs6820582 C T T EBF1_EBF_1 22 0 + 0 0 . chr4 6889209 6889210 chr4:6889210:C:T rs7675928 C T C EBF1_EBF_1 18 0 + 0 0 . chr4 6889282 6889283 chr4:6889283:C:T rs7676134 C T C EBF1_EBF_1 12 1 + 3.785424329748378 2.759157759197528 CCCCCCTTGGGGCG chr4 6901946 6901947 chr4:6901947:C:T rs12504905 C T T EBF1_EBF_1 33 0 + 0 0 . chr4 6906662 6906663 chr4:6906663:A:G rs4342189 A G G EBF1_EBF_1 -4 0 - 0 0 . chr4 6909780 6909781 chr4:6909781:G:T rs552202183 G T G EBF1_EBF_1 -17 0 - 0 0 . chr4 6909888 6909889 chr4:6909889:C:T rs952646938 C T C EBF1_EBF_1 9 1 - 4.794965524791209 2.1400262593436046 GGCCCCGCGGGACC chr4 6915358 6915359 chr4:6915359:T:G rs4234787 T G G EBF1_EBF_1 26 0 + 0 0 . chr4 6922787 6922788 chr4:6922788:T:C rs34953654 T C T EBF1_EBF_1 15 0 - 0 0 . chr4 6926842 6926843 chr4:6926843:C:T rs4689560 C T C EBF1_EBF_1 32 0 - 0 0 . chr4 6931258 6931259 chr4:6931259:T:C rs28523495 T C T EBF1_EBF_1 25 0 + 0 0 . chr4 6938827 6938828 chr4:6938828:G:A rs139497691 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 6944400 6944401 chr4:6944401:A:G rs66819208 A G G EBF1_EBF_1 7 1 + 4.441060123475413 3.128601718186588 ATTCCCCATGGGCT chr4 6944753 6944754 chr4:6944754:C:G rs77336965 C G C EBF1_EBF_1 22 0 - 0 0 . chr4 6944772 6944773 chr4:6944773:G:T rs4458452 G T G EBF1_EBF_1 3 1 - 5.075549092813851 -1.8306249366519567 AGTCCCGTGGAACC chr4 6945957 6945958 chr4:6945958:C:G rs4689566 C G G EBF1_EBF_1 -19 0 + 0 0 . chr4 6954194 6954195 chr4:6954195:C:G rs4484301 C G C EBF1_EBF_1 20 0 - 0 0 . chr4 6954196 6954197 chr4:6954197:A:G rs751425783 A G A EBF1_EBF_1 18 0 - 0 0 . chr4 6969951 6969952 chr4:6969952:C:T rs116307365 C T C EBF1_EBF_1 12 1 + 7.680715434811589 6.654448864260739 CAACCCAAGGGACT chr4 6984329 6984330 chr4:6984330:C:A rs7686168 C A C EBF1_EBF_1 -4 0 + 0 0 . chr4 6984366 6984367 chr4:6984367:C:T rs7686186 C T C EBF1_EBF_1 33 0 + 0 0 . chr4 6987107 6987108 chr4:6987108:T:G rs933730999 T G - EBF1_EBF_1 24 0 - 0 0 . chr4 7003309 7003310 chr4:7003310:G:T rs7693321 G T T EBF1_EBF_1 -2 0 + 0 0 . chr4 7004528 7004529 chr4:7004529:C:T rs9992991 C T C EBF1_EBF_1 9 1 - 4.751363129011329 2.0964238635637256 GATCCCTGGGGCAA chr4 7073829 7073830 chr4:7073830:C:G rs3857181 C G C EBF1_EBF_1 17 0 + 0 0 . chr4 7087258 7087259 chr4:7087259:G:A rs7655574 G A A EBF1_EBF_1 18 0 + 0 0 . chr4 7095011 7095012 chr4:7095012:C:A rs75992599 C A C EBF1_EBF_1 -16 0 - 0 0 . chr4 7113200 7113201 chr4:7113201:G:A rs6832757 G A A EBF1_EBF_1 9 1 + 4.754142325079051 2.0992030596314475 AGCCCCCTGGGTAG chr4 7132177 7132178 chr4:7132178:G:A rs10155062 G A G EBF1_EBF_1 -17 0 - 0 0 . chr4 7136705 7136706 chr4:7136706:C:T rs77061911 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 7141199 7141200 chr4:7141200:C:G rs12510356 C G C EBF1_EBF_1 16 0 - 0 0 . chr4 7141208 7141209 chr4:7141209:T:G rs73204527 T G T EBF1_EBF_1 7 1 - 4.336789717757323 2.0430107313871426 GACCCCTAGGGCTT chr4 7146341 7146342 chr4:7146342:A:G rs10008912 A G G EBF1_EBF_1 -10 0 + 0 0 . chr4 7146371 7146372 chr4:7146372:C:T rs35141864 C T C EBF1_EBF_1 20 0 + 0 0 . chr4 7148599 7148600 chr4:7148600:T:C rs13112845 T C C EBF1_EBF_1 33 0 - 0 0 . chr4 7163007 7163008 chr4:7163008:C:T rs7659183 C T C EBF1_EBF_1 31 0 - 0 0 . chr4 7163030 7163031 chr4:7163031:C:T rs6816089 C T C EBF1_EBF_1 8 1 - 8.9233752463373 4.697085157451041 AGTCCCCCGGGAAG chr4 7163037 7163038 chr4:7163038:C:T rs9291130 C T C EBF1_EBF_1 1 1 - 8.9233752463373 8.662676414416001 AGTCCCCCGGGAAG chr4 7164840 7164841 chr4:7164841:A:G rs559116975 A G A EBF1_EBF_1 -3 0 - 0 0 . chr4 7167370 7167371 chr4:7167371:C:T rs181541491 C T C EBF1_EBF_1 31 0 - 0 0 . chr4 7173986 7173987 chr4:7173987:C:G chr4:7173987:C:G C G C EBF1_EBF_1 -16 0 + 0 0 . chr4 7189390 7189391 chr4:7189391:T:G rs12498259 T G T EBF1_EBF_1 5 1 + 4.776626366356957 3.1935324292624574 CTTCCTAAGGGAGC chr4 7191446 7191447 chr4:7191447:A:C rs11942845 A C C EBF1_EBF_1 -4 0 - 0 0 . chr4 7206952 7206953 chr4:7206953:C:T rs10937790 C T C EBF1_EBF_1 -9 0 - 0 0 . chr4 7208200 7208201 chr4:7208201:C:G rs871742 C G G EBF1_EBF_1 25 0 + 0 0 . chr4 7216724 7216725 chr4:7216725:C:T rs28584625 C T C EBF1_EBF_1 -13 0 + 0 0 . chr4 7217617 7217618 chr4:7217618:G:C rs57861290 G C G EBF1_EBF_1 1 1 - 5.564555251771136 5.754326906340354 CCACCCTGGGGAAC chr4 7217635 7217636 chr4:7217636:C:T rs116387469 C T C EBF1_EBF_1 -17 0 - 0 0 . chr4 7224429 7224430 chr4:7224430:G:A rs13133665 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 7232345 7232346 chr4:7232346:C:T rs367829716 C T C EBF1_EBF_1 10 1 - 3.91152068998558 -1.4065077692813863 AGCCCACAGGGAAC chr4 7233985 7233986 chr4:7233986:G:A rs11723529 G A A EBF1_EBF_1 -3 0 + 0 0 . chr4 7243049 7243050 chr4:7243050:T:C rs4689100 T C C EBF1_EBF_1 1 1 - 7.63281476867411 7.893513600595411 AACCCCCAGGGGCC chr4 7244560 7244561 chr4:7244561:C:T rs73206413 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 7252390 7252391 chr4:7252391:G:A rs4689669 G A A EBF1_EBF_1 30 0 - 0 0 . chr4 7254892 7254893 chr4:7254893:C:T rs9291133 C T C EBF1_EBF_1 -18 0 + 0 0 . chr4 7254930 7254931 chr4:7254931:C:G rs6843561 C G G EBF1_EBF_1 3 1 - 4.218022465952663 10.045786914067877 GATGCCCTGGGACC chr4 7257390 7257391 chr4:7257391:T:C rs1231468875 T C T EBF1_EBF_1 2 1 + 5.73058552738029 3.8417621159381405 ACTCCCCGGGGCTC chr4 7271191 7271192 chr4:7271192:C:A rs10937797 C A C EBF1_EBF_1 33 0 - 0 0 . chr4 7277676 7277677 chr4:7277677:G:A rs10021466 G A G EBF1_EBF_1 24 0 + 0 0 . chr4 7282112 7282113 chr4:7282113:C:T rs149609938 C T C EBF1_EBF_1 7 1 + 5.001938255254727 6.819443001537349 TTTCCCACGGAACT chr4 7298107 7298108 chr4:7298108:A:C rs11933152 A C C EBF1_EBF_1 -2 0 - 0 0 . chr4 7301569 7301570 chr4:7301570:T:C rs4234800 T C T EBF1_EBF_1 -9 0 + 0 0 . chr4 7303241 7303242 chr4:7303242:C:A rs17755801 C A C EBF1_EBF_1 27 0 + 0 0 . chr4 7321722 7321723 chr4:7321723:C:T rs9994752 C T T EBF1_EBF_1 -8 0 + 0 0 . chr4 7321750 7321751 chr4:7321751:T:C rs775568594 T C T EBF1_EBF_1 20 0 + 0 0 . chr4 7323939 7323940 chr4:7323940:G:A rs147391728 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 7326192 7326193 chr4:7326193:G:C rs80290497 G C G EBF1_EBF_1 3 1 - 3.798262658113819 -2.029501790001397 ACTCCCCTGGAGCC chr4 7327698 7327699 chr4:7327699:A:G rs3864202 A G G EBF1_EBF_1 -20 0 - 0 0 . chr4 7336402 7336403 chr4:7336403:C:G rs55866152 C G G EBF1_EBF_1 22 0 + 0 0 . chr4 7342495 7342496 chr4:7342496:T:C rs28673522 T C T EBF1_EBF_1 30 0 - 0 0 . chr4 7342532 7342533 chr4:7342533:G:T rs11726557 G T T EBF1_EBF_1 -7 0 - 0 0 . chr4 7350958 7350959 chr4:7350959:A:G rs7681369 A G A EBF1_EBF_1 -5 0 + 0 0 . chr4 7350977 7350978 chr4:7350978:A:G rs189926960 A G A EBF1_EBF_1 14 0 + 0 0 . chr4 7367635 7367636 chr4:7367636:C:T rs4689711 C T C EBF1_EBF_1 5 1 + 5.35322215331043 0.03143493776975931 GCCCCCATGGGGAA chr4 7367636 7367637 chr4:7367637:A:G rs1010610313 A G A EBF1_EBF_1 6 1 + 5.35322215331043 3.186427722035955 GCCCCCATGGGGAA chr4 7380322 7380323 chr4:7380323:A:T rs62280085 A T A EBF1_EBF_1 31 0 + 0 0 . chr4 7383065 7383066 chr4:7383066:G:A rs3889117 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 7384295 7384296 chr4:7384296:C:T rs6446594 C T t EBF1_EBF_1 29 0 + 0 0 . chr4 7385142 7385143 chr4:7385143:T:C rs62277560 T C T EBF1_EBF_1 -7 0 - 0 0 . chr4 7385148 7385149 chr4:7385149:G:A rs7439499 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 7393660 7393661 chr4:7393661:C:T rs62277564 C T C EBF1_EBF_1 -3 0 + 0 0 . chr4 7393662 7393663 chr4:7393663:G:A rs962031564 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 7399912 7399913 chr4:7399913:C:T rs11722083 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 7399940 7399941 chr4:7399941:A:T rs6847931 A T a EBF1_EBF_1 -13 0 - 0 0 . chr4 7410083 7410084 chr4:7410084:C:T rs10804996 C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 7411267 7411268 chr4:7411268:T:C rs28704657 T C T EBF1_EBF_1 -16 0 + 0 0 . chr4 7411302 7411303 chr4:7411303:C:G rs61083426 C G C EBF1_EBF_1 19 0 + 0 0 . chr4 7412902 7412903 chr4:7412903:T:A rs4292335 T A A EBF1_EBF_1 14 0 - 0 0 . chr4 7417045 7417046 chr4:7417046:G:A rs4689116 G A A EBF1_EBF_1 4 1 - 4.56743727996777 0.19805033502284664 TATCCCCAGGGCAG chr4 7420433 7420434 chr4:7420434:A:G rs28677109 A G A EBF1_EBF_1 -2 0 - 0 0 . chr4 7421243 7421244 chr4:7421244:C:T rs112239859 C T c EBF1_EBF_1 -2 0 + 0 0 . chr4 7424913 7424914 chr4:7424914:G:T rs114080553 G T G EBF1_EBF_1 15 0 + 0 0 . chr4 7426627 7426628 chr4:7426628:T:G rs10023533 T G T EBF1_EBF_1 28 0 - 0 0 . chr4 7426660 7426661 chr4:7426661:G:A rs10031234 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 7429889 7429890 chr4:7429890:T:C rs73796573 T C T EBF1_EBF_1 -14 0 - 0 0 . chr4 7430206 7430207 chr4:7430207:C:A rs78048025 C A C EBF1_EBF_1 8 1 + 6.227822686346382 7.320191458374807 TGTCCCCACGGACT chr4 7436340 7436341 chr4:7436341:G:A rs76983897 G A G EBF1_EBF_1 -7 0 + 0 0 . chr4 7436454 7436455 chr4:7436455:C:T rs61513418 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 7446380 7446381 chr4:7446381:A:G rs55850500 A G G EBF1_EBF_1 -2 0 + 0 0 . chr4 7458425 7458426 chr4:7458426:G:T rs2017189 G T G EBF1_EBF_1 2 1 - 5.683971313565905 3.8883271374091795 GCCCCCAAAGGACT chr4 7472431 7472432 chr4:7472432:G:A rs147252039 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 7474878 7474879 chr4:7474879:T:G rs28726347 T G G EBF1_EBF_1 0 1 - 4.2745368982013785 2.0192784145047766 ACTCCCCAGGAGCC chr4 7475548 7475549 chr4:7475549:C:G rs73212553 C G C EBF1_EBF_1 -14 0 + 0 0 . chr4 7485492 7485493 chr4:7485493:A:G rs115018287 A G A EBF1_EBF_1 27 0 - 0 0 . chr4 7485503 7485504 chr4:7485504:T:C rs2013377 T C T EBF1_EBF_1 16 0 - 0 0 . chr4 7490817 7490818 chr4:7490818:C:T rs13122920 C T C EBF1_EBF_1 -5 0 - 0 0 . chr4 7501454 7501455 chr4:7501455:G:T rs28740815 G T G EBF1_EBF_1 5 1 - 6.94825383746769 0.043372684832522504 TGCCCCCTGGGAGC chr4 7509373 7509374 chr4:7509374:G:A rs75203057 G A A EBF1_EBF_1 8 1 - 6.078930836174283 4.506156908111775 ACTCCCACCGGACT chr4 7512488 7512489 chr4:7512489:G:T rs6817888 G T G EBF1_EBF_1 -5 0 - 0 0 . chr4 7520074 7520075 chr4:7520075:T:C rs13117257 T C C EBF1_EBF_1 6 1 - 4.649805590014259 2.4830111587397834 GCCCCCAAGGGCCC chr4 7520459 7520460 chr4:7520460:T:C rs4689772 T C C EBF1_EBF_1 25 0 - 0 0 . chr4 7521636 7521637 chr4:7521637:T:C rs744355 T C C EBF1_EBF_1 -19 0 + 0 0 . chr4 7535018 7535019 chr4:7535019:C:T rs7696497 C T C EBF1_EBF_1 3 1 + 3.5325857159626093 -2.8728130255907085 CCTCCCGTGGGCCC chr4 7538368 7538369 chr4:7538369:C:T rs10937835 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 7549772 7549773 chr4:7549773:C:T rs925863472 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 7549795 7549796 chr4:7549796:G:A rs882267 G A A EBF1_EBF_1 21 0 + 0 0 . chr4 7552340 7552341 chr4:7552341:G:A rs6815897 G A G EBF1_EBF_1 5 1 - 7.488562534459875 2.1667753189192056 TGCCCCAGGGGACA chr4 7554230 7554231 chr4:7554231:T:C rs10015815 T C T EBF1_EBF_1 2 1 + 6.576912794111544 4.688089382669394 ACTCCCCAGAGTCT chr4 7557748 7557749 chr4:7557749:G:A rs75992574 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 7558419 7558420 chr4:7558420:G:A rs7698678 G A G EBF1_EBF_1 -11 0 - 0 0 . chr4 7574296 7574297 chr4:7574297:C:T rs13144333 C T C EBF1_EBF_1 32 0 + 0 0 . chr4 7612613 7612614 chr4:7612614:G:A rs35797846 G A A EBF1_EBF_1 27 0 + 0 0 . chr4 7622684 7622685 chr4:7622685:T:C rs4689799 T C C EBF1_EBF_1 -19 0 - 0 0 . chr4 7636213 7636214 chr4:7636214:G:T rs13120915 G T G EBF1_EBF_1 28 0 - 0 0 . chr4 7636729 7636730 chr4:7636730:C:T rs57867794 C T C EBF1_EBF_1 3 1 + 7.876360580789909 1.4709618392365915 CCTCCCTAGAGACC chr4 7647845 7647846 chr4:7647846:A:C rs113632446 A C C EBF1_EBF_1 24 0 + 0 0 . chr4 7654394 7654395 chr4:7654395:C:T rs62290674 C T C EBF1_EBF_1 15 0 + 0 0 . chr4 7659087 7659088 chr4:7659088:C:T rs62290702 C T C EBF1_EBF_1 24 0 - 0 0 . chr4 7660843 7660844 chr4:7660844:A:G rs62290704 A G A EBF1_EBF_1 -14 0 + 0 0 . chr4 7663837 7663838 chr4:7663838:G:T rs62290707 G T G EBF1_EBF_1 29 0 - 0 0 . chr4 7678362 7678363 chr4:7678363:T:C rs7695677 T C T EBF1_EBF_1 2 1 + 8.077278493270768 6.188455081828619 CCTCCCAAGGGATG chr4 7680532 7680533 chr4:7680533:C:T rs28459231 C T C EBF1_EBF_1 13 1 - 5.820155098946219 6.934480671015658 AGTCCCAGGGGCCG chr4 7680757 7680758 chr4:7680758:G:A rs10027023 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 7683801 7683802 chr4:7683802:G:A rs2079169 G A G EBF1_EBF_1 32 0 + 0 0 . chr4 7694443 7694444 chr4:7694444:A:T rs11734947 A T T EBF1_EBF_1 24 0 + 0 0 . chr4 7702079 7702080 chr4:7702080:C:T rs1989948 C T C EBF1_EBF_1 22 0 - 0 0 . chr4 7711078 7711079 chr4:7711079:T:C rs757243 T C C EBF1_EBF_1 7 1 - 8.623392366664183 7.3109339613753574 TCCCCCCAGGGACC chr4 7712958 7712959 chr4:7712959:C:T rs185738681 C T C EBF1_EBF_1 24 0 - 0 0 . chr4 7723965 7723966 chr4:7723966:C:T rs2285778 C T T EBF1_EBF_1 7 1 - 4.698960401140527 6.011418806429352 AATCCCGGGGGCTT chr4 7736781 7736782 chr4:7736782:G:A rs115696793 G A G EBF1_EBF_1 19 0 - 0 0 . chr4 7740213 7740214 chr4:7740214:G:A rs189272447 G A G EBF1_EBF_1 -16 0 + 0 0 . chr4 7747501 7747502 chr4:7747502:G:C rs6847570 G C G EBF1_EBF_1 -16 0 - 0 0 . chr4 7753977 7753978 chr4:7753978:T:C rs146714081 T C T EBF1_EBF_1 -5 0 - 0 0 . chr4 7755835 7755836 chr4:7755836:G:C rs34276892 G C T EBF1_EBF_1 18 0 - 0 0 . chr4 7756199 7756200 chr4:7756200:C:G rs7682335 C G C EBF1_EBF_1 20 0 + 0 0 . chr4 7756382 7756383 chr4:7756383:C:G rs17382393 C G G EBF1_EBF_1 -4 0 + 0 0 . chr4 7760947 7760948 chr4:7760948:C:T rs2285767 C T C EBF1_EBF_1 -3 0 + 0 0 . chr4 7768157 7768158 chr4:7768158:G:A rs58957020 G A G EBF1_EBF_1 0 1 - 4.677557168011131 4.959759228741709 CGCCCCGAGGGGCT chr4 7768174 7768175 chr4:7768175:T:C rs9790401 T C C EBF1_EBF_1 -17 0 - 0 0 . chr4 7769669 7769670 chr4:7769670:C:G rs62291983 C G C EBF1_EBF_1 -19 0 - 0 0 . chr4 7769826 7769827 chr4:7769827:T:C rs12501412 T C T EBF1_EBF_1 -19 0 + 0 0 . chr4 7769846 7769847 chr4:7769847:A:G rs116737825 A G A EBF1_EBF_1 1 1 + 4.134906692712644 4.395605524633947 AATCCCCAGGCAGC chr4 7769868 7769869 chr4:7769869:C:G rs111441173 C G C EBF1_EBF_1 23 0 + 0 0 . chr4 7780268 7780269 chr4:7780269:A:C rs7356232 A C A EBF1_EBF_1 22 0 + 0 0 . chr4 7780274 7780275 chr4:7780275:G:A rs75933658 G A G EBF1_EBF_1 28 0 + 0 0 . chr4 7780278 7780279 chr4:7780279:T:C rs16841207 T C T EBF1_EBF_1 32 0 + 0 0 . chr4 7782357 7782358 chr4:7782358:C:T rs35617438 C T C EBF1_EBF_1 0 1 - 7.991953925319243 9.884237794884077 GTTCCCATAGGACT chr4 7782368 7782369 chr4:7782369:A:C rs28729246 A C C EBF1_EBF_1 -11 0 - 0 0 . chr4 7784682 7784683 chr4:7784683:G:A rs373175387 G A G EBF1_EBF_1 13 1 - 4.248586887845242 5.09308100243076 TGTCCCTGGGGCTC chr4 7787724 7787725 chr4:7787725:C:T rs150901974 C T C EBF1_EBF_1 -17 0 + 0 0 . chr4 7798971 7798972 chr4:7798972:G:T rs756255 G T G EBF1_EBF_1 -4 0 - 0 0 . chr4 7805371 7805372 chr4:7805372:C:A rs11736912 C A C EBF1_EBF_1 3 1 + 5.4441829375418305 -1.4619910919239765 TGCCCCCTGGGGCC chr4 7820142 7820143 chr4:7820143:C:A rs6446625 C A A EBF1_EBF_1 27 0 - 0 0 . chr4 7820643 7820644 chr4:7820644:C:T rs138318878 C T C EBF1_EBF_1 15 0 + 0 0 . chr4 7834685 7834686 chr4:7834686:T:C rs116118312 T C T EBF1_EBF_1 17 0 + 0 0 . chr4 7849115 7849116 chr4:7849116:G:A rs188006861 G A G EBF1_EBF_1 3 1 - 6.799852382212039 0.39445364065872207 GTCCCCTTGAGAAC chr4 7872707 7872708 chr4:7872708:C:A rs28437157 C A C EBF1_EBF_1 16 0 - 0 0 . chr4 7880042 7880043 chr4:7880043:C:T chr4:7880043:C:T C T C EBF1_EBF_1 4 1 + 6.179545787802542 1.8101588428576187 CATCCCAGGAGACA chr4 7892458 7892459 chr4:7892459:G:A rs7665218 G A G EBF1_EBF_1 24 0 + 0 0 . chr4 7894896 7894897 chr4:7894897:A:T rs115635023 A T A EBF1_EBF_1 2 1 - 5.537635604950234 1.8531680173513558 CCTCCCTGGAGATC chr4 7898119 7898120 chr4:7898120:C:T rs116672360 C T C EBF1_EBF_1 -5 0 + 0 0 . chr4 7911220 7911221 chr4:7911221:A:G rs115377374 A G A EBF1_EBF_1 27 0 - 0 0 . chr4 7938862 7938863 chr4:7938863:C:T rs58032724 C T C EBF1_EBF_1 -5 0 + 0 0 . chr4 7938878 7938879 chr4:7938879:G:A rs34740645 G A A EBF1_EBF_1 11 1 + 3.344476130528628 6.23718297413264 CGCCCCCCGGGGCC chr4 7938893 7938894 chr4:7938894:G:A rs114147616 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 7940032 7940033 chr4:7940033:C:G rs146605255 C G C EBF1_EBF_1 10 1 - 6.131042667532376 -0.7597597197970993 CCTCCCGCGGGACC chr4 7940060 7940061 chr4:7940061:G:A rs66479458 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 7946510 7946511 chr4:7946511:T:C rs2386051 T C C EBF1_EBF_1 -18 0 - 0 0 . chr4 7956365 7956366 chr4:7956366:A:C rs1043850661 A C A EBF1_EBF_1 -19 0 + 0 0 . chr4 7956416 7956417 chr4:7956417:A:G rs565452596 A G A EBF1_EBF_1 32 0 + 0 0 . chr4 7963522 7963523 chr4:7963523:T:A rs73214069 T A T EBF1_EBF_1 -19 0 - 0 0 . chr4 7965161 7965162 chr4:7965162:G:A rs114899505 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 7972320 7972321 chr4:7972321:T:C rs12644609 T C C EBF1_EBF_1 -6 0 - 0 0 . chr4 7983190 7983191 chr4:7983191:C:T rs17179948 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 8004466 8004467 chr4:8004467:C:T rs12510760 C T C EBF1_EBF_1 8 1 + 6.429169046396525 4.856395118334016 ATTCCCTTCGGAAG chr4 8011075 8011076 chr4:8011076:G:T rs10023553 G T - EBF1_EBF_1 28 0 - 0 0 . chr4 8015396 8015397 chr4:8015397:T:C rs9990943 T C C EBF1_EBF_1 -7 0 - 0 0 . chr4 8018373 8018374 chr4:8018374:G:A rs56261879 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 8018988 8018989 chr4:8018989:G:T rs6827324 G T G EBF1_EBF_1 7 1 + 5.572278826316458 6.408462991517725 AATCCCAGGAGGAA chr4 8020049 8020050 chr4:8020050:T:C rs113467436 T C T EBF1_EBF_1 25 0 - 0 0 . chr4 8035440 8035441 chr4:8035441:C:T chr4:8035441:C:T C T C EBF1_EBF_1 1 1 + 3.8444517808099 4.739885221208646 CCACCCCGGGGAGC chr4 8040780 8040781 chr4:8040781:T:C rs369830994 T C t EBF1_EBF_1 17 0 - 0 0 . chr4 8041308 8041309 chr4:8041309:G:A rs532935185 G A g EBF1_EBF_1 -7 0 + 0 0 . chr4 8041347 8041348 chr4:8041348:A:G rs28545783 A G g EBF1_EBF_1 32 0 + 0 0 . chr4 8044956 8044957 chr4:8044957:G:A rs183463399 G A g EBF1_EBF_1 -5 0 - 0 0 . chr4 8050486 8050487 chr4:8050487:G:A rs73074033 G A g EBF1_EBF_1 -2 0 + 0 0 . chr4 8060380 8060381 chr4:8060381:C:G rs4696743 C G g EBF1_EBF_1 16 0 - 0 0 . chr4 8069430 8069431 chr4:8069431:T:C rs13148726 T C t EBF1_EBF_1 1 1 + 6.240482788067059 5.345049347668313 ATTGCCCGGGGACC chr4 8069435 8069436 chr4:8069436:C:T rs75097255 C T c EBF1_EBF_1 6 1 + 6.240482788067059 6.541768916928817 ATTGCCCGGGGACC chr4 8086925 8086926 chr4:8086926:C:T rs71603908 C T C EBF1_EBF_1 -18 0 + 0 0 . chr4 8096061 8096062 chr4:8096062:T:C rs62289381 T C T EBF1_EBF_1 -16 0 + 0 0 . chr4 8101572 8101573 chr4:8101573:A:C chr4:8101573:A:C A C A EBF1_EBF_1 19 0 + 0 0 . chr4 8101704 8101705 chr4:8101705:C:G rs34733724 C G C EBF1_EBF_1 -1 0 + 0 0 . chr4 8104675 8104676 chr4:8104676:C:G rs562742299 C G C EBF1_EBF_1 -18 0 - 0 0 . chr4 8106268 8106269 chr4:8106269:G:A rs73075933 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 8109822 8109823 chr4:8109823:G:A rs62289387 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 8114144 8114145 chr4:8114145:G:A rs2138825 G A A EBF1_EBF_1 19 0 - 0 0 . chr4 8115054 8115055 chr4:8115055:C:T rs11944688 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 8115994 8115995 chr4:8115995:C:T rs1125306 C T C EBF1_EBF_1 8 1 + 4.842047402820622 3.269273474758114 AGTCCCCACGGATG chr4 8119077 8119078 chr4:8119078:T:C rs10002315 T C C EBF1_EBF_1 22 0 + 0 0 . chr4 8120071 8120072 chr4:8120072:C:T rs17181631 C T C EBF1_EBF_1 26 0 - 0 0 . chr4 8123682 8123683 chr4:8123683:G:T rs184013061 G T G EBF1_EBF_1 2 1 - 5.09836961713022 3.302725440973492 GCCCCCAGGAGACC chr4 8127098 8127099 chr4:8127099:G:A rs565008779 G A G EBF1_EBF_1 -7 0 + 0 0 . chr4 8129686 8129687 chr4:8129687:C:T rs4696766 C T C EBF1_EBF_1 18 0 + 0 0 . chr4 8137075 8137076 chr4:8137076:G:A rs73799603 G A G EBF1_EBF_1 -4 0 + 0 0 . chr4 8150906 8150907 chr4:8150907:C:T rs141948062 C T C EBF1_EBF_1 7 1 + 5.39435942004863 7.211864166331253 GCTCCCACGGGGCA chr4 8165729 8165730 chr4:8165730:C:T rs77560724 C T C EBF1_EBF_1 22 0 - 0 0 . chr4 8176449 8176450 chr4:8176450:A:G rs13152324 A G A EBF1_EBF_1 -15 0 + 0 0 . chr4 8188882 8188883 chr4:8188883:C:T rs527314451 C T C EBF1_EBF_1 16 0 - 0 0 . chr4 8188896 8188897 chr4:8188897:G:A rs115070387 G A G EBF1_EBF_1 2 1 - 8.386604056958816 10.275427468400967 CTCCCCCTGGGACA chr4 8193347 8193348 chr4:8193348:A:C rs1281116 A C A EBF1_EBF_1 -6 0 - 0 0 . chr4 8195918 8195919 chr4:8195919:C:A rs55727461 C A C EBF1_EBF_1 12 1 + 7.71852548936961 7.748706887790936 AGCCCCTTGGGGCC chr4 8199292 8199293 chr4:8199293:C:T rs910846053 C T C EBF1_EBF_1 -11 0 + 0 0 . chr4 8200953 8200954 chr4:8200954:C:G rs115649794 C G C EBF1_EBF_1 0 1 + 9.062799284890858 9.425773899022627 CATCCCAAGGGAAG chr4 8200970 8200971 chr4:8200971:G:A rs1281122 G A G EBF1_EBF_1 17 0 + 0 0 . chr4 8202708 8202709 chr4:8202709:T:C rs77920012 T C T EBF1_EBF_1 -11 0 + 0 0 . chr4 8203929 8203930 chr4:8203930:G:C rs1281128 G C G EBF1_EBF_1 26 0 - 0 0 . chr4 8212042 8212043 chr4:8212043:G:T rs3756196 G T G EBF1_EBF_1 21 0 - 0 0 . chr4 8214285 8214286 chr4:8214286:T:C rs73224043 T C T EBF1_EBF_1 9 1 - 4.3665814827930465 7.0215207482406505 GCTCCCCAGAGCCT chr4 8215298 8215299 chr4:8215299:G:A rs111338056 G A G EBF1_EBF_1 -3 0 + 0 0 . chr4 8215318 8215319 chr4:8215319:C:T rs76974855 C T C EBF1_EBF_1 17 0 + 0 0 . chr4 8218301 8218302 chr4:8218302:G:A rs1281138 G A G EBF1_EBF_1 19 0 + 0 0 . chr4 8219593 8219594 chr4:8219594:T:C rs1281140 T C T EBF1_EBF_1 -12 0 + 0 0 . chr4 8223498 8223499 chr4:8223499:A:G rs2086270 A G G EBF1_EBF_1 19 0 - 0 0 . chr4 8228507 8228508 chr4:8228508:T:C rs1281151 T C C EBF1_EBF_1 6 1 - 6.33177382124364 4.164979389969165 CTCCCCAAGGGGCA chr4 8231336 8231337 chr4:8231337:C:T rs140660611 C T C EBF1_EBF_1 11 1 + 4.7827179188587285 5.100765360612392 TGTCCCATGGGCGC chr4 8231613 8231614 chr4:8231614:T:C rs3756193 T C C EBF1_EBF_1 -5 0 + 0 0 . chr4 8231617 8231618 chr4:8231618:G:A rs116201926 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 8236939 8236940 chr4:8236940:C:G rs1281106 C G C EBF1_EBF_1 31 0 - 0 0 . chr4 8236962 8236963 chr4:8236963:C:T rs78981779 C T C EBF1_EBF_1 8 1 - 4.324627147538239 0.09833705865197784 ATTCCCACGCGGCT chr4 8241150 8241151 chr4:8241151:G:T rs1000337200 G T G EBF1_EBF_1 32 0 - 0 0 . chr4 8244774 8244775 chr4:8244775:A:C rs899488 A C C EBF1_EBF_1 20 0 + 0 0 . chr4 8273587 8273588 chr4:8273588:G:A rs115702680 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 8274621 8274622 chr4:8274622:C:T rs6845319 C T C EBF1_EBF_1 -14 0 - 0 0 . chr4 8277240 8277241 chr4:8277241:G:A rs56357949 G A G EBF1_EBF_1 -18 0 + 0 0 . chr4 8281083 8281084 chr4:8281084:A:T rs73081600 A T A EBF1_EBF_1 31 0 - 0 0 . chr4 8286055 8286056 chr4:8286056:T:G rs58896389 T G T EBF1_EBF_1 6 1 + 5.030404788786321 2.923926596451365 TTCCCCTAGGGCCA chr4 8289671 8289672 chr4:8289672:C:T rs116082472 C T C EBF1_EBF_1 22 0 - 0 0 . chr4 8289698 8289699 chr4:8289699:C:T rs148134227 C T C EBF1_EBF_1 -5 0 - 0 0 . chr4 8289706 8289707 chr4:8289707:G:T rs13140502 G T G EBF1_EBF_1 -13 0 - 0 0 . chr4 8296244 8296245 chr4:8296245:C:T rs186537945 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 8296329 8296330 chr4:8296330:C:T rs73224096 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 8315676 8315677 chr4:8315677:A:G rs4235264 A G A EBF1_EBF_1 -19 0 + 0 0 . chr4 8316596 8316597 chr4:8316597:A:C rs4696810 A C C EBF1_EBF_1 9 1 + 5.388977619813451 2.9682277389155907 GGCCCCCTGAGACA chr4 8325358 8325359 chr4:8325359:C:T rs115545407 C T C EBF1_EBF_1 15 0 + 0 0 . chr4 8328016 8328017 chr4:8328017:G:A rs13114160 G A A EBF1_EBF_1 22 0 + 0 0 . chr4 8328018 8328019 chr4:8328019:G:C rs13114162 G C C EBF1_EBF_1 24 0 + 0 0 . chr4 8328596 8328597 chr4:8328597:C:T rs1720013 C T C EBF1_EBF_1 4 1 + 9.236623746332349 4.867236801387426 CTCCCCCAGGGACC chr4 8328604 8328605 chr4:8328605:C:T rs6818359 C T C EBF1_EBF_1 12 1 + 9.236623746332349 8.2103571757815 CTCCCCCAGGGACC chr4 8330007 8330008 chr4:8330008:A:C rs28529848 A C C EBF1_EBF_1 -1 0 + 0 0 . chr4 8330020 8330021 chr4:8330021:G:T rs73085821 G T G EBF1_EBF_1 12 1 + 7.7113806459464715 8.073750019073772 AGCCCCCGGGGAGA chr4 8330099 8330100 chr4:8330100:C:T rs138026486 C T C EBF1_EBF_1 25 0 - 0 0 . chr4 8331806 8331807 chr4:8331807:G:A rs13142816 G A G EBF1_EBF_1 -4 0 + 0 0 . chr4 8345502 8345503 chr4:8345503:A:G rs114501739 A G A EBF1_EBF_1 -16 0 + 0 0 . chr4 8345529 8345530 chr4:8345530:A:G rs149642927 A G A EBF1_EBF_1 11 1 + 4.8518229187712425 1.9591160751672312 AACCCCTCGAGATC chr4 8348353 8348354 chr4:8348354:C:T rs2015471 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 8352225 8352226 chr4:8352226:T:C rs151337595 T C T EBF1_EBF_1 13 1 + 3.7111704712652145 2.8666763566796956 AGCCGCCCGGGACT chr4 8352587 8352588 chr4:8352588:T:C rs1720004 T C T EBF1_EBF_1 -4 0 - 0 0 . chr4 8353744 8353745 chr4:8353745:T:C rs13102851 T C T EBF1_EBF_1 16 0 + 0 0 . chr4 8358111 8358112 chr4:8358112:T:C rs6832655 T C T EBF1_EBF_1 -4 0 + 0 0 . chr4 8369708 8369709 chr4:8369709:A:G rs112863762 A G A EBF1_EBF_1 -16 0 + 0 0 . chr4 8375505 8375506 chr4:8375506:G:A rs59949744 G A G EBF1_EBF_1 -3 0 + 0 0 . chr4 8379309 8379310 chr4:8379310:C:T rs3796749 C T C EBF1_EBF_1 5 1 + 3.813310674032626 -1.5084765415080434 GGCCCCAAGGGCTC chr4 8381064 8381065 chr4:8381065:C:T rs189601424 C T c EBF1_EBF_1 -19 0 + 0 0 . chr4 8402618 8402619 chr4:8402619:G:A rs1880025 G A G EBF1_EBF_1 6 1 - 8.26552316162712 8.566809290488877 GATCCCCTGAGAAT chr4 8403533 8403534 chr4:8403534:C:T rs970806916 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 8403558 8403559 chr4:8403559:T:G rs28507650 T G G EBF1_EBF_1 -4 0 - 0 0 . chr4 8414254 8414255 chr4:8414255:C:T rs2245515 C T C EBF1_EBF_1 25 0 - 0 0 . chr4 8416877 8416878 chr4:8416878:C:T rs2049302 C T T EBF1_EBF_1 -5 0 - 0 0 . chr4 8435907 8435908 chr4:8435908:T:C rs2688245 T C N EBF1_EBF_1 33 0 + 0 0 . chr4 8461473 8461474 chr4:8461474:A:G rs75483749 A G A EBF1_EBF_1 32 0 - 0 0 . chr4 8497920 8497921 chr4:8497921:G:A rs140545563 G A A EBF1_EBF_1 -17 0 + 0 0 . chr4 8497924 8497925 chr4:8497925:G:A chr4:8497925:G:A G A - EBF1_EBF_1 -13 0 + 0 0 . chr4 8497924 8497925 chr4:8497925:G:T rs34465110 G T - EBF1_EBF_1 -13 0 + 0 0 . chr4 8497963 8497964 chr4:8497964:G:A rs62287436 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 8501963 8501964 chr4:8501964:C:T rs2222461 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 8503682 8503683 chr4:8503683:T:A rs2688238 T A A EBF1_EBF_1 21 0 - 0 0 . chr4 8506642 8506643 chr4:8506643:G:A rs73076290 G A G EBF1_EBF_1 -2 0 - 0 0 . chr4 8520134 8520135 chr4:8520135:C:T rs11722806 C T C EBF1_EBF_1 28 0 - 0 0 . chr4 8523937 8523938 chr4:8523938:T:G rs7679494 T G t EBF1_EBF_1 -4 0 - 0 0 . chr4 8525460 8525461 chr4:8525461:C:T rs79684948 C T C EBF1_EBF_1 27 0 - 0 0 . chr4 8538543 8538544 chr4:8538544:C:G rs4696837 C G G EBF1_EBF_1 29 0 - 0 0 . chr4 8538761 8538762 chr4:8538762:C:A rs78149043 C A C EBF1_EBF_1 -10 0 - 0 0 . chr4 8539884 8539885 chr4:8539885:T:C rs3103092 T C C EBF1_EBF_1 -15 0 - 0 0 . chr4 8545088 8545089 chr4:8545089:A:G rs3103086 A G A EBF1_EBF_1 7 1 + 5.212546707671024 3.9000883023821977 CTTCCCAAAGGGCT chr4 8545108 8545109 chr4:8545109:C:T rs7698433 C T C EBF1_EBF_1 27 0 + 0 0 . chr4 8547952 8547953 chr4:8547953:T:C rs10805208 T C C EBF1_EBF_1 7 1 + 9.584827933186364 7.7673231869037425 ATTCCCATGAGATC chr4 8548103 8548104 chr4:8548104:A:C rs10938722 A C C EBF1_EBF_1 22 0 + 0 0 . chr4 8548991 8548992 chr4:8548992:C:A rs13102256 C A C EBF1_EBF_1 -5 0 + 0 0 . chr4 8554268 8554269 chr4:8554269:T:C rs4696844 T C C EBF1_EBF_1 6 1 - 6.966963403868293 4.800168972593818 TCCCCCAAGGGGAT chr4 8561010 8561011 chr4:8561011:C:A rs3115390 C A C EBF1_EBF_1 25 0 - 0 0 . chr4 8579608 8579609 chr4:8579609:G:A rs2270268 G A G EBF1_EBF_1 23 0 + 0 0 . chr4 8586232 8586233 chr4:8586233:G:A rs13110471 G A G EBF1_EBF_1 10 1 + 7.127397746589957 1.8093692873229912 AGTCCCCAGAGGCC chr4 8592955 8592956 chr4:8592956:C:T rs2302582 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 8598276 8598277 chr4:8598277:A:G rs7663886 A G G EBF1_EBF_1 14 0 - 0 0 . chr4 8609872 8609873 chr4:8609873:G:A rs3796733 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 8615832 8615833 chr4:8615833:G:C rs3756160 G C G EBF1_EBF_1 -2 0 + 0 0 . chr4 8617621 8617622 chr4:8617622:G:A rs77962286 G A g EBF1_EBF_1 -6 0 + 0 0 . chr4 8640142 8640143 chr4:8640143:T:C rs73078076 T C T EBF1_EBF_1 27 0 + 0 0 . chr4 8643019 8643020 chr4:8643020:G:T rs4696708 G T T EBF1_EBF_1 -8 0 - 0 0 . chr4 8643295 8643296 chr4:8643296:A:G rs4640657 A G a EBF1_EBF_1 -19 0 + 0 0 . chr4 8643337 8643338 chr4:8643338:G:T rs77932353 G T G EBF1_EBF_1 23 0 + 0 0 . chr4 8643965 8643966 chr4:8643966:T:C rs4377573 T C C EBF1_EBF_1 19 0 - 0 0 . chr4 8644000 8644001 chr4:8644001:G:A rs73078089 G A G EBF1_EBF_1 -16 0 - 0 0 . chr4 8644793 8644794 chr4:8644794:T:C rs116817068 T C T EBF1_EBF_1 19 0 + 0 0 . chr4 8646673 8646674 chr4:8646674:C:T rs144723843 C T C EBF1_EBF_1 12 1 - 4.990504515574519 6.409321857673996 ACCCCCAGGGGGGA chr4 8652547 8652548 chr4:8652548:C:T rs35255425 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 8688328 8688329 chr4:8688329:G:A chr4:8688329:G:A G A G EBF1_EBF_1 15 0 + 0 0 . chr4 8692077 8692078 chr4:8692078:C:T rs4696913 C T C EBF1_EBF_1 13 1 + 7.718705159694326 8.563199274279844 AAACCCTGGGGACC chr4 8698864 8698865 chr4:8698865:G:A rs113717170 G A G EBF1_EBF_1 -11 0 + 0 0 . chr4 8705276 8705277 chr4:8705277:T:G rs79536142 T G T EBF1_EBF_1 14 0 - 0 0 . chr4 8705300 8705301 chr4:8705301:T:A rs7438203 T A A EBF1_EBF_1 -10 0 - 0 0 . chr4 8705733 8705734 chr4:8705734:A:C rs4077319 A C C EBF1_EBF_1 9 1 + 4.311158885704244 1.8904090048063837 GTTCCCAGGAGCCT chr4 8706193 8706194 chr4:8706194:G:A rs190419260 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 8707120 8707121 chr4:8707121:C:T rs10938739 C T C EBF1_EBF_1 -3 0 + 0 0 . chr4 8708725 8708726 chr4:8708726:G:A rs4696718 G A G EBF1_EBF_1 0 1 - 4.679222187319058 4.961424248049635 CGTCCCAGGGGCCA chr4 8708811 8708812 chr4:8708812:G:T rs4696719 G T G EBF1_EBF_1 28 0 - 0 0 . chr4 8739951 8739952 chr4:8739952:G:A rs146141475 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 8740381 8740382 chr4:8740382:C:T rs139113383 C T C EBF1_EBF_1 4 1 + 7.821268056954119 3.451881112009194 CCTCCCAGGGGAAG chr4 8744503 8744504 chr4:8744504:A:G rs36138240 A G G EBF1_EBF_1 -17 0 + 0 0 . chr4 8772931 8772932 chr4:8772932:T:C rs147092793 T C C EBF1_EBF_1 2 1 + 6.596185833966319 4.70736242252417 GTTCCCCTGGGCCC chr4 8773541 8773542 chr4:8773542:G:C rs145614737 G C G EBF1_EBF_1 32 0 - 0 0 . chr4 8781757 8781758 chr4:8781758:C:G rs140089980 C G C EBF1_EBF_1 30 0 + 0 0 . chr4 8820539 8820540 chr4:8820540:G:A rs147439957 G A G EBF1_EBF_1 -20 0 + 0 0 . chr4 8821338 8821339 chr4:8821339:A:G rs140703136 A G G EBF1_EBF_1 -14 0 + 0 0 . chr4 8821359 8821360 chr4:8821360:G:A rs150457273 G A G EBF1_EBF_1 7 1 + 6.33635624195573 7.6488146472445555 AACCCCCGGGGAGG chr4 8836097 8836098 chr4:8836098:G:A rs7293270 G A G EBF1_EBF_1 23 0 + 0 0 . chr4 8840098 8840099 chr4:8840099:T:C rs149768438 T C T EBF1_EBF_1 16 0 - 0 0 . chr4 8848851 8848852 chr4:8848852:C:T rs139007881 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 8851442 8851443 chr4:8851443:C:T rs147218860 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 8851449 8851450 chr4:8851450:A:G rs7293254 A G G EBF1_EBF_1 30 0 + 0 0 . chr4 8858462 8858463 chr4:8858463:C:T rs145559740 C T c EBF1_EBF_1 3 1 + 4.517041923361248 -1.8883568181920698 AGACCCCAGGAACT chr4 8869881 8869882 chr4:8869882:T:C rs4285170 T C c EBF1_EBF_1 16 0 + 0 0 . chr4 8887220 8887221 chr4:8887221:A:G rs149900220 A G g EBF1_EBF_1 25 0 - 0 0 . chr4 8906239 8906240 chr4:8906240:G:T rs8143176 G T g EBF1_EBF_1 -15 0 + 0 0 . chr4 8909516 8909517 chr4:8909517:C:T rs4956769 C T c EBF1_EBF_1 19 0 - 0 0 . chr4 8914441 8914442 chr4:8914442:T:C rs2239716 T C c EBF1_EBF_1 -20 0 + 0 0 . chr4 8923061 8923062 chr4:8923062:G:A rs999825 G A g EBF1_EBF_1 -6 0 - 0 0 . chr4 8939528 8939529 chr4:8939529:T:C rs36130030 T C N EBF1_EBF_1 -17 0 + 0 0 . chr4 8940010 8940011 chr4:8940011:C:T rs145744295 C T N EBF1_EBF_1 -15 0 - 0 0 . chr4 8940123 8940124 chr4:8940124:C:T rs147666738 C T N EBF1_EBF_1 -2 0 + 0 0 . chr4 8940717 8940718 chr4:8940718:T:C rs138508912 T C N EBF1_EBF_1 -11 0 - 0 0 . chr4 8953003 8953004 chr4:8953004:C:A rs143065004 C A N EBF1_EBF_1 -6 0 + 0 0 . chr4 8959243 8959244 chr4:8959244:G:T rs146143630 G T N EBF1_EBF_1 -20 0 - 0 0 . chr4 8971351 8971352 chr4:8971352:A:T chr4:8971352:A:T A T - EBF1_EBF_1 1 1 - 4.48202922066184 3.51566860291101 ATCCCCCTGCGAAG chr4 9009291 9009292 chr4:9009292:C:A rs138571389 C A c EBF1_EBF_1 3 1 + 6.950593570888566 0.04441954142275861 GATCCCCAGGGCCT chr4 9063775 9063776 chr4:9063776:T:A rs1298431217 T A - EBF1_EBF_1 26 0 + 0 0 . chr4 9102070 9102071 chr4:9102071:C:T rs148419587 C T N EBF1_EBF_1 -20 0 + 0 0 . chr4 9102096 9102097 chr4:9102097:C:A rs4974811 C A N EBF1_EBF_1 6 1 + 7.021868919074015 7.383471286875292 TTTCCCCAGGGCAC chr4 9144726 9144727 chr4:9144727:T:C rs1988623 T C N EBF1_EBF_1 2 1 + 4.255980220451747 2.3671568090095962 CATCCCGAGGGTCC chr4 9153563 9153564 chr4:9153564:G:A rs574667277 G A N EBF1_EBF_1 28 0 + 0 0 . chr4 9153568 9153569 chr4:9153569:C:T rs373163133 C T N EBF1_EBF_1 33 0 + 0 0 . chr4 9156245 9156246 chr4:9156246:C:A rs191710827 C A N EBF1_EBF_1 24 0 - 0 0 . chr4 9430239 9430240 chr4:9430240:G:T rs28407951 G T g EBF1_EBF_1 24 0 + 0 0 . chr4 9487145 9487146 chr4:9487146:T:C rs13103170 T C - EBF1_EBF_1 25 0 + 0 0 . chr4 9509263 9509264 chr4:9509264:C:G rs56340722 C G N EBF1_EBF_1 13 1 - 5.969847910899167 7.457918932254582 AATCCCAAGGAACG chr4 9519399 9519400 chr4:9519400:T:C rs147929504 T C - EBF1_EBF_1 -1 0 - 0 0 . chr4 9589075 9589076 chr4:9589076:G:T rs6837173 G T g EBF1_EBF_1 31 0 + 0 0 . chr4 9608269 9608270 chr4:9608270:A:G rs11724183 A G a EBF1_EBF_1 11 1 + 7.735154544029673 4.842447700425662 ATTCCCCTGAGATG chr4 9627878 9627879 chr4:9627879:G:T rs7666466 G T g EBF1_EBF_1 30 0 + 0 0 . chr4 9703724 9703725 chr4:9703725:G:T rs771815774 G T g EBF1_EBF_1 3 1 - 4.313915738269005 -2.5922582911968033 AAACCCCAGGGCCC chr4 9704064 9704065 chr4:9704065:G:A rs114135281 G A g EBF1_EBF_1 -5 0 + 0 0 . chr4 9731910 9731911 chr4:9731911:G:A rs527766984 G A g EBF1_EBF_1 -18 0 - 0 0 . chr4 9762530 9762531 chr4:9762531:C:T rs13117391 C T c EBF1_EBF_1 -4 0 + 0 0 . chr4 9764799 9764800 chr4:9764800:G:A rs73221593 G A g EBF1_EBF_1 -2 0 - 0 0 . chr4 9765124 9765125 chr4:9765125:G:A rs10003216 G A g EBF1_EBF_1 -2 0 - 0 0 . chr4 9806630 9806631 chr4:9806631:A:C rs58693849 A C a EBF1_EBF_1 -14 0 + 0 0 . chr4 9868345 9868346 chr4:9868346:A:G rs55858763 A G - EBF1_EBF_1 -4 0 + 0 0 . chr4 9876212 9876213 chr4:9876213:A:C rs62293283 A C C EBF1_EBF_1 -18 0 + 0 0 . chr4 9876237 9876238 chr4:9876238:A:G rs62293284 A G G EBF1_EBF_1 7 1 + 7.888680896142238 6.576222490853413 CGTCCCAAGGGGCC chr4 9886760 9886761 chr4:9886761:C:G rs185854976 C G C EBF1_EBF_1 28 0 + 0 0 . chr4 9889083 9889084 chr4:9889084:A:G rs1107710 A G A EBF1_EBF_1 32 0 - 0 0 . chr4 9890477 9890478 chr4:9890478:T:C rs10939602 T C C EBF1_EBF_1 11 1 - 8.826011923531532 5.93330507992752 TCTCCCCGGGGACA chr4 9914555 9914556 chr4:9914556:T:C rs7674711 T C C EBF1_EBF_1 33 0 - 0 0 . chr4 9914577 9914578 chr4:9914578:T:C rs7674723 T C C EBF1_EBF_1 11 1 - 4.700961988824653 1.808255145220641 AACCACCAGGGACC chr4 9943025 9943026 chr4:9943026:T:G rs6449144 T G G EBF1_EBF_1 -1 0 - 0 0 . chr4 9944712 9944713 chr4:9944713:C:T rs71603976 C T C EBF1_EBF_1 12 1 + 4.619693099549508 3.593426528998658 ACTCCAGAGGGACT chr4 9945653 9945654 chr4:9945654:T:C rs1122141 T C C EBF1_EBF_1 23 0 - 0 0 . chr4 9947927 9947928 chr4:9947928:C:T rs114592558 C T C EBF1_EBF_1 -13 0 + 0 0 . chr4 9948214 9948215 chr4:9948215:C:T rs13151331 C T C EBF1_EBF_1 -16 0 + 0 0 . chr4 9979510 9979511 chr4:9979511:A:G rs763941145 A G A EBF1_EBF_1 -3 0 + 0 0 . chr4 9983297 9983298 chr4:9983298:G:A rs183301471 G A G EBF1_EBF_1 15 0 - 0 0 . chr4 9987977 9987978 chr4:9987978:A:G rs113188799 A G A EBF1_EBF_1 20 0 - 0 0 . chr4 9989877 9989878 chr4:9989878:T:C rs12646380 T C T EBF1_EBF_1 -13 0 + 0 0 . chr4 10013886 10013887 chr4:10013887:T:G rs3756235 T G T EBF1_EBF_1 -17 0 + 0 0 . chr4 10020978 10020979 chr4:10020979:G:A rs755883352 G A G EBF1_EBF_1 -1 0 - 0 0 . chr4 10027242 10027243 chr4:10027243:G:A rs34325511 G A G EBF1_EBF_1 -16 0 - 0 0 . chr4 10033308 10033309 chr4:10033309:T:C rs13146686 T C C EBF1_EBF_1 -18 0 + 0 0 . chr4 10034090 10034091 chr4:10034091:G:A rs16892420 G A C EBF1_EBF_1 33 0 - 0 0 . chr4 10040475 10040476 chr4:10040476:C:T rs10516197 C T C EBF1_EBF_1 32 0 + 0 0 . chr4 10049881 10049882 chr4:10049882:G:A rs13137795 G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 10061340 10061341 chr4:10061341:G:A rs10004571 G A G EBF1_EBF_1 10 1 + 6.116901996176353 0.798873536909387 ATTCCCTTAGGGAC chr4 10101082 10101083 chr4:10101083:A:G rs4697918 A G G EBF1_EBF_1 -1 0 + 0 0 . chr4 10101108 10101109 chr4:10101109:G:A rs374363296 G A G EBF1_EBF_1 25 0 + 0 0 . chr4 10101111 10101112 chr4:10101112:C:A rs56079809 C A C EBF1_EBF_1 28 0 + 0 0 . chr4 10101201 10101202 chr4:10101202:G:C rs145444429 G C G EBF1_EBF_1 16 0 - 0 0 . chr4 10110904 10110905 chr4:10110905:T:G rs56403947 T G C EBF1_EBF_1 -15 0 - 0 0 . chr4 10116393 10116394 chr4:10116394:T:C rs1157764421 T C T EBF1_EBF_1 31 0 - 0 0 . chr4 10117674 10117675 chr4:10117675:T:G rs187998726 T G t EBF1_EBF_1 7 1 - 3.7153194808994288 1.4215404945292476 AGCCCCCAGAGCCC chr4 10117691 10117692 chr4:10117692:G:A rs112172557 G A g EBF1_EBF_1 -19 0 - 0 0 . chr4 10118466 10118467 chr4:10118467:T:C rs3756222 T C c EBF1_EBF_1 23 0 - 0 0 . chr4 10124183 10124184 chr4:10124184:C:T rs7699512 C T c EBF1_EBF_1 31 0 - 0 0 . chr4 10135182 10135183 chr4:10135183:T:A rs4358401 T A a EBF1_EBF_1 24 0 - 0 0 . chr4 10141530 10141531 chr4:10141531:C:T rs146576856 C T c EBF1_EBF_1 10 1 - 6.359916781298784 1.0418883220318174 CTCCCCCAGGGAGG chr4 10141775 10141776 chr4:10141776:T:C rs4697928 T C c EBF1_EBF_1 11 1 - 4.247503767820696 1.3547969242166849 ACTCCCAAGTGAGA chr4 10151253 10151254 chr4:10151254:C:G rs747357 C G g EBF1_EBF_1 -16 0 + 0 0 . chr4 10162479 10162480 chr4:10162480:T:C rs10022908 T C t EBF1_EBF_1 23 0 - 0 0 . chr4 10181668 10181669 chr4:10181669:A:G rs146505074 A G a EBF1_EBF_1 -10 0 - 0 0 . chr4 10190390 10190391 chr4:10190391:T:C rs2215692 T C c EBF1_EBF_1 19 0 + 0 0 . chr4 10198287 10198288 chr4:10198288:T:G chr4:10198288:T:G T G t EBF1_EBF_1 -18 0 - 0 0 . chr4 10244273 10244274 chr4:10244274:G:A rs6853056 G A - EBF1_EBF_1 -19 0 - 0 0 . chr4 10271704 10271705 chr4:10271705:T:C rs10939814 T C C EBF1_EBF_1 15 0 - 0 0 . chr4 10291069 10291070 chr4:10291070:A:G rs10939820 A G G EBF1_EBF_1 -6 0 + 0 0 . chr4 10320560 10320561 chr4:10320561:T:G rs12506625 T G T EBF1_EBF_1 12 1 + 5.5699192634154695 5.207549890288168 GTTCCCCTGGGCTC chr4 10330193 10330194 chr4:10330194:C:A rs73224439 C A C EBF1_EBF_1 11 1 - 5.920457177629389 4.715249765134676 TGCCCCCAGGGGCC chr4 10333669 10333670 chr4:10333670:C:G rs7688090 C G C EBF1_EBF_1 -2 0 - 0 0 . chr4 10343071 10343072 chr4:10343072:T:A rs34103396 T A T EBF1_EBF_1 17 0 - 0 0 . chr4 10343788 10343789 chr4:10343789:C:T rs75524817 C T C EBF1_EBF_1 24 0 - 0 0 . chr4 10343832 10343833 chr4:10343833:A:C rs6855062 A C A EBF1_EBF_1 -20 0 - 0 0 . chr4 10346139 10346140 chr4:10346140:T:C rs2192083 T C C EBF1_EBF_1 22 0 + 0 0 . chr4 10346142 10346143 chr4:10346143:C:T rs7691195 C T C EBF1_EBF_1 25 0 + 0 0 . chr4 10460400 10460401 chr4:10460401:C:T rs148442170 C T c EBF1_EBF_1 -17 0 + 0 0 . chr4 10509858 10509859 chr4:10509859:C:T rs1004327 C T C EBF1_EBF_1 2 1 + 7.8832113591785316 9.77203477062068 ACCCCCAGGGGAGA chr4 10598045 10598046 chr4:10598046:G:T rs75741253 G T G EBF1_EBF_1 11 1 - 4.448704753819083 8.864666451671473 CATCCCAGGGGCAA chr4 10667837 10667838 chr4:10667838:C:G rs369540268 C G C EBF1_EBF_1 -4 0 + 0 0 . chr4 10715314 10715315 chr4:10715315:G:C rs4293777 G C G EBF1_EBF_1 -9 0 - 0 0 . chr4 10898525 10898526 chr4:10898526:T:C rs2012545 T C T EBF1_EBF_1 8 1 - 6.171253816933791 10.39754390582005 TCTCCCATAGGACC chr4 10987178 10987179 chr4:10987179:T:G rs56318251 T G G EBF1_EBF_1 -2 0 - 0 0 . chr4 11009439 11009440 chr4:11009440:A:C rs4697830 A C A EBF1_EBF_1 -15 0 + 0 0 . chr4 11022057 11022058 chr4:11022058:A:G rs10939105 A G A EBF1_EBF_1 4 1 + 5.0881028392239775 5.286897361527901 AGTCACCAGGGAGA chr4 11074994 11074995 chr4:11074995:C:T rs7664881 C T T EBF1_EBF_1 -12 0 - 0 0 . chr4 11368967 11368968 chr4:11368968:T:C rs6448674 T C C EBF1_EBF_1 -8 0 - 0 0 . chr4 11428481 11428482 chr4:11428482:G:A rs77102010 G A G EBF1_EBF_1 3 1 - 10.869851792168372 4.464453050615058 AGTCCCGAGGGACC chr4 11429462 11429463 chr4:11429463:G:A rs112465155 G A G EBF1_EBF_1 17 0 + 0 0 . chr4 11459782 11459783 chr4:11459783:C:G rs1603184 C G C EBF1_EBF_1 22 0 + 0 0 . chr4 11530627 11530628 chr4:11530628:G:A rs12509301 G A G EBF1_EBF_1 12 1 - 5.200326437921783 4.174059867370933 CTTCCCTAGAGCCT chr4 11614176 11614177 chr4:11614177:A:G rs7659282 A G A EBF1_EBF_1 -16 0 - 0 0 . chr4 11623939 11623940 chr4:11623940:T:G rs547575204 T G T EBF1_EBF_1 28 0 - 0 0 . chr4 11623963 11623964 chr4:11623964:G:A rs9884312 G A G EBF1_EBF_1 4 1 - 6.848493484729959 2.479106539785035 ATTCCCACAGGACA chr4 11635696 11635697 chr4:11635697:C:T rs7672416 C T C EBF1_EBF_1 21 0 + 0 0 . chr4 11660541 11660542 chr4:11660542:G:T rs188219682 G T G EBF1_EBF_1 2 1 - 5.190163569282034 3.394519393125308 CTCCCCAAAGGATT chr4 11700907 11700908 chr4:11700908:C:T rs147776591 C T C EBF1_EBF_1 3 1 + 8.838731119206445 2.4333323776531275 AATCCCAGGAGAAC chr4 11701887 11701888 chr4:11701888:T:A rs1079548 T A T EBF1_EBF_1 -20 0 + 0 0 . chr4 11742278 11742279 chr4:11742279:G:A rs6824471 G A G EBF1_EBF_1 3 1 - 5.369858567573367 -1.0355401739799501 TCACCCCAGGGAAG chr4 11957602 11957603 chr4:11957603:C:T rs10032301 C T T EBF1_EBF_1 7 1 - 3.386337140025823 4.698795545314648 CACCCCCGGGGCCT chr4 11966933 11966934 chr4:11966934:A:G rs1489465 A G A EBF1_EBF_1 0 1 + 6.138382577504364 4.246098707939531 AGTCCACTGGGACT chr4 12119064 12119065 chr4:12119065:G:A rs114992760 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 12217005 12217006 chr4:12217006:C:G rs11734987 C G C EBF1_EBF_1 -14 0 - 0 0 . chr4 12217107 12217108 chr4:12217108:C:G rs11735833 C G C EBF1_EBF_1 6 1 + 11.144857721041616 9.33966565756842 GTTCCCCAGGGAAA chr4 12387125 12387126 chr4:12387126:G:A rs11734557 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 12524890 12524891 chr4:12524891:T:G rs1351455 T G G EBF1_EBF_1 25 0 + 0 0 . chr4 12595215 12595216 chr4:12595216:C:G rs59613151 C G C EBF1_EBF_1 -15 0 + 0 0 . chr4 12615269 12615270 chr4:12615270:C:T rs57489344 C T T EBF1_EBF_1 -10 0 - 0 0 . chr4 12631447 12631448 chr4:12631448:A:G rs6839361 A G A EBF1_EBF_1 23 0 - 0 0 . chr4 12679483 12679484 chr4:12679484:G:A rs34213059 G A G EBF1_EBF_1 -20 0 + 0 0 . chr4 12852494 12852495 chr4:12852495:C:T rs145159353 C T C EBF1_EBF_1 3 1 + 4.305300786597656 -2.10009795495566 GTCCGCTAGGGAAC chr4 12926850 12926851 chr4:12926851:A:T rs62293603 A T A EBF1_EBF_1 29 0 - 0 0 . chr4 12929425 12929426 chr4:12929426:G:C rs16887865 G C G EBF1_EBF_1 20 0 + 0 0 . chr4 13127719 13127720 chr4:13127720:T:C rs507691 T C T EBF1_EBF_1 -20 0 + 0 0 . chr4 13127742 13127743 chr4:13127743:C:T rs562598 C T C EBF1_EBF_1 3 1 + 7.005376763591975 0.5999780220386561 TGACCCAAGGGACA chr4 13192325 13192326 chr4:13192326:T:C rs28419692 T C T EBF1_EBF_1 28 0 + 0 0 . chr4 13218769 13218770 chr4:13218770:C:G rs6831461 C G C EBF1_EBF_1 -1 0 - 0 0 . chr4 13221868 13221869 chr4:13221869:T:C rs10023808 T C T EBF1_EBF_1 9 1 - 6.0731388822942085 8.728078147741812 GCTCCCCTGAGAGC chr4 13298881 13298882 chr4:13298882:G:A rs79710380 G A G EBF1_EBF_1 19 0 - 0 0 . chr4 13298883 13298884 chr4:13298884:G:A rs73111458 G A G EBF1_EBF_1 17 0 - 0 0 . chr4 13347627 13347628 chr4:13347628:G:A rs151013640 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 13543072 13543073 chr4:13543073:C:T rs73100452 C T C EBF1_EBF_1 25 0 + 0 0 . chr4 13547806 13547807 chr4:13547807:A:G rs112266676 A G - EBF1_EBF_1 25 0 - 0 0 . chr4 13559094 13559095 chr4:13559095:T:A rs1029534286 T A T EBF1_EBF_1 31 0 + 0 0 . chr4 13627927 13627928 chr4:13627928:A:G rs751878786 A G A EBF1_EBF_1 23 0 - 0 0 . chr4 13627932 13627933 chr4:13627933:T:G chr4:13627933:T:G T G T EBF1_EBF_1 18 0 - 0 0 . chr4 13628239 13628240 chr4:13628240:C:T rs532960814 C T C EBF1_EBF_1 8 1 - 5.4280012765926395 1.201711187706378 ACCCCCACGGGGAA chr4 13628242 13628243 chr4:13628243:G:A rs138348154 G A G EBF1_EBF_1 5 1 - 5.4280012765926395 0.1062140610519699 ACCCCCACGGGGAA chr4 13783942 13783943 chr4:13783943:C:T rs114457735 C T T EBF1_EBF_1 33 0 - 0 0 . chr4 13831834 13831835 chr4:13831835:A:C rs878098 A C A EBF1_EBF_1 -17 0 + 0 0 . chr4 13882063 13882064 chr4:13882064:C:T rs75843035 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 13919357 13919358 chr4:13919358:C:T rs505703 C T C EBF1_EBF_1 30 0 - 0 0 . chr4 13919613 13919614 chr4:13919614:C:T rs13112792 C T C EBF1_EBF_1 24 0 - 0 0 . chr4 13994821 13994822 chr4:13994822:T:G rs490661 T G G EBF1_EBF_1 -13 0 - 0 0 . chr4 14088082 14088083 chr4:14088083:A:G rs9291620 A G A EBF1_EBF_1 21 0 - 0 0 . chr4 14088115 14088116 chr4:14088116:T:G rs116541117 T G T EBF1_EBF_1 -12 0 - 0 0 . chr4 14110796 14110797 chr4:14110797:C:T rs73796940 C T C EBF1_EBF_1 -9 0 - 0 0 . chr4 14165887 14165888 chr4:14165888:A:G rs76290926 A G A EBF1_EBF_1 -3 0 + 0 0 . chr4 14177399 14177400 chr4:14177400:T:C rs7688978 T C T EBF1_EBF_1 24 0 - 0 0 . chr4 14215384 14215385 chr4:14215385:A:G rs2969168 A G G EBF1_EBF_1 -1 0 + 0 0 . chr4 14255085 14255086 chr4:14255086:G:A rs147755990 G A G EBF1_EBF_1 10 1 + 6.613975881974218 1.2959474227072523 TTCCCCAAGGGGCA chr4 14270076 14270077 chr4:14270077:G:A rs35824020 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 14277502 14277503 chr4:14277503:C:T rs34845011 C T C EBF1_EBF_1 30 0 - 0 0 . chr4 14340592 14340593 chr4:14340593:C:T rs11936843 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 14446013 14446014 chr4:14446014:G:A rs16890480 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 14446070 14446071 chr4:14446071:C:G rs17549604 C G C EBF1_EBF_1 5 1 + 5.920729210799238 -0.9841519418359284 TCTGCCAAGGGAAT chr4 14478630 14478631 chr4:14478631:A:G rs13134065 A G A EBF1_EBF_1 -4 0 - 0 0 . chr4 14478632 14478633 chr4:14478633:A:G rs2867715 A G G EBF1_EBF_1 -6 0 - 0 0 . chr4 14600836 14600837 chr4:14600837:C:T rs1501170 C T C EBF1_EBF_1 -17 0 - 0 0 . chr4 14633805 14633806 chr4:14633806:G:T rs374904905 G T G EBF1_EBF_1 6 1 - 11.870203194627637 12.231805562428915 AATCCCCAGGGAGT chr4 14669480 14669481 chr4:14669481:A:G rs10013159 A G A EBF1_EBF_1 -12 0 + 0 0 . chr4 14719130 14719131 chr4:14719131:G:A rs7689993 G A G EBF1_EBF_1 31 0 + 0 0 . chr4 14747731 14747732 chr4:14747732:G:A rs4235366 G A A EBF1_EBF_1 -2 0 - 0 0 . chr4 14842021 14842022 chr4:14842022:T:C rs147790673 T C T EBF1_EBF_1 -20 0 + 0 0 . chr4 14857599 14857600 chr4:14857600:T:A rs10516281 T A A EBF1_EBF_1 11 1 + 5.48691367708764 9.584827933186364 ATTCCCATGAGTTC chr4 14861558 14861559 chr4:14861559:A:G rs16891110 A G A EBF1_EBF_1 27 0 + 0 0 . chr4 14864788 14864789 chr4:14864789:G:A rs80322403 G A G EBF1_EBF_1 6 1 - 6.647036147391121 6.948322276252878 TGTCCCCAGAGATA chr4 14865742 14865743 chr4:14865743:C:T rs28437518 C T T EBF1_EBF_1 -8 0 - 0 0 . chr4 14873446 14873447 chr4:14873447:G:A rs11731167 G A G EBF1_EBF_1 1 1 - 5.992952793335284 6.88838623373403 GCTCCCCAAGGACA chr4 14873448 14873449 chr4:14873449:C:T rs11723192 C T T EBF1_EBF_1 -1 0 - 0 0 . chr4 14878320 14878321 chr4:14878321:G:A rs10032729 G A A EBF1_EBF_1 10 1 + 4.726150786051162 -0.591877673215804 GCTCACTAGGGAAA chr4 15005103 15005104 chr4:15005104:G:T rs892474083 G T G EBF1_EBF_1 32 0 - 0 0 . chr4 15005112 15005113 chr4:15005113:G:A rs139793971 G A G EBF1_EBF_1 23 0 - 0 0 . chr4 15093264 15093265 chr4:15093265:G:A rs2604569 G A A EBF1_EBF_1 9 1 + 5.972435319093774 3.3174960536461704 AGTCCCACAGGAGT chr4 15140890 15140891 chr4:15140891:G:C rs2034798 G C C EBF1_EBF_1 12 1 - 10.713623294538795 9.324987350860646 ATTCCCAAGAGACA chr4 15198719 15198720 chr4:15198720:G:A rs16891587 G A G EBF1_EBF_1 10 1 + 3.831458019131034 -1.4865704401359316 ACTCCCCATGGAGA chr4 15203016 15203017 chr4:15203017:G:A rs2219169 G A A EBF1_EBF_1 5 1 - 4.610496348718401 -0.7112908668222688 CATCCCAGGGGCTT chr4 15298594 15298595 chr4:15298595:A:C rs6449125 A C C EBF1_EBF_1 -15 0 + 0 0 . chr4 15300870 15300871 chr4:15300871:G:C rs6827007 G C G EBF1_EBF_1 20 0 - 0 0 . chr4 15341863 15341864 chr4:15341864:C:T rs796378688 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 15374916 15374917 chr4:15374917:C:G rs149031237 C G C EBF1_EBF_1 23 0 - 0 0 . chr4 15395134 15395135 chr4:15395135:C:T rs10002931 C T T EBF1_EBF_1 18 0 - 0 0 . chr4 15411697 15411698 chr4:15411698:T:G rs7687272 T G T EBF1_EBF_1 10 1 + 5.066408711622852 9.249160897850118 CTTCCCCTGGTATA chr4 15420328 15420329 chr4:15420329:G:A rs13131227 G A A EBF1_EBF_1 -6 0 + 0 0 . chr4 15478857 15478858 chr4:15478858:G:A rs13353624 G A G EBF1_EBF_1 -5 0 + 0 0 . chr4 15480697 15480698 chr4:15480698:C:T rs1861049 C T C EBF1_EBF_1 -17 0 + 0 0 . chr4 15480735 15480736 chr4:15480736:C:T rs1861050 C T C EBF1_EBF_1 21 0 + 0 0 . chr4 15614558 15614559 chr4:15614559:C:G rs73239182 C G C EBF1_EBF_1 31 0 + 0 0 . chr4 15650082 15650083 chr4:15650083:G:A rs148361819 G A G EBF1_EBF_1 10 1 + 7.436930944744521 2.1189024854775553 ATCCCCCAGAGATA chr4 15654757 15654758 chr4:15654758:G:C rs754593825 G C G EBF1_EBF_1 12 1 - 6.620025631435302 5.231389687757151 ACTCCCCCGGGCCT chr4 15681623 15681624 chr4:15681624:C:T rs866687252 C T C EBF1_EBF_1 -13 0 + 0 0 . chr4 15681662 15681663 chr4:15681663:T:C rs903903401 T C T EBF1_EBF_1 26 0 + 0 0 . chr4 15735724 15735725 chr4:15735725:G:A rs4698412 G A G EBF1_EBF_1 28 0 + 0 0 . chr4 15754039 15754040 chr4:15754040:G:C rs776580516 G C . EBF1_EBF_1 -15 0 - 0 0 . chr4 15810994 15810995 chr4:15810995:C:G rs6449192 C G G EBF1_EBF_1 -14 0 + 0 0 . chr4 15868942 15868943 chr4:15868943:G:A rs116552451 G A G EBF1_EBF_1 30 0 - 0 0 . chr4 15868990 15868991 chr4:15868991:T:C rs73116423 T C T EBF1_EBF_1 -18 0 - 0 0 . chr4 15912382 15912383 chr4:15912383:G:A rs2531149 G A A EBF1_EBF_1 -10 0 - 0 0 . chr4 15926077 15926078 chr4:15926078:G:A rs61116444 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 16070863 16070864 chr4:16070864:C:T rs1040209 C T T EBF1_EBF_1 -3 0 - 0 0 . chr4 16079253 16079254 chr4:16079254:C:T rs114145053 C T C EBF1_EBF_1 33 0 + 0 0 . chr4 16114358 16114359 chr4:16114359:G:A rs11933542 G A G EBF1_EBF_1 3 1 - 5.872123493011365 -0.5332752485419513 CTTCCCAAAGGAGC chr4 16116682 16116683 chr4:16116683:C:T rs908646 C T T EBF1_EBF_1 -16 0 - 0 0 . chr4 16121005 16121006 chr4:16121006:C:A rs113789142 C A C EBF1_EBF_1 -11 0 - 0 0 . chr4 16123040 16123041 chr4:16123041:C:T rs317890 C T C EBF1_EBF_1 6 1 - 7.041026301025492 9.207820732299968 ATACCCGTGGGACA chr4 16134593 16134594 chr4:16134594:C:T rs12498771 C T C EBF1_EBF_1 10 1 - 6.790083796347971 1.4720553370810041 TACCCCCAGGGAGA chr4 16140966 16140967 chr4:16140967:C:A rs1123334 C A C EBF1_EBF_1 30 0 + 0 0 . chr4 16168868 16168869 chr4:16168869:C:A rs1662652 C A C EBF1_EBF_1 -20 0 - 0 0 . chr4 16168868 16168869 chr4:16168869:C:T chr4:16168869:C:T C T C EBF1_EBF_1 -20 0 - 0 0 . chr4 16197133 16197134 chr4:16197134:G:C rs149437835 G C G EBF1_EBF_1 -9 0 + 0 0 . chr4 16205478 16205479 chr4:16205479:G:C rs35517826 G C G EBF1_EBF_1 -5 0 + 0 0 . chr4 16206917 16206918 chr4:16206918:C:T rs34592687 C T C EBF1_EBF_1 3 1 + 6.755236160975943 0.34983741942262675 CCTCCCCTGAGAAA chr4 16206942 16206943 chr4:16206943:G:C rs73130451 G C G EBF1_EBF_1 28 0 + 0 0 . chr4 16206947 16206948 chr4:16206948:T:C rs75177024 T C T EBF1_EBF_1 33 0 + 0 0 . chr4 16212629 16212630 chr4:16212630:C:G rs28517428 C G C EBF1_EBF_1 5 1 + 8.37137170435493 1.4664905517197624 CCCCCCCAGGGAAC chr4 16290382 16290383 chr4:16290383:G:A rs80250406 G A G EBF1_EBF_1 23 0 - 0 0 . chr4 16304158 16304159 chr4:16304159:T:C rs16893309 T C C EBF1_EBF_1 -16 0 + 0 0 . chr4 16304199 16304200 chr4:16304200:A:G rs16893310 A G G EBF1_EBF_1 25 0 + 0 0 . chr4 16329243 16329244 chr4:16329244:G:A rs10034095 G A A EBF1_EBF_1 15 0 - 0 0 . chr4 16384606 16384607 chr4:16384607:C:T rs191603076 C T C EBF1_EBF_1 0 1 - 5.8734957393418545 7.765779608906689 GTTCCCCAAGGAGC chr4 16384607 16384608 chr4:16384608:G:A rs1018126 G A A EBF1_EBF_1 -1 0 - 0 0 . chr4 16458064 16458065 chr4:16458065:T:C rs79344812 T C T EBF1_EBF_1 1 1 + 5.833841340655156 4.9384079002564105 CTTCCTCAGGGAAC chr4 16524907 16524908 chr4:16524908:T:C rs12644698 T C T EBF1_EBF_1 -8 0 + 0 0 . chr4 16582822 16582823 chr4:16582823:A:G rs4256222 A G G EBF1_EBF_1 17 0 + 0 0 . chr4 16585358 16585359 chr4:16585359:C:G rs7669160 C G G EBF1_EBF_1 33 0 - 0 0 . chr4 16671973 16671974 chr4:16671974:C:T rs79151476 C T T EBF1_EBF_1 12 1 + 3.8058174415634314 2.7795508710125816 AGTCCACTGGGACG chr4 16779556 16779557 chr4:16779557:A:G rs157611 A G G EBF1_EBF_1 -16 0 - 0 0 . chr4 16846727 16846728 chr4:16846728:T:A rs17815419 T A T EBF1_EBF_1 25 0 + 0 0 . chr4 16860891 16860892 chr4:16860892:C:A rs17759518 C A C EBF1_EBF_1 3 1 + 6.429785760982236 -0.47638826848357096 ATACCCCAGGGGCA chr4 16869996 16869997 chr4:16869997:G:A rs567869898 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 16913044 16913045 chr4:16913045:A:T rs13122472 A T C EBF1_EBF_1 -11 0 - 0 0 . chr4 16916857 16916858 chr4:16916858:T:C rs113880404 T C T EBF1_EBF_1 30 0 - 0 0 . chr4 16953579 16953580 chr4:16953580:C:T rs76249214 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 16994879 16994880 chr4:16994880:A:C rs11731316 A C A EBF1_EBF_1 2 1 - 4.6187781954166685 -2.129547494783799 ACTTCCTAGGGAGA chr4 16998225 16998226 chr4:16998226:G:A rs55894908 G A - EBF1_EBF_1 -2 0 + 0 0 . chr4 17027627 17027628 chr4:17027628:C:T rs564031024 C T C EBF1_EBF_1 -7 0 + 0 0 . chr4 17027657 17027658 chr4:17027658:A:G rs114780459 A G A EBF1_EBF_1 23 0 + 0 0 . chr4 17065703 17065704 chr4:17065704:T:C rs28698291 T C C EBF1_EBF_1 32 0 + 0 0 . chr4 17187154 17187155 chr4:17187155:C:T rs7669359 C T T EBF1_EBF_1 0 1 - 4.887192334360115 6.779476203924948 GTCCCCATGGGCTT chr4 17187163 17187164 chr4:17187164:G:A rs7667911 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 17192501 17192502 chr4:17192502:C:A rs561428750 C A C EBF1_EBF_1 10 1 - 6.727523776858738 2.5447715906314747 CTTCCCAAGGGCAA chr4 17285020 17285021 chr4:17285021:C:T rs116553938 C T C EBF1_EBF_1 27 0 + 0 0 . chr4 17288616 17288617 chr4:17288617:G:A chr4:17288617:G:A G A G EBF1_EBF_1 -10 0 - 0 0 . chr4 17336808 17336809 chr4:17336809:G:A rs11727361 G A G EBF1_EBF_1 -15 0 - 0 0 . chr4 17436686 17436687 chr4:17436687:G:A rs56315060 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 17476644 17476645 chr4:17476645:G:A rs4698599 G A G EBF1_EBF_1 27 0 + 0 0 . chr4 17510523 17510524 chr4:17510524:T:C rs1411985 T C C EBF1_EBF_1 6 1 - 7.108708474541077 4.9419140432666016 TCCCCCATGGGATA chr4 17512239 17512240 chr4:17512240:C:G rs552097243 C G C EBF1_EBF_1 23 0 - 0 0 . chr4 17512239 17512240 chr4:17512240:C:T chr4:17512240:C:T C T C EBF1_EBF_1 23 0 - 0 0 . chr4 17578370 17578371 chr4:17578371:C:T rs114838597 C T C EBF1_EBF_1 9 1 - 4.828518765965714 2.17357950051811 ACTCCCCGGGAATC chr4 17625096 17625097 chr4:17625097:C:T rs79139966 C T C EBF1_EBF_1 -17 0 + 0 0 . chr4 17628618 17628619 chr4:17628619:C:A rs4698635 C A C EBF1_EBF_1 4 1 + 4.63413489454824 -1.1904247289052272 ACCCCCCAGTGAAT chr4 17636451 17636452 chr4:17636452:C:T rs577846214 C T C EBF1_EBF_1 -12 0 + 0 0 . chr4 17636771 17636772 chr4:17636772:G:A rs62298071 G A G EBF1_EBF_1 27 0 - 0 0 . chr4 17639234 17639235 chr4:17639235:C:T rs6811887 C T C EBF1_EBF_1 13 1 + 4.802879743096298 5.647373857681816 CCTCCCTGGGGCCC chr4 17647870 17647871 chr4:17647871:T:C rs6813374 T C C EBF1_EBF_1 2 1 - 4.735100978914792 1.671242876313201 CCACCCCAGAGACT chr4 17647875 17647876 chr4:17647876:T:G rs6813375 T G G EBF1_EBF_1 -3 0 - 0 0 . chr4 17655263 17655264 chr4:17655264:G:A rs184559542 G A G EBF1_EBF_1 9 1 + 10.097724549889598 7.442785284441994 TATCCCCAGGGAAA chr4 17665000 17665001 chr4:17665001:G:A rs192713229 G A G EBF1_EBF_1 21 0 - 0 0 . chr4 17665017 17665018 chr4:17665018:G:C rs116213449 G C G EBF1_EBF_1 4 1 - 8.577129599542845 2.951364498393302 AGTCCCCAGGGTCC chr4 17709930 17709931 chr4:17709931:A:G rs7664736 A G G EBF1_EBF_1 -12 0 - 0 0 . chr4 17725146 17725147 chr4:17725147:C:T rs767594 C T C EBF1_EBF_1 18 0 - 0 0 . chr4 17810889 17810890 chr4:17810890:T:G rs114011197 T G C EBF1_EBF_1 33 0 - 0 0 . chr4 17810904 17810905 chr4:17810905:A:G rs11941723 A G A EBF1_EBF_1 18 0 - 0 0 . chr4 17810950 17810951 chr4:17810951:G:A rs765572752 G A G EBF1_EBF_1 -15 0 + 0 0 . chr4 17810991 17810992 chr4:17810992:A:C rs2074974 A C C EBF1_EBF_1 26 0 + 0 0 . chr4 17848662 17848663 chr4:17848663:T:C rs6815425 T C T EBF1_EBF_1 0 1 - 4.187187528881177 2.2949036593163417 ACTCCCTAGTGAGA chr4 17970748 17970749 chr4:17970749:G:A rs2320299 G A A EBF1_EBF_1 -3 0 + 0 0 . chr4 18021122 18021123 chr4:18021123:G:A rs193206279 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 18100592 18100593 chr4:18100593:C:T rs7700098 C T T EBF1_EBF_1 6 1 + 6.693824073579853 6.995110202441609 AATCCCCGAGGATT chr4 18175447 18175448 chr4:18175448:C:G rs144358116 C G c EBF1_EBF_1 -18 0 + 0 0 . chr4 18275689 18275690 chr4:18275690:G:A rs4698682 G A G EBF1_EBF_1 29 0 - 0 0 . chr4 18295968 18295969 chr4:18295969:C:T rs11940757 C T T EBF1_EBF_1 8 1 - 6.775587345019076 2.549297256132815 TTTCCCAGGAGATC chr4 18343253 18343254 chr4:18343254:C:A rs10084832 C A A EBF1_EBF_1 25 0 + 0 0 . chr4 18583250 18583251 chr4:18583251:T:C rs73098360 T C c EBF1_EBF_1 -17 0 + 0 0 . chr4 18795970 18795971 chr4:18795971:C:T rs67159217 C T T EBF1_EBF_1 1 1 - 6.608845584499506 6.348146752578205 AGTCCCTTGAGGAA chr4 18859551 18859552 chr4:18859552:A:G rs1517994 A G A EBF1_EBF_1 -14 0 + 0 0 . chr4 19010979 19010980 chr4:19010980:C:T rs16897955 C T C EBF1_EBF_1 -10 0 - 0 0 . chr4 19231356 19231357 chr4:19231357:C:A rs114191879 C A C EBF1_EBF_1 30 0 + 0 0 . chr4 19296535 19296536 chr4:19296536:T:G rs71605355 T G T EBF1_EBF_1 5 1 - 4.191755104759036 11.096636257394204 ACTCCACAGGGAGC chr4 19412343 19412344 chr4:19412344:C:T rs62298697 C T T EBF1_EBF_1 31 0 - 0 0 . chr4 19416438 19416439 chr4:19416439:A:G rs62298721 A G G EBF1_EBF_1 -19 0 - 0 0 . chr4 19443015 19443016 chr4:19443016:A:G rs28608998 A G A EBF1_EBF_1 25 0 - 0 0 . chr4 19521284 19521285 chr4:19521285:C:T rs73099627 C T C EBF1_EBF_1 13 1 + 6.844171467431146 7.688665582016664 CGTCCCAGGAGAAC chr4 19679248 19679249 chr4:19679249:A:G rs12509257 A G A EBF1_EBF_1 31 0 - 0 0 . chr4 19774900 19774901 chr4:19774901:T:C rs9996200 T C T EBF1_EBF_1 23 0 + 0 0 . chr4 19887701 19887702 chr4:19887702:A:G rs7440612 A G G EBF1_EBF_1 -13 0 + 0 0 . chr4 19894054 19894055 chr4:19894055:C:T rs4543109 C T C EBF1_EBF_1 12 1 + 6.477760172656926 5.451493602106076 ATTCCCAAGGCACA chr4 19903479 19903480 chr4:19903480:C:A rs4311314 C A C EBF1_EBF_1 19 0 - 0 0 . chr4 20024361 20024362 chr4:20024362:C:T rs2086958 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 20179164 20179165 chr4:20179165:T:C rs4572880 T C . EBF1_EBF_1 20 0 - 0 0 . chr4 20255180 20255181 chr4:20255181:C:T rs813216 C T C EBF1_EBF_1 10 1 - 4.2218939152946655 -1.0961345439723011 ACACCCCGGGGGCA chr4 20281445 20281446 chr4:20281446:C:T rs17601458 C T C EBF1_EBF_1 26 0 + 0 0 . chr4 20297554 20297555 chr4:20297555:C:T rs2322429 C T C EBF1_EBF_1 -12 0 + 0 0 . chr4 20362326 20362327 chr4:20362327:G:T rs56058527 G T G EBF1_EBF_1 25 0 - 0 0 . chr4 20363469 20363470 chr4:20363470:T:G rs56274585 T G T EBF1_EBF_1 7 1 + 7.089467232599631 6.253283067398364 TCTCCCATGGTAAT chr4 20394804 20394805 chr4:20394805:T:C rs60472094 T C T EBF1_EBF_1 20 0 - 0 0 . chr4 20448915 20448916 chr4:20448916:G:A rs6856484 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 20533090 20533091 chr4:20533091:C:G rs12509611 C G C EBF1_EBF_1 31 0 - 0 0 . chr4 20624065 20624066 chr4:20624066:C:T rs1457916 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 20680199 20680200 chr4:20680200:T:C rs12510666 T C T EBF1_EBF_1 -6 0 + 0 0 . chr4 20845751 20845752 chr4:20845752:G:A rs28425573 G A G EBF1_EBF_1 19 0 - 0 0 . chr4 20879120 20879121 chr4:20879121:G:A rs1388321 G A G EBF1_EBF_1 -9 0 + 0 0 . chr4 20884978 20884979 chr4:20884979:A:G rs1472093 A G G EBF1_EBF_1 -18 0 + 0 0 . chr4 20937524 20937525 chr4:20937525:T:C rs10805222 T C C EBF1_EBF_1 -5 0 + 0 0 . chr4 20945759 20945760 chr4:20945760:C:G rs10938821 C G C EBF1_EBF_1 15 0 + 0 0 . chr4 21066828 21066829 chr4:21066829:A:G rs6845231 A G G EBF1_EBF_1 13 1 - 5.1159102536939525 4.271416139108434 CTTGCCCAGGGATT chr4 21248428 21248429 chr4:21248429:C:T rs111897191 C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 21248436 21248437 chr4:21248437:T:C rs2162081 T C C EBF1_EBF_1 -12 0 - 0 0 . chr4 21290589 21290590 chr4:21290590:T:G rs4696973 T G G EBF1_EBF_1 23 0 - 0 0 . chr4 21454369 21454370 chr4:21454370:T:C rs1460486 T C T EBF1_EBF_1 -20 0 - 0 0 . chr4 21545026 21545027 chr4:21545027:A:C rs1817323 A C A EBF1_EBF_1 30 0 + 0 0 . chr4 21622486 21622487 chr4:21622487:C:A rs12502842 C A C EBF1_EBF_1 33 0 + 0 0 . chr4 21765466 21765467 chr4:21765467:G:C rs34375823 G C G EBF1_EBF_1 21 0 - 0 0 . chr4 21765774 21765775 chr4:21765775:T:C rs57893621 T C T EBF1_EBF_1 -7 0 + 0 0 . chr4 21817621 21817622 chr4:21817622:C:A rs13144095 C A C EBF1_EBF_1 8 1 - 6.092268538824934 -0.7991642501522613 TTTCCCAGGGTATT chr4 21857291 21857292 chr4:21857292:T:C rs7668222 T C T EBF1_EBF_1 11 1 + 5.299045047798891 4.980997606045226 TACCCCCAGGGTCT chr4 22187683 22187684 chr4:22187684:T:C rs7662436 T C C EBF1_EBF_1 -15 0 + 0 0 . chr4 22235978 22235979 chr4:22235979:C:T rs13101940 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 22268485 22268486 chr4:22268486:C:T rs146557650 C T C EBF1_EBF_1 9 1 - 3.3910769928334696 0.736137727385866 CCTGCCTGGGGACC chr4 22321853 22321854 chr4:22321854:G:A rs13111052 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 22499006 22499007 chr4:22499007:G:A rs189541769 G A G EBF1_EBF_1 -3 0 - 0 0 . chr4 22507542 22507543 chr4:22507543:G:A rs75931747 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 22515648 22515649 chr4:22515649:C:G rs768819559 C G c EBF1_EBF_1 20 0 + 0 0 . chr4 22573453 22573454 chr4:22573454:G:A rs34124026 G A G EBF1_EBF_1 2 1 - 5.090579311537253 6.979402722979402 CACCCCAAGGGCAT chr4 22586836 22586837 chr4:22586837:G:C rs9997464 G C C EBF1_EBF_1 -19 0 + 0 0 . chr4 22622200 22622201 chr4:22622201:A:G rs7659333 A G A EBF1_EBF_1 27 0 - 0 0 . chr4 22831799 22831800 chr4:22831800:T:C rs4146271 T C T EBF1_EBF_1 -5 0 + 0 0 . chr4 22968079 22968080 chr4:22968080:G:A rs1503678 G A G EBF1_EBF_1 26 0 - 0 0 . chr4 22968080 22968081 chr4:22968081:C:G rs961610 C G C EBF1_EBF_1 25 0 - 0 0 . chr4 23235749 23235750 chr4:23235750:A:G rs10024413 A G A EBF1_EBF_1 -13 0 + 0 0 . chr4 23290956 23290957 chr4:23290957:C:G rs1420721351 C G C EBF1_EBF_1 8 1 - 7.140533602020654 1.8218747411059688 ATCCTCAAGGGAAA chr4 23423850 23423851 chr4:23423851:T:G rs215405 T G T EBF1_EBF_1 -7 0 + 0 0 . chr4 23476657 23476658 chr4:23476658:G:A rs936680727 G A G EBF1_EBF_1 5 1 - 5.335800340064999 0.014013124524328735 AGTCACATGGGATA chr4 23542195 23542196 chr4:23542196:A:G rs1119131 A G G EBF1_EBF_1 7 1 + 7.1626948570532845 5.850236451764457 ACTCCCAAAGGAAG chr4 23629502 23629503 chr4:23629503:T:C rs883119 T C C EBF1_EBF_1 8 1 + 5.4400470157997285 7.012820943862237 AGTCCCCATGGAAA chr4 23718838 23718839 chr4:23718839:C:G rs871409 C G C EBF1_EBF_1 26 0 - 0 0 . chr4 23779880 23779881 chr4:23779881:T:A rs2130923 T A T EBF1_EBF_1 14 0 + 0 0 . chr4 23779968 23779969 chr4:23779969:C:T rs2130925 C T T EBF1_EBF_1 -13 0 + 0 0 . chr4 23811485 23811486 chr4:23811486:T:C rs7667050 T C C EBF1_EBF_1 13 1 + 4.69804901007613 3.8535548954906114 GCTCCCTAGAGCAT chr4 23811501 23811502 chr4:23811502:C:T rs58765915 C T C EBF1_EBF_1 29 0 + 0 0 . chr4 23817196 23817197 chr4:23817197:G:A rs2932970 G A G EBF1_EBF_1 4 1 - 5.8779397009071594 1.508552755962236 AATCCCTAGATACC chr4 23891249 23891250 chr4:23891250:T:C rs7695542 T C T EBF1_EBF_1 11 1 - 5.343606753412514 2.450899909808503 CATCTCCTGGGAAC chr4 23948620 23948621 chr4:23948621:C:T rs117284837 C T C EBF1_EBF_1 -13 0 + 0 0 . chr4 24008549 24008550 chr4:24008550:T:A rs10084861 T A T EBF1_EBF_1 2 1 + 7.0749893434206745 3.3905217558217986 AATCCCTAGGTAAG chr4 24032447 24032448 chr4:24032448:G:T rs646318 G T G EBF1_EBF_1 24 0 + 0 0 . chr4 24064313 24064314 chr4:24064314:A:C rs33996994 A C A EBF1_EBF_1 25 0 - 0 0 . chr4 24093589 24093590 chr4:24093590:G:C rs11728176 G C G EBF1_EBF_1 15 0 + 0 0 . chr4 24139779 24139780 chr4:24139780:G:A rs6841821 G A A EBF1_EBF_1 -8 0 + 0 0 . chr4 24158238 24158239 chr4:24158239:C:T rs530803208 C T C EBF1_EBF_1 22 0 + 0 0 . chr4 24158249 24158250 chr4:24158250:T:C rs187014133 T C T EBF1_EBF_1 33 0 + 0 0 . chr4 24211684 24211685 chr4:24211685:T:C rs74708695 T C T EBF1_EBF_1 6 1 + 5.8482461261338985 5.546959997272142 GGTCCCTTGGGCAA chr4 24248557 24248558 chr4:24248558:C:T rs55796127 C T C EBF1_EBF_1 -15 0 + 0 0 . chr4 24265118 24265119 chr4:24265119:C:G rs2324473 C G C EBF1_EBF_1 32 0 + 0 0 . chr4 24288247 24288248 chr4:24288248:A:C rs13140597 A C A EBF1_EBF_1 12 1 + 4.801833991901745 4.771652593480419 TCTCCCAGGGGCAA chr4 24294210 24294211 chr4:24294211:G:A rs4697450 G A A EBF1_EBF_1 6 1 - 4.266007313682977 4.5672934425447345 AAGCCCCAGGGATA chr4 24398769 24398770 chr4:24398770:G:C rs7671426 G C G EBF1_EBF_1 4 1 - 6.515846019538798 0.8900809183892551 AACCCCTGGAGAAA chr4 24402275 24402276 chr4:24402276:C:T rs6854638 C T C EBF1_EBF_1 -15 0 + 0 0 . chr4 24459728 24459729 chr4:24459729:T:G rs61791526 T G T EBF1_EBF_1 19 0 + 0 0 . chr4 24478159 24478160 chr4:24478160:G:A rs372748642 G A G EBF1_EBF_1 9 1 + 6.109112234595609 3.454172969148007 ACTCCCACCGGAAT chr4 24519807 24519808 chr4:24519808:C:T rs6448278 C T C EBF1_EBF_1 19 0 + 0 0 . chr4 24583723 24583724 chr4:24583724:G:T rs544375730 G T G EBF1_EBF_1 -14 0 + 0 0 . chr4 24599234 24599235 chr4:24599235:C:T rs7437610 C T C EBF1_EBF_1 -5 0 + 0 0 . chr4 24624551 24624552 chr4:24624552:C:T rs7654007 C T C EBF1_EBF_1 12 1 + 5.074923816487171 4.0486572459363215 ATTCCCTAAGGGCG chr4 24651356 24651357 chr4:24651357:C:G rs116476385 C G c EBF1_EBF_1 25 0 - 0 0 . chr4 24651387 24651388 chr4:24651388:G:A rs115303215 G A g EBF1_EBF_1 -6 0 - 0 0 . chr4 24665832 24665833 chr4:24665833:G:A rs12512112 G A G EBF1_EBF_1 27 0 + 0 0 . chr4 24674581 24674582 chr4:24674582:A:G rs4499689 A G G EBF1_EBF_1 -20 0 + 0 0 . chr4 24744026 24744027 chr4:24744027:T:C rs6848899 T C C EBF1_EBF_1 -18 0 + 0 0 . chr4 24752094 24752095 chr4:24752095:C:G rs60978593 C G G EBF1_EBF_1 12 1 + 5.867962109506316 4.479326165828165 ATTCTCATGAGACA chr4 24752483 24752484 chr4:24752484:A:C rs758951 A C T EBF1_EBF_1 -16 0 - 0 0 . chr4 24753530 24753531 chr4:24753531:A:T rs114330733 A T A EBF1_EBF_1 30 0 - 0 0 . chr4 24801721 24801722 chr4:24801722:G:T rs192949352 G T G EBF1_EBF_1 -19 0 - 0 0 . chr4 24855944 24855945 chr4:24855945:A:G rs800469 A G G EBF1_EBF_1 26 0 - 0 0 . chr4 24856882 24856883 chr4:24856883:T:C rs800473 T C C EBF1_EBF_1 28 0 - 0 0 . chr4 24875083 24875084 chr4:24875084:A:G rs6827488 A G G EBF1_EBF_1 -12 0 - 0 0 . chr4 24881907 24881908 chr4:24881908:C:A rs7665211 C A C EBF1_EBF_1 -2 0 - 0 0 . chr4 24922698 24922699 chr4:24922699:C:T rs73250629 C T C EBF1_EBF_1 20 0 - 0 0 . chr4 24966084 24966085 chr4:24966085:C:T rs4697509 C T C EBF1_EBF_1 8 1 + 6.690339100070112 5.117565172007604 ACTCCCCTCGGACC chr4 24966103 24966104 chr4:24966104:A:G rs3951767 A G G EBF1_EBF_1 27 0 + 0 0 . chr4 24970811 24970812 chr4:24970812:C:G rs4697514 C G C EBF1_EBF_1 8 1 - 5.892398709382434 0.5737398484677484 AAACCCAAGAGAGT chr4 24970816 24970817 chr4:24970817:G:T rs4697515 G T T EBF1_EBF_1 3 1 - 5.892398709382434 -1.0137753200833723 AAACCCAAGAGAGT chr4 24983967 24983968 chr4:24983968:A:T rs57666655 A T A EBF1_EBF_1 15 0 + 0 0 . chr4 25025317 25025318 chr4:25025318:T:C rs11733594 T C C EBF1_EBF_1 -5 0 - 0 0 . chr4 25029055 25029056 chr4:25029056:A:T rs66867540 A T A EBF1_EBF_1 -2 0 - 0 0 . chr4 25029495 25029496 chr4:25029496:T:G rs147767296 T G T EBF1_EBF_1 17 0 + 0 0 . chr4 25083081 25083082 chr4:25083082:C:A rs4634204 C A C EBF1_EBF_1 -17 0 + 0 0 . chr4 25083130 25083131 chr4:25083131:C:T rs62409710 C T C EBF1_EBF_1 32 0 + 0 0 . chr4 25096408 25096409 chr4:25096409:G:T rs28580116 G T G EBF1_EBF_1 -16 0 + 0 0 . chr4 25107895 25107896 chr4:25107896:G:A rs113269504 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 25117952 25117953 chr4:25117953:C:T rs10018563 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 25160453 25160454 chr4:25160454:C:T rs761311576 C T C EBF1_EBF_1 -15 0 + 0 0 . chr4 25161081 25161082 chr4:25161082:G:A rs191185712 G A G EBF1_EBF_1 -5 0 + 0 0 . chr4 25202618 25202619 chr4:25202619:G:A rs13131132 G A G EBF1_EBF_1 15 0 - 0 0 . chr4 25235535 25235536 chr4:25235536:A:C rs3106320 A C C EBF1_EBF_1 -2 0 - 0 0 . chr4 25259392 25259393 chr4:25259393:A:C rs150984155 A C A EBF1_EBF_1 25 0 - 0 0 . chr4 25310281 25310282 chr4:25310282:G:A rs6448348 G A G EBF1_EBF_1 13 1 - 5.060125765799324 5.904619880384842 GGTCCCTTGAGGCC chr4 25376967 25376968 chr4:25376968:C:T rs115291777 C T C EBF1_EBF_1 33 0 - 0 0 . chr4 25377603 25377604 chr4:25377604:G:T rs6830160 G T G EBF1_EBF_1 3 1 - 5.211521644586256 -1.6946523848795512 GGCCCCCCGGGAGC chr4 25573587 25573588 chr4:25573588:T:G rs7666872 T G G EBF1_EBF_1 2 1 + 7.188493655864695 0.4401679656642277 AGTCCCCAGGGTGC chr4 25573592 25573593 chr4:25573593:A:C rs13139850 A C C EBF1_EBF_1 7 1 + 7.188493655864695 4.894714669494514 AGTCCCCAGGGTGC chr4 25629188 25629189 chr4:25629189:C:G rs1829520 C G C EBF1_EBF_1 16 0 - 0 0 . chr4 25636347 25636348 chr4:25636348:C:T rs77279483 C T C EBF1_EBF_1 -5 0 + 0 0 . chr4 25648662 25648663 chr4:25648663:G:C rs1286029077 G C G EBF1_EBF_1 27 0 + 0 0 . chr4 25724873 25724874 chr4:25724874:A:C rs13126304 A C C EBF1_EBF_1 -16 0 + 0 0 . chr4 25787697 25787698 chr4:25787698:C:G rs56188866 C G C EBF1_EBF_1 -9 0 + 0 0 . chr4 25792371 25792372 chr4:25792372:G:A rs149136910 G A G EBF1_EBF_1 13 1 - 8.655778724059237 9.500272838644756 CATCCCATGGGAGC chr4 25810266 25810267 chr4:25810267:C:A rs10027029 C A C EBF1_EBF_1 24 0 + 0 0 . chr4 25815804 25815805 chr4:25815805:C:A rs74746007 C A C EBF1_EBF_1 0 1 + 10.063335555534206 12.318594039230804 CCTCCCTGGGGACT chr4 25836858 25836859 chr4:25836859:A:G rs142333807 A G A EBF1_EBF_1 15 0 + 0 0 . chr4 25862628 25862629 chr4:25862629:G:T rs529438286 G T G EBF1_EBF_1 7 1 + 4.691365268803757 5.527549434005025 CGTCCCCGGGGCCC chr4 25890389 25890390 chr4:25890390:C:T rs114893908 C T C EBF1_EBF_1 22 0 - 0 0 . chr4 25891321 25891322 chr4:25891322:A:G rs6829432 A G G EBF1_EBF_1 -13 0 + 0 0 . chr4 25891337 25891338 chr4:25891338:C:G rs62409309 C G C EBF1_EBF_1 3 1 + 7.465626771902485 1.637862323787269 AATCCCCCGAGACC chr4 25913970 25913971 chr4:25913971:C:T rs767188625 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 25913978 25913979 chr4:25913979:G:A rs769824262 G A G EBF1_EBF_1 7 1 - 4.865772893775332 6.6832776400579545 ATTCCCGCGGGCCC chr4 25915398 25915399 chr4:25915399:T:G rs116060397 T G T EBF1_EBF_1 13 1 - 13.3685625599864 13.742308009272374 ATTCCCAAGGGACA chr4 25970546 25970547 chr4:25970547:G:C rs117909443 G C G EBF1_EBF_1 17 0 - 0 0 . chr4 25973456 25973457 chr4:25973457:T:A rs34112096 T A T EBF1_EBF_1 23 0 - 0 0 . chr4 25988074 25988075 chr4:25988075:G:A rs79524160 G A G EBF1_EBF_1 12 1 - 5.410405048379867 4.384138477829017 ACCCCCAAGAGGCC chr4 25993561 25993562 chr4:25993562:C:T rs35134919 C T C EBF1_EBF_1 27 0 - 0 0 . chr4 26030342 26030343 chr4:26030343:C:T rs6448428 C T T EBF1_EBF_1 33 0 - 0 0 . chr4 26032104 26032105 chr4:26032105:G:T rs6832267 G T T EBF1_EBF_1 27 0 - 0 0 . chr4 26077049 26077050 chr4:26077050:T:C rs190311471 T C T EBF1_EBF_1 -2 0 + 0 0 . chr4 26086505 26086506 chr4:26086506:G:A rs16878091 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 26110926 26110927 chr4:26110927:A:G rs1877386 A G G EBF1_EBF_1 -20 0 - 0 0 . chr4 26139531 26139532 chr4:26139532:T:C rs6448437 T C T EBF1_EBF_1 -2 0 + 0 0 . chr4 26179061 26179062 chr4:26179062:A:T rs115665860 A T A EBF1_EBF_1 11 1 + 6.660712577618888 2.562798321520162 ACTCACCAGGGACC chr4 26193084 26193085 chr4:26193085:A:G chr4:26193085:A:G A G A EBF1_EBF_1 -4 0 - 0 0 . chr4 26317986 26317987 chr4:26317987:A:T rs11726888 A T A EBF1_EBF_1 -9 0 + 0 0 . chr4 26320733 26320734 chr4:26320734:C:G rs952514212 C G C EBF1_EBF_1 -16 0 + 0 0 . chr4 26320776 26320777 chr4:26320777:G:C rs762019470 G C G EBF1_EBF_1 27 0 + 0 0 . chr4 26321819 26321820 chr4:26321820:C:G chr4:26321820:C:G C G C EBF1_EBF_1 15 0 - 0 0 . chr4 26321964 26321965 chr4:26321965:C:A rs151077337 C A C EBF1_EBF_1 27 0 - 0 0 . chr4 26322004 26322005 chr4:26322005:A:G rs567290632 A G A EBF1_EBF_1 -13 0 - 0 0 . chr4 26345349 26345350 chr4:26345350:T:C rs7661241 T C T EBF1_EBF_1 6 1 - 7.2443011610403785 5.0775067297659024 AATCCCAAGAGAGG chr4 26369072 26369073 chr4:26369073:C:G rs13128014 C G C EBF1_EBF_1 28 0 + 0 0 . chr4 26440196 26440197 chr4:26440197:G:T rs59648207 G T T EBF1_EBF_1 16 0 - 0 0 . chr4 26440205 26440206 chr4:26440206:G:A rs57992401 G A A EBF1_EBF_1 7 1 - 5.888022001987081 7.705526748269703 CCTCCCCCGGGGCT chr4 26476688 26476689 chr4:26476689:A:G rs2968719 A G G EBF1_EBF_1 21 0 + 0 0 . chr4 26479717 26479718 chr4:26479718:A:G rs10016465 A G A EBF1_EBF_1 -12 0 + 0 0 . chr4 26525986 26525987 chr4:26525987:C:T rs16878450 C T C EBF1_EBF_1 17 0 - 0 0 . chr4 26672468 26672469 chr4:26672469:C:T rs1861470 C T C EBF1_EBF_1 -16 0 - 0 0 . chr4 26780702 26780703 chr4:26780703:C:T rs141917397 C T C EBF1_EBF_1 -16 0 + 0 0 . chr4 26787679 26787680 chr4:26787680:C:T rs548706602 C T T EBF1_EBF_1 25 0 - 0 0 . chr4 26807492 26807493 chr4:26807493:A:G rs73249871 A G A EBF1_EBF_1 32 0 - 0 0 . chr4 26812404 26812405 chr4:26812405:G:A rs55765921 G A G EBF1_EBF_1 0 1 + 6.078077806247595 7.970361675812429 GATCCCCACGGAAT chr4 26813760 26813761 chr4:26813761:T:G rs189596534 T G t EBF1_EBF_1 -18 0 + 0 0 . chr4 26857982 26857983 chr4:26857983:A:G rs73116641 A G A EBF1_EBF_1 -20 0 + 0 0 . chr4 26857987 26857988 chr4:26857988:C:T rs115770777 C T C EBF1_EBF_1 -15 0 + 0 0 . chr4 26861818 26861819 chr4:26861819:T:C rs28445387 T C C EBF1_EBF_1 28 0 - 0 0 . chr4 27100018 27100019 chr4:27100019:C:T rs2041628 C T C EBF1_EBF_1 -16 0 - 0 0 . chr4 27124490 27124491 chr4:27124491:A:G rs11727911 A G A EBF1_EBF_1 1 1 - 7.741625879752168 6.846192439353423 CTCCCCAGGGGAGT chr4 27130019 27130020 chr4:27130020:T:C rs115481460 T C T EBF1_EBF_1 -10 0 + 0 0 . chr4 27138637 27138638 chr4:27138638:T:G rs116507541 T G T EBF1_EBF_1 -5 0 + 0 0 . chr4 27152679 27152680 chr4:27152680:C:T rs1488303 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 27152687 27152688 chr4:27152688:A:T rs13115334 A T T EBF1_EBF_1 31 0 + 0 0 . chr4 27234468 27234469 chr4:27234469:A:T rs77481013 A T A EBF1_EBF_1 23 0 + 0 0 . chr4 27258708 27258709 chr4:27258709:G:T rs7669531 G T G EBF1_EBF_1 23 0 - 0 0 . chr4 27546972 27546973 chr4:27546973:G:A rs937400360 G A G EBF1_EBF_1 10 1 + 5.833602990337783 0.5155745310708172 ATTCCCACAGGAGC chr4 27693022 27693023 chr4:27693023:G:T rs78730713 G T G EBF1_EBF_1 18 0 - 0 0 . chr4 28001948 28001949 chr4:28001949:C:A rs7657334 C A C EBF1_EBF_1 18 0 - 0 0 . chr4 28001971 28001972 chr4:28001972:G:A rs116094079 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 28082711 28082712 chr4:28082712:C:T rs7441199 C T C EBF1_EBF_1 15 0 + 0 0 . chr4 28119033 28119034 chr4:28119034:G:T rs1405681 G T G EBF1_EBF_1 8 1 + 3.79439547792911 -3.097037311048085 CTCCCCCAGGGCTC chr4 28324225 28324226 chr4:28324226:G:T rs1497708 G T G EBF1_EBF_1 8 1 + 5.647473781392497 -1.2439590075846987 AATCCCAAGAAACT chr4 28395082 28395083 chr4:28395083:T:C rs7677277 T C C EBF1_EBF_1 19 0 + 0 0 . chr4 28402764 28402765 chr4:28402765:G:C rs56311733 G C C EBF1_EBF_1 -10 0 - 0 0 . chr4 28418401 28418402 chr4:28418402:C:T rs59119104 C T c EBF1_EBF_1 8 1 - 10.077896627539754 5.851606538653493 ACCCCCTTGGGAAA chr4 28444038 28444039 chr4:28444039:C:T rs144387948 C T C EBF1_EBF_1 14 0 - 0 0 . chr4 28548346 28548347 chr4:28548347:C:G rs57452790 C G C EBF1_EBF_1 5 1 + 8.768918453273386 1.8640373006382172 CCTCCCTAGGGAGA chr4 28974140 28974141 chr4:28974141:A:T rs61790981 A T T EBF1_EBF_1 25 0 - 0 0 . chr4 28997212 28997213 chr4:28997213:C:T rs61791013 C T C EBF1_EBF_1 28 0 + 0 0 . chr4 29134746 29134747 chr4:29134747:T:C rs55670309 T C T EBF1_EBF_1 20 0 - 0 0 . chr4 29138369 29138370 chr4:29138370:A:G rs6813000 A G A EBF1_EBF_1 2 1 - 4.401153569134524 2.5123301576923733 TGTCACCTGGGACC chr4 29303614 29303615 chr4:29303615:G:T rs36032166 G T G EBF1_EBF_1 10 1 + 4.750157013575551 0.5674048273482857 AGCCCCATGAGGCA chr4 29358896 29358897 chr4:29358897:T:C rs113346927 T C t EBF1_EBF_1 -2 0 - 0 0 . chr4 29408359 29408360 chr4:29408360:G:A rs260120 G A A EBF1_EBF_1 29 0 + 0 0 . chr4 29595664 29595665 chr4:29595665:C:T rs138651241 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 29984420 29984421 chr4:29984421:C:A rs61795936 C A C EBF1_EBF_1 30 0 - 0 0 . chr4 30092923 30092924 chr4:30092924:G:A rs188766792 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 30551358 30551359 chr4:30551359:A:G rs4692097 A G G EBF1_EBF_1 16 0 + 0 0 . chr4 30551364 30551365 chr4:30551365:T:A rs138139726 T A T EBF1_EBF_1 22 0 + 0 0 . chr4 30589601 30589602 chr4:30589602:A:T rs11944844 A T A EBF1_EBF_1 -14 0 - 0 0 . chr4 30600413 30600414 chr4:30600414:A:G rs13125073 A G A EBF1_EBF_1 9 1 + 7.77483136234105 10.429770627788654 ACCCCCTGGAGACT chr4 30600431 30600432 chr4:30600432:C:A rs73228300 C A C EBF1_EBF_1 27 0 + 0 0 . chr4 30653519 30653520 chr4:30653520:G:A rs77685948 G A G EBF1_EBF_1 8 1 + 7.88235249302226 3.656062404135998 AATCCCATGAGAGA chr4 30676232 30676233 chr4:30676233:C:A rs4692479 C A A EBF1_EBF_1 -14 0 + 0 0 . chr4 30676234 30676235 chr4:30676235:T:C rs4692480 T C C EBF1_EBF_1 -12 0 + 0 0 . chr4 30714545 30714546 chr4:30714546:G:A rs10461107 G A G EBF1_EBF_1 -2 0 + 0 0 . chr4 30717238 30717239 chr4:30717239:G:A rs910835787 G A G EBF1_EBF_1 -16 0 + 0 0 . chr4 30717282 30717283 chr4:30717283:T:A rs73812608 T A T EBF1_EBF_1 28 0 + 0 0 . chr4 30719494 30719495 chr4:30719495:A:G rs114206742 A G G EBF1_EBF_1 6 1 - 4.911468870799415 4.610182741937657 CCTCCCTAGCGAGT chr4 30767362 30767363 chr4:30767363:A:T rs6856144 A T T EBF1_EBF_1 -15 0 + 0 0 . chr4 30783834 30783835 chr4:30783835:G:A rs1374651 G A G EBF1_EBF_1 22 0 - 0 0 . chr4 30819412 30819413 chr4:30819413:A:T rs6837246 A T A EBF1_EBF_1 13 1 - 9.103399769515955 7.8851602056444605 GATCCCAAGAGAAT chr4 30920290 30920291 chr4:30920291:G:T rs61748744 G T G EBF1_EBF_1 -8 0 + 0 0 . chr4 30946047 30946048 chr4:30946048:T:C rs12512667 T C C EBF1_EBF_1 33 0 + 0 0 . chr4 31013917 31013918 chr4:31013918:C:T rs7683798 C T C EBF1_EBF_1 9 1 - 5.333935171489176 2.678995906041573 AATCCCCAGGAAGA chr4 31080921 31080922 chr4:31080922:A:G rs55707833 A G A EBF1_EBF_1 27 0 + 0 0 . chr4 31226431 31226432 chr4:31226432:C:T rs34335740 C T T EBF1_EBF_1 21 0 - 0 0 . chr4 31234747 31234748 chr4:31234748:G:A rs114870732 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 31334748 31334749 chr4:31334749:A:G rs1426977 A G G EBF1_EBF_1 -8 0 + 0 0 . chr4 31334789 31334790 chr4:31334790:T:G rs1426978 T G G EBF1_EBF_1 33 0 + 0 0 . chr4 31341781 31341782 chr4:31341782:G:T rs73110984 G T G EBF1_EBF_1 15 0 - 0 0 . chr4 31356172 31356173 chr4:31356173:C:G rs933953 C G C EBF1_EBF_1 25 0 - 0 0 . chr4 31356213 31356214 chr4:31356214:G:T rs933954 G T G EBF1_EBF_1 -16 0 - 0 0 . chr4 31996709 31996710 chr4:31996710:G:C rs4611969 G C C EBF1_EBF_1 9 1 + 4.97669005261262 -0.09899909373284392 CCTCCCAAAGGAGC chr4 32190887 32190888 chr4:32190888:A:G rs9762124 A G G EBF1_EBF_1 25 0 - 0 0 . chr4 32241289 32241290 chr4:32241290:G:A rs73130280 G A G EBF1_EBF_1 25 0 + 0 0 . chr4 32276001 32276002 chr4:32276002:G:T rs9997136 G T G EBF1_EBF_1 -11 0 - 0 0 . chr4 32318921 32318922 chr4:32318922:C:G rs1602463 C G C EBF1_EBF_1 -13 0 + 0 0 . chr4 32342614 32342615 chr4:32342615:T:C rs58371898 T C T EBF1_EBF_1 6 1 - 5.864722069857135 3.6979276385826596 CCTCCCAAGGTAGT chr4 32409407 32409408 chr4:32409408:G:A rs11947447 G A g EBF1_EBF_1 3 1 - 5.978004193442291 -0.42739454811102573 GCACCCGAGGGAAT chr4 32424188 32424189 chr4:32424189:C:T rs4862925 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 32476005 32476006 chr4:32476006:G:C rs143209872 G C G EBF1_EBF_1 30 0 - 0 0 . chr4 32483009 32483010 chr4:32483010:T:C rs10866410 T C T EBF1_EBF_1 26 0 + 0 0 . chr4 32519430 32519431 chr4:32519431:T:G rs13115842 T G T EBF1_EBF_1 7 1 - 7.865275711262615 5.571496724892433 TGTCCCCAGAGATT chr4 32658584 32658585 chr4:32658585:A:G rs4505871 A G G EBF1_EBF_1 6 1 - 4.119295784334818 3.81800965547306 ACTCCATAGGGAGA chr4 32716393 32716394 chr4:32716394:G:A rs12644421 G A G EBF1_EBF_1 -17 0 - 0 0 . chr4 32728102 32728103 chr4:32728103:G:A rs4353947 G A G EBF1_EBF_1 -7 0 + 0 0 . chr4 32789296 32789297 chr4:32789297:G:T rs56291852 G T A EBF1_EBF_1 31 0 - 0 0 . chr4 32909308 32909309 chr4:32909309:A:G rs28777988 A G G EBF1_EBF_1 24 0 - 0 0 . chr4 32989467 32989468 chr4:32989468:T:C rs66495140 T C C EBF1_EBF_1 -12 0 + 0 0 . chr4 33086373 33086374 chr4:33086374:T:C rs182046732 T C T EBF1_EBF_1 25 0 - 0 0 . chr4 33332407 33332408 chr4:33332408:G:T rs2099911 G T G EBF1_EBF_1 -3 0 + 0 0 . chr4 33351756 33351757 chr4:33351757:G:C rs11732406 G C C EBF1_EBF_1 -20 0 - 0 0 . chr4 33436212 33436213 chr4:33436213:A:G rs74900676 A G A EBF1_EBF_1 -13 0 + 0 0 . chr4 33724090 33724091 chr4:33724091:A:G rs7665615 A G G EBF1_EBF_1 -10 0 + 0 0 . chr4 34066371 34066372 chr4:34066372:G:A rs59339146 G A A EBF1_EBF_1 30 0 + 0 0 . chr4 34323647 34323648 chr4:34323648:C:G rs7667329 C G G EBF1_EBF_1 -19 0 + 0 0 . chr4 34335803 34335804 chr4:34335804:C:G rs56754331 C G C EBF1_EBF_1 33 0 - 0 0 . chr4 34335826 34335827 chr4:34335827:C:G rs59249464 C G G EBF1_EBF_1 10 1 - 4.5117176262946685 -2.3790847610348065 AGCCCCCAAGGGCT chr4 34723593 34723594 chr4:34723594:C:T rs77461896 C T C EBF1_EBF_1 0 1 - 7.669584797478205 9.561868667043038 GGTCCCATGAGAAA chr4 34775848 34775849 chr4:34775849:A:G rs28392984 A G A EBF1_EBF_1 18 0 + 0 0 . chr4 34845890 34845891 chr4:34845891:A:G rs16990388 A G G EBF1_EBF_1 25 0 - 0 0 . chr4 34845924 34845925 chr4:34845925:G:A rs16990389 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 34860257 34860258 chr4:34860258:A:C rs7656904 A C C EBF1_EBF_1 28 0 + 0 0 . chr4 35021390 35021391 chr4:35021391:C:T rs79340165 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 35102935 35102936 chr4:35102936:C:T rs2375216 C T c EBF1_EBF_1 29 0 + 0 0 . chr4 35136354 35136355 chr4:35136355:G:A rs6855763 G A G EBF1_EBF_1 -5 0 + 0 0 . chr4 35253793 35253794 chr4:35253794:G:A rs4273488 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 35364504 35364505 chr4:35364505:T:C rs317065 T C C EBF1_EBF_1 15 0 + 0 0 . chr4 35560027 35560028 chr4:35560028:C:T rs79379840 C T C EBF1_EBF_1 10 1 - 5.836336333752799 0.5183078744858335 AGCCACCAGGGAAT chr4 35650061 35650062 chr4:35650062:C:A rs34617654 C A C EBF1_EBF_1 13 1 + 7.3593405617715915 6.9855951124856155 CATCCCTTGAGAAC chr4 35650065 35650066 chr4:35650066:T:C rs73223322 T C T EBF1_EBF_1 17 0 + 0 0 . chr4 35735394 35735395 chr4:35735395:C:T rs67764516 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 35800763 35800764 chr4:35800764:C:T rs193146121 C T C EBF1_EBF_1 1 1 + 3.5175293016762446 4.412962742074991 GCTCCCCAGGTGCC chr4 35944144 35944145 chr4:35944145:A:G rs1714091 A G G EBF1_EBF_1 2 1 - 4.680385144918741 2.7915617334765908 ACTGCCAGGGGATC chr4 36089046 36089047 chr4:36089047:C:T rs12499109 C T C EBF1_EBF_1 4 1 + 3.6447875092551136 -0.7245994356898093 ACCCCCATGTGACC chr4 36111407 36111408 chr4:36111408:T:C rs1011288 T C T EBF1_EBF_1 12 1 - 5.92754310400879 4.508725761909313 CCTCCCAGAGGAAT chr4 36183405 36183406 chr4:36183406:G:A rs9985576 G A G EBF1_EBF_1 2 1 - 6.0082073882878815 7.897030799730032 GACCCCGTGGGAAA chr4 36198313 36198314 chr4:36198314:T:C rs4428311 T C C EBF1_EBF_1 -6 0 - 0 0 . chr4 36225445 36225446 chr4:36225446:G:A rs189432660 G A G EBF1_EBF_1 -4 0 + 0 0 . chr4 36256855 36256856 chr4:36256856:A:C rs141739776 A C A EBF1_EBF_1 -3 0 + 0 0 . chr4 36404154 36404155 chr4:36404155:T:C rs924536 T C C EBF1_EBF_1 1 1 + 5.503359036956191 4.607925596557445 ATCCCCATGGGCAG chr4 36583885 36583886 chr4:36583886:T:C rs7665561 T C T EBF1_EBF_1 27 0 - 0 0 . chr4 36583931 36583932 chr4:36583932:T:G rs7665586 T G G EBF1_EBF_1 -19 0 - 0 0 . chr4 36761857 36761858 chr4:36761858:C:T rs36075598 C T c EBF1_EBF_1 -13 0 + 0 0 . chr4 36771594 36771595 chr4:36771595:C:T rs13103102 C T T EBF1_EBF_1 20 0 + 0 0 . chr4 36771596 36771597 chr4:36771597:G:A rs13101567 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 36884588 36884589 chr4:36884589:G:C rs10446676 G C G EBF1_EBF_1 22 0 - 0 0 . chr4 36893358 36893359 chr4:36893359:G:C rs6531489 G C C EBF1_EBF_1 24 0 - 0 0 . chr4 36922014 36922015 chr4:36922015:A:C rs62302034 A C A EBF1_EBF_1 14 0 + 0 0 . chr4 36936153 36936154 chr4:36936154:C:A rs6814215 C A C EBF1_EBF_1 12 1 + 4.236463799102099 4.266645197523425 ATCTCCCTGGGACA chr4 36944474 36944475 chr4:36944475:G:A rs1373473 G A A EBF1_EBF_1 -11 0 + 0 0 . chr4 36944483 36944484 chr4:36944484:C:A rs76542854 C A C EBF1_EBF_1 -2 0 + 0 0 . chr4 37052065 37052066 chr4:37052066:A:G rs4438769 A G A EBF1_EBF_1 7 1 + 5.6118777290317885 4.2994193237429625 ACTCCCAATGGAAA chr4 37093437 37093438 chr4:37093438:C:T rs11725287 C T C EBF1_EBF_1 29 0 + 0 0 . chr4 37259734 37259735 chr4:37259735:C:T rs56725421 C T C EBF1_EBF_1 4 1 + 4.604151586706848 0.23476464176192413 CACCCCTGGAGACC chr4 37359694 37359695 chr4:37359695:T:C rs2376780 T C T EBF1_EBF_1 -19 0 + 0 0 . chr4 37407968 37407969 chr4:37407969:G:A rs13135617 G A G EBF1_EBF_1 21 0 + 0 0 . chr4 37415973 37415974 chr4:37415974:T:C rs6816980 T C T EBF1_EBF_1 6 1 + 7.5139436216923565 7.2126574928306 ATTCCCTTGGAACA chr4 37441758 37441759 chr4:37441759:G:A rs111329908 G A G EBF1_EBF_1 5 1 - 5.569466453263126 0.24767923772245698 TTCCCCAGGAGAAA chr4 37453348 37453349 chr4:37453349:C:T rs73228718 C T C EBF1_EBF_1 -7 0 + 0 0 . chr4 37453361 37453362 chr4:37453362:C:T rs77505222 C T C EBF1_EBF_1 6 1 + 5.867642662640781 6.168928791502539 CTCCCCCTGGGGCC chr4 37478589 37478590 chr4:37478590:C:T rs11096881 C T C EBF1_EBF_1 24 0 - 0 0 . chr4 37485310 37485311 chr4:37485311:C:A rs6826964 C A C EBF1_EBF_1 33 0 + 0 0 . chr4 37561736 37561737 chr4:37561737:C:T rs36022370 C T C EBF1_EBF_1 10 1 - 6.887799769291766 1.5697713100247996 TTTCCCCTGAGAGC chr4 37561765 37561766 chr4:37561766:G:C rs34866439 G C C EBF1_EBF_1 -19 0 - 0 0 . chr4 37584574 37584575 chr4:37584575:G:A rs79721570 G A g EBF1_EBF_1 -19 0 - 0 0 . chr4 37603343 37603344 chr4:37603344:C:T rs772428003 C T C EBF1_EBF_1 10 1 - 6.444482920428933 1.1264544611619682 TCTCCCCAGGGTAC chr4 37603359 37603360 chr4:37603360:G:A rs10050295 G A G EBF1_EBF_1 -6 0 - 0 0 . chr4 37642767 37642768 chr4:37642768:A:G rs112096242 A G A EBF1_EBF_1 19 0 + 0 0 . chr4 37646114 37646115 chr4:37646115:T:C rs17423421 T C T EBF1_EBF_1 -5 0 - 0 0 . chr4 37663094 37663095 chr4:37663095:C:T rs17605579 C T c EBF1_EBF_1 27 0 - 0 0 . chr4 37665805 37665806 chr4:37665806:G:A rs6811117 G A A EBF1_EBF_1 12 1 - 7.211192357206778 6.184925786655929 GCTCCCCAAGGACT chr4 37665818 37665819 chr4:37665819:T:A rs149221517 T A T EBF1_EBF_1 -1 0 - 0 0 . chr4 37686398 37686399 chr4:37686399:C:G rs568882547 C G C EBF1_EBF_1 0 1 - 5.1658543572280635 4.802879743096298 GCTCCCTGGGGCCC chr4 37729659 37729660 chr4:37729660:G:T rs62298434 G T G EBF1_EBF_1 32 0 - 0 0 . chr4 37757216 37757217 chr4:37757217:C:T rs76796542 C T T EBF1_EBF_1 -20 0 + 0 0 . chr4 37889864 37889865 chr4:37889865:C:A rs142885179 C A C EBF1_EBF_1 -10 0 + 0 0 . chr4 37929452 37929453 chr4:37929453:T:C rs58503141 T C T EBF1_EBF_1 31 0 + 0 0 . chr4 37975509 37975510 chr4:37975510:A:G rs6856006 A G A EBF1_EBF_1 12 1 + 7.580601290178874 6.161783948079397 CTCCCCCGGGGAAA chr4 37975528 37975529 chr4:37975529:G:A rs6829590 G A G EBF1_EBF_1 31 0 + 0 0 . chr4 38028752 38028753 chr4:38028753:T:C rs114598916 T C T EBF1_EBF_1 14 0 - 0 0 . chr4 38028785 38028786 chr4:38028786:G:A rs141492898 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 38040725 38040726 chr4:38040726:G:A rs67733859 G A G EBF1_EBF_1 -8 0 - 0 0 . chr4 38108225 38108226 chr4:38108226:T:C rs1344603 T C C EBF1_EBF_1 5 1 + 5.358292922058488 10.680080137599155 ACTCCTGAGGGACC chr4 38120272 38120273 chr4:38120273:G:A rs1124071 G A A EBF1_EBF_1 -7 0 - 0 0 . chr4 38155128 38155129 chr4:38155129:A:T rs7677437 A T T EBF1_EBF_1 33 0 - 0 0 . chr4 38155129 38155130 chr4:38155130:T:C rs7682412 T C C EBF1_EBF_1 33 0 - 0 0 . chr4 38155170 38155171 chr4:38155171:G:C rs7698597 G C G EBF1_EBF_1 -8 0 - 0 0 . chr4 38157577 38157578 chr4:38157578:C:A rs138373389 C A C EBF1_EBF_1 -1 0 + 0 0 . chr4 38160832 38160833 chr4:38160833:G:A rs6812735 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 38185618 38185619 chr4:38185619:G:A rs671813 G A G EBF1_EBF_1 29 0 + 0 0 . chr4 38185633 38185634 chr4:38185634:G:T rs671832 G T G EBF1_EBF_1 21 0 - 0 0 . chr4 38188747 38188748 chr4:38188748:G:A rs114402638 G A G EBF1_EBF_1 -2 0 + 0 0 . chr4 38205085 38205086 chr4:38205086:A:C rs59229954 A C A EBF1_EBF_1 4 1 - 6.314101509075681 5.057723352871061 ATTCTCTAGAGAAA chr4 38205104 38205105 chr4:38205105:C:A rs138723030 C A C EBF1_EBF_1 -15 0 - 0 0 . chr4 38205341 38205342 chr4:38205342:C:T rs59633995 C T T EBF1_EBF_1 2 1 + 5.528687982235552 7.417511393677703 ACCGCCATGGGAAT chr4 38231638 38231639 chr4:38231639:A:G rs2137033 A G G EBF1_EBF_1 -10 0 - 0 0 . chr4 38244792 38244793 chr4:38244793:C:T rs62295298 C T T EBF1_EBF_1 -2 0 + 0 0 . chr4 38281310 38281311 chr4:38281311:G:A rs79316279 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 38289722 38289723 chr4:38289723:G:T rs1553879 G T T EBF1_EBF_1 3 1 - 9.485949207555482 2.5797751780896756 TCTCCCCAGGGATC chr4 38317215 38317216 chr4:38317216:C:T rs4833035 C T C EBF1_EBF_1 32 0 - 0 0 . chr4 38323381 38323382 chr4:38323382:G:A rs1906295 G A g EBF1_EBF_1 -17 0 + 0 0 . chr4 38323414 38323415 chr4:38323415:T:C rs6856616 T C T EBF1_EBF_1 16 0 + 0 0 . chr4 38339170 38339171 chr4:38339171:T:G rs4832767 T G T EBF1_EBF_1 -19 0 + 0 0 . chr4 38373225 38373226 chr4:38373226:T:G rs6838149 T G T EBF1_EBF_1 25 0 - 0 0 . chr4 38460648 38460649 chr4:38460649:A:G rs4289451 A G G EBF1_EBF_1 -16 0 - 0 0 . chr4 38526577 38526578 chr4:38526578:C:T rs115231689 C T c EBF1_EBF_1 -16 0 + 0 0 . chr4 38526578 38526579 chr4:38526579:G:A rs17615535 G A G EBF1_EBF_1 -15 0 + 0 0 . chr4 38547231 38547232 chr4:38547232:A:T rs73230733 A T A EBF1_EBF_1 28 0 - 0 0 . chr4 38561072 38561073 chr4:38561073:G:A rs6853067 G A A EBF1_EBF_1 24 0 + 0 0 . chr4 38590177 38590178 chr4:38590178:T:C rs10011814 T C C EBF1_EBF_1 32 0 + 0 0 . chr4 38592101 38592102 chr4:38592102:G:A rs6811246 G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 38626308 38626309 chr4:38626309:T:C rs2087661 T C T EBF1_EBF_1 -1 0 - 0 0 . chr4 38650317 38650318 chr4:38650318:G:A rs6812216 G A C EBF1_EBF_1 -10 0 + 0 0 . chr4 38665350 38665351 chr4:38665351:C:T rs9522 C T C EBF1_EBF_1 16 0 + 0 0 . chr4 38666048 38666049 chr4:38666049:A:G rs75726575 A G A EBF1_EBF_1 -2 0 + 0 0 . chr4 38666051 38666052 chr4:38666052:C:A rs77050486 C A C EBF1_EBF_1 1 1 + 9.78805501362186 9.717127836269773 ACTCCCTAGAGAAA chr4 38729070 38729071 chr4:38729071:G:A rs62294499 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 38731125 38731126 chr4:38731126:T:G rs115313111 T G T EBF1_EBF_1 -2 0 + 0 0 . chr4 38731150 38731151 chr4:38731151:C:T rs76028881 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 38733063 38733064 chr4:38733064:C:G rs12508595 C G C EBF1_EBF_1 20 0 + 0 0 . chr4 38753039 38753040 chr4:38753040:G:A rs73808591 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 38753360 38753361 chr4:38753361:T:G rs147914311 T G T EBF1_EBF_1 30 0 + 0 0 . chr4 38761765 38761766 chr4:38761766:G:T rs75293998 G T G EBF1_EBF_1 12 1 - 6.300563695601586 6.3307450940229115 CTTCCCACGGGGCC chr4 38801186 38801187 chr4:38801187:G:A rs750669654 G A G EBF1_EBF_1 25 0 + 0 0 . chr4 38802524 38802525 chr4:38802525:G:A rs5743582 G A G EBF1_EBF_1 -7 0 + 0 0 . chr4 38804570 38804571 chr4:38804571:T:C rs5743561 T C T EBF1_EBF_1 -15 0 - 0 0 . chr4 38855750 38855751 chr4:38855751:G:A rs17616463 G A G EBF1_EBF_1 5 1 - 9.208457425651408 3.88667021011074 ATTCCCTAGGAACT chr4 38873693 38873694 chr4:38873694:T:C rs73236653 T C C EBF1_EBF_1 -5 0 + 0 0 . chr4 38904109 38904110 chr4:38904110:T:G rs55938245 T G T EBF1_EBF_1 -8 0 - 0 0 . chr4 38904151 38904152 chr4:38904152:C:T rs145723728 C T T EBF1_EBF_1 -6 0 + 0 0 . chr4 38917747 38917748 chr4:38917748:C:T rs114934141 C T C EBF1_EBF_1 2 1 + 7.40442446989868 9.29324788134083 AGCCCCCAAGGACT chr4 38925238 38925239 chr4:38925239:C:T rs17429556 C T C EBF1_EBF_1 18 0 + 0 0 . chr4 38926339 38926340 chr4:38926340:G:A rs1806847 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 38950408 38950409 chr4:38950409:G:A rs7694333 G A g EBF1_EBF_1 27 0 + 0 0 . chr4 38985442 38985443 chr4:38985443:C:T rs73236687 C T C EBF1_EBF_1 -8 0 + 0 0 . chr4 38988473 38988474 chr4:38988474:C:A rs112806141 C A C EBF1_EBF_1 -12 0 + 0 0 . chr4 39045277 39045278 chr4:39045278:G:A rs113523823 G A g EBF1_EBF_1 -14 0 - 0 0 . chr4 39116471 39116472 chr4:39116472:G:A rs7685082 G A G EBF1_EBF_1 17 0 + 0 0 . chr4 39120585 39120586 chr4:39120586:G:A rs3796511 G A G EBF1_EBF_1 -14 0 - 0 0 . chr4 39126452 39126453 chr4:39126453:A:G rs2566162 A G G EBF1_EBF_1 -12 0 - 0 0 . chr4 39255384 39255385 chr4:39255385:G:A rs11096985 G A G EBF1_EBF_1 8 1 + 6.111617275540075 1.8853271866538153 ACTCCCACGGGTAA chr4 39406974 39406975 chr4:39406975:C:A rs10003369 C A C EBF1_EBF_1 10 1 - 8.688327529045576 4.505575342818314 ATTCCCTGGAGATC chr4 39427850 39427851 chr4:39427851:G:T rs62310826 G T g EBF1_EBF_1 -15 0 - 0 0 . chr4 39436284 39436285 chr4:39436285:G:A rs78866102 G A G EBF1_EBF_1 4 1 - 4.293432831711647 -0.07595411323327604 TCTCCCTCAGGACC chr4 39481541 39481542 chr4:39481542:G:A rs11941935 G A g EBF1_EBF_1 13 1 - 8.039720230555146 8.884214345140665 AGACCCAGGGGACC chr4 39481573 39481574 chr4:39481574:C:T rs28480393 C T C EBF1_EBF_1 -19 0 - 0 0 . chr4 39502295 39502296 chr4:39502296:T:C rs145413761 T C T EBF1_EBF_1 27 0 + 0 0 . chr4 39502648 39502649 chr4:39502649:C:T rs7667751 C T T EBF1_EBF_1 9 1 - 5.587117391464709 2.9321781260171047 AGTCCCAAAGGTAT chr4 39528205 39528206 chr4:39528206:G:A rs148749284 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 39638665 39638666 chr4:39638666:G:A rs2306659 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 39718619 39718620 chr4:39718620:G:A rs7685905 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 39771505 39771506 chr4:39771506:C:T rs160096 C T C EBF1_EBF_1 2 1 + 3.6047708961828135 5.493594307624965 ACCCCCGCGGGGCC chr4 39771529 39771530 chr4:39771530:C:T rs877075 C T C EBF1_EBF_1 26 0 + 0 0 . chr4 39819058 39819059 chr4:39819059:C:T rs80315624 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 39821088 39821089 chr4:39821089:G:A rs3733297 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 39859787 39859788 chr4:39859788:C:T rs56244052 C T C EBF1_EBF_1 9 1 - 11.000004450334009 8.345065184886407 GTTCCCATGGGACA chr4 39859811 39859812 chr4:39859812:G:C rs34552925 G C G EBF1_EBF_1 -15 0 - 0 0 . chr4 39864610 39864611 chr4:39864611:T:C rs62307936 T C T EBF1_EBF_1 25 0 + 0 0 . chr4 39932263 39932264 chr4:39932264:G:A rs13131950 G A G EBF1_EBF_1 27 0 - 0 0 . chr4 39932288 39932289 chr4:39932289:A:G rs73229717 A G A EBF1_EBF_1 2 1 - 4.758400893016397 2.8695774815742454 ATTCCCATAGGGGC chr4 39936856 39936857 chr4:39936857:G:C rs2732085 G C G EBF1_EBF_1 -19 0 - 0 0 . chr4 39976738 39976739 chr4:39976739:G:A rs113525534 G A G EBF1_EBF_1 29 0 + 0 0 . chr4 39977168 39977169 chr4:39977169:C:T rs150023934 C T C EBF1_EBF_1 -3 0 + 0 0 . chr4 39987620 39987621 chr4:39987621:G:A rs77884799 G A G EBF1_EBF_1 -5 0 + 0 0 . chr4 40034504 40034505 chr4:40034505:G:A rs4974917 G A G EBF1_EBF_1 -10 0 - 0 0 . chr4 40056078 40056079 chr4:40056079:C:T rs1704156 C T C EBF1_EBF_1 2 1 + 4.906944713753101 6.795768125195252 CTCCCCGTGGGGCT chr4 40056079 40056080 chr4:40056080:C:T rs567554584 C T C EBF1_EBF_1 3 1 + 4.906944713753101 -1.4984540278002167 CTCCCCGTGGGGCT chr4 40056481 40056482 chr4:40056482:G:C rs75254876 G C G EBF1_EBF_1 8 1 + 4.867236801387426 -0.4514220595272599 CTCCTCCAGGGACC chr4 40056645 40056646 chr4:40056646:C:T rs1002266520 C T C EBF1_EBF_1 14 0 + 0 0 . chr4 40119612 40119613 chr4:40119613:G:A rs144744607 G A G EBF1_EBF_1 10 1 + 5.414533930764599 0.09650547149763329 CCTCTCCTGGGAAC chr4 40193192 40193193 chr4:40193193:A:G rs1317219 A G a EBF1_EBF_1 -20 0 - 0 0 . chr4 40243361 40243362 chr4:40243362:C:G rs7688174 C G G EBF1_EBF_1 -9 0 - 0 0 . chr4 40243994 40243995 chr4:40243995:G:A rs148687192 G A G EBF1_EBF_1 33 0 + 0 0 . chr4 40244842 40244843 chr4:40244843:C:A rs13130216 C A C EBF1_EBF_1 -2 0 - 0 0 . chr4 40256510 40256511 chr4:40256511:G:A rs114168548 G A G EBF1_EBF_1 -20 0 + 0 0 . chr4 40283171 40283172 chr4:40283172:A:G rs144729051 A G A EBF1_EBF_1 -11 0 + 0 0 . chr4 40283349 40283350 chr4:40283350:G:T rs77731663 G T G EBF1_EBF_1 -5 0 + 0 0 . chr4 40305546 40305547 chr4:40305547:C:T rs13136820 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 40305687 40305688 chr4:40305688:T:C rs79867295 T C T EBF1_EBF_1 -3 0 + 0 0 . chr4 40305706 40305707 chr4:40305707:T:C rs77433937 T C T EBF1_EBF_1 16 0 + 0 0 . chr4 40308727 40308728 chr4:40308728:G:A rs555443628 G A G EBF1_EBF_1 17 0 - 0 0 . chr4 40317127 40317128 chr4:40317128:T:G rs564453459 T G T EBF1_EBF_1 14 0 - 0 0 . chr4 40317145 40317146 chr4:40317146:G:A rs190571693 G A G EBF1_EBF_1 -4 0 - 0 0 . chr4 40338307 40338308 chr4:40338308:A:G rs73229800 A G A EBF1_EBF_1 24 0 + 0 0 . chr4 40354404 40354405 chr4:40354405:A:G rs10009228 A G A EBF1_EBF_1 13 1 - 5.992240685014448 5.14774657042893 ACTCCCCTTGGAAT chr4 40356225 40356226 chr4:40356226:A:G rs9759988 A G A EBF1_EBF_1 -8 0 + 0 0 . chr4 40356230 40356231 chr4:40356231:T:C rs75335740 T C T EBF1_EBF_1 -3 0 + 0 0 . chr4 40385587 40385588 chr4:40385588:G:A rs1873719 G A A EBF1_EBF_1 -20 0 + 0 0 . chr4 40385619 40385620 chr4:40385620:C:T rs34266813 C T C EBF1_EBF_1 12 1 + 6.376066820986917 5.3498002504360676 ATTCCCAAGGAGCT chr4 40388288 40388289 chr4:40388289:C:G rs6447843 C G G EBF1_EBF_1 8 1 - 7.855952086575604 2.5372932256609184 ATCCCCTGGAGAAC chr4 40403720 40403721 chr4:40403721:A:G rs4472170 A G A EBF1_EBF_1 25 0 - 0 0 . chr4 40419309 40419310 chr4:40419310:G:A rs278938 G A G EBF1_EBF_1 -6 0 - 0 0 . chr4 40433372 40433373 chr4:40433373:T:C rs3821983 T C C EBF1_EBF_1 22 0 + 0 0 . chr4 40505986 40505987 chr4:40505987:C:A rs278953 C A A EBF1_EBF_1 9 1 - 4.8413419004577705 -1.995647507631039 AACCCCCAAGGAAG chr4 40555223 40555224 chr4:40555224:A:G rs10938225 A G a EBF1_EBF_1 33 0 + 0 0 . chr4 40574375 40574376 chr4:40574376:G:A rs17513191 G A G EBF1_EBF_1 17 0 + 0 0 . chr4 40628582 40628583 chr4:40628583:C:T rs9685912 C T C EBF1_EBF_1 28 0 + 0 0 . chr4 40675444 40675445 chr4:40675445:C:T rs6836769 C T A EBF1_EBF_1 24 0 + 0 0 . chr4 40825196 40825197 chr4:40825197:C:T rs2341597 C T T EBF1_EBF_1 31 0 - 0 0 . chr4 40825207 40825208 chr4:40825208:G:A rs2246013 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 40834666 40834667 chr4:40834667:C:T rs58278505 C T A EBF1_EBF_1 -5 0 + 0 0 . chr4 40844000 40844001 chr4:40844001:G:A rs1423908914 G A C EBF1_EBF_1 8 1 + 5.71178214788788 1.4854920590016176 AGTCCCTAGGCACA chr4 40908932 40908933 chr4:40908933:C:T rs576395012 C T C EBF1_EBF_1 4 1 + 6.61676197402638 2.2473750290814567 AATGCCCAGGGAAC chr4 40911229 40911230 chr4:40911230:T:C rs4861330 T C C EBF1_EBF_1 -3 0 + 0 0 . chr4 40938638 40938639 chr4:40938639:T:C rs13140410 T C T EBF1_EBF_1 -6 0 - 0 0 . chr4 40954304 40954305 chr4:40954305:C:T rs73152307 C T C EBF1_EBF_1 7 1 + 5.317242104897929 7.134746851180552 TTTCCCTCGGGTCC chr4 41013881 41013882 chr4:41013882:C:T rs4861358 C T C EBF1_EBF_1 19 0 + 0 0 . chr4 41041852 41041853 chr4:41041853:G:C rs12501370 G C G EBF1_EBF_1 -14 0 - 0 0 . chr4 41060700 41060701 chr4:41060701:G:A rs561574492 G A G EBF1_EBF_1 -14 0 + 0 0 . chr4 41060732 41060733 chr4:41060733:C:T rs116104751 C T C EBF1_EBF_1 18 0 + 0 0 . chr4 41095536 41095537 chr4:41095537:C:T rs28705156 C T C EBF1_EBF_1 -2 0 - 0 0 . chr4 41173130 41173131 chr4:41173131:G:A rs7683197 G A A EBF1_EBF_1 25 0 + 0 0 . chr4 41178655 41178656 chr4:41178656:C:T rs6810560 C T T EBF1_EBF_1 -1 0 - 0 0 . chr4 41288242 41288243 chr4:41288243:G:C rs73232167 G C G EBF1_EBF_1 -6 0 - 0 0 . chr4 41288272 41288273 chr4:41288273:C:T chr4:41288273:C:T C T C EBF1_EBF_1 2 1 + 4.924050155839824 6.812873567281973 AGCCCCCAGGAAGT chr4 41309308 41309309 chr4:41309309:G:A rs78001455 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 41312478 41312479 chr4:41312479:G:C rs34737723 G C C EBF1_EBF_1 8 1 + 6.2640319573131 0.9453730963984148 ATTCCCTCGGGTTC chr4 41326139 41326140 chr4:41326140:G:A rs73811139 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 41337798 41337799 chr4:41337799:T:G rs6447055 T G G EBF1_EBF_1 29 0 + 0 0 . chr4 41370584 41370585 chr4:41370585:T:G rs1377347 T G G EBF1_EBF_1 -1 0 + 0 0 . chr4 41434108 41434109 chr4:41434109:G:C rs10029769 G C C EBF1_EBF_1 -8 0 - 0 0 . chr4 41507466 41507467 chr4:41507467:C:T rs185304262 C T C EBF1_EBF_1 19 0 - 0 0 . chr4 41531859 41531860 chr4:41531860:G:A rs7668937 G A G EBF1_EBF_1 -1 0 - 0 0 . chr4 41539319 41539320 chr4:41539320:G:A rs143472884 G A G EBF1_EBF_1 8 1 + 5.444564498497856 1.2182744096115958 AGTCCCGGGAGAAG chr4 41587246 41587247 chr4:41587247:T:A rs6828395 T A T EBF1_EBF_1 14 0 - 0 0 . chr4 41594372 41594373 chr4:41594373:A:G rs6822981 A G G EBF1_EBF_1 29 0 - 0 0 . chr4 41613672 41613673 chr4:41613673:T:A rs13146318 T A T EBF1_EBF_1 -20 0 - 0 0 . chr4 41644740 41644741 chr4:41644741:T:A rs13101981 T A T EBF1_EBF_1 13 1 + 4.995718634916932 3.7774790710454376 AGCCCCTAGAGGGT chr4 41661676 41661677 chr4:41661677:G:A chr4:41661677:G:A G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 41688392 41688393 chr4:41688393:C:T rs16853485 C T C EBF1_EBF_1 26 0 - 0 0 . chr4 41696362 41696363 chr4:41696363:G:C rs17444718 G C G EBF1_EBF_1 5 1 - 8.446434438950064 1.5415532863148949 AGACCCCAGGGAGT chr4 41709955 41709956 chr4:41709956:G:A rs73139260 G A G EBF1_EBF_1 4 1 - 8.538901892799228 4.169514947854305 TCCCCCATGGGAAC chr4 41745881 41745882 chr4:41745882:G:T rs17885864 G T G EBF1_EBF_1 -3 0 + 0 0 . chr4 41792395 41792396 chr4:41792396:A:C rs60955421 A C C EBF1_EBF_1 -19 0 + 0 0 . chr4 41881893 41881894 chr4:41881894:C:A rs7679212 C A C EBF1_EBF_1 27 0 + 0 0 . chr4 41934343 41934344 chr4:41934344:C:T chr4:41934344:C:T C T C EBF1_EBF_1 30 0 - 0 0 . chr4 41934844 41934845 chr4:41934845:A:C rs111983197 A C C EBF1_EBF_1 -12 0 + 0 0 . chr4 41934845 41934846 chr4:41934846:C:A rs79121617 C A A EBF1_EBF_1 -11 0 + 0 0 . chr4 41934846 41934847 chr4:41934847:G:A rs539588188 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 41935518 41935519 chr4:41935519:C:T rs202132561 C T C EBF1_EBF_1 12 1 + 4.684989422907223 3.658722852356373 GCCCCCAAGGGGCG chr4 42201945 42201946 chr4:42201946:A:G rs4241700 A G g EBF1_EBF_1 -13 0 + 0 0 . chr4 42201988 42201989 chr4:42201989:A:G rs4557302 A G g EBF1_EBF_1 30 0 + 0 0 . chr4 42315826 42315827 chr4:42315827:C:A rs57868544 C A C EBF1_EBF_1 33 0 + 0 0 . chr4 42332111 42332112 chr4:42332112:C:T rs146079515 C T C EBF1_EBF_1 -5 0 + 0 0 . chr4 42386902 42386903 chr4:42386903:C:T rs3103215 C T T EBF1_EBF_1 8 1 - 4.342725942103221 0.11643585321695989 ACTCCCGCGAGAGC chr4 42386904 42386905 chr4:42386905:C:G rs3103216 C G G EBF1_EBF_1 6 1 - 4.342725942103221 6.147918005576419 ACTCCCGCGAGAGC chr4 42461441 42461442 chr4:42461442:A:G rs140226984 A G A EBF1_EBF_1 4 1 - 6.059524816687461 10.428911761632383 AATCTCTTGAGACT chr4 42528679 42528680 chr4:42528680:G:A rs35722201 G A G EBF1_EBF_1 8 1 + 4.174527277285636 -0.0517628116006259 CTACCCCAGGGGCA chr4 42628064 42628065 chr4:42628065:C:T rs181418996 C T C EBF1_EBF_1 1 1 + 7.996851182795748 8.892284623194492 ACTCCCTAGGGCCA chr4 42628446 42628447 chr4:42628447:G:A rs1380748 G A A EBF1_EBF_1 -8 0 + 0 0 . chr4 42636756 42636757 chr4:42636757:C:G rs142238293 C G C EBF1_EBF_1 17 0 - 0 0 . chr4 42655018 42655019 chr4:42655019:T:C rs62303580 T C T EBF1_EBF_1 32 0 - 0 0 . chr4 42685064 42685065 chr4:42685065:T:C rs75199630 T C T EBF1_EBF_1 26 0 + 0 0 . chr4 42737201 42737202 chr4:42737202:T:A rs2575537 T A T EBF1_EBF_1 22 0 - 0 0 . chr4 42751225 42751226 chr4:42751226:G:A rs2345905 G A A EBF1_EBF_1 -3 0 - 0 0 . chr4 42768569 42768570 chr4:42768570:G:A rs116106066 G A G EBF1_EBF_1 -1 0 - 0 0 . chr4 42819272 42819273 chr4:42819273:G:A rs111703343 G A G EBF1_EBF_1 11 1 + 5.443743495375193 8.336450338979205 GCTCCCCTGAGGAT chr4 42865559 42865560 chr4:42865560:G:T rs116591392 G T G EBF1_EBF_1 12 1 - 4.254005421719259 4.284186820140585 TCCCTCCAGGGACC chr4 42865564 42865565 chr4:42865565:T:C rs76144628 T C T EBF1_EBF_1 7 1 - 4.254005421719259 2.941547016430433 TCCCTCCAGGGACC chr4 43073700 43073701 chr4:43073701:G:A rs7679362 G A G EBF1_EBF_1 20 0 + 0 0 . chr4 43089675 43089676 chr4:43089676:T:G rs6857100 T G T EBF1_EBF_1 32 0 - 0 0 . chr4 43133651 43133652 chr4:43133652:G:A rs17450944 G A G EBF1_EBF_1 33 0 + 0 0 . chr4 43168819 43168820 chr4:43168820:C:T rs113685017 C T C EBF1_EBF_1 8 1 - 6.004706588862176 1.7784164999759153 GCTCACAAGGGAAT chr4 43727695 43727696 chr4:43727696:A:G rs112732756 A G A EBF1_EBF_1 6 1 + 4.885480296265251 2.7186858649907766 AGCCACAGGGGAAT chr4 43959130 43959131 chr4:43959131:C:T rs10938319 C T t EBF1_EBF_1 -13 0 - 0 0 . chr4 43974019 43974020 chr4:43974020:C:T rs79372924 C T C EBF1_EBF_1 9 1 - 4.865671342148447 2.2107320767008423 ACTCCCCAGGTGGT chr4 44185972 44185973 chr4:44185973:G:A rs1304746 G A G EBF1_EBF_1 3 1 - 4.604248616226211 -1.8011501253271072 CCTCGCCAGGGACC chr4 44186646 44186647 chr4:44186647:G:A rs62304800 G A A EBF1_EBF_1 17 0 - 0 0 . chr4 44186648 44186649 chr4:44186649:C:G rs28555493 C G G EBF1_EBF_1 15 0 - 0 0 . chr4 44396189 44396190 chr4:44396190:G:C rs61406539 G C G EBF1_EBF_1 -8 0 + 0 0 . chr4 44495122 44495123 chr4:44495123:C:T rs28621949 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 44582878 44582879 chr4:44582879:C:A rs34740148 C A C EBF1_EBF_1 -9 0 - 0 0 . chr4 44660428 44660429 chr4:44660429:G:A rs1491318 G A G EBF1_EBF_1 -17 0 - 0 0 . chr4 44668558 44668559 chr4:44668559:C:T rs9992113 C T C EBF1_EBF_1 29 0 - 0 0 . chr4 44869689 44869690 chr4:44869690:T:G rs11727520 T G T EBF1_EBF_1 -10 0 - 0 0 . chr4 44869826 44869827 chr4:44869827:C:G rs2348806 C G C EBF1_EBF_1 22 0 - 0 0 . chr4 44886555 44886556 chr4:44886556:A:G rs73185102 A G A EBF1_EBF_1 14 0 - 0 0 . chr4 45037579 45037580 chr4:45037580:T:C rs6828639 T C T EBF1_EBF_1 2 1 + 7.334397368727143 5.445573957284993 ATTCCCCAGGCACT chr4 45093816 45093817 chr4:45093817:G:T rs9917962 G T G EBF1_EBF_1 1 1 + 5.322468991367717 6.028130777197245 GGCCCCCAGGGCCT chr4 45093845 45093846 chr4:45093846:T:C rs9917913 T C T EBF1_EBF_1 30 0 + 0 0 . chr4 45207139 45207140 chr4:45207140:C:T rs141721066 C T C EBF1_EBF_1 12 1 + 4.360651169931275 3.3343845993804253 CCTCCCAAGGTGCT chr4 45519580 45519581 chr4:45519581:C:G rs10022974 C G G EBF1_EBF_1 4 1 + 5.752030907413922 0.12626580626437756 GCCCCCTAGGGTCT chr4 45530713 45530714 chr4:45530714:C:A rs188151358 C A C EBF1_EBF_1 28 0 + 0 0 . chr4 45586027 45586028 chr4:45586028:G:C rs6447455 G C G EBF1_EBF_1 4 1 - 4.589489351074739 -1.0362757500748052 GCCCCCTAGGGCCC chr4 45668261 45668262 chr4:45668262:T:A rs143247051 T A T EBF1_EBF_1 16 0 - 0 0 . chr4 45865817 45865818 chr4:45865818:C:T rs36083938 C T C EBF1_EBF_1 -18 0 + 0 0 . chr4 46226698 46226699 chr4:46226699:T:A rs475214 T A T EBF1_EBF_1 16 0 + 0 0 . chr4 46244522 46244523 chr4:46244523:C:A rs542432 C A C EBF1_EBF_1 3 1 + 8.957831858247019 2.0516578287812126 CATCCCTTGGGATC chr4 46366623 46366624 chr4:46366624:G:T rs3849591 G T G EBF1_EBF_1 27 0 - 0 0 . chr4 47032663 47032664 chr4:47032664:A:C rs545287628 A C A EBF1_EBF_1 29 0 - 0 0 . chr4 47032669 47032670 chr4:47032670:G:C chr4:47032670:G:C G C G EBF1_EBF_1 23 0 - 0 0 . chr4 47289117 47289118 chr4:47289118:T:C rs4396966 T C T EBF1_EBF_1 -1 0 + 0 0 . chr4 47363715 47363716 chr4:47363716:G:A rs6847600 G A A EBF1_EBF_1 4 1 - 5.958813979791279 1.5894270348463562 GTTCCCAAGAGGAA chr4 47463592 47463593 chr4:47463593:C:G chr4:47463593:C:G C G C EBF1_EBF_1 30 0 + 0 0 . chr4 47519443 47519444 chr4:47519444:C:G rs7675970 C G G EBF1_EBF_1 33 0 - 0 0 . chr4 47526471 47526472 chr4:47526472:A:T rs73237082 A T A EBF1_EBF_1 -5 0 + 0 0 . chr4 47526502 47526503 chr4:47526503:A:G rs73237083 A G A EBF1_EBF_1 26 0 + 0 0 . chr4 47543533 47543534 chr4:47543534:A:G rs11728642 A G G EBF1_EBF_1 -18 0 + 0 0 . chr4 47624314 47624315 chr4:47624315:C:G rs2292105 C G C EBF1_EBF_1 10 1 - 6.436877768080272 -0.4539246192492025 CTCCCCTTGAGAAC chr4 47624320 47624321 chr4:47624321:G:T rs7658542 G T G EBF1_EBF_1 4 1 - 6.436877768080272 0.612318144626805 CTCCCCTTGAGAAC chr4 47624343 47624344 chr4:47624344:G:A rs7658554 G A A EBF1_EBF_1 -19 0 - 0 0 . chr4 47839330 47839331 chr4:47839331:C:T rs61758485 C T C EBF1_EBF_1 7 1 + 5.165535096763328 6.983039843045951 ATTCCCCCGAGGCA chr4 47839331 47839332 chr4:47839332:G:A rs61758486 G A G EBF1_EBF_1 8 1 + 5.165535096763328 0.9392450078770667 ATTCCCCCGAGGCA chr4 48017305 48017306 chr4:48017306:G:A rs98270 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 48058797 48058798 chr4:48058798:T:A rs28667740 T A A EBF1_EBF_1 -2 0 + 0 0 . chr4 48059696 48059697 chr4:48059697:C:G rs76879734 C G C EBF1_EBF_1 8 1 - 4.920824086147437 -0.3978347747672495 AGTCCCCAGGTCCT chr4 48144827 48144828 chr4:48144828:T:A rs996222 T A T EBF1_EBF_1 6 1 - 8.244721866149561 8.18440562721004 AATCCCATGAGATA chr4 48173489 48173490 chr4:48173490:T:C rs6845196 T C T EBF1_EBF_1 17 0 - 0 0 . chr4 48175370 48175371 chr4:48175371:G:A rs1466996 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 48194161 48194162 chr4:48194162:G:A rs10805166 G A G EBF1_EBF_1 10 1 + 8.60096018866845 3.2829317294014837 CTTCCCCTGGGGCT chr4 48255291 48255292 chr4:48255292:A:G rs147546598 A G A EBF1_EBF_1 -6 0 + 0 0 . chr4 48270346 48270347 chr4:48270347:A:G rs12499189 A G A EBF1_EBF_1 11 1 + 5.536049428970045 2.643342585366033 AAACCCGAGGGAGC chr4 48341885 48341886 chr4:48341886:G:A rs771140836 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 48341892 48341893 chr4:48341893:G:C rs1457153922 G C G EBF1_EBF_1 29 0 + 0 0 . chr4 48805252 48805253 chr4:48805253:G:A rs59628956 G A G EBF1_EBF_1 -20 0 + 0 0 . chr4 48905378 48905379 chr4:48905379:A:T rs10938546 A T A EBF1_EBF_1 25 0 - 0 0 . chr4 49067981 49067982 chr4:49067982:G:A rs28804146 G A . EBF1_EBF_1 -18 0 - 0 0 . chr4 49082783 49082784 chr4:49082784:C:T rs28795999 C T . EBF1_EBF_1 17 0 + 0 0 . chr4 49214778 49214779 chr4:49214779:C:T rs976798830 C T . EBF1_EBF_1 32 0 - 0 0 . chr4 49215559 49215560 chr4:49215560:G:T rs62298630 G T . EBF1_EBF_1 -1 0 + 0 0 . chr4 49217729 49217730 chr4:49217730:C:T rs73152049 C T . EBF1_EBF_1 9 1 - 6.682094211514379 4.027154946066776 CTTCCCCAGGAAAT chr4 49222664 49222665 chr4:49222665:A:C rs2635859 A C . EBF1_EBF_1 -1 0 + 0 0 . chr4 49287069 49287070 chr4:49287070:A:G rs536133482 A G . EBF1_EBF_1 20 0 - 0 0 . chr4 49323086 49323087 chr4:49323087:T:A rs1177275278 T A . EBF1_EBF_1 -5 0 + 0 0 . chr4 49323124 49323125 chr4:49323125:C:T rs111618125 C T . EBF1_EBF_1 33 0 + 0 0 . chr4 49514896 49514897 chr4:49514897:C:A rs112806208 C A . EBF1_EBF_1 4 1 + 6.30892734324427 0.4843677197908038 AGCCCTCAGGGACT chr4 49514921 49514922 chr4:49514922:G:A rs9942143 G A . EBF1_EBF_1 29 0 + 0 0 . chr4 49515026 49515027 chr4:49515027:T:C rs28433398 T C . EBF1_EBF_1 -13 0 + 0 0 . chr4 49515054 49515055 chr4:49515055:T:G rs78998414 T G . EBF1_EBF_1 15 0 + 0 0 . chr4 49515062 49515063 chr4:49515063:G:C rs10155084 G C . EBF1_EBF_1 23 0 + 0 0 . chr4 49515072 49515073 chr4:49515073:C:T rs10155341 C T . EBF1_EBF_1 33 0 + 0 0 . chr4 49515170 49515171 chr4:49515171:T:A rs565194011 T A . EBF1_EBF_1 -16 0 + 0 0 . chr4 49515356 49515357 chr4:49515357:G:T rs371706959 G T . EBF1_EBF_1 23 0 + 0 0 . chr4 49515481 49515482 chr4:49515482:G:T rs74660555 G T . EBF1_EBF_1 1 1 + 4.725833406149772 5.4314951919793 AGCCCACAGGGACT chr4 49519594 49519595 chr4:49519595:G:A rs28505403 G A . EBF1_EBF_1 0 1 + 5.355626011797281 7.247909881362115 GGTCCCTGGGGCCC chr4 49579074 49579075 chr4:49579075:G:A rs374272631 G A . EBF1_EBF_1 31 0 + 0 0 . chr4 51810943 51810944 chr4:51810944:C:T rs113235180 C T . EBF1_EBF_1 4 1 + 5.18963843408726 0.8202514891423367 TCTCCCAAAGGAAG chr4 51842882 51842883 chr4:51842883:C:A rs565630732 C A C EBF1_EBF_1 22 0 - 0 0 . chr4 51842918 51842919 chr4:51842919:C:A rs1224367907 C A C EBF1_EBF_1 -14 0 - 0 0 . chr4 51844929 51844930 chr4:51844930:G:A rs73246081 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 51844947 51844948 chr4:51844948:G:A chr4:51844948:G:A G A G EBF1_EBF_1 8 1 + 4.78157409273284 0.5552840038465789 AGTTCCCAGGGACG chr4 51844949 51844950 chr4:51844950:G:A rs1281612119 G A G EBF1_EBF_1 10 1 + 4.78157409273284 -0.5364543665341261 AGTTCCCAGGGACG chr4 51844968 51844969 chr4:51844969:C:T rs73246082 C T C EBF1_EBF_1 16 0 - 0 0 . chr4 51960891 51960892 chr4:51960892:T:C rs28704930 T C C EBF1_EBF_1 13 1 + 6.914544108487972 6.070049993902454 AATCCCCAGGAATT chr4 52051809 52051810 chr4:52051810:C:A rs771133931 C A C EBF1_EBF_1 2 1 + 4.088882380339721 2.293238204182993 AACCCCCGGGGTTC chr4 52092458 52092459 chr4:52092459:G:A rs4864925 G A G EBF1_EBF_1 -3 0 - 0 0 . chr4 52094741 52094742 chr4:52094742:T:A rs3214049 T A T EBF1_EBF_1 6 1 - 6.902847972790393 6.842531733850873 TGACCCATGGGACC chr4 52128005 52128006 chr4:52128006:C:G rs146069734 C G C EBF1_EBF_1 -4 0 - 0 0 . chr4 52197916 52197917 chr4:52197917:G:C rs17085996 G C C EBF1_EBF_1 -19 0 + 0 0 . chr4 52197931 52197932 chr4:52197932:G:A rs114893878 G A G EBF1_EBF_1 -4 0 + 0 0 . chr4 52248963 52248964 chr4:52248964:T:C rs145202064 T C T EBF1_EBF_1 -1 0 - 0 0 . chr4 52250306 52250307 chr4:52250307:C:G rs17086356 C G C EBF1_EBF_1 -17 0 + 0 0 . chr4 52261199 52261200 chr4:52261200:C:T rs146085052 C T C EBF1_EBF_1 1 1 + 4.784406220407061 5.679839660805807 CCCCCCTTAGGACT chr4 52367218 52367219 chr4:52367219:G:A rs959214 G A G EBF1_EBF_1 32 0 + 0 0 . chr4 52375527 52375528 chr4:52375528:C:T rs7656293 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 52485227 52485228 chr4:52485228:G:A rs1459818 G A A EBF1_EBF_1 1 1 + 6.9999109836945035 6.7392121517732 AGTCCCAAAAGACT chr4 52549358 52549359 chr4:52549359:A:G rs346927 A G A EBF1_EBF_1 20 0 - 0 0 . chr4 52549374 52549375 chr4:52549375:G:T rs346928 G T G EBF1_EBF_1 4 1 - 5.947872657969153 0.12331303451568577 GATCCCCTGGGTCC chr4 52641103 52641104 chr4:52641104:T:C rs181507842 T C T EBF1_EBF_1 -4 0 + 0 0 . chr4 52658771 52658772 chr4:52658772:C:T rs28430452 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 52712348 52712349 chr4:52712349:T:C chr4:52712349:T:C T C T EBF1_EBF_1 30 0 - 0 0 . chr4 52722681 52722682 chr4:52722682:A:G rs901183033 A G A EBF1_EBF_1 19 0 + 0 0 . chr4 52751073 52751074 chr4:52751074:G:C rs76091887 G C G EBF1_EBF_1 4 1 - 4.657448525451034 -0.9683165756985105 CCTCCCTAGGGCAG chr4 52838686 52838687 chr4:52838687:A:T rs17630944 A T A EBF1_EBF_1 -18 0 - 0 0 . chr4 52862793 52862794 chr4:52862794:C:T rs114873917 C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 52877036 52877037 chr4:52877037:G:A rs143872789 G A G EBF1_EBF_1 12 1 + 5.890660157965792 7.309477500065269 ATCCCCATGGGTGC chr4 52877050 52877051 chr4:52877051:G:T rs2046364 G T G EBF1_EBF_1 26 0 + 0 0 . chr4 52980685 52980686 chr4:52980686:T:A rs6828967 T A T EBF1_EBF_1 26 0 + 0 0 . chr4 53055418 53055419 chr4:53055419:G:A rs12331878 G A G EBF1_EBF_1 -16 0 + 0 0 . chr4 53541963 53541964 chr4:53541964:G:A rs182272857 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 53558727 53558728 chr4:53558728:A:G chr4:53558728:A:G A G A EBF1_EBF_1 27 0 - 0 0 . chr4 53558732 53558733 chr4:53558733:A:G chr4:53558733:A:G A G A EBF1_EBF_1 22 0 - 0 0 . chr4 53603250 53603251 chr4:53603251:G:T rs907510 G T T EBF1_EBF_1 -17 0 - 0 0 . chr4 53604949 53604950 chr4:53604950:G:A rs11133282 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 53669421 53669422 chr4:53669422:C:T rs7683215 C T C EBF1_EBF_1 32 0 - 0 0 . chr4 53699643 53699644 chr4:53699644:C:T rs112602814 C T C EBF1_EBF_1 16 0 + 0 0 . chr4 53703058 53703059 chr4:53703059:C:A rs60077509 C A C EBF1_EBF_1 0 1 - 11.51860317032759 11.437830616926403 GTTCCCCAGGGAAC chr4 53712846 53712847 chr4:53712847:G:C rs1551881 G C G EBF1_EBF_1 33 0 - 0 0 . chr4 53713482 53713483 chr4:53713483:G:A rs28468594 G A G EBF1_EBF_1 19 0 - 0 0 . chr4 53785043 53785044 chr4:53785044:T:G rs60681996 T G T EBF1_EBF_1 0 1 - 7.33371912311831 5.078460639421708 AATCCCAAGGGCTC chr4 53788878 53788879 chr4:53788879:C:T rs17083480 C T C EBF1_EBF_1 -17 0 - 0 0 . chr4 53852135 53852136 chr4:53852136:G:A rs17736191 G A G EBF1_EBF_1 -17 0 - 0 0 . chr4 53857072 53857073 chr4:53857073:A:G rs11930266 A G G EBF1_EBF_1 9 1 + 5.4631075164524745 8.118046781900079 TTCCCCAAGAGAGA chr4 53877241 53877242 chr4:53877242:C:A rs6554140 C A C EBF1_EBF_1 -2 0 - 0 0 . chr4 53962770 53962771 chr4:53962771:A:G rs7688798 A G G EBF1_EBF_1 12 1 + 4.912369123412944 3.4935517813134664 AGCCCCCAGGGCAG chr4 54099936 54099937 chr4:54099937:G:A rs35638196 G A G EBF1_EBF_1 -2 0 + 0 0 . chr4 54107032 54107033 chr4:54107033:C:T rs6554150 C T C EBF1_EBF_1 18 0 - 0 0 . chr4 54118276 54118277 chr4:54118277:T:C rs116048219 T C T EBF1_EBF_1 -19 0 + 0 0 . chr4 54197826 54197827 chr4:54197827:C:T rs2052699 C T C EBF1_EBF_1 -3 0 + 0 0 . chr4 54197828 54197829 chr4:54197829:T:C rs2052698 T C C EBF1_EBF_1 -1 0 + 0 0 . chr4 54199780 54199781 chr4:54199781:T:C rs6825018 T C C EBF1_EBF_1 30 0 - 0 0 . chr4 54230503 54230504 chr4:54230504:A:C chr4:54230504:A:C A C A EBF1_EBF_1 26 0 + 0 0 . chr4 54256013 54256014 chr4:54256014:G:C rs61324309 G C G EBF1_EBF_1 -5 0 + 0 0 . chr4 54273848 54273849 chr4:54273849:T:C rs869978 T C T EBF1_EBF_1 -11 0 - 0 0 . chr4 54296755 54296756 chr4:54296756:A:G chr4:54296756:A:G A G A EBF1_EBF_1 17 0 + 0 0 . chr4 54319379 54319380 chr4:54319380:C:G rs75632910 C G G EBF1_EBF_1 27 0 - 0 0 . chr4 54352064 54352065 chr4:54352065:G:C rs12641623 G C G EBF1_EBF_1 4 1 - 4.757923160291796 -0.8678419408577491 GCTCCCCCGGGCAT chr4 54374009 54374010 chr4:54374010:C:T rs28428779 C T T EBF1_EBF_1 -3 0 + 0 0 . chr4 54395346 54395347 chr4:54395347:C:A rs549855669 C A C EBF1_EBF_1 -14 0 + 0 0 . chr4 54492978 54492979 chr4:54492979:A:G rs6840739 A G G EBF1_EBF_1 14 0 + 0 0 . chr4 54513582 54513583 chr4:54513583:T:C rs4864901 T C T EBF1_EBF_1 0 1 + 6.46355426230459 6.181352201574012 TGTCCCAAAGGACA chr4 54524326 54524327 chr4:54524327:A:C rs170118 A C A EBF1_EBF_1 9 1 + 4.8777473796610025 2.456997498763142 AGACCCCAGAGAAG chr4 54540067 54540068 chr4:54540068:G:T chr4:54540068:G:T G T G EBF1_EBF_1 -4 0 - 0 0 . chr4 54540149 54540150 chr4:54540150:C:T rs218260 C T T EBF1_EBF_1 5 1 + 5.304748357860851 -0.01703885767981861 ACTCCCTTGAGGAG chr4 54625665 54625666 chr4:54625666:C:T rs112273012 C T C EBF1_EBF_1 5 1 + 5.343971591561925 0.022184376021253963 AGTGCCATGGGAGC chr4 54632773 54632774 chr4:54632774:C:T rs113442277 C T C EBF1_EBF_1 7 1 + 4.037994834873459 5.855499581156082 CTCCCCACGGGGCA chr4 54662197 54662198 chr4:54662198:G:T rs186969793 G T G EBF1_EBF_1 -14 0 + 0 0 . chr4 54693147 54693148 chr4:54693148:T:C rs2703461 T C C EBF1_EBF_1 30 0 + 0 0 . chr4 54741263 54741264 chr4:54741264:A:G rs145857545 A G A EBF1_EBF_1 27 0 + 0 0 . chr4 54817568 54817569 chr4:54817569:T:G rs2412611 T G T EBF1_EBF_1 -5 0 + 0 0 . chr4 54817812 54817813 chr4:54817813:C:G rs877654 C G a EBF1_EBF_1 5 1 + 5.345547046533348 -1.5593341061018204 ATCCCCGGGAGACA chr4 54817820 54817821 chr4:54817821:A:C rs2726646 A C A EBF1_EBF_1 13 1 + 5.345547046533348 5.719292495819324 ATCCCCGGGAGACA chr4 54851411 54851412 chr4:54851412:A:G rs4864932 A G . EBF1_EBF_1 33 0 - 0 0 . chr4 54851435 54851436 chr4:54851436:C:T rs17084899 C T . EBF1_EBF_1 9 1 - 6.777083773447727 4.122144508000124 CCTCCCATGGTACT chr4 54855747 54855748 chr4:54855748:G:A rs180991484 G A G EBF1_EBF_1 -7 0 - 0 0 . chr4 54855749 54855750 chr4:54855750:G:A rs57367677 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 54920779 54920780 chr4:54920780:T:C rs12642211 T C T EBF1_EBF_1 -15 0 - 0 0 . chr4 55032886 55032887 chr4:55032887:A:G rs148247626 A G A EBF1_EBF_1 6 1 - 7.376185668546562 7.074899539684804 ACTCCCTGGGGTCC chr4 55126359 55126360 chr4:55126360:T:G rs4352535 T G G EBF1_EBF_1 11 1 - 11.49366703227246 7.077705334420072 CTTCCCCTGGGACT chr4 55143997 55143998 chr4:55143998:A:G rs4516787 A G G EBF1_EBF_1 -20 0 + 0 0 . chr4 55160019 55160020 chr4:55160020:A:C rs560392764 A C A EBF1_EBF_1 -5 0 - 0 0 . chr4 55160021 55160022 chr4:55160022:A:G rs1380062 A G G EBF1_EBF_1 -7 0 - 0 0 . chr4 55160024 55160025 chr4:55160025:T:C rs1380063 T C C EBF1_EBF_1 -10 0 - 0 0 . chr4 55173611 55173612 chr4:55173612:C:T rs2125487 C T T EBF1_EBF_1 30 0 - 0 0 . chr4 55272698 55272699 chr4:55272699:T:A rs6853935 T A A EBF1_EBF_1 13 1 + 8.937352695210977 7.719113131339483 ATTCCCCAGGAAAT chr4 55393391 55393392 chr4:55393392:C:T rs76448738 C T C EBF1_EBF_1 18 0 + 0 0 . chr4 55397462 55397463 chr4:55397463:C:T rs60709616 C T C EBF1_EBF_1 32 0 + 0 0 . chr4 55435109 55435110 chr4:55435110:G:T rs76334428 G T G EBF1_EBF_1 11 1 + 5.795620685441566 4.590413272946852 ATTCCCTGGAGGTC chr4 55510351 55510352 chr4:55510352:T:C rs3828480 T C C EBF1_EBF_1 -3 0 - 0 0 . chr4 55571300 55571301 chr4:55571301:C:A rs10012559 C A A EBF1_EBF_1 -2 0 + 0 0 . chr4 55635926 55635927 chr4:55635927:G:C rs35772728 G C G EBF1_EBF_1 -9 0 - 0 0 . chr4 55707646 55707647 chr4:55707647:C:T rs73236201 C T C EBF1_EBF_1 8 1 - 6.175820983998569 1.9495308951123071 TGCCCCCCGGGAAA chr4 55763024 55763025 chr4:55763025:T:C rs6816150 T C T EBF1_EBF_1 33 0 + 0 0 . chr4 55765400 55765401 chr4:55765401:C:G rs17727603 C G C EBF1_EBF_1 -9 0 - 0 0 . chr4 55789265 55789266 chr4:55789266:C:G rs972737 C G C EBF1_EBF_1 19 0 - 0 0 . chr4 55793779 55793780 chr4:55793780:G:C rs75938525 G C G EBF1_EBF_1 8 1 + 4.475724017650001 -0.8429348432646855 ACTCCCCGGGTATG chr4 55852306 55852307 chr4:55852307:A:G rs1979063 A G G EBF1_EBF_1 -1 0 - 0 0 . chr4 55854454 55854455 chr4:55854455:G:T rs62310537 G T G EBF1_EBF_1 14 0 - 0 0 . chr4 55925909 55925910 chr4:55925910:C:A rs2121517 C A A EBF1_EBF_1 10 1 - 4.317113267272278 0.13436108104501288 CCTCCCAAAGGGCT chr4 56048954 56048955 chr4:56048955:T:C rs111995204 T C C EBF1_EBF_1 -15 0 - 0 0 . chr4 56051091 56051092 chr4:56051092:G:A rs35976456 G A A EBF1_EBF_1 -13 0 + 0 0 . chr4 56156223 56156224 chr4:56156224:G:A rs10019016 G A A EBF1_EBF_1 8 1 + 4.360651169931275 0.13436108104501288 CCTCCCAAGGTGCT chr4 56165497 56165498 chr4:56165498:G:A rs6849418 G A A EBF1_EBF_1 8 1 + 3.8455276790227177 -0.38076240986354315 CTTACCCAGGGACA chr4 56197642 56197643 chr4:56197643:A:G rs17745069 A G A EBF1_EBF_1 -5 0 - 0 0 . chr4 56226479 56226480 chr4:56226480:A:T rs753255 A T T EBF1_EBF_1 -8 0 - 0 0 . chr4 56303970 56303971 chr4:56303971:A:G rs62310630 A G G EBF1_EBF_1 -11 0 - 0 0 . chr4 56303971 56303972 chr4:56303972:T:C rs74403828 T C C EBF1_EBF_1 -12 0 - 0 0 . chr4 56307667 56307668 chr4:56307668:A:G rs116522758 A G A EBF1_EBF_1 -12 0 - 0 0 . chr4 56307687 56307688 chr4:56307688:G:A rs35378328 G A G EBF1_EBF_1 -18 0 + 0 0 . chr4 56317994 56317995 chr4:56317995:A:G rs59161426 A G A EBF1_EBF_1 21 0 - 0 0 . chr4 56410284 56410285 chr4:56410285:A:T rs6855041 A T T EBF1_EBF_1 2 1 - 7.92177433181512 4.2373067442162435 CTTCCCTTGAGACA chr4 56460239 56460240 chr4:56460240:G:A rs17051687 G A A EBF1_EBF_1 18 0 + 0 0 . chr4 56530825 56530826 chr4:56530826:G:A rs79029109 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 56595023 56595024 chr4:56595024:C:T rs73240563 C T c EBF1_EBF_1 28 0 - 0 0 . chr4 56606591 56606592 chr4:56606592:T:G rs73821519 T G T EBF1_EBF_1 -7 0 + 0 0 . chr4 56651943 56651944 chr4:56651944:C:A rs35736378 C A C EBF1_EBF_1 -4 0 + 0 0 . chr4 56651954 56651955 chr4:56651955:C:G rs36104107 C G g EBF1_EBF_1 7 1 + 6.249624369788358 7.230944950869714 CCTCCCACGGGGCT chr4 56653453 56653454 chr4:56653454:G:A rs75303232 G A G EBF1_EBF_1 2 1 - 6.414044059666524 8.302867471108675 ACCCCCATGGGTAC chr4 56655660 56655661 chr4:56655661:G:A rs4515223 G A G EBF1_EBF_1 11 1 - 4.673718817690699 4.991766259444363 GCTCCCATGGGCGC chr4 56656162 56656163 chr4:56656163:C:T rs117289011 C T c EBF1_EBF_1 -4 0 - 0 0 . chr4 56656264 56656265 chr4:56656265:C:T rs149195333 C T C EBF1_EBF_1 2 1 + 6.23718297413264 8.12600638557479 CGCCCCCCGGGACC chr4 56656277 56656278 chr4:56656278:C:G rs1371067159 C G C EBF1_EBF_1 15 0 + 0 0 . chr4 56658028 56658029 chr4:56658029:T:C rs62309869 T C T EBF1_EBF_1 -11 0 - 0 0 . chr4 56666366 56666367 chr4:56666367:A:G rs76191004 A G A EBF1_EBF_1 32 0 + 0 0 . chr4 56701909 56701910 chr4:56701910:T:A rs2899070 T A T EBF1_EBF_1 33 0 + 0 0 . chr4 56756055 56756056 chr4:56756056:G:A rs6554379 G A G EBF1_EBF_1 -8 0 - 0 0 . chr4 56822026 56822027 chr4:56822027:C:A rs1679063 C A G EBF1_EBF_1 14 0 - 0 0 . chr4 56822030 56822031 chr4:56822031:C:G rs1629103 C G C EBF1_EBF_1 10 1 - 5.741528146075816 -1.149274241253659 GTCCCCCTAGGACT chr4 56828511 56828512 chr4:56828512:C:G rs113691237 C G C EBF1_EBF_1 9 1 - 6.551258661588218 1.4755695152427535 CTTCCCCTGGGTCC chr4 56828524 56828525 chr4:56828525:A:G rs13140847 A G A EBF1_EBF_1 -4 0 - 0 0 . chr4 56843784 56843785 chr4:56843785:C:T rs61471505 C T C EBF1_EBF_1 8 1 - 7.9581898985988495 3.7318998097125897 ATTCCCAGGGGTCA chr4 56845048 56845049 chr4:56845049:T:C rs59450267 T C T EBF1_EBF_1 22 0 + 0 0 . chr4 56855037 56855038 chr4:56855038:G:A rs35375188 G A G EBF1_EBF_1 -8 0 + 0 0 . chr4 56857886 56857887 chr4:56857887:T:C rs11133467 T C T EBF1_EBF_1 -5 0 + 0 0 . chr4 56892482 56892483 chr4:56892483:A:G rs112907803 A G G EBF1_EBF_1 27 0 + 0 0 . chr4 56908630 56908631 chr4:56908631:C:T rs559107345 C T C EBF1_EBF_1 -11 0 + 0 0 . chr4 56914435 56914436 chr4:56914436:T:G rs6838948 T G T EBF1_EBF_1 6 1 - 7.681920971222728 7.32031860342145 ATTCCAAAGGGACT chr4 57051345 57051346 chr4:57051346:G:A rs74880236 G A G EBF1_EBF_1 5 1 - 4.672740678772229 -0.6490465367684417 GTACCCCTGAGACC chr4 57053633 57053634 chr4:57053634:C:T rs1356571 C T T EBF1_EBF_1 14 0 + 0 0 . chr4 57053899 57053900 chr4:57053900:C:T rs73242633 C T C EBF1_EBF_1 -18 0 + 0 0 . chr4 57053920 57053921 chr4:57053921:C:T rs1401188 C T c EBF1_EBF_1 3 1 + 6.803895759869075 0.39849701831575896 GTTCCCATAGGAAA chr4 57053945 57053946 chr4:57053946:C:T rs1401187 C T T EBF1_EBF_1 28 0 + 0 0 . chr4 57054022 57054023 chr4:57054023:C:T rs2412775 C T T EBF1_EBF_1 -14 0 - 0 0 . chr4 57102277 57102278 chr4:57102278:G:A rs10004910 G A G EBF1_EBF_1 19 0 - 0 0 . chr4 57110648 57110649 chr4:57110649:C:G rs997762203 C G c EBF1_EBF_1 4 1 + 4.438166658107902 -1.1875984430416413 AGCCCTCAGGGATC chr4 57110661 57110662 chr4:57110662:C:T rs1030625629 C T c EBF1_EBF_1 17 0 + 0 0 . chr4 57119662 57119663 chr4:57119663:T:C rs73242656 T C T EBF1_EBF_1 17 0 - 0 0 . chr4 57215423 57215424 chr4:57215424:A:G rs1860623 A G A EBF1_EBF_1 -15 0 - 0 0 . chr4 57236105 57236106 chr4:57236106:A:G rs6856352 A G G EBF1_EBF_1 14 0 + 0 0 . chr4 57236112 57236113 chr4:57236113:T:C rs78347101 T C T EBF1_EBF_1 21 0 + 0 0 . chr4 57244530 57244531 chr4:57244531:A:G rs148629136 A G A EBF1_EBF_1 2 1 - 6.604618892541682 4.715795481099532 AATGCCAAGGGAAA chr4 57284192 57284193 chr4:57284193:C:T rs11725357 C T C EBF1_EBF_1 -1 0 + 0 0 . chr4 57350941 57350942 chr4:57350942:G:A chr4:57350942:G:A G A G EBF1_EBF_1 21 0 - 0 0 . chr4 57383927 57383928 chr4:57383928:G:A rs139427067 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 57424453 57424454 chr4:57424454:A:G rs193134015 A G A EBF1_EBF_1 7 1 - 5.001993014610679 3.1844882683280558 ATCTCCATGGGAAC chr4 57427373 57427374 chr4:57427374:T:C rs864518 T C T EBF1_EBF_1 0 1 - 6.378613493335332 4.4863296237705 ATCCCCGAGGTAAT chr4 57441039 57441040 chr4:57441040:G:C rs839051 G C G EBF1_EBF_1 24 0 - 0 0 . chr4 57441070 57441071 chr4:57441071:C:T rs839052 C T T EBF1_EBF_1 -7 0 - 0 0 . chr4 57492550 57492551 chr4:57492551:G:A rs7677606 G A g EBF1_EBF_1 -8 0 + 0 0 . chr4 57501901 57501902 chr4:57501902:T:C rs1441076 T C C EBF1_EBF_1 -15 0 + 0 0 . chr4 57524056 57524057 chr4:57524057:T:C rs11133508 T C C EBF1_EBF_1 7 1 + 9.172286239571863 7.354781493289239 ACTCCCCTGAGATT chr4 57575787 57575788 chr4:57575788:C:G rs114583054 C G C EBF1_EBF_1 26 0 + 0 0 . chr4 57710113 57710114 chr4:57710114:C:T rs491422 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 57949090 57949091 chr4:57949091:A:G rs17088981 A G A EBF1_EBF_1 17 0 + 0 0 . chr4 57961092 57961093 chr4:57961093:G:A rs10015020 G A G EBF1_EBF_1 1 1 + 8.053375889913152 7.79267705799185 AGTGCCAAGGGACT chr4 58294821 58294822 chr4:58294822:G:T rs72646005 G T G EBF1_EBF_1 -9 0 - 0 0 . chr4 58311174 58311175 chr4:58311175:C:G rs1357235 C G G EBF1_EBF_1 -10 0 - 0 0 . chr4 58349632 58349633 chr4:58349633:A:G rs1879758 A G G EBF1_EBF_1 18 0 + 0 0 . chr4 58386528 58386529 chr4:58386529:T:C rs112517767 T C T EBF1_EBF_1 12 1 - 10.743942522196528 9.325125180097052 AGCCCCTAGGGAAA chr4 58524724 58524725 chr4:58524725:C:G rs17089374 C G G EBF1_EBF_1 15 0 - 0 0 . chr4 58524739 58524740 chr4:58524740:T:C rs55707164 T C t EBF1_EBF_1 0 1 - 7.275486438968217 5.383202569403382 ACTCCCAAGGTAGC chr4 58548073 58548074 chr4:58548074:A:T rs62315585 A T a EBF1_EBF_1 -9 0 + 0 0 . chr4 58636506 58636507 chr4:58636507:A:G rs13117008 A G G EBF1_EBF_1 -18 0 - 0 0 . chr4 58675800 58675801 chr4:58675801:C:T rs1961726 C T T EBF1_EBF_1 31 0 - 0 0 . chr4 58819890 58819891 chr4:58819891:A:G rs9996869 A G A EBF1_EBF_1 -15 0 - 0 0 . chr4 59003382 59003383 chr4:59003383:T:C rs11733784 T C C EBF1_EBF_1 12 1 + 7.641622758676747 8.667889329227597 AATCCCTTGGGCTT chr4 59092206 59092207 chr4:59092207:C:A rs72640917 C A C EBF1_EBF_1 27 0 - 0 0 . chr4 59092207 59092208 chr4:59092208:C:A rs72640918 C A C EBF1_EBF_1 26 0 - 0 0 . chr4 59160601 59160602 chr4:59160602:G:C rs1910282 G C G EBF1_EBF_1 17 0 + 0 0 . chr4 59161002 59161003 chr4:59161003:G:A rs9992677 G A G EBF1_EBF_1 -15 0 + 0 0 . chr4 59161010 59161011 chr4:59161011:A:G rs10006017 A G A EBF1_EBF_1 -7 0 + 0 0 . chr4 59206554 59206555 chr4:59206555:A:G rs6855528 A G G EBF1_EBF_1 20 0 - 0 0 . chr4 59455944 59455945 chr4:59455945:T:G rs7675909 T G G EBF1_EBF_1 10 1 + 7.673889460176963 11.856641646404226 GTTCCCCTGGTACT chr4 59584153 59584154 chr4:59584154:A:G rs148689944 A G A EBF1_EBF_1 28 0 - 0 0 . chr4 59674566 59674567 chr4:59674567:C:T rs78832304 C T C EBF1_EBF_1 -9 0 + 0 0 . chr4 59814734 59814735 chr4:59814735:T:A rs12649762 T A A EBF1_EBF_1 14 0 - 0 0 . chr4 60042336 60042337 chr4:60042337:G:A rs111913646 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 60156369 60156370 chr4:60156370:A:G rs12506173 A G A EBF1_EBF_1 -3 0 - 0 0 . chr4 60216968 60216969 chr4:60216969:C:G rs143773496 C G c EBF1_EBF_1 25 0 + 0 0 . chr4 60234153 60234154 chr4:60234154:G:C rs28782291 G C C EBF1_EBF_1 -17 0 - 0 0 . chr4 60662376 60662377 chr4:60662377:T:C rs1439295 T C C EBF1_EBF_1 -19 0 + 0 0 . chr4 60724903 60724904 chr4:60724904:G:A rs573492294 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 60809439 60809440 chr4:60809440:C:T rs17090275 C T C EBF1_EBF_1 5 1 + 5.971172052790491 0.6493848372498223 ATCCCCAGAGGAAA chr4 61224757 61224758 chr4:61224758:T:C rs11131311 T C T EBF1_EBF_1 18 0 - 0 0 . chr4 61425834 61425835 chr4:61425835:G:A rs34977498 G A a EBF1_EBF_1 17 0 + 0 0 . chr4 61544082 61544083 chr4:61544083:G:C rs28540500 G C G EBF1_EBF_1 19 0 - 0 0 . chr4 61743824 61743825 chr4:61743825:G:C rs4339245 G C G EBF1_EBF_1 20 0 - 0 0 . chr4 61896927 61896928 chr4:61896928:C:T rs1376309 C T C EBF1_EBF_1 4 1 + 6.9831326474139805 2.613745702469056 AGTCCCTAGATACT chr4 62135165 62135166 chr4:62135166:A:G rs17292205 A G A EBF1_EBF_1 13 1 + 5.042096755847736 3.9277711837782974 GCTCCCAGAGGACA chr4 62363489 62363490 chr4:62363490:T:A rs13119289 T A A EBF1_EBF_1 -15 0 + 0 0 . chr4 62438405 62438406 chr4:62438406:C:T rs113333178 C T c EBF1_EBF_1 3 1 + 4.598937933504875 -1.806460808048442 GAACCCCTGGGAGA chr4 62631633 62631634 chr4:62631634:C:G rs7689313 C G G EBF1_EBF_1 -4 0 - 0 0 . chr4 62684348 62684349 chr4:62684349:A:G rs10025749 A G G EBF1_EBF_1 -11 0 - 0 0 . chr4 62852468 62852469 chr4:62852469:G:C rs28682515 G C G EBF1_EBF_1 5 1 - 9.485949207555482 2.581068054920314 TCTCCCCAGGGATC chr4 62897065 62897066 chr4:62897066:C:A rs554966369 C A C EBF1_EBF_1 30 0 - 0 0 . chr4 62936530 62936531 chr4:62936531:T:G rs7696293 T G G EBF1_EBF_1 22 0 - 0 0 . chr4 62975805 62975806 chr4:62975806:A:G rs35790494 A G A EBF1_EBF_1 -15 0 - 0 0 . chr4 63111113 63111114 chr4:63111114:G:A rs9998895 G A G EBF1_EBF_1 -12 0 - 0 0 . chr4 63169973 63169974 chr4:63169974:A:G rs72635741 A G a EBF1_EBF_1 19 0 - 0 0 . chr4 63209562 63209563 chr4:63209563:C:T rs7667161 C T T EBF1_EBF_1 23 0 - 0 0 . chr4 63275220 63275221 chr4:63275221:G:A rs4391068 G A . EBF1_EBF_1 11 1 - 5.82778981167223 6.145837253425894 TGTCCCATGGGCAA chr4 63323415 63323416 chr4:63323416:G:A rs116090071 G A G EBF1_EBF_1 4 1 - 4.726454045869753 0.3570671009248303 CCTCCTCAGGGATT chr4 63349088 63349089 chr4:63349089:T:C rs28542022 T C T EBF1_EBF_1 19 0 - 0 0 . chr4 63469085 63469086 chr4:63469086:T:C rs925722 T C T EBF1_EBF_1 28 0 + 0 0 . chr4 63541990 63541991 chr4:63541991:T:G rs66514765 T G G EBF1_EBF_1 31 0 - 0 0 . chr4 63581012 63581013 chr4:63581013:G:A rs11722570 G A A EBF1_EBF_1 13 1 - 5.202568173751708 6.0470622883372265 CACCCCTTGGGGCC chr4 63942959 63942960 chr4:63942960:G:A rs28806218 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 64270633 64270634 chr4:64270634:G:A chr4:64270634:G:A G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 64270633 64270634 chr4:64270634:G:C rs73228516 G C G EBF1_EBF_1 -6 0 + 0 0 . chr4 64360864 64360865 chr4:64360865:T:G rs4860595 T G G EBF1_EBF_1 10 1 - 7.5185353531115 5.945761425048992 ATTCCCCAGGAAGT chr4 64643238 64643239 chr4:64643239:C:T rs11131556 C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 64919729 64919730 chr4:64919730:C:G rs67482514 C G C EBF1_EBF_1 -5 0 - 0 0 . chr4 65058102 65058103 chr4:65058103:A:C rs2349416 A C - EBF1_EBF_1 16 0 + 0 0 . chr4 65063621 65063622 chr4:65063622:G:A rs62312924 G A G EBF1_EBF_1 -20 0 - 0 0 . chr4 65237892 65237893 chr4:65237893:G:T rs35634858 G T G EBF1_EBF_1 18 0 + 0 0 . chr4 65451062 65451063 chr4:65451063:T:C rs6825709 T C C EBF1_EBF_1 -7 0 + 0 0 . chr4 65630451 65630452 chr4:65630452:A:G rs7659227 A G G EBF1_EBF_1 25 0 - 0 0 . chr4 65635463 65635464 chr4:65635464:C:T rs4410579 C T T EBF1_EBF_1 15 0 - 0 0 . chr4 65669257 65669258 chr4:65669258:C:T rs188040935 C T C EBF1_EBF_1 19 0 + 0 0 . chr4 65669826 65669827 chr4:65669827:G:A chr4:65669827:G:A G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 65810995 65810996 chr4:65810996:T:C rs28857277 T C T EBF1_EBF_1 18 0 + 0 0 . chr4 65846467 65846468 chr4:65846468:T:C rs10866166 T C C EBF1_EBF_1 -19 0 - 0 0 . chr4 65868081 65868082 chr4:65868082:C:T rs10029201 C T C EBF1_EBF_1 -11 0 - 0 0 . chr4 65911749 65911750 chr4:65911750:C:A rs1594782 C A A EBF1_EBF_1 21 0 - 0 0 . chr4 65983804 65983805 chr4:65983805:C:T rs11941555 C T T EBF1_EBF_1 -6 0 - 0 0 . chr4 66014050 66014051 chr4:66014051:G:A rs72637680 G A G EBF1_EBF_1 -5 0 + 0 0 . chr4 66014532 66014533 chr4:66014533:C:T rs7694522 C T C EBF1_EBF_1 4 1 + 5.408734930365375 1.0393479854204517 CTCCCCCTAGGAAT chr4 66049088 66049089 chr4:66049089:G:A rs73235128 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 66246910 66246911 chr4:66246911:A:G rs4289486 A G A EBF1_EBF_1 -1 0 + 0 0 . chr4 66246929 66246930 chr4:66246930:A:G rs116281496 A G A EBF1_EBF_1 18 0 + 0 0 . chr4 66266160 66266161 chr4:66266161:T:C rs13145575 T C C EBF1_EBF_1 -8 0 + 0 0 . chr4 66714115 66714116 chr4:66714116:C:T rs150236145 C T C EBF1_EBF_1 6 1 + 6.881627184903368 7.182913313765127 CACCCCCAGGGAGC chr4 66778440 66778441 chr4:66778441:C:T rs1450018 C T C EBF1_EBF_1 -11 0 - 0 0 . chr4 67026884 67026885 chr4:67026885:C:T rs60623527 C T C EBF1_EBF_1 -20 0 - 0 0 . chr4 67177169 67177170 chr4:67177170:A:C rs11930789 A C - EBF1_EBF_1 -8 0 - 0 0 . chr4 67275360 67275361 chr4:67275361:G:T rs62315557 G T G EBF1_EBF_1 -14 0 - 0 0 . chr4 67545466 67545467 chr4:67545467:C:T rs150026106 C T C EBF1_EBF_1 24 0 - 0 0 . chr4 67545492 67545493 chr4:67545493:C:T rs145363683 C T C EBF1_EBF_1 -2 0 - 0 0 . chr4 67545509 67545510 chr4:67545510:C:T rs35108540 C T C EBF1_EBF_1 -19 0 - 0 0 . chr4 67674393 67674394 chr4:67674394:G:C rs11947365 G C G EBF1_EBF_1 -3 0 + 0 0 . chr4 67701046 67701047 chr4:67701047:A:C rs754830430 A C A EBF1_EBF_1 -7 0 + 0 0 . chr4 67998809 67998810 chr4:67998810:A:C rs1561384 A C a EBF1_EBF_1 -10 0 - 0 0 . chr4 68019416 68019417 chr4:68019417:C:T rs7682081 C T c EBF1_EBF_1 7 1 + 6.3672458619433066 8.184750608225931 TATCCCCCGAGAAT chr4 68182817 68182818 chr4:68182818:G:A rs2319877 G A G EBF1_EBF_1 11 1 + 6.001229731030577 8.893936574634587 GGCCCCCAGGGGCC chr4 68416535 68416536 chr4:68416536:A:G rs1110398 A G g EBF1_EBF_1 24 0 - 0 0 . chr4 68490042 68490043 chr4:68490043:T:C rs373198896 T C T EBF1_EBF_1 -18 0 - 0 0 . chr4 68503723 68503724 chr4:68503724:A:G rs62317919 A G g EBF1_EBF_1 13 1 + 4.421181426593317 3.306855854523878 GCTCCTCTGGGACA chr4 68582701 68582702 chr4:68582702:A:G rs12501434 A G a EBF1_EBF_1 26 0 + 0 0 . chr4 68735423 68735424 chr4:68735424:C:G rs67121035 C G G EBF1_EBF_1 21 0 - 0 0 . chr4 68735435 68735436 chr4:68735436:T:C rs13101852 T C T EBF1_EBF_1 9 1 - 9.00249623363598 11.657435499083583 GGTCCCCAGAGAAT chr4 68759694 68759695 chr4:68759695:G:C rs11731268 G C G EBF1_EBF_1 3 1 - 4.050134571990588 -1.7776298761246285 AACCCCAAGTGACC chr4 68759695 68759696 chr4:68759696:G:A rs11731269 G A G EBF1_EBF_1 2 1 - 4.050134571990588 5.938957983432739 AACCCCAAGTGACC chr4 68895731 68895732 chr4:68895732:T:A rs10019026 T A T EBF1_EBF_1 -15 0 - 0 0 . chr4 68902919 68902920 chr4:68902920:G:T rs74820159 G T G EBF1_EBF_1 -1 0 - 0 0 . chr4 68904502 68904503 chr4:68904503:C:T rs77736072 C T C EBF1_EBF_1 9 1 + 5.237321540295824 3.4760212785524773 ATTCCCTTGCGGCC chr4 68949085 68949086 chr4:68949086:C:A rs74717436 C A C EBF1_EBF_1 3 1 + 9.645267825540952 2.739093796075144 TTTCCCCAGGGAGA chr4 68949091 68949092 chr4:68949092:G:A rs114625911 G A G EBF1_EBF_1 9 1 + 9.645267825540952 6.9903285600933485 TTTCCCCAGGGAGA chr4 68961986 68961987 chr4:68961987:T:C rs12499093 T C T EBF1_EBF_1 -12 0 + 0 0 . chr4 68994103 68994104 chr4:68994104:G:C rs541710355 G C G EBF1_EBF_1 26 0 - 0 0 . chr4 69268918 69268919 chr4:69268919:A:G rs4694703 A G . EBF1_EBF_1 16 0 - 0 0 . chr4 69268922 69268923 chr4:69268923:G:A rs4694704 G A . EBF1_EBF_1 12 1 - 4.51333502908076 3.48706845852991 TCCCCCAAGGGTCA chr4 69435712 69435713 chr4:69435713:T:C rs17147314 T C c EBF1_EBF_1 26 0 + 0 0 . chr4 69516358 69516359 chr4:69516359:C:T rs72848481 C T c EBF1_EBF_1 -18 0 - 0 0 . chr4 69549936 69549937 chr4:69549937:G:C rs6825504 G C C EBF1_EBF_1 1 1 + 4.098392408902139 3.9086207543329214 TGTCCATAGGGACC chr4 69576446 69576447 chr4:69576447:A:G rs4286604 A G G EBF1_EBF_1 -6 0 - 0 0 . chr4 69600352 69600353 chr4:69600353:A:G rs34021488 A G A EBF1_EBF_1 7 1 + 4.978331345102058 3.665872939813232 TATCACAAGGGACC chr4 69650789 69650790 chr4:69650790:T:C rs7656541 T C T EBF1_EBF_1 32 0 + 0 0 . chr4 69741976 69741977 chr4:69741977:C:T rs56377923 C T C EBF1_EBF_1 -3 0 - 0 0 . chr4 69744228 69744229 chr4:69744229:C:T rs77481392 C T C EBF1_EBF_1 8 1 - 6.147918005576419 1.9216279166901582 ACTCCCCCGAGAGC chr4 69744231 69744232 chr4:69744232:G:A rs79726405 G A G EBF1_EBF_1 5 1 - 6.147918005576419 0.8261307900357492 ACTCCCCCGAGAGC chr4 69823009 69823010 chr4:69823010:G:A rs6839868 G A G EBF1_EBF_1 -16 0 - 0 0 . chr4 69983534 69983535 chr4:69983535:G:A rs2637810 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 69985970 69985971 chr4:69985971:C:T rs552102638 C T c EBF1_EBF_1 -1 0 + 0 0 . chr4 70464816 70464817 chr4:70464817:G:A rs11932207 G A g EBF1_EBF_1 -16 0 - 0 0 . chr4 70474005 70474006 chr4:70474006:G:A rs2306949 G A G EBF1_EBF_1 7 1 + 7.42810846628395 8.740566871572776 TTTCCCAGGAGACA chr4 70474010 70474011 chr4:70474011:C:T rs2306948 C T C EBF1_EBF_1 12 1 + 7.42810846628395 6.4018418957331 TTTCCCAGGAGACA chr4 70549105 70549106 chr4:70549106:C:T rs28801727 C T T EBF1_EBF_1 -14 0 + 0 0 . chr4 70554090 70554091 chr4:70554091:C:T rs28591306 C T T EBF1_EBF_1 5 1 + 5.258636399291575 -0.0631508162490949 GTCCCCATAGGACC chr4 70572359 70572360 chr4:70572360:A:G rs2130647 A G g EBF1_EBF_1 -3 0 + 0 0 . chr4 70601196 70601197 chr4:70601197:C:T rs4694075 C T T EBF1_EBF_1 24 0 - 0 0 . chr4 70630984 70630985 chr4:70630985:A:G rs2553322 A G A EBF1_EBF_1 -3 0 - 0 0 . chr4 70652389 70652390 chr4:70652390:A:C rs113425617 A C A EBF1_EBF_1 -8 0 - 0 0 . chr4 70704652 70704653 chr4:70704653:C:G rs546483276 C G C EBF1_EBF_1 -2 0 - 0 0 . chr4 70753827 70753828 chr4:70753828:G:C rs28464420 G C G EBF1_EBF_1 5 1 - 5.944814046433637 -0.9600671062015304 TTTCCCTTGGAAAC chr4 70838952 70838953 chr4:70838953:C:T rs73827034 C T C EBF1_EBF_1 -16 0 - 0 0 . chr4 70903197 70903198 chr4:70903198:C:T rs114775654 C T C EBF1_EBF_1 12 1 + 5.897361705587464 4.871095135036614 CCTCCCAGAGGACT chr4 70903212 70903213 chr4:70903213:T:C rs10026933 T C C EBF1_EBF_1 27 0 + 0 0 . chr4 70903216 70903217 chr4:70903217:T:A rs149476679 T A T EBF1_EBF_1 31 0 + 0 0 . chr4 71032347 71032348 chr4:71032348:G:A rs116751453 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 71045355 71045356 chr4:71045356:T:C rs2363440 T C C EBF1_EBF_1 0 1 - 12.934612799804867 11.042328930240034 ATTCCCCTGGGAAC chr4 71045359 71045360 chr4:71045360:T:C rs28800493 T C T EBF1_EBF_1 -4 0 - 0 0 . chr4 71045870 71045871 chr4:71045871:G:A rs2087038 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 71150238 71150239 chr4:71150239:G:A rs531735631 G A G EBF1_EBF_1 18 0 + 0 0 . chr4 71188571 71188572 chr4:71188572:T:C rs74565168 T C t EBF1_EBF_1 21 0 - 0 0 . chr4 71254790 71254791 chr4:71254791:T:C rs142684620 T C T EBF1_EBF_1 33 0 - 0 0 . chr4 71254838 71254839 chr4:71254839:T:G chr4:71254839:T:G T G T EBF1_EBF_1 -15 0 - 0 0 . chr4 71419717 71419718 chr4:71419718:A:G rs36179360 A G G EBF1_EBF_1 -7 0 + 0 0 . chr4 71447693 71447694 chr4:71447694:C:T rs34373561 C T T EBF1_EBF_1 -16 0 - 0 0 . chr4 71487338 71487339 chr4:71487339:G:A chr4:71487339:G:A G A G EBF1_EBF_1 23 0 + 0 0 . chr4 71591188 71591189 chr4:71591189:A:T rs6821768 A T A EBF1_EBF_1 1 1 + 7.350660097851031 8.31702071560186 AATCCCAGGAGAAG chr4 71595734 71595735 chr4:71595735:C:T rs1377529 C T T EBF1_EBF_1 19 0 - 0 0 . chr4 71602873 71602874 chr4:71602874:G:A rs7439179 G A g EBF1_EBF_1 -8 0 + 0 0 . chr4 71614928 71614929 chr4:71614929:A:G rs112742582 A G A EBF1_EBF_1 15 0 - 0 0 . chr4 71697248 71697249 chr4:71697249:G:C rs451857 G C C EBF1_EBF_1 12 1 - 4.280011386481798 2.8913754428036462 GCTCACATGGGACA chr4 71706436 71706437 chr4:71706437:A:C rs56003670 A C A EBF1_EBF_1 -11 0 + 0 0 . chr4 71891297 71891298 chr4:71891298:G:A rs35128544 G A . EBF1_EBF_1 -1 0 + 0 0 . chr4 72094392 72094393 chr4:72094393:G:A rs13150552 G A G EBF1_EBF_1 -18 0 + 0 0 . chr4 72236915 72236916 chr4:72236916:G:A rs9994410 G A G EBF1_EBF_1 21 0 + 0 0 . chr4 72325494 72325495 chr4:72325495:T:G rs59514754 T G T EBF1_EBF_1 19 0 + 0 0 . chr4 72406055 72406056 chr4:72406056:G:A rs73824597 G A G EBF1_EBF_1 12 1 - 6.475322557982716 5.4490559874318665 CTCCCCAGGAGACT chr4 72418938 72418939 chr4:72418939:C:A rs62319883 C A C EBF1_EBF_1 -19 0 - 0 0 . chr4 72680016 72680017 chr4:72680017:G:C rs12647530 G C G EBF1_EBF_1 -2 0 + 0 0 . chr4 72806773 72806774 chr4:72806774:G:A rs2614330 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 72806775 72806776 chr4:72806776:G:C rs2622685 G C G EBF1_EBF_1 26 0 - 0 0 . chr4 72846188 72846189 chr4:72846189:G:A rs1599445 G A G EBF1_EBF_1 13 1 + 6.344692315042812 7.4590178871122506 CTACCCAAGGGAAG chr4 72866790 72866791 chr4:72866791:G:A rs1582753 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 72892793 72892794 chr4:72892794:A:C rs16848812 A C A EBF1_EBF_1 12 1 + 7.6697924144612 7.639611016039874 ACTCTCCTGGGAAC chr4 72893524 72893525 chr4:72893525:G:A rs2114153 G A A EBF1_EBF_1 11 1 - 4.604116873826152 4.922164315579816 ACTCCCAGGGGCTG chr4 72899958 72899959 chr4:72899959:C:G rs6837908 C G G EBF1_EBF_1 -6 0 - 0 0 . chr4 72925465 72925466 chr4:72925466:T:A rs62313454 T A T EBF1_EBF_1 16 0 + 0 0 . chr4 72997673 72997674 chr4:72997674:C:T rs2061575 C T T EBF1_EBF_1 -12 0 + 0 0 . chr4 73258683 73258684 chr4:73258684:G:A rs894332414 G A G EBF1_EBF_1 18 0 + 0 0 . chr4 73620267 73620268 chr4:73620268:T:C rs1534642 T C T EBF1_EBF_1 -18 0 - 0 0 . chr4 73631957 73631958 chr4:73631958:G:A rs1247602 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 73705450 73705451 chr4:73705451:T:C chr4:73705451:T:C T C T EBF1_EBF_1 -4 0 + 0 0 . chr4 73836412 73836413 chr4:73836413:G:C rs1951243 G C G EBF1_EBF_1 -8 0 - 0 0 . chr4 73895077 73895078 chr4:73895078:C:A rs6816814 C A C EBF1_EBF_1 -9 0 - 0 0 . chr4 73951212 73951213 chr4:73951213:T:G rs113581971 T G G EBF1_EBF_1 -18 0 - 0 0 . chr4 74334742 74334743 chr4:74334743:G:T rs75628193 G T G EBF1_EBF_1 33 0 - 0 0 . chr4 74400609 74400610 chr4:74400610:C:G rs116008727 C G C EBF1_EBF_1 13 1 + 6.568512672179319 5.080441650823904 CTTCCCACGAGAAC chr4 74400619 74400620 chr4:74400620:C:G rs113265765 C G C EBF1_EBF_1 23 0 + 0 0 . chr4 74500218 74500219 chr4:74500219:G:A rs75685350 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 74500222 74500223 chr4:74500223:C:T rs62312561 C T C EBF1_EBF_1 30 0 + 0 0 . chr4 74503503 74503504 chr4:74503504:T:G rs1389958 T G G EBF1_EBF_1 24 0 + 0 0 . chr4 74503723 74503724 chr4:74503724:C:T rs1039011 C T C EBF1_EBF_1 8 1 - 5.0350853752149085 0.8087952863286483 CATCCCTGGAGAAG chr4 74531892 74531893 chr4:74531893:A:G rs4694193 A G G EBF1_EBF_1 27 0 - 0 0 . chr4 74682631 74682632 chr4:74682632:C:T rs11726871 C T C EBF1_EBF_1 5 1 + 5.7629431534298226 0.44115593788915247 AACCCCAAGGGTTC chr4 74769160 74769161 chr4:74769161:G:T rs72660317 G T G EBF1_EBF_1 15 0 - 0 0 . chr4 74805858 74805859 chr4:74805859:C:T rs187383016 C T C EBF1_EBF_1 3 1 + 7.698653823951725 1.293255082398408 ACCCCCTAGAGAGT chr4 74841016 74841017 chr4:74841017:G:T rs10518132 G T G EBF1_EBF_1 -19 0 + 0 0 . chr4 74841228 74841229 chr4:74841229:A:G rs6850698 A G A EBF1_EBF_1 -3 0 + 0 0 . chr4 74841257 74841258 chr4:74841258:C:T rs6841078 C T C EBF1_EBF_1 26 0 + 0 0 . chr4 74916334 74916335 chr4:74916335:G:A rs113978195 G A G EBF1_EBF_1 10 1 + 5.978004193442291 0.6599757341753255 GCACCCGAGGGAAT chr4 74942515 74942516 chr4:74942516:C:T rs6846434 C T C EBF1_EBF_1 -11 0 - 0 0 . chr4 74989533 74989534 chr4:74989534:C:T rs115660096 C T C EBF1_EBF_1 13 1 + 6.227061844685281 7.071555959270799 ACCCTCCAGGGACC chr4 75047530 75047531 chr4:75047531:A:C rs10015360 A C C EBF1_EBF_1 -3 0 + 0 0 . chr4 75057914 75057915 chr4:75057915:A:G rs10030456 A G G EBF1_EBF_1 19 0 - 0 0 . chr4 75135612 75135613 chr4:75135613:A:C rs148714227 A C A EBF1_EBF_1 26 0 + 0 0 . chr4 75180879 75180880 chr4:75180880:T:C rs7685095 T C T EBF1_EBF_1 -2 0 + 0 0 . chr4 75191301 75191302 chr4:75191302:C:G rs2132800 C G C EBF1_EBF_1 -11 0 - 0 0 . chr4 75251698 75251699 chr4:75251699:C:T rs72865582 C T T EBF1_EBF_1 -2 0 - 0 0 . chr4 75287062 75287063 chr4:75287063:G:T rs4859955 G T G EBF1_EBF_1 -20 0 + 0 0 . chr4 75331464 75331465 chr4:75331465:G:A rs191659627 G A G EBF1_EBF_1 8 1 + 6.347405450853181 2.1211153619669214 ACTCCCCTGGAAAA chr4 75401331 75401332 chr4:75401332:C:T rs28538105 C T c EBF1_EBF_1 -14 0 - 0 0 . chr4 75413063 75413064 chr4:75413064:G:A rs12386455 G A G EBF1_EBF_1 8 1 + 6.421849730216978 2.195559641330718 AATCCCCAGTGACT chr4 75573765 75573766 chr4:75573766:A:C chr4:75573766:A:C A C A EBF1_EBF_1 20 0 - 0 0 . chr4 75786923 75786924 chr4:75786924:C:T rs71607334 C T C EBF1_EBF_1 15 0 + 0 0 . chr4 75822841 75822842 chr4:75822842:T:C rs13107337 T C T EBF1_EBF_1 -13 0 + 0 0 . chr4 75822854 75822855 chr4:75822855:T:G rs72651313 T G G EBF1_EBF_1 0 1 + 6.044048250663116 6.1248208040643055 TTCCCCCTGAGAAA chr4 75848562 75848563 chr4:75848563:C:T rs140675405 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 75901594 75901595 chr4:75901595:T:G rs13131819 T G T EBF1_EBF_1 -19 0 - 0 0 . chr4 75917704 75917705 chr4:75917705:A:T rs7686066 A T A EBF1_EBF_1 -15 0 + 0 0 . chr4 75940337 75940338 chr4:75940338:G:T rs7694898 G T G EBF1_EBF_1 -1 0 - 0 0 . chr4 75958850 75958851 chr4:75958851:C:A rs4859575 C A A EBF1_EBF_1 10 1 - 4.317113267272278 0.13436108104501288 CCTCCCAAAGGGCT chr4 76010847 76010848 chr4:76010848:T:C rs17288671 T C C EBF1_EBF_1 -5 0 - 0 0 . chr4 76023631 76023632 chr4:76023632:C:T rs56061981 C T C EBF1_EBF_1 -17 0 - 0 0 . chr4 76075453 76075454 chr4:76075454:C:A rs181396370 C A C EBF1_EBF_1 9 1 - 6.662665162367308 -0.17432424572150146 ATTCCCCAGGAATA chr4 76141396 76141397 chr4:76141397:T:C rs4267762 T C T EBF1_EBF_1 -7 0 + 0 0 . chr4 76178665 76178666 chr4:76178666:A:C rs78269244 A C A EBF1_EBF_1 12 1 + 6.558529371968365 6.528347973547039 ACCCTCTAGGGAAC chr4 76180061 76180062 chr4:76180062:G:A rs1078350 G A G EBF1_EBF_1 -5 0 + 0 0 . chr4 76180083 76180084 chr4:76180084:T:C rs1470193 T C C EBF1_EBF_1 17 0 + 0 0 . chr4 76207099 76207100 chr4:76207100:G:C rs72655538 G C C EBF1_EBF_1 -3 0 - 0 0 . chr4 76213600 76213601 chr4:76213601:G:T rs185028681 G T G EBF1_EBF_1 24 0 + 0 0 . chr4 76265261 76265262 chr4:76265262:A:C rs17308336 A C A EBF1_EBF_1 -4 0 - 0 0 . chr4 76272295 76272296 chr4:76272296:T:C rs1441910 T C C EBF1_EBF_1 -3 0 - 0 0 . chr4 76306331 76306332 chr4:76306332:A:G rs3733249 A G G EBF1_EBF_1 0 1 - 9.054326155172244 8.772124094441665 TCTCCCCAGGGAAG chr4 76307570 76307571 chr4:76307571:A:C rs3796491 A C C EBF1_EBF_1 2 1 - 6.587618956756797 -0.1607067334436696 CATCCCCAGGGCCT chr4 76308704 76308705 chr4:76308705:C:T chr4:76308705:C:T C T C EBF1_EBF_1 6 1 + 7.9913580843240695 8.292644213185827 CCTCCCCTGGGAGA chr4 76458035 76458036 chr4:76458036:G:A rs6839100 G A G EBF1_EBF_1 -11 0 + 0 0 . chr4 76593310 76593311 chr4:76593311:G:C rs4241596 G C c EBF1_EBF_1 -20 0 + 0 0 . chr4 76637854 76637855 chr4:76637855:G:A rs72661474 G A G EBF1_EBF_1 -3 0 - 0 0 . chr4 76689809 76689810 chr4:76689810:G:C rs4475167 G C C EBF1_EBF_1 -8 0 + 0 0 . chr4 76804318 76804319 chr4:76804319:G:A rs9307184 G A A EBF1_EBF_1 -20 0 - 0 0 . chr4 76812286 76812287 chr4:76812287:T:A rs137900164 T A T EBF1_EBF_1 -6 0 + 0 0 . chr4 76830995 76830996 chr4:76830996:C:T rs77518987 C T C EBF1_EBF_1 2 1 + 4.455642728247281 6.344466139689431 ATCCCCTTTGGAAC chr4 76831568 76831569 chr4:76831569:C:T rs1036568966 C T C EBF1_EBF_1 20 0 + 0 0 . chr4 76868863 76868864 chr4:76868864:A:T rs6845580 A T A EBF1_EBF_1 16 0 + 0 0 . chr4 76931761 76931762 chr4:76931762:G:A rs113771610 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 76947949 76947950 chr4:76947950:C:T rs147937998 C T C EBF1_EBF_1 12 1 + 4.360651169931275 3.3343845993804253 CCTCCCAAGGTGCT chr4 76949170 76949171 chr4:76949171:C:G rs568455351 C G C EBF1_EBF_1 -12 0 - 0 0 . chr4 76949171 76949172 chr4:76949172:G:A rs915164396 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 76951203 76951204 chr4:76951204:C:T rs180808675 C T C EBF1_EBF_1 7 1 + 6.841574539157654 8.659079285440278 ACCCCCTCGGGAGA chr4 76957238 76957239 chr4:76957239:T:G rs10213389 T G T EBF1_EBF_1 -3 0 + 0 0 . chr4 76980295 76980296 chr4:76980296:A:C rs10016594 A C A EBF1_EBF_1 -11 0 - 0 0 . chr4 76983425 76983426 chr4:76983426:A:G rs115213063 A G A EBF1_EBF_1 -19 0 + 0 0 . chr4 76998791 76998792 chr4:76998792:C:T rs542681569 C T C EBF1_EBF_1 18 0 - 0 0 . chr4 77007391 77007392 chr4:77007392:A:G chr4:77007392:A:G A G A EBF1_EBF_1 8 1 + 5.885009170452933 10.111299259339194 CTCCCCCAAGGAAT chr4 77030242 77030243 chr4:77030243:G:A rs115450736 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 77063001 77063002 chr4:77063002:C:A rs4252845 C A A EBF1_EBF_1 -6 0 + 0 0 . chr4 77171276 77171277 chr4:77171277:C:G rs12498388 C G C EBF1_EBF_1 23 0 - 0 0 . chr4 77193795 77193796 chr4:77193796:C:T rs969962200 C T C EBF1_EBF_1 27 0 - 0 0 . chr4 77208159 77208160 chr4:77208160:G:A rs6857792 G A G EBF1_EBF_1 -18 0 + 0 0 . chr4 77231154 77231155 chr4:77231155:G:A rs10491461 G A g EBF1_EBF_1 -16 0 - 0 0 . chr4 77284285 77284286 chr4:77284286:C:T rs2194129 C T T EBF1_EBF_1 8 1 + 4.804992933559039 3.23221900549653 CCTCCCAGCGGACT chr4 77328966 77328967 chr4:77328967:C:T rs2194117 C T C EBF1_EBF_1 3 1 + 5.824118007114964 -0.5812807344383533 TTTCCCAAGGTAGA chr4 77377058 77377059 chr4:77377059:A:G rs12501577 A G A EBF1_EBF_1 -19 0 + 0 0 . chr4 77539521 77539522 chr4:77539522:C:T rs904860 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 77552934 77552935 chr4:77552935:G:A rs355674 G A A EBF1_EBF_1 -10 0 - 0 0 . chr4 77570877 77570878 chr4:77570878:A:G rs355665 A G a EBF1_EBF_1 -16 0 + 0 0 . chr4 77570879 77570880 chr4:77570880:C:T rs530447508 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 77613429 77613430 chr4:77613430:G:A rs143919974 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 77662595 77662596 chr4:77662596:A:C rs750689531 A C A EBF1_EBF_1 17 0 + 0 0 . chr4 77818623 77818624 chr4:77818624:C:T rs116543689 C T C EBF1_EBF_1 28 0 + 0 0 . chr4 77869150 77869151 chr4:77869151:G:A rs28436599 G A G EBF1_EBF_1 32 0 + 0 0 . chr4 77880234 77880235 chr4:77880235:G:A rs7682450 G A G EBF1_EBF_1 5 1 - 5.566761510176232 0.24497429463556308 ATCCCCTAGAGCCT chr4 77994666 77994667 chr4:77994667:A:T rs192309276 A T A EBF1_EBF_1 -17 0 - 0 0 . chr4 78014919 78014920 chr4:78014920:A:T rs2102191 A T A EBF1_EBF_1 2 1 - 6.012815380213923 2.328347792615047 ATTCTCCAGAGAAA chr4 78030573 78030574 chr4:78030574:G:A rs11936798 G A A EBF1_EBF_1 16 0 + 0 0 . chr4 78054121 78054122 chr4:78054122:T:A rs1910300 T A A EBF1_EBF_1 25 0 + 0 0 . chr4 78059359 78059360 chr4:78059360:G:T rs28733880 G T G EBF1_EBF_1 18 0 + 0 0 . chr4 78080222 78080223 chr4:78080223:C:G rs72858775 C G C EBF1_EBF_1 -19 0 + 0 0 . chr4 78205875 78205876 chr4:78205876:G:A rs62309966 G A G EBF1_EBF_1 19 0 + 0 0 . chr4 78288621 78288622 chr4:78288622:C:T rs13138795 C T C EBF1_EBF_1 6 1 + 5.128680934726103 5.429967063587861 TCCCTCCAGGGAAT chr4 78317293 78317294 chr4:78317294:T:C rs345515 T C C EBF1_EBF_1 30 0 + 0 0 . chr4 78325756 78325757 chr4:78325757:A:G rs115386040 A G A EBF1_EBF_1 4 1 + 8.0565807145749 8.255375236878825 AGTCACAAGGGACT chr4 78325785 78325786 chr4:78325786:G:A rs59210732 G A G EBF1_EBF_1 33 0 + 0 0 . chr4 78332931 78332932 chr4:78332932:C:G rs345493 C G G EBF1_EBF_1 17 0 - 0 0 . chr4 78341346 78341347 chr4:78341347:G:A rs13123934 G A A EBF1_EBF_1 7 1 - 4.917649526268687 6.73515427255131 ATCCCCACGGGTGT chr4 78341363 78341364 chr4:78341364:A:G rs417621 A G G EBF1_EBF_1 -10 0 - 0 0 . chr4 78356593 78356594 chr4:78356594:T:A rs17003153 T A T EBF1_EBF_1 6 1 + 7.986474465947345 8.046790704886863 AGCCCCTTGAGAAC chr4 78362556 78362557 chr4:78362557:A:T rs345544 A T A EBF1_EBF_1 -14 0 - 0 0 . chr4 78363073 78363074 chr4:78363074:T:C rs2100483 T C C EBF1_EBF_1 13 1 + 6.427583709637129 5.583089595051611 TTTCACCAGGGACT chr4 78371243 78371244 chr4:78371244:T:C rs17003167 T C C EBF1_EBF_1 22 0 + 0 0 . chr4 78464591 78464592 chr4:78464592:A:C rs188606284 A C A EBF1_EBF_1 -4 0 + 0 0 . chr4 78519270 78519271 chr4:78519271:T:C rs2903471 T C C EBF1_EBF_1 26 0 - 0 0 . chr4 78520848 78520849 chr4:78520849:G:T rs7669587 G T T EBF1_EBF_1 22 0 - 0 0 . chr4 78521008 78521009 chr4:78521009:G:C rs72871374 G C G EBF1_EBF_1 16 0 + 0 0 . chr4 78545537 78545538 chr4:78545538:G:T rs11940309 G T T EBF1_EBF_1 30 0 + 0 0 . chr4 78546013 78546014 chr4:78546014:C:A rs77159067 C A C EBF1_EBF_1 1 1 + 6.094021047327303 6.023093869975218 ACTCCCAAGAGTCC chr4 78550182 78550183 chr4:78550183:A:G rs114719125 A G A EBF1_EBF_1 2 1 - 4.982369223760647 3.0935458123184962 AGTGCCCTGGGAGC chr4 78551948 78551949 chr4:78551949:G:T rs187998228 G T G EBF1_EBF_1 13 1 - 3.772038029582114 3.3982925802961383 ACTCGCGGGGGAAC chr4 78551981 78551982 chr4:78551982:G:A rs145708718 G A G EBF1_EBF_1 -20 0 - 0 0 . chr4 78552528 78552529 chr4:78552529:T:C rs570361925 T C t EBF1_EBF_1 10 1 + 8.841192888052703 6.133142686950492 ATTCCCTTGGTATT chr4 78552547 78552548 chr4:78552548:A:G rs34970825 A G G EBF1_EBF_1 29 0 + 0 0 . chr4 78553731 78553732 chr4:78553732:T:G rs7672582 T G G EBF1_EBF_1 11 1 - 5.271759812269266 0.8557981144168774 CACCCCCAGAGAAA chr4 78600045 78600046 chr4:78600046:G:T rs12647801 G T G EBF1_EBF_1 -18 0 - 0 0 . chr4 78640698 78640699 chr4:78640699:C:G rs12646646 C G C EBF1_EBF_1 21 0 + 0 0 . chr4 78646202 78646203 chr4:78646203:C:T rs114694732 C T C EBF1_EBF_1 4 1 + 6.021761945426121 1.652375000481198 CCTCCCAAAGGAAA chr4 78646229 78646230 chr4:78646230:A:G rs73828083 A G A EBF1_EBF_1 31 0 + 0 0 . chr4 78662194 78662195 chr4:78662195:G:A rs116475200 G A G EBF1_EBF_1 -20 0 - 0 0 . chr4 78786192 78786193 chr4:78786193:G:A rs6838118 G A A EBF1_EBF_1 -6 0 - 0 0 . chr4 78982476 78982477 chr4:78982477:G:C rs12505294 G C G EBF1_EBF_1 10 1 + 3.885901400019419 -3.0049009873100556 ACTCCCCAGTGAGA chr4 79297257 79297258 chr4:79297258:T:C rs9998799 T C C EBF1_EBF_1 12 1 - 4.912369123412944 3.4935517813134664 AGCCCCCAGGGCAG chr4 79340633 79340634 chr4:79340634:A:G rs75529703 A G A EBF1_EBF_1 7 1 - 8.890692942333594 7.073188196050971 AGTCCCCTGGTAAT chr4 79663056 79663057 chr4:79663057:A:G rs6823216 A G g EBF1_EBF_1 -13 0 - 0 0 . chr4 79668265 79668266 chr4:79668266:C:T rs4290916 C T c EBF1_EBF_1 -3 0 + 0 0 . chr4 79668523 79668524 chr4:79668524:T:C rs7438054 T C g EBF1_EBF_1 -10 0 + 0 0 . chr4 79756532 79756533 chr4:79756533:G:A rs17004230 G A G EBF1_EBF_1 19 0 + 0 0 . chr4 79852306 79852307 chr4:79852307:C:T rs6857026 C T C EBF1_EBF_1 10 1 - 8.006282478868679 2.688254019601712 AATCCCCAAGGATT chr4 79863018 79863019 chr4:79863019:A:G rs4690162 A G G EBF1_EBF_1 -17 0 + 0 0 . chr4 79877995 79877996 chr4:79877996:T:C rs76854849 T C C EBF1_EBF_1 13 1 + 7.973475724847437 7.128981610261919 CCTCCCCTGAGAAT chr4 79886781 79886782 chr4:79886782:T:C rs9996733 T C T EBF1_EBF_1 18 0 + 0 0 . chr4 79935951 79935952 chr4:79935952:C:T rs11937477 C T c EBF1_EBF_1 12 1 - 4.610914305070223 6.0297316471697 ATTCCACTGGGAGC chr4 79991632 79991633 chr4:79991633:C:G chr4:79991633:C:G C G C EBF1_EBF_1 27 0 + 0 0 . chr4 80059914 80059915 chr4:80059915:A:C rs62300369 A C A EBF1_EBF_1 13 1 - 5.240429353267261 2.9078642173263276 ATTCCCTGCAGACT chr4 80072324 80072325 chr4:80072325:G:A rs114002475 G A G EBF1_EBF_1 10 1 + 5.686263596006652 0.36823513673968683 ACTCCCCGGGGTGC chr4 80161696 80161697 chr4:80161697:A:G rs6853298 A G G EBF1_EBF_1 -4 0 + 0 0 . chr4 80184067 80184068 chr4:80184068:C:T rs541706276 C T C EBF1_EBF_1 21 0 + 0 0 . chr4 80185172 80185173 chr4:80185173:C:T rs1996453 C T C EBF1_EBF_1 -7 0 + 0 0 . chr4 80196035 80196036 chr4:80196036:G:C rs143066458 G C G EBF1_EBF_1 4 1 - 6.176541709102409 0.5507766079528651 AGTCCCAGGAGGCC chr4 80196371 80196372 chr4:80196372:A:G rs2292846 A G A EBF1_EBF_1 -15 0 + 0 0 . chr4 80241729 80241730 chr4:80241730:G:A rs2903657 G A A EBF1_EBF_1 28 0 - 0 0 . chr4 80267066 80267067 chr4:80267067:G:T rs112475347 G T G EBF1_EBF_1 14 0 - 0 0 . chr4 80268349 80268350 chr4:80268350:C:G rs3796603 C G C EBF1_EBF_1 -2 0 - 0 0 . chr4 80280893 80280894 chr4:80280894:G:T rs36034102 G T G EBF1_EBF_1 10 1 + 7.608920293930076 3.4261681077028108 AGTCCCTGGAGATA chr4 80303120 80303121 chr4:80303121:C:A rs10013082 C A C EBF1_EBF_1 13 1 + 4.615087684811342 4.241342235525366 CCTCCCCAAGGAGC chr4 80303121 80303122 chr4:80303122:G:A rs10034513 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 80362768 80362769 chr4:80362769:C:T rs114876252 C T C EBF1_EBF_1 32 0 - 0 0 . chr4 80385848 80385849 chr4:80385849:C:T rs1161927968 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 80385870 80385871 chr4:80385871:C:A rs17004889 C A C EBF1_EBF_1 -1 0 - 0 0 . chr4 80419302 80419303 chr4:80419303:C:G rs72860798 C G C EBF1_EBF_1 13 1 - 7.17397587344871 8.662046894804124 CACCCCAAGGGAAG chr4 80423960 80423961 chr4:80423961:A:T rs11729082 A T A EBF1_EBF_1 -6 0 - 0 0 . chr4 80604390 80604391 chr4:80604391:G:T rs4693494 G T G EBF1_EBF_1 27 0 - 0 0 . chr4 80604421 80604422 chr4:80604422:C:T rs4693495 C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 80611078 80611079 chr4:80611079:G:A rs144154620 G A G EBF1_EBF_1 32 0 + 0 0 . chr4 80926613 80926614 chr4:80926614:T:G rs73831203 T G G EBF1_EBF_1 10 1 - 4.781152127956685 3.208378199894176 CTTCCCCAGGAATC chr4 80926621 80926622 chr4:80926622:A:C rs73831204 A C C EBF1_EBF_1 2 1 - 4.781152127956685 -1.9671735622437838 CTTCCCCAGGAATC chr4 80957238 80957239 chr4:80957239:A:T rs76854428 A T A EBF1_EBF_1 11 1 + 5.666554593073851 1.5686403369751254 TCTCTCCTGGGACC chr4 81031693 81031694 chr4:81031694:G:A rs17346716 G A G EBF1_EBF_1 -2 0 + 0 0 . chr4 81039310 81039311 chr4:81039311:C:T rs4389567 C T C EBF1_EBF_1 16 0 - 0 0 . chr4 81052571 81052572 chr4:81052572:T:G rs79446178 T G T EBF1_EBF_1 -12 0 + 0 0 . chr4 81052594 81052595 chr4:81052595:A:C rs576376551 A C A EBF1_EBF_1 11 1 + 6.7154884937418755 2.2995267958894865 ATTCCCAGAGGACG chr4 81292453 81292454 chr4:81292454:C:G rs12504458 C G C EBF1_EBF_1 20 0 + 0 0 . chr4 81421438 81421439 chr4:81421439:C:A rs188580095 C A C EBF1_EBF_1 1 1 + 5.441395169536455 5.370467992184371 ACCCACTTGGGACT chr4 81453692 81453693 chr4:81453693:A:G rs9307783 A G G EBF1_EBF_1 7 1 - 4.059656659219225 2.242151912936601 CTTCCCTTGGCACC chr4 81471053 81471054 chr4:81471054:C:T chr4:81471054:C:T C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 81471650 81471651 chr4:81471651:C:A rs546358508 C A C EBF1_EBF_1 33 0 - 0 0 . chr4 81471665 81471666 chr4:81471666:C:G rs6830332 C G C EBF1_EBF_1 18 0 - 0 0 . chr4 81471681 81471682 chr4:81471682:A:G rs372118861 A G A EBF1_EBF_1 2 1 - 5.8198376656808115 3.931014254238661 TCTCCCTGGAGATC chr4 81510914 81510915 chr4:81510915:C:A chr4:81510915:C:A C A C EBF1_EBF_1 -20 0 - 0 0 . chr4 81510914 81510915 chr4:81510915:C:G rs4437240 C G C EBF1_EBF_1 -20 0 - 0 0 . chr4 81511066 81511067 chr4:81511067:A:G rs1444896 A G A EBF1_EBF_1 -13 0 + 0 0 . chr4 81513270 81513271 chr4:81513271:A:G rs895089 A G A EBF1_EBF_1 17 0 - 0 0 . chr4 81522907 81522908 chr4:81522908:C:T rs55990550 C T T EBF1_EBF_1 -13 0 - 0 0 . chr4 81579006 81579007 chr4:81579007:C:G rs6840474 C G C EBF1_EBF_1 -20 0 + 0 0 . chr4 81586880 81586881 chr4:81586881:A:G rs10020537 A G A EBF1_EBF_1 24 0 - 0 0 . chr4 81600613 81600614 chr4:81600614:C:T rs11099480 C T C EBF1_EBF_1 -14 0 - 0 0 . chr4 81666724 81666725 chr4:81666725:A:C rs28534043 A C C EBF1_EBF_1 -18 0 + 0 0 . chr4 81698976 81698977 chr4:81698977:C:A rs4401444 C A C EBF1_EBF_1 -18 0 + 0 0 . chr4 81714444 81714445 chr4:81714445:T:C rs28542079 T C T EBF1_EBF_1 -10 0 + 0 0 . chr4 81715623 81715624 chr4:81715624:G:A rs7655437 G A A EBF1_EBF_1 10 1 + 4.616313513957245 -0.7017149453097209 AGCCGCCAGGGAGT chr4 81715633 81715634 chr4:81715634:G:A rs79790071 G A G EBF1_EBF_1 20 0 + 0 0 . chr4 81722769 81722770 chr4:81722770:G:C rs4279197 G C C EBF1_EBF_1 -11 0 + 0 0 . chr4 81722790 81722791 chr4:81722791:G:A rs13132046 G A G EBF1_EBF_1 10 1 + 4.732362851883712 -0.5856656073832534 CTTCCCAGGGGCTC chr4 81744091 81744092 chr4:81744092:A:G rs1052935789 A G A EBF1_EBF_1 15 0 + 0 0 . chr4 81747403 81747404 chr4:81747404:T:C rs74369814 T C T EBF1_EBF_1 1 1 + 6.648154056819348 5.752720616420603 CTTCCTCAGGGACT chr4 81747421 81747422 chr4:81747422:C:T rs78352407 C T T EBF1_EBF_1 19 0 + 0 0 . chr4 81757228 81757229 chr4:81757229:C:T rs6858448 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 81762808 81762809 chr4:81762809:C:A rs56400478 C A C EBF1_EBF_1 33 0 + 0 0 . chr4 81839803 81839804 chr4:81839804:T:C rs510295 T C T EBF1_EBF_1 -3 0 + 0 0 . chr4 81839830 81839831 chr4:81839831:C:T rs143310769 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 81844892 81844893 chr4:81844893:G:A rs583138 G A G EBF1_EBF_1 29 0 - 0 0 . chr4 81844903 81844904 chr4:81844904:C:T rs112898056 C T C EBF1_EBF_1 18 0 - 0 0 . chr4 81898854 81898855 chr4:81898855:A:G rs2199487 A G G EBF1_EBF_1 -20 0 - 0 0 . chr4 81974654 81974655 chr4:81974655:T:C rs11099512 T C T EBF1_EBF_1 7 1 + 5.431574063185224 3.6140693169026 TTTCCCCTGGAATT chr4 82007723 82007724 chr4:82007724:G:A rs7678127 G A G EBF1_EBF_1 -7 0 - 0 0 . chr4 82031628 82031629 chr4:82031629:A:G rs1345159484 A G A EBF1_EBF_1 30 0 + 0 0 . chr4 82070147 82070148 chr4:82070148:A:T rs115483706 A T A EBF1_EBF_1 -6 0 - 0 0 . chr4 82136293 82136294 chr4:82136294:C:G rs72656446 C G C EBF1_EBF_1 20 0 + 0 0 . chr4 82141748 82141749 chr4:82141749:G:A rs6812383 G A A EBF1_EBF_1 5 1 - 10.923922885197406 5.602135669656736 AACCCCCTGGGAAT chr4 82201915 82201916 chr4:82201916:C:A rs13149208 C A C EBF1_EBF_1 11 1 - 6.867247030044561 5.662039617549847 AGCCCCCAGGGGTC chr4 82232025 82232026 chr4:82232026:G:T rs2583636 G T T EBF1_EBF_1 -16 0 + 0 0 . chr4 82477737 82477738 chr4:82477738:C:A rs113988027 C A c EBF1_EBF_1 -6 0 - 0 0 . chr4 82516122 82516123 chr4:82516123:G:A rs149352988 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 82562124 82562125 chr4:82562125:C:T rs34938619 C T C EBF1_EBF_1 -1 0 - 0 0 . chr4 82562162 82562163 chr4:82562163:C:A chr4:82562163:C:A C A C EBF1_EBF_1 26 0 + 0 0 . chr4 82613291 82613292 chr4:82613292:A:G rs116701407 A G G EBF1_EBF_1 11 1 - 6.362868698926202 6.044821257172538 CTTCCCAAGGGTTC chr4 82624610 82624611 chr4:82624611:C:T rs113398317 C T C EBF1_EBF_1 -11 0 - 0 0 . chr4 82652291 82652292 chr4:82652292:C:T chr4:82652292:C:T C T C EBF1_EBF_1 33 0 + 0 0 . chr4 82707819 82707820 chr4:82707820:A:G rs12507911 A G A EBF1_EBF_1 -5 0 + 0 0 . chr4 82728639 82728640 chr4:82728640:A:C rs10007100 A C C EBF1_EBF_1 -15 0 + 0 0 . chr4 82728675 82728676 chr4:82728676:T:C rs10009661 T C C EBF1_EBF_1 21 0 + 0 0 . chr4 82731178 82731179 chr4:82731179:C:T rs112899156 C T C EBF1_EBF_1 19 0 - 0 0 . chr4 82731196 82731197 chr4:82731197:C:T rs553424113 C T C EBF1_EBF_1 1 1 - 5.0881028392239775 4.827404007302675 AGTCACCAGGGAGA chr4 82742497 82742498 chr4:82742498:C:T rs4629439 C T T EBF1_EBF_1 32 0 + 0 0 . chr4 82744461 82744462 chr4:82744462:A:G rs62311824 A G A EBF1_EBF_1 27 0 + 0 0 . chr4 82748287 82748288 chr4:82748288:A:G rs7677198 A G G EBF1_EBF_1 -9 0 - 0 0 . chr4 82777432 82777433 chr4:82777433:C:G rs17006317 C G C EBF1_EBF_1 -2 0 + 0 0 . chr4 82780834 82780835 chr4:82780835:C:T rs145609449 C T C EBF1_EBF_1 -9 0 - 0 0 . chr4 82833906 82833907 chr4:82833907:G:C rs13146180 G C C EBF1_EBF_1 -8 0 - 0 0 . chr4 82833906 82833907 chr4:82833907:G:T chr4:82833907:G:T G T C EBF1_EBF_1 -8 0 - 0 0 . chr4 82891524 82891525 chr4:82891525:G:A rs1219741674 G A G EBF1_EBF_1 -8 0 + 0 0 . chr4 82891547 82891548 chr4:82891548:T:C rs115524386 T C T EBF1_EBF_1 15 0 + 0 0 . chr4 83035546 83035547 chr4:83035547:T:C rs6535428 T C C EBF1_EBF_1 18 0 - 0 0 . chr4 83035555 83035556 chr4:83035556:C:T rs115371025 C T C EBF1_EBF_1 9 1 - 7.454160175980878 4.799220910533275 AATCCTAAGGGACC chr4 83083347 83083348 chr4:83083348:G:A rs1436629155 G A G EBF1_EBF_1 -11 0 + 0 0 . chr4 83172486 83172487 chr4:83172487:T:C rs56732856 T C T EBF1_EBF_1 31 0 + 0 0 . chr4 83208401 83208402 chr4:83208402:G:A rs72940854 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 83240368 83240369 chr4:83240369:C:T rs4590012 C T C EBF1_EBF_1 31 0 + 0 0 . chr4 83252449 83252450 chr4:83252450:A:G rs4296669 A G G EBF1_EBF_1 -14 0 + 0 0 . chr4 83263615 83263616 chr4:83263616:C:T rs4515150 C T T EBF1_EBF_1 -11 0 - 0 0 . chr4 83389683 83389684 chr4:83389684:T:C rs9990803 T C T EBF1_EBF_1 -5 0 + 0 0 . chr4 83484136 83484137 chr4:83484137:G:T rs111623334 G T g EBF1_EBF_1 15 0 + 0 0 . chr4 83631055 83631056 chr4:83631056:T:C rs17355663 T C T EBF1_EBF_1 5 1 - 5.206645599151211 5.206645599151211 ACTCCACAGGGACA chr4 83752780 83752781 chr4:83752781:T:C rs1488652 T C C EBF1_EBF_1 13 1 + 3.8199281807293097 2.9754340661437912 GGCCCCCTGGGCTT chr4 83758433 83758434 chr4:83758434:G:A chr4:83758434:G:A G A G EBF1_EBF_1 3 1 - 8.04333024676418 1.6379315052108636 GGTCCCATGAGAAC chr4 83771274 83771275 chr4:83771275:A:C rs1312897605 A C A EBF1_EBF_1 -6 0 - 0 0 . chr4 83771281 83771282 chr4:83771282:G:A rs188793966 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 83855625 83855626 chr4:83855626:T:C rs12331441 T C T EBF1_EBF_1 -20 0 + 0 0 . chr4 83856971 83856972 chr4:83856972:C:T rs10000017 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 83870476 83870477 chr4:83870477:G:A rs34196281 G A A EBF1_EBF_1 4 1 - 5.694391911353955 1.3250049664090315 TCTCCCATGAGGCT chr4 83958092 83958093 chr4:83958093:C:G rs62304177 C G C EBF1_EBF_1 5 1 + 4.187187528881177 -2.7176936237539917 ACTCCCTAGTGAGA chr4 84407108 84407109 chr4:84407109:A:G rs4101237 A G G EBF1_EBF_1 32 0 + 0 0 . chr4 84482825 84482826 chr4:84482826:C:T rs190455788 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 84499488 84499489 chr4:84499489:A:C chr4:84499489:A:C A C A EBF1_EBF_1 -6 0 - 0 0 . chr4 84550560 84550561 chr4:84550561:A:G rs1596673 A G G EBF1_EBF_1 -11 0 - 0 0 . chr4 84568706 84568707 chr4:84568707:C:T rs112985203 C T C EBF1_EBF_1 16 0 - 0 0 . chr4 84719270 84719271 chr4:84719271:T:C rs10516726 T C T EBF1_EBF_1 23 0 + 0 0 . chr4 84966099 84966100 chr4:84966100:G:A chr4:84966100:G:A G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 85100646 85100647 chr4:85100647:A:T rs11732525 A T A EBF1_EBF_1 -3 0 + 0 0 . chr4 85151681 85151682 chr4:85151682:T:C chr4:85151682:T:C T C T EBF1_EBF_1 -18 0 + 0 0 . chr4 85246209 85246210 chr4:85246210:C:A rs11730019 C A A EBF1_EBF_1 27 0 + 0 0 . chr4 85495970 85495971 chr4:85495971:C:T rs17010259 C T C EBF1_EBF_1 3 1 + 6.406405697956874 0.0010069564035567052 AAACCCTAGAGAAC chr4 85547223 85547224 chr4:85547224:G:A rs76573461 G A G EBF1_EBF_1 9 1 + 4.733069905678077 2.0781306402304733 TTTCACCTGGGACA chr4 85556569 85556570 chr4:85556570:G:A rs2128091 G A A EBF1_EBF_1 3 1 - 5.701192721387814 -0.7042060201655034 AAACCCCAGAGACA chr4 85557123 85557124 chr4:85557124:G:C rs12510645 G C G EBF1_EBF_1 5 1 - 5.158926592793419 -1.7459545598417483 AATTCCCTGGGACA chr4 85557507 85557508 chr4:85557508:T:C rs2200050 T C T EBF1_EBF_1 -16 0 - 0 0 . chr4 85577420 85577421 chr4:85577421:C:T rs13104640 C T C EBF1_EBF_1 -10 0 - 0 0 . chr4 85580737 85580738 chr4:85580738:A:G rs1384137 A G A EBF1_EBF_1 -2 0 - 0 0 . chr4 85713195 85713196 chr4:85713196:A:G rs900205 A G A EBF1_EBF_1 19 0 + 0 0 . chr4 85734201 85734202 chr4:85734202:C:T rs11937419 C T C EBF1_EBF_1 -3 0 - 0 0 . chr4 85780958 85780959 chr4:85780959:A:T rs17395020 A T A EBF1_EBF_1 9 1 + 6.854555833183957 2.6725056905427516 AATCCCAAGAGGCA chr4 85783677 85783678 chr4:85783678:G:A rs6531870 G A A EBF1_EBF_1 12 1 + 11.870203194627637 13.289020536727113 AATCCCCAGGGAGT chr4 85898299 85898300 chr4:85898300:C:T rs17410566 C T C EBF1_EBF_1 19 0 - 0 0 . chr4 86134802 86134803 chr4:86134803:G:A rs113363213 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 86168175 86168176 chr4:86168176:G:C rs141662565 G C G EBF1_EBF_1 19 0 - 0 0 . chr4 86168201 86168202 chr4:86168202:C:T rs147050415 C T c EBF1_EBF_1 -7 0 - 0 0 . chr4 86223797 86223798 chr4:86223798:C:T rs75426624 C T C EBF1_EBF_1 -17 0 + 0 0 . chr4 86295226 86295227 chr4:86295227:G:A rs763573847 G A G EBF1_EBF_1 8 1 + 4.187994234269391 -0.03829585461687089 ACCCCCTCGGGCCC chr4 86386357 86386358 chr4:86386358:T:C rs2904097 T C C EBF1_EBF_1 0 1 - 5.53684706685789 3.6445631972930563 ACTCCCAAGAGGGA chr4 86421795 86421796 chr4:86421796:G:A rs79056453 G A A EBF1_EBF_1 26 0 - 0 0 . chr4 86506915 86506916 chr4:86506916:A:G rs79976882 A G G EBF1_EBF_1 -7 0 - 0 0 . chr4 86536047 86536048 chr4:86536048:C:T rs71605604 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 86553575 86553576 chr4:86553576:G:T rs77524663 G T G EBF1_EBF_1 22 0 - 0 0 . chr4 86593648 86593649 chr4:86593649:A:G rs78032824 A G A EBF1_EBF_1 -11 0 + 0 0 . chr4 86594345 86594346 chr4:86594346:G:A rs1396215288 G A G EBF1_EBF_1 -18 0 + 0 0 . chr4 86935875 86935876 chr4:86935876:C:T rs553837555 C T C EBF1_EBF_1 9 1 - 6.65204849913563 3.997109233688027 ACACCCGAGGGAAA chr4 86942287 86942288 chr4:86942288:G:C rs151117808 G C G EBF1_EBF_1 22 0 + 0 0 . chr4 86990538 86990539 chr4:86990539:G:T rs17012234 G T g EBF1_EBF_1 21 0 + 0 0 . chr4 87039832 87039833 chr4:87039833:T:C rs562168742 T C T EBF1_EBF_1 -11 0 + 0 0 . chr4 87043236 87043237 chr4:87043237:A:G rs72877948 A G A EBF1_EBF_1 0 1 + 4.690683230922646 2.798399361357811 ACTCCCTTGGTGGT chr4 87151042 87151043 chr4:87151043:A:G rs537023292 A G A EBF1_EBF_1 -15 0 + 0 0 . chr4 87231217 87231218 chr4:87231218:T:C rs76032626 T C T EBF1_EBF_1 -6 0 - 0 0 . chr4 87410885 87410886 chr4:87410886:C:G rs72877331 C G C EBF1_EBF_1 31 0 - 0 0 . chr4 87521264 87521265 chr4:87521265:C:T rs1462359 C T T EBF1_EBF_1 -5 0 + 0 0 . chr4 87521294 87521295 chr4:87521295:C:T rs1462360 C T C EBF1_EBF_1 25 0 + 0 0 . chr4 87523517 87523518 chr4:87523518:G:A rs17012787 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 87540789 87540790 chr4:87540790:C:T rs78831010 C T C EBF1_EBF_1 -4 0 - 0 0 . chr4 87545236 87545237 chr4:87545237:A:G rs4613532 A G G EBF1_EBF_1 32 0 - 0 0 . chr4 87557597 87557598 chr4:87557598:T:C rs1462372 T C T EBF1_EBF_1 7 1 + 5.889647168969904 4.07214242268728 CTTCCCCTGGGCAA chr4 87603593 87603594 chr4:87603594:A:G rs72654152 A G A EBF1_EBF_1 -15 0 + 0 0 . chr4 87620816 87620817 chr4:87620817:C:T rs62315986 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 87657334 87657335 chr4:87657335:T:C rs1545735 T C C EBF1_EBF_1 -13 0 - 0 0 . chr4 87707655 87707656 chr4:87707656:G:C rs1319917 G C C EBF1_EBF_1 31 0 - 0 0 . chr4 87707698 87707699 chr4:87707699:T:C rs149107143 T C T EBF1_EBF_1 -12 0 - 0 0 . chr4 87718557 87718558 chr4:87718558:A:G rs7686829 A G G EBF1_EBF_1 -9 0 + 0 0 . chr4 87745708 87745709 chr4:87745709:A:G rs2732203 A G A EBF1_EBF_1 31 0 + 0 0 . chr4 87746784 87746785 chr4:87746785:T:C rs2627680 T C C EBF1_EBF_1 -5 0 + 0 0 . chr4 87800629 87800630 chr4:87800630:C:A rs958479 C A A EBF1_EBF_1 21 0 + 0 0 . chr4 87822388 87822389 chr4:87822389:G:A rs11734956 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 87844023 87844024 chr4:87844024:A:G rs12640398 A G A EBF1_EBF_1 -11 0 + 0 0 . chr4 87889422 87889423 chr4:87889423:C:T rs62318298 C T C EBF1_EBF_1 3 1 + 4.964993205866593 -1.440405535686724 CTTCCCCTAGGAAG chr4 87896261 87896262 chr4:87896262:G:C rs2169612 G C G EBF1_EBF_1 33 0 - 0 0 . chr4 87896278 87896279 chr4:87896279:G:A rs2169611 G A A EBF1_EBF_1 16 0 - 0 0 . chr4 87932842 87932843 chr4:87932843:C:G rs77091273 C G c EBF1_EBF_1 9 1 - 7.5988652371091145 2.523176090763651 AATCTCCTGGGAAC chr4 87966192 87966193 chr4:87966193:T:C rs11726278 T C C EBF1_EBF_1 -6 0 + 0 0 . chr4 87985486 87985487 chr4:87985487:A:C rs73841244 A C A EBF1_EBF_1 -3 0 - 0 0 . chr4 88017847 88017848 chr4:88017848:C:A rs4484262 C A C EBF1_EBF_1 33 0 - 0 0 . chr4 88122309 88122310 chr4:88122310:G:A rs45557042 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 88148253 88148254 chr4:88148254:T:C rs2622624 T C T EBF1_EBF_1 -20 0 + 0 0 . chr4 88159090 88159091 chr4:88159091:A:C rs1029480982 A C A EBF1_EBF_1 20 0 + 0 0 . chr4 88219426 88219427 chr4:88219427:G:A rs527775571 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 88227558 88227559 chr4:88227559:T:C rs9986107 T C T EBF1_EBF_1 17 0 + 0 0 . chr4 88262814 88262815 chr4:88262815:G:C rs11930989 G C C EBF1_EBF_1 16 0 + 0 0 . chr4 88281889 88281890 chr4:88281890:G:A rs881561 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 88455564 88455565 chr4:88455565:A:G rs9784397 A G G EBF1_EBF_1 -13 0 + 0 0 . chr4 88523191 88523192 chr4:88523192:G:C rs78564173 G C G EBF1_EBF_1 33 0 - 0 0 . chr4 88523857 88523858 chr4:88523858:G:A rs1400618988 G A G EBF1_EBF_1 -20 0 + 0 0 . chr4 88523884 88523885 chr4:88523885:C:T rs78551699 C T C EBF1_EBF_1 7 1 + 4.5153690729911515 6.3328738192737735 TTTCCCACGGGCGT chr4 88533093 88533094 chr4:88533094:C:T rs189877574 C T C EBF1_EBF_1 -5 0 + 0 0 . chr4 88623242 88623243 chr4:88623243:G:A rs576505135 G A G EBF1_EBF_1 9 1 - 6.820103715284357 5.05880345354101 AATCCCTTGCGAAA chr4 88634491 88634492 chr4:88634492:A:G rs2930805 A G G EBF1_EBF_1 -7 0 + 0 0 . chr4 88686753 88686754 chr4:88686754:A:G rs3737488 A G A EBF1_EBF_1 16 0 - 0 0 . chr4 88698438 88698439 chr4:88698439:C:A rs2602123 C A C EBF1_EBF_1 3 1 + 5.302333558039679 -1.6038404714261267 ACTCCCCTGGAAGC chr4 88831236 88831237 chr4:88831237:G:A rs77162167 G A G EBF1_EBF_1 -3 0 - 0 0 . chr4 88841904 88841905 chr4:88841905:T:C rs57208444 T C T EBF1_EBF_1 1 1 + 7.433082554647224 6.537649114248478 ATACCCAAGAGAAC chr4 88841935 88841936 chr4:88841936:C:T rs61665313 C T C EBF1_EBF_1 32 0 + 0 0 . chr4 88936525 88936526 chr4:88936526:C:T rs189037718 C T C EBF1_EBF_1 -17 0 - 0 0 . chr4 88936526 88936527 chr4:88936527:G:A rs374667169 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 88982059 88982060 chr4:88982060:C:T rs186762196 C T C EBF1_EBF_1 -10 0 - 0 0 . chr4 89056106 89056107 chr4:89056107:C:T rs2704597 C T T EBF1_EBF_1 26 0 - 0 0 . chr4 89070380 89070381 chr4:89070381:G:C rs5026462 G C G EBF1_EBF_1 12 1 - 9.309066850650135 7.920430906971985 CATCCCCTGGGACA chr4 89111250 89111251 chr4:89111251:C:T rs377215119 C T C EBF1_EBF_1 3 1 + 7.162297102561815 0.7568983610084956 TCCCCCTAGGGAGA chr4 89111686 89111687 chr4:89111687:G:T chr4:89111687:G:T G T G EBF1_EBF_1 -8 0 - 0 0 . chr4 89111690 89111691 chr4:89111691:G:C rs576208674 G C G EBF1_EBF_1 -12 0 - 0 0 . chr4 89158940 89158941 chr4:89158941:G:A rs72670007 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 89158964 89158965 chr4:89158965:A:T rs59338982 A T A EBF1_EBF_1 14 0 + 0 0 . chr4 89172820 89172821 chr4:89172821:C:T rs7697781 C T C EBF1_EBF_1 -13 0 - 0 0 . chr4 89203491 89203492 chr4:89203492:A:G rs693664 A G A EBF1_EBF_1 1 1 - 4.1400537868468605 3.244620346448115 GTCGCCCTGGGACT chr4 89203502 89203503 chr4:89203503:A:G rs695053 A G A EBF1_EBF_1 -10 0 - 0 0 . chr4 89298501 89298502 chr4:89298502:A:G rs1816367 A G A EBF1_EBF_1 1 1 - 7.333043271478127 6.4376098310793815 ATTCCCCTGGTAGC chr4 89308081 89308082 chr4:89308082:C:G rs999671126 C G C EBF1_EBF_1 24 0 - 0 0 . chr4 89308109 89308110 chr4:89308110:T:C rs953674602 T C T EBF1_EBF_1 -4 0 - 0 0 . chr4 89308124 89308125 chr4:89308125:C:T rs116113706 C T C EBF1_EBF_1 -19 0 - 0 0 . chr4 89324721 89324722 chr4:89324722:A:G rs115345726 A G A EBF1_EBF_1 -2 0 + 0 0 . chr4 89357459 89357460 chr4:89357460:A:G rs13146761 A G G EBF1_EBF_1 16 0 + 0 0 . chr4 89357594 89357595 chr4:89357595:T:G rs111308069 T G T EBF1_EBF_1 30 0 - 0 0 . chr4 89411317 89411318 chr4:89411318:G:T rs148951705 G T G EBF1_EBF_1 -15 0 + 0 0 . chr4 89486884 89486885 chr4:89486885:A:C rs17015658 A C A EBF1_EBF_1 24 0 + 0 0 . chr4 89506724 89506725 chr4:89506725:T:A rs903601 T A T EBF1_EBF_1 23 0 - 0 0 . chr4 89540503 89540504 chr4:89540504:C:T chr4:89540504:C:T C T C EBF1_EBF_1 20 0 + 0 0 . chr4 89542684 89542685 chr4:89542685:C:T rs72655874 C T C EBF1_EBF_1 4 1 + 4.7392817698077465 0.36989482486282216 AGCCCCCATGGACT chr4 89704946 89704947 chr4:89704947:G:C rs356183 G C G EBF1_EBF_1 21 0 + 0 0 . chr4 89704959 89704960 chr4:89704960:G:A rs356182 G A A EBF1_EBF_1 20 0 - 0 0 . chr4 89704987 89704988 chr4:89704988:G:A rs356181 G A A EBF1_EBF_1 -8 0 - 0 0 . chr4 89829436 89829437 chr4:89829437:A:T rs2583979 A T T EBF1_EBF_1 -3 0 + 0 0 . chr4 89829438 89829439 chr4:89829439:A:G rs2737005 A G G EBF1_EBF_1 -1 0 + 0 0 . chr4 89836510 89836511 chr4:89836511:G:A chr4:89836511:G:A G A G EBF1_EBF_1 -17 0 - 0 0 . chr4 89847737 89847738 chr4:89847738:T:A rs765517 T A T EBF1_EBF_1 2 1 + 7.036304291584067 3.3518367039851897 GGTCCCTTGGGCCT chr4 89889655 89889656 chr4:89889656:C:T rs79994234 C T T EBF1_EBF_1 -4 0 + 0 0 . chr4 90328686 90328687 chr4:90328687:T:C rs17227797 T C C EBF1_EBF_1 18 0 + 0 0 . chr4 90404268 90404269 chr4:90404269:T:A rs74737033 T A A EBF1_EBF_1 27 0 + 0 0 . chr4 90559433 90559434 chr4:90559434:G:A rs28547267 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 90579664 90579665 chr4:90579665:C:A rs28523654 C A C EBF1_EBF_1 9 1 - 5.474905949591654 -1.3620834584971562 TGCCCCCAGGTACT chr4 90674261 90674262 chr4:90674262:G:A rs11930918 G A A EBF1_EBF_1 22 0 - 0 0 . chr4 90687671 90687672 chr4:90687672:C:T rs1983296 C T C EBF1_EBF_1 1 1 + 7.140540865161421 8.035974305560167 GCCCCCTTGGGAGC chr4 90762237 90762238 chr4:90762238:C:T rs62313016 C T C EBF1_EBF_1 -18 0 - 0 0 . chr4 90787223 90787224 chr4:90787224:T:C rs897088 T C C EBF1_EBF_1 -8 0 - 0 0 . chr4 90799446 90799447 chr4:90799447:G:A rs2123959 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 90799945 90799946 chr4:90799946:C:T rs62314105 C T C EBF1_EBF_1 13 1 + 4.158798062173054 5.003292176758572 ATTCCCTGGGAGCC chr4 90848463 90848464 chr4:90848464:A:G rs17248648 A G A EBF1_EBF_1 25 0 + 0 0 . chr4 90880465 90880466 chr4:90880466:T:A rs76428707 T A T EBF1_EBF_1 -17 0 - 0 0 . chr4 91012412 91012413 chr4:91012413:G:A rs4693250 G A g EBF1_EBF_1 31 0 + 0 0 . chr4 91147602 91147603 chr4:91147603:C:T rs72872924 C T G EBF1_EBF_1 6 1 - 9.595005061811767 11.761799493086242 AACCCCGAGGGAAT chr4 91218117 91218118 chr4:91218118:G:C rs7696348 G C G EBF1_EBF_1 4 1 - 5.92681824631561 0.3010531451660646 CTTCCCACGGGGCA chr4 91282547 91282548 chr4:91282548:G:C rs7681439 G C C EBF1_EBF_1 10 1 + 7.097415519310973 0.20661313198149828 CTACCCCAGGGAAA chr4 91325529 91325530 chr4:91325530:G:T rs1528573 G T G EBF1_EBF_1 29 0 + 0 0 . chr4 91471518 91471519 chr4:91471519:C:G rs6843528 C G G EBF1_EBF_1 -17 0 + 0 0 . chr4 91519604 91519605 chr4:91519605:C:T rs71597248 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 91660093 91660094 chr4:91660094:T:C rs11726197 T C t EBF1_EBF_1 -6 0 - 0 0 . chr4 91701384 91701385 chr4:91701385:T:C rs4382010 T C C EBF1_EBF_1 6 1 - 6.850894120650755 4.68409968937628 ATCCCCATGGTAAA chr4 91837684 91837685 chr4:91837685:C:T rs1485989 C T C EBF1_EBF_1 1 1 + 5.036089254721423 5.931522695120169 CCTCCCTGGGTACC chr4 91931372 91931373 chr4:91931373:A:G rs62311135 A G A EBF1_EBF_1 7 1 + 4.360651169931275 3.0481927646424483 CCTCCCAAGGTGCT chr4 92442318 92442319 chr4:92442319:A:G rs138898418 A G A EBF1_EBF_1 -3 0 - 0 0 . chr4 92444185 92444186 chr4:92444186:G:C rs113486418 G C g EBF1_EBF_1 -12 0 - 0 0 . chr4 93305938 93305939 chr4:93305939:C:T rs1414456108 C T C EBF1_EBF_1 -20 0 + 0 0 . chr4 93311118 93311119 chr4:93311119:C:T rs1433647 C T C EBF1_EBF_1 30 0 + 0 0 . chr4 93334104 93334105 chr4:93334105:C:G rs2163959 C G G EBF1_EBF_1 -2 0 - 0 0 . chr4 93334332 93334333 chr4:93334333:G:A chr4:93334333:G:A G A G EBF1_EBF_1 8 1 + 5.362022988883644 1.1357328999973832 CCTCCCTAGAGATG chr4 93568957 93568958 chr4:93568958:A:G rs35170469 A G G EBF1_EBF_1 -16 0 + 0 0 . chr4 93655097 93655098 chr4:93655098:T:C rs34531472 T C T EBF1_EBF_1 -5 0 + 0 0 . chr4 93673766 93673767 chr4:93673767:T:C rs1369466 T C C EBF1_EBF_1 -2 0 - 0 0 . chr4 94016266 94016267 chr4:94016267:G:A rs62319484 G A G EBF1_EBF_1 -8 0 + 0 0 . chr4 94038374 94038375 chr4:94038375:A:C rs13121813 A C A EBF1_EBF_1 -19 0 + 0 0 . chr4 94247304 94247305 chr4:94247305:G:A rs4693004 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 94247400 94247401 chr4:94247401:A:T rs4693378 A T T EBF1_EBF_1 13 1 + 5.450472212351279 6.668711776222773 AGTCACCAGGGATA chr4 94281945 94281946 chr4:94281946:G:A rs67552174 G A G EBF1_EBF_1 26 0 - 0 0 . chr4 94298705 94298706 chr4:94298706:A:G rs74768086 A G A EBF1_EBF_1 -20 0 - 0 0 . chr4 94448156 94448157 chr4:94448157:C:T rs146835213 C T C EBF1_EBF_1 27 0 + 0 0 . chr4 94468408 94468409 chr4:94468409:A:G rs2510764 A G G EBF1_EBF_1 -4 0 - 0 0 . chr4 94675036 94675037 chr4:94675037:C:T rs10049504 C T C EBF1_EBF_1 16 0 - 0 0 . chr4 94675130 94675131 chr4:94675131:C:A rs143532780 C A C EBF1_EBF_1 20 0 - 0 0 . chr4 94677343 94677344 chr4:94677344:C:A rs115814296 C A C EBF1_EBF_1 -18 0 + 0 0 . chr4 94691039 94691040 chr4:94691040:A:G rs72667692 A G A EBF1_EBF_1 6 1 + 5.393499121968183 3.2267046906937074 AATACCATGGGACC chr4 94711886 94711887 chr4:94711887:A:G rs80134295 A G A EBF1_EBF_1 16 0 - 0 0 . chr4 94819624 94819625 chr4:94819625:G:A rs12503136 G A G EBF1_EBF_1 7 1 + 4.973467283931247 6.285925689220072 TCTCCCCGAGGACC chr4 94940463 94940464 chr4:94940464:G:A rs6842585 G A G EBF1_EBF_1 4 1 - 9.032549049419528 4.663162104474604 AATCCCCAAGGACT chr4 94944477 94944478 chr4:94944478:A:C rs62316224 A C C EBF1_EBF_1 -7 0 + 0 0 . chr4 94979143 94979144 chr4:94979144:T:C rs4699825 T C C EBF1_EBF_1 17 0 + 0 0 . chr4 94979155 94979156 chr4:94979156:G:A rs4699826 G A G EBF1_EBF_1 29 0 + 0 0 . chr4 95166494 95166495 chr4:95166495:C:T rs117951030 C T C EBF1_EBF_1 5 1 + 5.7629431534298226 0.44115593788915247 AACCCCAAGGGTTC chr4 95187884 95187885 chr4:95187885:A:G rs767824 A G A EBF1_EBF_1 2 1 + 7.375141108829676 4.311283006228085 AAACCCTGGGGAAA chr4 95191302 95191303 chr4:95191303:T:C rs3796460 T C C EBF1_EBF_1 -20 0 + 0 0 . chr4 95191346 95191347 chr4:95191347:A:C rs146415470 A C A EBF1_EBF_1 24 0 + 0 0 . chr4 95191374 95191375 chr4:95191375:T:C rs554056283 T C t EBF1_EBF_1 -16 0 + 0 0 . chr4 95267261 95267262 chr4:95267262:G:T rs17023387 G T G EBF1_EBF_1 4 1 - 3.7356885111896094 -2.088871112263857 ATCACCCTGGGACA chr4 95267271 95267272 chr4:95267272:T:A chr4:95267272:T:A T A T EBF1_EBF_1 -6 0 - 0 0 . chr4 95268210 95268211 chr4:95268211:T:G rs142152059 T G T EBF1_EBF_1 18 0 + 0 0 . chr4 95280008 95280009 chr4:95280009:A:G rs2865420 A G G EBF1_EBF_1 22 0 + 0 0 . chr4 95318866 95318867 chr4:95318867:G:A rs17023493 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 95369110 95369111 chr4:95369111:G:A rs56219612 G A G EBF1_EBF_1 -11 0 - 0 0 . chr4 95392216 95392217 chr4:95392217:C:T rs17023728 C T C EBF1_EBF_1 1 1 + 5.658084719805062 6.553518160203805 ACTCCCTAGAAACT chr4 95511044 95511045 chr4:95511045:C:T rs6830561 C T C EBF1_EBF_1 20 0 + 0 0 . chr4 95547008 95547009 chr4:95547009:G:A rs13149449 G A G EBF1_EBF_1 17 0 + 0 0 . chr4 95554162 95554163 chr4:95554163:T:G rs1351999 T G T EBF1_EBF_1 24 0 - 0 0 . chr4 95637236 95637237 chr4:95637237:A:G rs13116106 A G A EBF1_EBF_1 0 1 + 4.731408942227907 2.839125072663074 ATTCCCGGGAGAGG chr4 95914862 95914863 chr4:95914863:G:A rs71603203 G A - EBF1_EBF_1 20 0 - 0 0 . chr4 95914896 95914897 chr4:95914897:C:T rs7654421 C T - EBF1_EBF_1 -14 0 - 0 0 . chr4 96102837 96102838 chr4:96102838:G:A rs34642089 G A A EBF1_EBF_1 27 0 - 0 0 . chr4 96103711 96103712 chr4:96103712:A:G rs72680705 A G A EBF1_EBF_1 22 0 - 0 0 . chr4 96115990 96115991 chr4:96115991:G:T rs6814006 G T G EBF1_EBF_1 -12 0 - 0 0 . chr4 96227554 96227555 chr4:96227555:A:G rs72880179 A G A EBF1_EBF_1 31 0 - 0 0 . chr4 96227584 96227585 chr4:96227585:G:C rs1444022 G C C EBF1_EBF_1 1 1 - 5.101238198114116 5.291009852683333 ACCCCCCGGGTACC chr4 96517741 96517742 chr4:96517742:A:G rs4563502 A G G EBF1_EBF_1 25 0 + 0 0 . chr4 96653141 96653142 chr4:96653142:T:A rs11727437 T A T EBF1_EBF_1 6 1 + 6.805538589155362 6.865854828094882 TACCCCTAGGGGCT chr4 97127747 97127748 chr4:97127748:T:G rs67438438 T G T EBF1_EBF_1 -18 0 + 0 0 . chr4 97127787 97127788 chr4:97127788:G:A rs71606965 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 97394642 97394643 chr4:97394643:T:C rs925503 T C T EBF1_EBF_1 -12 0 + 0 0 . chr4 97403334 97403335 chr4:97403335:C:A rs80171680 C A C EBF1_EBF_1 27 0 - 0 0 . chr4 97648094 97648095 chr4:97648095:G:A rs150149207 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 97648107 97648108 chr4:97648108:A:G rs11097565 A G G EBF1_EBF_1 12 1 - 6.24111037283535 7.2673769433862 CTTCCCCTAGGATT chr4 97656261 97656262 chr4:97656262:C:A rs534391768 C A C EBF1_EBF_1 16 0 - 0 0 . chr4 97762361 97762362 chr4:97762362:G:A rs115749629 G A G EBF1_EBF_1 23 0 + 0 0 . chr4 98047345 98047346 chr4:98047346:T:C rs13118892 T C C EBF1_EBF_1 -8 0 + 0 0 . chr4 98113277 98113278 chr4:98113278:G:A rs4699601 G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 98125811 98125812 chr4:98125812:G:A rs13110418 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 98126054 98126055 chr4:98126055:A:G rs181471502 A G A EBF1_EBF_1 -4 0 + 0 0 . chr4 98143555 98143556 chr4:98143556:T:C rs188995391 T C T EBF1_EBF_1 7 1 - 9.083829309507193 7.771370904218367 GCTCCCTAGAGACT chr4 98143581 98143582 chr4:98143582:T:G rs902071498 T G T EBF1_EBF_1 -19 0 - 0 0 . chr4 98150263 98150264 chr4:98150264:T:G rs7667796 T G G EBF1_EBF_1 5 1 + 5.383221233090926 3.800127295996429 ACTCCTCAGAGAAT chr4 98203779 98203780 chr4:98203780:A:C rs76060905 A C A EBF1_EBF_1 -2 0 - 0 0 . chr4 98260369 98260370 chr4:98260370:C:T rs28478192 C T C EBF1_EBF_1 30 0 + 0 0 . chr4 98346005 98346006 chr4:98346006:A:G rs148037510 A G A EBF1_EBF_1 -4 0 + 0 0 . chr4 98346030 98346031 chr4:98346031:A:T rs2866009 A T A EBF1_EBF_1 21 0 + 0 0 . chr4 98467978 98467979 chr4:98467979:C:A rs77556987 C A C EBF1_EBF_1 27 0 - 0 0 . chr4 98468819 98468820 chr4:98468820:T:C rs563526220 T C T EBF1_EBF_1 -18 0 + 0 0 . chr4 98494295 98494296 chr4:98494296:C:G rs11946381 C G C EBF1_EBF_1 4 1 + 8.938747790115839 3.312982688966295 TATCCCCTGGGATC chr4 98536393 98536394 chr4:98536394:C:T rs4699644 C T C EBF1_EBF_1 -2 0 - 0 0 . chr4 98546991 98546992 chr4:98546992:G:A rs28712025 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 98558532 98558533 chr4:98558533:T:C rs13149070 T C C EBF1_EBF_1 20 0 + 0 0 . chr4 98566871 98566872 chr4:98566872:T:C rs57541793 T C T EBF1_EBF_1 2 1 + 7.579826217905666 5.691002806463516 ATTCCCTGGGGCCA chr4 98566885 98566886 chr4:98566886:G:A rs12644470 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 98583456 98583457 chr4:98583457:A:G rs1358547 A G A EBF1_EBF_1 -15 0 - 0 0 . chr4 98631902 98631903 chr4:98631903:C:G rs28541670 C G C EBF1_EBF_1 -19 0 + 0 0 . chr4 98635269 98635270 chr4:98635270:A:C rs67310180 A C C EBF1_EBF_1 20 0 - 0 0 . chr4 98659325 98659326 chr4:98659326:G:C rs1398534729 G C G EBF1_EBF_1 31 0 - 0 0 . chr4 98659361 98659362 chr4:98659362:G:T rs779265562 G T G EBF1_EBF_1 -5 0 - 0 0 . chr4 98878770 98878771 chr4:98878771:G:A rs10433890 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 98961981 98961982 chr4:98961982:T:A rs6846221 T A T EBF1_EBF_1 27 0 - 0 0 . chr4 98994880 98994881 chr4:98994881:G:C rs62323236 G C C EBF1_EBF_1 5 1 - 5.603665169762805 -1.3012159828723637 CTTCCCCTGCGAAC chr4 98995189 98995190 chr4:98995190:A:G rs62323237 A G A EBF1_EBF_1 -8 0 + 0 0 . chr4 99281256 99281257 chr4:99281257:T:C rs1229977 T C N EBF1_EBF_1 31 0 - 0 0 . chr4 99344954 99344955 chr4:99344955:C:T rs1693425 C T T EBF1_EBF_1 -20 0 - 0 0 . chr4 99359920 99359921 chr4:99359921:T:C rs12649804 T C T EBF1_EBF_1 7 1 + 5.855499581156082 4.037994834873459 CTCCCCATGGGGCA chr4 99384337 99384338 chr4:99384338:G:C rs79883045 G C G EBF1_EBF_1 -10 0 + 0 0 . chr4 99469874 99469875 chr4:99469875:C:A rs190087355 C A C EBF1_EBF_1 -4 0 + 0 0 . chr4 99506949 99506950 chr4:99506950:G:A rs76156384 G A A EBF1_EBF_1 -5 0 + 0 0 . chr4 99617673 99617674 chr4:99617674:A:G rs113880206 A G A EBF1_EBF_1 -15 0 - 0 0 . chr4 99637436 99637437 chr4:99637437:C:A rs12641768 C A a EBF1_EBF_1 14 0 + 0 0 . chr4 99654240 99654241 chr4:99654241:C:T rs17029269 C T C EBF1_EBF_1 33 0 - 0 0 . chr4 99699580 99699581 chr4:99699581:T:C rs112627299 T C T EBF1_EBF_1 -14 0 + 0 0 . chr4 99810674 99810675 chr4:99810675:C:G rs62305027 C G C EBF1_EBF_1 -10 0 - 0 0 . chr4 100186506 100186507 chr4:100186507:C:A rs3819182 C A A EBF1_EBF_1 -12 0 + 0 0 . chr4 100192084 100192085 chr4:100192085:C:T rs74393406 C T C EBF1_EBF_1 -17 0 - 0 0 . chr4 100208660 100208661 chr4:100208661:A:G rs2866207 A G A EBF1_EBF_1 29 0 + 0 0 . chr4 100240074 100240075 chr4:100240075:G:A rs6858213 G A A EBF1_EBF_1 12 1 - 4.1681749752993476 3.1419084047484978 ACTCCCAGGGAGCT chr4 100288398 100288399 chr4:100288399:G:A rs535590596 G A G EBF1_EBF_1 28 0 + 0 0 . chr4 100327271 100327272 chr4:100327272:G:A rs67786521 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 100332142 100332143 chr4:100332143:A:G rs6841812 A G G EBF1_EBF_1 -13 0 + 0 0 . chr4 100458941 100458942 chr4:100458942:C:A rs114090512 C A C EBF1_EBF_1 22 0 + 0 0 . chr4 100542513 100542514 chr4:100542514:C:T rs11935773 C T C EBF1_EBF_1 16 0 + 0 0 . chr4 100558315 100558316 chr4:100558316:G:A rs112548391 G A G EBF1_EBF_1 -9 0 + 0 0 . chr4 100589708 100589709 chr4:100589709:C:T rs2651572 C T T EBF1_EBF_1 33 0 + 0 0 . chr4 100591889 100591890 chr4:100591890:A:G rs28476753 A G G EBF1_EBF_1 -11 0 - 0 0 . chr4 100682551 100682552 chr4:100682552:G:A rs62307291 G A G EBF1_EBF_1 -12 0 + 0 0 . chr4 100694687 100694688 chr4:100694688:C:A rs11097731 C A C EBF1_EBF_1 -17 0 - 0 0 . chr4 100782460 100782461 chr4:100782461:G:A rs7690438 G A A EBF1_EBF_1 -5 0 - 0 0 . chr4 100829261 100829262 chr4:100829262:G:A rs34126461 G A A EBF1_EBF_1 -17 0 - 0 0 . chr4 100900489 100900490 chr4:100900490:G:A rs72679377 G A g EBF1_EBF_1 -14 0 - 0 0 . chr4 100995252 100995253 chr4:100995253:G:A rs7437055 G A A EBF1_EBF_1 7 1 - 7.966416129426901 9.783920875709521 CCTCCCCCGGGAAC chr4 101067600 101067601 chr4:101067601:C:T rs148827458 C T C EBF1_EBF_1 -8 0 + 0 0 . chr4 101158277 101158278 chr4:101158278:G:A rs138491701 G A G EBF1_EBF_1 8 1 + 6.128759565559935 1.9024694766736747 TTCCCCACGGGAAG chr4 101166347 101166348 chr4:101166348:C:T rs73833252 C T C EBF1_EBF_1 21 0 + 0 0 . chr4 101177415 101177416 chr4:101177416:G:A rs950177 G A G EBF1_EBF_1 5 1 - 7.772441238834137 2.4506540232934677 AATCCCGAGAGATT chr4 101232342 101232343 chr4:101232343:A:C rs534006009 A C A EBF1_EBF_1 14 0 + 0 0 . chr4 101345999 101346000 chr4:101346000:C:A rs144793981 C A C EBF1_EBF_1 6 1 + 4.239653845872485 4.601256213673762 TCTCCCCGGGGCGT chr4 101356011 101356012 chr4:101356012:G:T rs117445689 G T G EBF1_EBF_1 3 1 - 5.491404490307624 -1.414769539158182 TATCACCAGGGAAT chr4 101374096 101374097 chr4:101374097:C:T rs142325349 C T C EBF1_EBF_1 8 1 - 3.5395396602170743 -0.6867504286691866 GCACCCCTGGGGCC chr4 101374113 101374114 chr4:101374114:C:T rs79783209 C T C EBF1_EBF_1 -9 0 - 0 0 . chr4 101376638 101376639 chr4:101376639:G:T rs11097747 G T G EBF1_EBF_1 22 0 + 0 0 . chr4 101648051 101648052 chr4:101648052:G:C rs2866626 G C C EBF1_EBF_1 -9 0 + 0 0 . chr4 101667720 101667721 chr4:101667721:G:C rs2866433 G C C EBF1_EBF_1 15 0 - 0 0 . chr4 101730663 101730664 chr4:101730664:G:A rs72684879 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 101775061 101775062 chr4:101775062:A:G rs10003889 A G a EBF1_EBF_1 7 1 + 4.514542570267163 3.202084164978337 CCTCCCTATGGAAT chr4 101853722 101853723 chr4:101853723:G:C rs1345568 G C G EBF1_EBF_1 31 0 - 0 0 . chr4 102219271 102219272 chr4:102219272:C:A rs62322247 C A C EBF1_EBF_1 32 0 - 0 0 . chr4 102273106 102273107 chr4:102273107:C:T rs151406 C T C EBF1_EBF_1 4 1 + 5.233079878783742 0.8636929338388191 ACTCCCCTGGAAAG chr4 102308204 102308205 chr4:102308205:C:G rs6848517 C G G EBF1_EBF_1 -1 0 - 0 0 . chr4 102501041 102501042 chr4:102501042:C:G rs188771491 C G C EBF1_EBF_1 16 0 - 0 0 . chr4 102501058 102501059 chr4:102501059:C:T rs1463014581 C T C EBF1_EBF_1 -1 0 - 0 0 . chr4 102501072 102501073 chr4:102501073:T:C rs1489968317 T C T EBF1_EBF_1 -15 0 - 0 0 . chr4 102642867 102642868 chr4:102642868:T:C rs72936914 T C T EBF1_EBF_1 25 0 + 0 0 . chr4 102734660 102734661 chr4:102734661:G:C rs186221219 G C G EBF1_EBF_1 17 0 - 0 0 . chr4 102869350 102869351 chr4:102869351:A:G rs979150647 A G A EBF1_EBF_1 12 1 + 3.7842330053865636 2.3654156632870857 GCTGCCTGGGGAAC chr4 103018495 103018496 chr4:103018496:G:A rs781083467 G A G EBF1_EBF_1 5 1 - 6.791285505002517 1.4694982894618485 CACCCCTAGAGAAT chr4 103052803 103052804 chr4:103052804:C:A rs61075040 C A C EBF1_EBF_1 3 1 + 5.227273709949724 -1.6789003195160828 ACTCCCAAGGTGGT chr4 103124144 103124145 chr4:103124145:C:T rs72665054 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 103200979 103200980 chr4:103200980:A:G rs72666812 A G A EBF1_EBF_1 20 0 - 0 0 . chr4 103200988 103200989 chr4:103200989:A:C rs6815783 A C C EBF1_EBF_1 11 1 - 4.681350446249583 5.886557858744297 GGCCCCATGGGTCC chr4 103256190 103256191 chr4:103256191:G:A rs61264314 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 103515028 103515029 chr4:103515029:C:T rs429229 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 103515029 103515030 chr4:103515030:C:G rs421686 C G C EBF1_EBF_1 -1 0 + 0 0 . chr4 103533703 103533704 chr4:103533704:C:T rs420379 C T C EBF1_EBF_1 -19 0 + 0 0 . chr4 103559010 103559011 chr4:103559011:A:G rs189258180 A G A EBF1_EBF_1 2 1 - 8.292644213185827 6.403820801743676 CCTCCCTTGGGAGA chr4 103652395 103652396 chr4:103652396:T:C rs6854678 T C C EBF1_EBF_1 -3 0 + 0 0 . chr4 103754354 103754355 chr4:103754355:G:A rs233978 G A G EBF1_EBF_1 3 1 - 9.785341090737692 3.379942349184376 CATCCCCAGGGACA chr4 103774762 103774763 chr4:103774763:G:A rs999803 G A A EBF1_EBF_1 -16 0 + 0 0 . chr4 103947708 103947709 chr4:103947709:C:T rs6533122 C T . EBF1_EBF_1 1 1 + 7.53985217186766 8.435285612266407 ACTCCCTTAGGAGT chr4 103994323 103994324 chr4:103994324:G:T rs76597745 G T . EBF1_EBF_1 23 0 + 0 0 . chr4 104025642 104025643 chr4:104025643:G:A rs6533133 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 104263527 104263528 chr4:104263528:T:G rs4698917 T G G EBF1_EBF_1 0 1 - 4.317492066554911 2.06223358285831 ACTTCCCAGGGAGA chr4 104279486 104279487 chr4:104279487:G:A rs67125592 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 104395519 104395520 chr4:104395520:C:T rs75883723 C T C EBF1_EBF_1 32 0 - 0 0 . chr4 104490532 104490533 chr4:104490533:T:G rs529263290 T G T EBF1_EBF_1 29 0 + 0 0 . chr4 104490536 104490537 chr4:104490537:T:G rs78393785 T G T EBF1_EBF_1 33 0 + 0 0 . chr4 104492310 104492311 chr4:104492311:A:C rs148132990 A C A EBF1_EBF_1 22 0 - 0 0 . chr4 104701590 104701591 chr4:104701591:G:A rs2522463 G A A EBF1_EBF_1 14 0 + 0 0 . chr4 104728878 104728879 chr4:104728879:G:T rs55987984 G T G EBF1_EBF_1 -8 0 - 0 0 . chr4 104780418 104780419 chr4:104780419:A:G rs72664153 A G A EBF1_EBF_1 -12 0 + 0 0 . chr4 104931072 104931073 chr4:104931073:G:A rs918272305 G A G EBF1_EBF_1 -12 0 + 0 0 . chr4 104931106 104931107 chr4:104931107:G:A rs140003529 G A G EBF1_EBF_1 22 0 + 0 0 . chr4 104931115 104931116 chr4:104931116:G:A rs143975258 G A G EBF1_EBF_1 31 0 + 0 0 . chr4 104991135 104991136 chr4:104991136:A:G rs11097876 A G A EBF1_EBF_1 -12 0 - 0 0 . chr4 105103931 105103932 chr4:105103932:T:C rs1156440 T C C EBF1_EBF_1 -11 0 + 0 0 . chr4 105146363 105146364 chr4:105146364:A:C chr4:105146364:A:C A C A EBF1_EBF_1 22 0 - 0 0 . chr4 105146576 105146577 chr4:105146577:G:T rs113859239 G T G EBF1_EBF_1 10 1 + 4.144036098401243 -0.03871608782602147 AATTCCCTGGGAGC chr4 105150439 105150440 chr4:105150440:T:A rs763480 T A A EBF1_EBF_1 21 0 - 0 0 . chr4 105194949 105194950 chr4:105194950:A:G rs188885442 A G A EBF1_EBF_1 -11 0 + 0 0 . chr4 105274692 105274693 chr4:105274693:T:C rs77352996 T C T EBF1_EBF_1 11 1 - 5.511041229919282 2.6183343863152704 TTCCTCAAGGGACC chr4 105304801 105304802 chr4:105304802:T:A rs112784668 T A T EBF1_EBF_1 -12 0 + 0 0 . chr4 105332659 105332660 chr4:105332660:T:C rs1498124 T C T EBF1_EBF_1 13 1 + 7.492122217209955 6.647628102624437 TGTCCCAAGGGCCT chr4 105343523 105343524 chr4:105343524:T:C rs2726486 T C t EBF1_EBF_1 7 1 - 5.81223760198594 4.499779196697114 ATTCTCCAGAGAGT chr4 105434424 105434425 chr4:105434425:T:C rs183200332 T C T EBF1_EBF_1 0 1 - 4.856886306160941 2.9646024365961074 ATCCCCTAGAGGGC chr4 105474079 105474080 chr4:105474080:G:T rs200707762 G T G EBF1_EBF_1 16 0 - 0 0 . chr4 105605908 105605909 chr4:105605909:G:C rs4407508 G C G EBF1_EBF_1 5 1 - 5.275794851905844 -1.6290863007293233 ACTCACTGGGGACA chr4 105858627 105858628 chr4:105858628:C:T rs112292976 C T c EBF1_EBF_1 19 0 - 0 0 . chr4 105963581 105963582 chr4:105963582:G:A rs73836933 G A A EBF1_EBF_1 33 0 - 0 0 . chr4 105999645 105999646 chr4:105999646:C:G rs74932870 C G C EBF1_EBF_1 -11 0 - 0 0 . chr4 106003227 106003228 chr4:106003228:C:T rs138210177 C T C EBF1_EBF_1 -17 0 + 0 0 . chr4 106032908 106032909 chr4:106032909:C:T rs62320112 C T T EBF1_EBF_1 12 1 - 10.47146616084876 11.890283502948236 ATCCCCCTGGGAGT chr4 106104339 106104340 chr4:106104340:T:G rs28805707 T G G EBF1_EBF_1 0 1 - 4.640390646809401 2.3851321631128 ATCTCCCTGGGAAC chr4 106360762 106360763 chr4:106360763:G:A rs3018058 G A g EBF1_EBF_1 -8 0 - 0 0 . chr4 106412565 106412566 chr4:106412566:C:T rs80196985 C T C EBF1_EBF_1 8 1 - 5.187429267138245 0.961139178251983 ATTCCCTCGGTGAT chr4 106421555 106421556 chr4:106421556:C:T rs148671702 C T C EBF1_EBF_1 8 1 - 5.2769721959630695 1.050682107076808 AGTCTCCAGAGACA chr4 106422997 106422998 chr4:106422998:T:A rs185831002 T A T EBF1_EBF_1 20 0 + 0 0 . chr4 106569196 106569197 chr4:106569197:C:G rs112590180 C G C EBF1_EBF_1 1 1 - 7.069946148128076 6.880174493558859 AGCCCCTTGGGCAT chr4 106586055 106586056 chr4:106586056:C:T rs62320240 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 106681504 106681505 chr4:106681505:C:T rs78859778 C T C EBF1_EBF_1 12 1 - 4.615087684811342 6.033905026910819 CCTCCCCAAGGAGC chr4 106681525 106681526 chr4:106681526:G:A rs7683001 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 106803926 106803927 chr4:106803927:T:C rs1372999314 T C T EBF1_EBF_1 13 1 + 5.475755355706319 4.631261241120801 ATCCCCCAGGCAAT chr4 106984350 106984351 chr4:106984351:G:A rs2866908 G A G EBF1_EBF_1 27 0 - 0 0 . chr4 107152965 107152966 chr4:107152966:G:A rs73837828 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 107173397 107173398 chr4:107173398:A:G rs1228782 A G G EBF1_EBF_1 20 0 - 0 0 . chr4 107183384 107183385 chr4:107183385:G:A rs115528407 G A G EBF1_EBF_1 -9 0 + 0 0 . chr4 107295440 107295441 chr4:107295441:G:A chr4:107295441:G:A G A G EBF1_EBF_1 9 1 + 6.103386227928715 3.4484469624811123 TCTCCCAAAGGAGT chr4 107320412 107320413 chr4:107320413:A:G rs10025883 A G A EBF1_EBF_1 13 1 - 5.8193128238834895 4.974818709297971 TTCCCCCTGGGTAT chr4 107354382 107354383 chr4:107354383:G:A rs440462 G A A EBF1_EBF_1 17 0 + 0 0 . chr4 107479566 107479567 chr4:107479567:G:T rs7699454 G T T EBF1_EBF_1 21 0 - 0 0 . chr4 107808839 107808840 chr4:107808840:A:T rs75289491 A T A EBF1_EBF_1 14 0 - 0 0 . chr4 107864419 107864420 chr4:107864420:G:T rs7672116 G T G EBF1_EBF_1 19 0 - 0 0 . chr4 107877544 107877545 chr4:107877545:C:G rs6833408 C G G EBF1_EBF_1 27 0 + 0 0 . chr4 107908359 107908360 chr4:107908360:C:T rs11724020 C T C EBF1_EBF_1 22 0 + 0 0 . chr4 107923387 107923388 chr4:107923388:A:G rs10006030 A G A EBF1_EBF_1 7 1 + 6.198630461726965 4.886172056438139 TTTCCCCAGGTATC chr4 107972240 107972241 chr4:107972241:A:G rs17038243 A G A EBF1_EBF_1 6 1 + 7.525087353332963 5.358292922058488 ACTCCTAAGGGACC chr4 108006684 108006685 chr4:108006685:A:C rs7681359 A C C EBF1_EBF_1 28 0 + 0 0 . chr4 108030451 108030452 chr4:108030452:A:G rs558306905 A G A EBF1_EBF_1 29 0 + 0 0 . chr4 108051320 108051321 chr4:108051321:G:C rs188387236 G C G EBF1_EBF_1 2 1 - 4.587915371459979 -0.2715869072983381 AGCCACCAGGGACA chr4 108104824 108104825 chr4:108104825:G:T rs7671583 G T T EBF1_EBF_1 5 1 - 5.614082926693163 -1.2907982259420046 TGCCCCCAGAGAGT chr4 108167517 108167518 chr4:108167518:G:A rs867565 G A G EBF1_EBF_1 15 0 + 0 0 . chr4 108222692 108222693 chr4:108222693:C:T rs12509137 C T C EBF1_EBF_1 9 1 - 6.515905864814414 3.86096659936681 ACTCACTTGGGAAC chr4 108243058 108243059 chr4:108243059:G:A rs10856988 G A G EBF1_EBF_1 -6 0 - 0 0 . chr4 108349660 108349661 chr4:108349661:G:A rs11938527 G A G EBF1_EBF_1 0 1 - 4.2565582374644 4.538760298194979 CTCCACCAGGGACT chr4 108371211 108371212 chr4:108371212:T:C rs219457 T C C EBF1_EBF_1 16 0 - 0 0 . chr4 108377272 108377273 chr4:108377273:G:A rs219464 G A G EBF1_EBF_1 29 0 + 0 0 . chr4 108453605 108453606 chr4:108453606:G:A rs17569215 G A G EBF1_EBF_1 16 0 - 0 0 . chr4 108607934 108607935 chr4:108607935:T:C rs11097998 T C T EBF1_EBF_1 25 0 - 0 0 . chr4 108644447 108644448 chr4:108644448:G:A rs2851387 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 108801969 108801970 chr4:108801970:G:A rs4956211 G A A EBF1_EBF_1 -12 0 - 0 0 . chr4 108950632 108950633 chr4:108950633:T:G rs28651455 T G T EBF1_EBF_1 7 1 + 6.1942173800775135 5.358033214876246 TCTCCCTTGAGACG chr4 109086021 109086022 chr4:109086022:G:C rs183110043 G C G EBF1_EBF_1 27 0 + 0 0 . chr4 109157821 109157822 chr4:109157822:T:A rs2704114 T A A EBF1_EBF_1 -18 0 - 0 0 . chr4 109160704 109160705 chr4:109160705:C:T rs17040014 C T C EBF1_EBF_1 -16 0 + 0 0 . chr4 109278972 109278973 chr4:109278973:G:A rs117422110 G A G EBF1_EBF_1 -4 0 + 0 0 . chr4 109302930 109302931 chr4:109302931:C:A rs72892766 C A C EBF1_EBF_1 33 0 + 0 0 . chr4 109302930 109302931 chr4:109302931:C:T chr4:109302931:C:T C T C EBF1_EBF_1 33 0 + 0 0 . chr4 109315948 109315949 chr4:109315949:G:T rs10013655 G T T EBF1_EBF_1 4 1 - 5.92754310400879 0.10298348055532347 CCTCCCAGAGGAAT chr4 109655546 109655547 chr4:109655547:G:A rs7699736 G A a EBF1_EBF_1 21 0 - 0 0 . chr4 109702141 109702142 chr4:109702142:G:A rs5030523 G A G EBF1_EBF_1 -7 0 + 0 0 . chr4 109703642 109703643 chr4:109703643:G:C chr4:109703643:G:C G C G EBF1_EBF_1 27 0 - 0 0 . chr4 109703685 109703686 chr4:109703686:A:G rs5030518 A G A EBF1_EBF_1 2 1 - 6.316207133244486 4.427383721802337 TGTCCCCAGGGCAC chr4 109878940 109878941 chr4:109878941:A:G rs6847149 A G G EBF1_EBF_1 28 0 + 0 0 . chr4 110055163 110055164 chr4:110055164:T:C rs72676978 T C T EBF1_EBF_1 32 0 - 0 0 . chr4 110055601 110055602 chr4:110055602:C:T rs17041301 C T C EBF1_EBF_1 4 1 + 7.77228893852891 3.4029019935839884 AATCCCCAGGGTGT chr4 110055630 110055631 chr4:110055631:C:G rs182482894 C G C EBF1_EBF_1 33 0 + 0 0 . chr4 110139338 110139339 chr4:110139339:A:G rs35757511 A G A EBF1_EBF_1 25 0 + 0 0 . chr4 110197113 110197114 chr4:110197114:C:T rs564242546 C T C EBF1_EBF_1 -6 0 + 0 0 . chr4 110197124 110197125 chr4:110197125:C:T rs891968147 C T C EBF1_EBF_1 5 1 + 4.820398597297895 -0.5013886182427759 GCTCCCGGGAGACC chr4 110197400 110197401 chr4:110197401:C:G chr4:110197401:C:G C G C EBF1_EBF_1 25 0 - 0 0 . chr4 110197415 110197416 chr4:110197416:T:G rs140478925 T G T EBF1_EBF_1 10 1 - 6.138886225790617 4.566112297728108 CTTCCCTAGGAAAC chr4 110208599 110208600 chr4:110208600:A:G rs12499874 A G G EBF1_EBF_1 15 0 + 0 0 . chr4 110216865 110216866 chr4:110216866:T:C rs561834576 T C t EBF1_EBF_1 20 0 - 0 0 . chr4 110354440 110354441 chr4:110354441:C:T rs418757 C T c EBF1_EBF_1 29 0 - 0 0 . chr4 110432148 110432149 chr4:110432149:T:A rs10213413 T A T EBF1_EBF_1 21 0 - 0 0 . chr4 110441786 110441787 chr4:110441787:G:A rs17041770 G A G EBF1_EBF_1 20 0 + 0 0 . chr4 110476840 110476841 chr4:110476841:C:T rs776005918 C T C EBF1_EBF_1 33 0 - 0 0 . chr4 110572102 110572103 chr4:110572103:T:C rs114178974 T C T EBF1_EBF_1 -5 0 + 0 0 . chr4 110613372 110613373 chr4:110613373:G:A chr4:110613373:G:A G A G EBF1_EBF_1 9 1 + 4.274983339036773 1.6200440735891677 AGTCCCAGGGTGCA chr4 110613383 110613384 chr4:110613384:C:T rs61031711 C T C EBF1_EBF_1 20 0 + 0 0 . chr4 110617225 110617226 chr4:110617226:T:C rs3796903 T C T EBF1_EBF_1 0 1 + 7.204288893300845 6.922086832570267 TCCCCCCCGGGAAT chr4 110621696 110621697 chr4:110621697:G:T rs62338987 G T G EBF1_EBF_1 -2 0 - 0 0 . chr4 110627332 110627333 chr4:110627333:G:T rs111424662 G T G EBF1_EBF_1 17 0 - 0 0 . chr4 110633904 110633905 chr4:110633905:G:A rs116590419 G A G EBF1_EBF_1 17 0 - 0 0 . chr4 111100661 111100662 chr4:111100662:C:G rs114678492 C G T EBF1_EBF_1 9 1 + 5.6472016617627645 10.722890808108229 ACTCCCCAGCGAGA chr4 111378040 111378041 chr4:111378041:T:C rs150864415 T C T EBF1_EBF_1 -14 0 - 0 0 . chr4 111415641 111415642 chr4:111415642:A:G rs6833355 A G A EBF1_EBF_1 -5 0 - 0 0 . chr4 111465912 111465913 chr4:111465913:T:A rs1260417273 T A T EBF1_EBF_1 18 0 - 0 0 . chr4 111682143 111682144 chr4:111682144:G:C rs62329898 G C G EBF1_EBF_1 17 0 - 0 0 . chr4 111748289 111748290 chr4:111748290:C:G chr4:111748290:C:G C G C EBF1_EBF_1 25 0 + 0 0 . chr4 112083738 112083739 chr4:112083739:C:T chr4:112083739:C:T C T C EBF1_EBF_1 24 0 + 0 0 . chr4 112110065 112110066 chr4:112110066:A:C rs142083075 A C A EBF1_EBF_1 -16 0 + 0 0 . chr4 112147035 112147036 chr4:112147036:T:G rs61130306 T G G EBF1_EBF_1 -10 0 - 0 0 . chr4 112174330 112174331 chr4:112174331:G:T rs150440079 G T G EBF1_EBF_1 17 0 + 0 0 . chr4 112190015 112190016 chr4:112190016:A:C rs1521478 A C C EBF1_EBF_1 23 0 - 0 0 . chr4 112234914 112234915 chr4:112234915:G:C rs7672182 G C C EBF1_EBF_1 17 0 + 0 0 . chr4 112279225 112279226 chr4:112279226:T:C rs12510189 T C T EBF1_EBF_1 2 1 + 5.940523219672114 4.051699808229965 CATCCCTTGAGAGC chr4 112357966 112357967 chr4:112357967:C:T rs2074387 C T c EBF1_EBF_1 19 0 + 0 0 . chr4 112429258 112429259 chr4:112429259:C:T rs11944513 C T C EBF1_EBF_1 -20 0 + 0 0 . chr4 112441214 112441215 chr4:112441215:C:T rs114636522 C T c EBF1_EBF_1 24 0 - 0 0 . chr4 112485985 112485986 chr4:112485986:A:C rs56210860 A C A EBF1_EBF_1 28 0 + 0 0 . chr4 112502832 112502833 chr4:112502833:G:A rs4428348 G A G EBF1_EBF_1 -2 0 - 0 0 . chr4 112515052 112515053 chr4:112515053:C:G rs553805836 C G c EBF1_EBF_1 0 1 - 4.461602256375766 4.098627642243999 GTTCCCCGAGGACG chr4 112515058 112515059 chr4:112515059:G:C chr4:112515059:G:C G C g EBF1_EBF_1 -6 0 - 0 0 . chr4 112705276 112705277 chr4:112705277:G:C rs79284262 G C G EBF1_EBF_1 0 1 - 4.556315023868877 4.9192896380006434 CCTCCCTCGAGATC chr4 112889789 112889790 chr4:112889790:C:T rs73840923 C T C EBF1_EBF_1 -3 0 - 0 0 . chr4 112968335 112968336 chr4:112968336:G:A rs6833457 G A g EBF1_EBF_1 20 0 + 0 0 . chr4 113112645 113112646 chr4:113112646:G:A rs7699082 G A G EBF1_EBF_1 12 1 - 5.074741263381223 4.048474692830373 CTACCCTCGGGACA chr4 113409458 113409459 chr4:113409459:G:T rs10008952 G T T EBF1_EBF_1 -1 0 + 0 0 . chr4 113436058 113436059 chr4:113436059:G:T rs79044859 G T G EBF1_EBF_1 -12 0 + 0 0 . chr4 113496985 113496986 chr4:113496986:C:T rs757174 C T T EBF1_EBF_1 -5 0 - 0 0 . chr4 113638485 113638486 chr4:113638486:A:G rs115637212 A G A EBF1_EBF_1 -14 0 - 0 0 . chr4 113711955 113711956 chr4:113711956:A:G rs17631017 A G A EBF1_EBF_1 20 0 - 0 0 . chr4 113711992 113711993 chr4:113711993:G:A rs61132453 G A G EBF1_EBF_1 -17 0 - 0 0 . chr4 113758525 113758526 chr4:113758526:A:G rs4141007 A G A EBF1_EBF_1 -20 0 + 0 0 . chr4 113758544 113758545 chr4:113758545:A:C rs77068339 A C A EBF1_EBF_1 -1 0 + 0 0 . chr4 113761477 113761478 chr4:113761478:G:C rs532922313 G C G EBF1_EBF_1 29 0 - 0 0 . chr4 113943175 113943176 chr4:113943176:C:T rs766183 C T T EBF1_EBF_1 18 0 - 0 0 . chr4 113979749 113979750 chr4:113979750:G:T rs75509746 G T T EBF1_EBF_1 -2 0 + 0 0 . chr4 113979764 113979765 chr4:113979765:G:A rs4834370 G A G EBF1_EBF_1 13 1 + 6.841319722203251 7.95564529427269 GCTCCCCCGGGAAG chr4 113979767 113979768 chr4:113979768:G:C rs4834371 G C G EBF1_EBF_1 16 0 + 0 0 . chr4 113979771 113979772 chr4:113979772:T:A rs7437952 T A A EBF1_EBF_1 20 0 + 0 0 . chr4 113983573 113983574 chr4:113983574:A:C rs35086101 A C C EBF1_EBF_1 -16 0 + 0 0 . chr4 114095340 114095341 chr4:114095341:C:T rs17621440 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 114117146 114117147 chr4:114117147:G:A rs7673831 G A A EBF1_EBF_1 20 0 - 0 0 . chr4 114149128 114149129 chr4:114149129:T:C rs2088414 T C C EBF1_EBF_1 33 0 - 0 0 . chr4 114193616 114193617 chr4:114193617:G:A rs1497581 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 114287240 114287241 chr4:114287241:G:A rs59373280 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 114327912 114327913 chr4:114327913:A:T rs13129186 A T A EBF1_EBF_1 32 0 - 0 0 . chr4 114458085 114458086 chr4:114458086:A:G rs72674701 A G G EBF1_EBF_1 13 1 + 4.179612023274338 3.0652864512048987 ACTCCAAAGGGAGA chr4 114491209 114491210 chr4:114491210:C:T rs75735551 C T C EBF1_EBF_1 31 0 + 0 0 . chr4 114501290 114501291 chr4:114501291:G:A rs28651771 G A G EBF1_EBF_1 -6 0 - 0 0 . chr4 114780665 114780666 chr4:114780666:A:C rs116771106 A C A EBF1_EBF_1 -1 0 - 0 0 . chr4 114807334 114807335 chr4:114807335:C:T rs61188638 C T T EBF1_EBF_1 -16 0 - 0 0 . chr4 114859656 114859657 chr4:114859657:T:C rs111587715 T C T EBF1_EBF_1 27 0 - 0 0 . chr4 114890983 114890984 chr4:114890984:G:A rs72899285 G A G EBF1_EBF_1 31 0 + 0 0 . chr4 114941734 114941735 chr4:114941735:A:G chr4:114941735:A:G A G A EBF1_EBF_1 11 1 + 7.847987802654199 4.955280959050187 CTCCCCCAGGGAGC chr4 114998743 114998744 chr4:114998744:T:C rs2620407 T C C EBF1_EBF_1 -4 0 + 0 0 . chr4 114999898 114999899 chr4:114999899:G:A rs2583516 G A A EBF1_EBF_1 -8 0 - 0 0 . chr4 115355680 115355681 chr4:115355681:C:T rs67793422 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 115419110 115419111 chr4:115419111:C:T rs73848883 C T C EBF1_EBF_1 29 0 + 0 0 . chr4 115423965 115423966 chr4:115423966:T:G rs72671763 T G T EBF1_EBF_1 33 0 + 0 0 . chr4 115452648 115452649 chr4:115452649:C:T rs4834486 C T C EBF1_EBF_1 -1 0 + 0 0 . chr4 115481209 115481210 chr4:115481210:T:G rs7440287 T G G EBF1_EBF_1 12 1 + 5.640582408890252 5.27821303576295 ATTCCCAAGGTGTC chr4 115844549 115844550 chr4:115844550:T:C rs1835502 T C T EBF1_EBF_1 11 1 - 5.957272326745482 3.0645654831414713 ATTCCATTGGGAAA chr4 115851282 115851283 chr4:115851283:A:T rs1835503 A T A EBF1_EBF_1 18 0 - 0 0 . chr4 115865638 115865639 chr4:115865639:T:C rs9999955 T C C EBF1_EBF_1 14 0 + 0 0 . chr4 116241226 116241227 chr4:116241227:G:T rs74902357 G T g EBF1_EBF_1 28 0 + 0 0 . chr4 116361182 116361183 chr4:116361183:A:G rs2087332 A G G EBF1_EBF_1 -6 0 - 0 0 . chr4 116516074 116516075 chr4:116516075:G:C rs11724415 G C G EBF1_EBF_1 -12 0 + 0 0 . chr4 116520391 116520392 chr4:116520392:G:A rs2162133 G A A EBF1_EBF_1 -11 0 - 0 0 . chr4 116531967 116531968 chr4:116531968:C:G rs189341979 C G C EBF1_EBF_1 -17 0 + 0 0 . chr4 116551131 116551132 chr4:116551132:A:G rs1593380 A G - EBF1_EBF_1 -14 0 + 0 0 . chr4 116563092 116563093 chr4:116563093:T:C rs1425626 T C C EBF1_EBF_1 14 0 - 0 0 . chr4 116663892 116663893 chr4:116663893:C:T rs13118123 C T C EBF1_EBF_1 16 0 + 0 0 . chr4 116671677 116671678 chr4:116671678:G:T rs6855707 G T T EBF1_EBF_1 30 0 + 0 0 . chr4 116720149 116720150 chr4:116720150:G:C rs12108638 G C C EBF1_EBF_1 -13 0 + 0 0 . chr4 116874786 116874787 chr4:116874787:G:A rs72675454 G A G EBF1_EBF_1 3 1 - 6.26259777887291 -0.1428009626804072 ATTCCCAAAGGTCT chr4 116925601 116925602 chr4:116925602:T:C rs6849680 T C t EBF1_EBF_1 0 1 - 8.249435969183434 6.357152099618598 AGTCCCTAGGTAGT chr4 116976501 116976502 chr4:116976502:A:G rs13109536 A G G EBF1_EBF_1 -14 0 - 0 0 . chr4 117147680 117147681 chr4:117147681:C:T rs13113049 C T C EBF1_EBF_1 25 0 - 0 0 . chr4 117157019 117157020 chr4:117157020:C:T rs556260560 C T C EBF1_EBF_1 -16 0 + 0 0 . chr4 117157020 117157021 chr4:117157021:G:A rs17691052 G A A EBF1_EBF_1 -15 0 + 0 0 . chr4 117545353 117545354 chr4:117545354:A:G rs1948253 A G A EBF1_EBF_1 20 0 + 0 0 . chr4 117729351 117729352 chr4:117729352:T:C rs17867542 T C T EBF1_EBF_1 21 0 - 0 0 . chr4 117729375 117729376 chr4:117729376:G:C rs17862994 G C C EBF1_EBF_1 -3 0 - 0 0 . chr4 117783504 117783505 chr4:117783505:T:C rs10019304 T C C EBF1_EBF_1 18 0 - 0 0 . chr4 117783512 117783513 chr4:117783513:C:T rs10007382 C T C EBF1_EBF_1 10 1 - 4.798619529620142 -0.5194089296468245 CCACCCTAGGGGCT chr4 117941444 117941445 chr4:117941445:C:G rs114601729 C G C EBF1_EBF_1 4 1 + 6.876465766507448 1.250700665357902 TCCCCCTAGGGGCT chr4 117971667 117971668 chr4:117971668:C:T rs143086702 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 118026170 118026171 chr4:118026171:G:T rs2389490 G T G EBF1_EBF_1 13 1 - 4.406740861551826 4.0329954122658505 GCCCCCATGGTACC chr4 118033454 118033455 chr4:118033455:T:C rs146846214 T C T EBF1_EBF_1 13 1 + 5.959643065476089 5.115148950890571 AACCCCCGGGGTCT chr4 118081623 118081624 chr4:118081624:G:T rs2389515 G T T EBF1_EBF_1 -14 0 + 0 0 . chr4 118161892 118161893 chr4:118161893:C:T rs6842372 C T C EBF1_EBF_1 -9 0 + 0 0 . chr4 118424429 118424430 chr4:118424430:A:G rs140440580 A G - EBF1_EBF_1 7 1 + 4.724540529319134 3.4120821240303085 AGCACCCAGGGACT chr4 118434247 118434248 chr4:118434248:T:C rs959065725 T C - EBF1_EBF_1 11 1 - 5.043610560142935 2.1509037165389233 GCACCCCTGGGAGC chr4 118434248 118434249 chr4:118434249:C:A rs556606078 C A - EBF1_EBF_1 10 1 - 5.043610560142935 0.86085837391567 GCACCCCTGGGAGC chr4 118564768 118564769 chr4:118564769:C:T rs150473086 C T . EBF1_EBF_1 18 0 + 0 0 . chr4 118631492 118631493 chr4:118631493:G:A rs181403429 G A . EBF1_EBF_1 20 0 + 0 0 . chr4 118729380 118729381 chr4:118729381:C:T rs4833590 C T C EBF1_EBF_1 3 1 + 5.0892608326570805 -1.3161379088962368 ATTCCCCATGGATA chr4 118773717 118773718 chr4:118773718:A:C rs114512772 A C A EBF1_EBF_1 28 0 + 0 0 . chr4 118889896 118889897 chr4:118889897:G:A rs113065578 G A G EBF1_EBF_1 -4 0 - 0 0 . chr4 118950379 118950380 chr4:118950380:G:A rs147644302 G A G EBF1_EBF_1 -15 0 - 0 0 . chr4 118957225 118957226 chr4:118957226:T:C rs13128392 T C C EBF1_EBF_1 12 1 + 8.077278493270768 9.103545063821617 CCTCCCAAGGGATG chr4 118977207 118977208 chr4:118977208:T:C rs55841123 T C T EBF1_EBF_1 -6 0 - 0 0 . chr4 119036531 119036532 chr4:119036532:C:T rs72671672 C T C EBF1_EBF_1 -7 0 + 0 0 . chr4 119212451 119212452 chr4:119212452:C:A rs552110666 C A C EBF1_EBF_1 -9 0 + 0 0 . chr4 119336555 119336556 chr4:119336556:A:G rs2017057 A G A EBF1_EBF_1 -2 0 - 0 0 . chr4 119404276 119404277 chr4:119404277:C:T rs4079144 C T . EBF1_EBF_1 8 1 - 5.051093328519727 0.8248032396334648 AGTCCCACGGAACA chr4 119454747 119454748 chr4:119454748:G:T rs548453490 G T . EBF1_EBF_1 23 0 - 0 0 . chr4 119454757 119454758 chr4:119454758:G:A rs183139683 G A . EBF1_EBF_1 13 1 - 5.230796599643718 6.075290714229236 GGTCCCCTGCGACC chr4 119454772 119454773 chr4:119454773:C:A rs776266820 C A . EBF1_EBF_1 -2 0 - 0 0 . chr4 119455162 119455163 chr4:119455163:G:C rs28450134 G C . EBF1_EBF_1 -11 0 + 0 0 . chr4 119455186 119455187 chr4:119455187:C:T rs539971780 C T . EBF1_EBF_1 13 1 + 3.4158774684295286 4.260371583015047 GGACCCCCGGGAGC chr4 119549821 119549822 chr4:119549822:C:T rs72678515 C T C EBF1_EBF_1 -7 0 + 0 0 . chr4 119627844 119627845 chr4:119627845:G:A rs566524291 G A G EBF1_EBF_1 -11 0 - 0 0 . chr4 119628279 119628280 chr4:119628280:T:G rs6854072 T G G EBF1_EBF_1 -11 0 - 0 0 . chr4 119638649 119638650 chr4:119638650:G:A rs76501083 G A G EBF1_EBF_1 10 1 + 7.367792227344431 2.0497637680774647 TTTCCCTGGAGACA chr4 119748964 119748965 chr4:119748965:T:A rs2583596 T A T EBF1_EBF_1 -4 0 - 0 0 . chr4 119756269 119756270 chr4:119756270:C:A rs2714966 C A C EBF1_EBF_1 3 1 + 5.152230956107267 -1.7539430733585404 ATACCCCTGGGTAC chr4 120191962 120191963 chr4:120191963:G:A rs6858797 G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 120256664 120256665 chr4:120256665:G:A rs13114470 G A G EBF1_EBF_1 8 1 + 4.187187528881177 -0.03910256000508544 ACTCCCTAGTGAGA chr4 120342038 120342039 chr4:120342039:G:C rs2693761 G C C EBF1_EBF_1 2 1 - 6.583652767364305 1.724150488605986 CACCCCAAGGGGCT chr4 120342048 120342049 chr4:120342049:T:C rs2693762 T C C EBF1_EBF_1 -8 0 - 0 0 . chr4 120434904 120434905 chr4:120434905:T:C rs705105 T C T EBF1_EBF_1 32 0 - 0 0 . chr4 120460640 120460641 chr4:120460641:T:C rs705110 T C C EBF1_EBF_1 26 0 - 0 0 . chr4 120550855 120550856 chr4:120550856:G:A rs10015777 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 120611402 120611403 chr4:120611403:G:A rs11732932 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 120671719 120671720 chr4:120671720:G:A rs766623 G A G EBF1_EBF_1 9 1 + 6.0988896346095585 3.4439503691619544 AATCCCATGGTGAT chr4 120696827 120696828 chr4:120696828:C:T rs13106533 C T C EBF1_EBF_1 5 1 + 5.480729136450222 0.15894192090955334 AGTCCCCTGGAATA chr4 120719216 120719217 chr4:120719217:C:G rs1099237 C G C EBF1_EBF_1 30 0 - 0 0 . chr4 120722566 120722567 chr4:120722567:G:A rs843572 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 120722570 120722571 chr4:120722571:C:T rs13110077 C T C EBF1_EBF_1 -2 0 + 0 0 . chr4 120798776 120798777 chr4:120798777:C:T rs3804174 C T T EBF1_EBF_1 -17 0 + 0 0 . chr4 120822108 120822109 chr4:120822109:A:G rs17051266 A G A EBF1_EBF_1 -4 0 + 0 0 . chr4 120948677 120948678 chr4:120948678:G:A rs13128373 G A A EBF1_EBF_1 -6 0 + 0 0 . chr4 121016816 121016817 chr4:121016817:C:G rs4328939 C G C EBF1_EBF_1 -15 0 - 0 0 . chr4 121022244 121022245 chr4:121022245:A:G rs4527506 A G G EBF1_EBF_1 25 0 + 0 0 . chr4 121064981 121064982 chr4:121064982:G:T rs2390070 G T T EBF1_EBF_1 -5 0 + 0 0 . chr4 121242458 121242459 chr4:121242459:G:A rs145619930 G A G EBF1_EBF_1 30 0 - 0 0 . chr4 121249919 121249920 chr4:121249920:T:A rs67933092 T A T EBF1_EBF_1 1 1 + 5.468850950781859 4.502490333031028 ATCCCCATGAGTCT chr4 121249944 121249945 chr4:121249945:T:C rs7688575 T C C EBF1_EBF_1 26 0 + 0 0 . chr4 121370587 121370588 chr4:121370588:C:A rs182284523 C A C EBF1_EBF_1 18 0 + 0 0 . chr4 121458449 121458450 chr4:121458450:G:C rs491620 G C G EBF1_EBF_1 -15 0 + 0 0 . chr4 121458473 121458474 chr4:121458474:G:A rs73843740 G A G EBF1_EBF_1 9 1 + 5.947358223796058 3.2924189583484536 TTCCCCCAGGGCCT chr4 121698599 121698600 chr4:121698600:T:G rs144814310 T G T EBF1_EBF_1 13 1 - 5.072278154269062 5.446023603555038 GCTCCCAGAGGAAA chr4 121810968 121810969 chr4:121810969:A:G rs149148404 A G a EBF1_EBF_1 -9 0 + 0 0 . chr4 121873769 121873770 chr4:121873770:A:C rs77051794 A C A EBF1_EBF_1 -8 0 + 0 0 . chr4 122017544 122017545 chr4:122017545:A:G rs4833242 A G G EBF1_EBF_1 11 1 + 6.908520743908644 4.015813900304633 GCACCCCAGGGACC chr4 122152357 122152358 chr4:122152358:C:T rs551861199 C T C EBF1_EBF_1 30 0 - 0 0 . chr4 122152369 122152370 chr4:122152370:C:T rs1331821245 C T C EBF1_EBF_1 18 0 - 0 0 . chr4 122152370 122152371 chr4:122152371:C:T rs115217825 C T C EBF1_EBF_1 17 0 - 0 0 . chr4 122152374 122152375 chr4:122152375:G:T rs570298038 G T G EBF1_EBF_1 13 1 - 4.881064193992594 4.507318744706618 ACACCCAAGGGGGC chr4 122152379 122152380 chr4:122152380:C:T rs764244223 C T C EBF1_EBF_1 8 1 - 4.881064193992594 0.6547741051063319 ACACCCAAGGGGGC chr4 122224595 122224596 chr4:122224596:T:A rs7658836 T A T EBF1_EBF_1 8 1 - 5.802869813755428 3.1377271136644946 CTTCCCAAAGGAAG chr4 122224619 122224620 chr4:122224620:C:T rs371662693 C T C EBF1_EBF_1 -16 0 - 0 0 . chr4 122293679 122293680 chr4:122293680:A:G rs45513095 A G A EBF1_EBF_1 -4 0 + 0 0 . chr4 122293824 122293825 chr4:122293825:C:T rs45530034 C T C EBF1_EBF_1 13 1 - 3.65732702141098 4.771652593480419 TCTCCCAGGGGCCG chr4 122575226 122575227 chr4:122575227:A:G rs4833250 A G G EBF1_EBF_1 21 0 + 0 0 . chr4 122578955 122578956 chr4:122578956:T:C rs10212828 T C C EBF1_EBF_1 6 1 + 5.55586034258001 5.254574213718253 AGCCCCTAGAGAGG chr4 122589097 122589098 chr4:122589098:T:G rs12508346 T G T EBF1_EBF_1 23 0 + 0 0 . chr4 122629876 122629877 chr4:122629877:G:A rs6819371 G A G EBF1_EBF_1 8 1 + 5.5185125539000035 1.2922224650137426 CCACCCAAGGGAGC chr4 122716045 122716046 chr4:122716046:C:G rs433164 C G G EBF1_EBF_1 -10 0 + 0 0 . chr4 122717844 122717845 chr4:122717845:C:T rs380738 C T C EBF1_EBF_1 6 1 + 6.980085753804032 7.28137188266579 CTCCCCCTGAGAAT chr4 122744835 122744836 chr4:122744836:C:G rs309386 C G G EBF1_EBF_1 16 0 - 0 0 . chr4 122803937 122803938 chr4:122803938:C:T rs371743987 C T C EBF1_EBF_1 22 0 - 0 0 . chr4 122827578 122827579 chr4:122827579:C:T rs3804144 C T C EBF1_EBF_1 31 0 + 0 0 . chr4 122828250 122828251 chr4:122828251:T:C rs75316764 T C T EBF1_EBF_1 14 0 - 0 0 . chr4 122828272 122828273 chr4:122828273:C:T rs79563466 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 122834273 122834274 chr4:122834274:C:T rs28524008 C T C EBF1_EBF_1 12 1 + 7.119034826961343 6.092768256410493 GTTCTCCAGGGACC chr4 123012472 123012473 chr4:123012473:C:T rs10434040 C T C EBF1_EBF_1 27 0 - 0 0 . chr4 123107135 123107136 chr4:123107136:G:A rs72678927 G A G EBF1_EBF_1 -16 0 + 0 0 . chr4 123107626 123107627 chr4:123107627:C:T rs307059 C T C EBF1_EBF_1 16 0 + 0 0 . chr4 123114300 123114301 chr4:123114301:C:T rs7675273 C T C EBF1_EBF_1 -12 0 + 0 0 . chr4 123114340 123114341 chr4:123114341:C:T rs72678940 C T C EBF1_EBF_1 28 0 + 0 0 . chr4 123317391 123317392 chr4:123317392:C:T rs190881486 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 123362965 123362966 chr4:123362966:C:G rs7667357 C G G EBF1_EBF_1 -7 0 + 0 0 . chr4 123371332 123371333 chr4:123371333:C:G rs60698906 C G G EBF1_EBF_1 -7 0 - 0 0 . chr4 123397716 123397717 chr4:123397717:G:A rs769747204 G A G EBF1_EBF_1 28 0 + 0 0 . chr4 123397717 123397718 chr4:123397718:C:A rs1215733655 C A C EBF1_EBF_1 29 0 + 0 0 . chr4 123438219 123438220 chr4:123438220:G:C rs4833916 G C C EBF1_EBF_1 -7 0 - 0 0 . chr4 123496160 123496161 chr4:123496161:C:T rs896677 C T T EBF1_EBF_1 0 1 + 5.600693602879004 5.882895663609583 CTCCCCTGGAGAAC chr4 123538153 123538154 chr4:123538154:C:T rs1242007234 C T c EBF1_EBF_1 7 1 + 5.565215878514333 7.382720624796956 CTTCCCCCGGGGCA chr4 123554001 123554002 chr4:123554002:T:A rs4498143 T A T EBF1_EBF_1 12 1 + 6.085554574165736 7.142002543137912 ATTCCCAGGGAATC chr4 123649698 123649699 chr4:123649699:G:A rs79028285 G A G EBF1_EBF_1 2 1 - 9.859670247472305 11.748493658914454 TCCCCCAAGGGAAT chr4 123649979 123649980 chr4:123649980:C:T rs76366426 C T C EBF1_EBF_1 -19 0 - 0 0 . chr4 123700802 123700803 chr4:123700803:C:T rs9995099 C T C EBF1_EBF_1 27 0 - 0 0 . chr4 123736110 123736111 chr4:123736111:A:G rs4833942 A G A EBF1_EBF_1 26 0 + 0 0 . chr4 123977048 123977049 chr4:123977049:G:T rs10010520 G T G EBF1_EBF_1 4 1 - 4.773307030072752 -1.051252593380715 TGCCCCTGGAGACA chr4 123986504 123986505 chr4:123986505:T:C rs923182909 T C T EBF1_EBF_1 7 1 + 6.537240940806773 4.719736194524149 CTTCCCATGGAACT chr4 124014360 124014361 chr4:124014361:C:T rs1850755 C T C EBF1_EBF_1 10 1 - 5.202822954684799 -0.11520550458216672 ACTCACCAGGGAAG chr4 124025704 124025705 chr4:124025705:G:A rs79926419 G A G EBF1_EBF_1 4 1 - 5.39526158743153 1.025874642486606 CTTCCCAAGAGGGT chr4 124045193 124045194 chr4:124045194:G:T rs13131086 G T G EBF1_EBF_1 11 1 + 7.470613078044436 6.265405665549722 TTCCCCCAGGGGCT chr4 124112114 124112115 chr4:124112115:G:T rs72917272 G T G EBF1_EBF_1 -18 0 - 0 0 . chr4 124116638 124116639 chr4:124116639:T:C rs4421000 T C C EBF1_EBF_1 -1 0 - 0 0 . chr4 124174057 124174058 chr4:124174058:G:A rs11933201 G A G EBF1_EBF_1 -16 0 + 0 0 . chr4 124243633 124243634 chr4:124243634:G:A rs58494951 G A G EBF1_EBF_1 4 1 - 4.666069969530904 0.2966830245859794 CATCCCTTGGAACC chr4 124282631 124282632 chr4:124282632:T:C rs187628309 T C T EBF1_EBF_1 -5 0 - 0 0 . chr4 124374698 124374699 chr4:124374699:G:C rs13117687 G C G EBF1_EBF_1 31 0 - 0 0 . chr4 124374725 124374726 chr4:124374726:G:A rs9999815 G A G EBF1_EBF_1 4 1 - 10.78622044419942 6.416833499254499 AGCCCCCAGGGACC chr4 124445094 124445095 chr4:124445095:A:G rs9685258 A G A EBF1_EBF_1 -5 0 + 0 0 . chr4 124508341 124508342 chr4:124508342:G:A rs6844455 G A G EBF1_EBF_1 6 1 + 4.8485953889166025 7.015389820191076 CTTCCCGAGGGTCA chr4 124686359 124686360 chr4:124686360:G:C rs34944124 G C G EBF1_EBF_1 -12 0 + 0 0 . chr4 124713622 124713623 chr4:124713623:G:A rs78772440 G A G EBF1_EBF_1 3 1 - 5.291495433430802 -1.113903308122515 TTTCCCGGGAGAAA chr4 124862464 124862465 chr4:124862465:T:C rs10222899 T C C EBF1_EBF_1 30 0 + 0 0 . chr4 124901860 124901861 chr4:124901861:G:A rs7662921 G A A EBF1_EBF_1 12 1 - 6.613203997495605 5.586937426944755 ATTCCCAACAGACT chr4 124938108 124938109 chr4:124938109:C:T rs6824446 C T T EBF1_EBF_1 20 0 + 0 0 . chr4 124940548 124940549 chr4:124940549:A:G rs113952705 A G A EBF1_EBF_1 0 1 + 10.743804692960122 8.85152082339529 ATTCCCAAGAGAAA chr4 125011795 125011796 chr4:125011796:G:A rs13113189 G A G EBF1_EBF_1 4 1 - 11.083169235771607 6.713782290826685 CTTCCCTAGGGAAA chr4 125398616 125398617 chr4:125398617:G:T rs11736643 G T G EBF1_EBF_1 25 0 - 0 0 . chr4 125761003 125761004 chr4:125761004:G:T rs62313160 G T T EBF1_EBF_1 -11 0 + 0 0 . chr4 125813155 125813156 chr4:125813156:T:C rs12642736 T C C EBF1_EBF_1 12 1 - 6.506005887257258 5.0871885451577805 ATTCCACAGGGAAC chr4 125986172 125986173 chr4:125986173:C:G chr4:125986173:C:G C G C EBF1_EBF_1 3 1 + 5.127868652254022 -0.6998957958611953 AGTCCCCTGAGCCC chr4 126046296 126046297 chr4:126046297:G:C rs2390956 G C C EBF1_EBF_1 33 0 + 0 0 . chr4 126342179 126342180 chr4:126342180:C:T rs12510512 C T C EBF1_EBF_1 -4 0 + 0 0 . chr4 126375047 126375048 chr4:126375048:A:G rs12500230 A G A EBF1_EBF_1 7 1 + 4.904404382981659 3.591945977692834 TGTGCCCAGGGAAC chr4 126405671 126405672 chr4:126405672:A:C rs678886 A C C EBF1_EBF_1 -20 0 + 0 0 . chr4 126490165 126490166 chr4:126490166:G:A rs113068613 G A G EBF1_EBF_1 26 0 - 0 0 . chr4 126490218 126490219 chr4:126490219:C:T rs1051953899 C T T EBF1_EBF_1 -11 0 + 0 0 . chr4 126490253 126490254 chr4:126490254:C:A rs12512767 C A C EBF1_EBF_1 24 0 + 0 0 . chr4 126595199 126595200 chr4:126595200:G:A rs12504225 G A G EBF1_EBF_1 -11 0 + 0 0 . chr4 126617069 126617070 chr4:126617070:C:T rs75956190 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 126661728 126661729 chr4:126661729:G:A rs2391078 G A G EBF1_EBF_1 -15 0 - 0 0 . chr4 126739463 126739464 chr4:126739464:A:G rs17203028 A G A EBF1_EBF_1 11 1 + 7.242207506214011 4.34950066261 TATCCCCAGAGAGT chr4 126755199 126755200 chr4:126755200:T:C rs11941222 T C T EBF1_EBF_1 9 1 + 5.1733902448031905 6.934690506546536 AGTCCCAAGTGATC chr4 126994817 126994818 chr4:126994818:T:C rs2391118 T C T EBF1_EBF_1 -9 0 + 0 0 . chr4 127145648 127145649 chr4:127145649:T:C rs12501512 T C T EBF1_EBF_1 -17 0 - 0 0 . chr4 127363807 127363808 chr4:127363808:G:A rs62335202 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 127415595 127415596 chr4:127415596:T:C rs77449752 T C T EBF1_EBF_1 -7 0 - 0 0 . chr4 127500976 127500977 chr4:127500977:G:T rs972329 G T T EBF1_EBF_1 6 1 + 5.086563582260811 7.193041774595765 CCTCCCGAGGTACT chr4 127576207 127576208 chr4:127576208:T:C rs17012525 T C T EBF1_EBF_1 -13 0 - 0 0 . chr4 127781693 127781694 chr4:127781694:T:A rs1472428889 T A T EBF1_EBF_1 29 0 - 0 0 . chr4 127782794 127782795 chr4:127782795:C:T rs2276980 C T C EBF1_EBF_1 -7 0 + 0 0 . chr4 127881404 127881405 chr4:127881405:A:C chr4:127881405:A:C A C A EBF1_EBF_1 2 1 - 4.481041100731956 -2.2672845894685114 AGTGCCTCGGGACA chr4 127964393 127964394 chr4:127964394:C:G rs926159187 C G C EBF1_EBF_1 -5 0 - 0 0 . chr4 128061176 128061177 chr4:128061177:C:T rs532672997 C T C EBF1_EBF_1 28 0 - 0 0 . chr4 128061205 128061206 chr4:128061206:C:A rs754017568 C A C EBF1_EBF_1 -1 0 - 0 0 . chr4 128061213 128061214 chr4:128061214:G:A rs138941234 G A g EBF1_EBF_1 -9 0 - 0 0 . chr4 128142195 128142196 chr4:128142196:C:T rs13139182 C T T EBF1_EBF_1 7 1 - 4.6731647730289385 5.9856231783177645 ACTCCCAGTGGAAC chr4 128228129 128228130 chr4:128228130:T:C rs185519521 T C C EBF1_EBF_1 -3 0 - 0 0 . chr4 128287316 128287317 chr4:128287317:A:C chr4:128287317:A:C A C A EBF1_EBF_1 7 1 - 4.729056368203742 3.892872203002475 ACCCCCTTCGGACA chr4 128287778 128287779 chr4:128287779:A:C rs1054807942 A C A EBF1_EBF_1 33 0 + 0 0 . chr4 128323504 128323505 chr4:128323505:T:C rs9942304 T C T EBF1_EBF_1 -19 0 - 0 0 . chr4 128339923 128339924 chr4:128339924:T:C rs290003 T C T EBF1_EBF_1 -8 0 - 0 0 . chr4 128388365 128388366 chr4:128388366:C:G rs184122435 C G C EBF1_EBF_1 17 0 + 0 0 . chr4 128519883 128519884 chr4:128519884:T:C rs11733387 T C C EBF1_EBF_1 25 0 + 0 0 . chr4 128553204 128553205 chr4:128553205:G:T rs7697956 G T G EBF1_EBF_1 2 1 - 3.4529064152604345 1.6572622391037077 TCCCCCCCGGGAGG chr4 128553217 128553218 chr4:128553218:T:C rs73846925 T C T EBF1_EBF_1 -11 0 - 0 0 . chr4 128574027 128574028 chr4:128574028:A:G rs17013484 A G A EBF1_EBF_1 -14 0 + 0 0 . chr4 128591607 128591608 chr4:128591608:T:C rs60593095 T C T EBF1_EBF_1 8 1 + 5.480634312740183 7.053408240802692 AATCCCTATGGAAA chr4 128600523 128600524 chr4:128600524:T:C rs113332663 T C T EBF1_EBF_1 9 1 - 5.082393815114653 7.737333080562258 GGCCCCTAGAGAAG chr4 128811002 128811003 chr4:128811003:G:A rs76091097 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 128825450 128825451 chr4:128825451:A:G rs75738045 A G A EBF1_EBF_1 -10 0 + 0 0 . chr4 128987025 128987026 chr4:128987026:G:A rs35961303 G A G EBF1_EBF_1 -20 0 - 0 0 . chr4 129003821 129003822 chr4:129003822:C:A rs3113487 C A A EBF1_EBF_1 8 1 - 6.545594678986458 -0.34583810999073744 TTTCCCCTGGGCAC chr4 129110342 129110343 chr4:129110343:T:G rs1699387 T G G EBF1_EBF_1 -19 0 - 0 0 . chr4 129132555 129132556 chr4:129132556:G:A rs72687104 G A G EBF1_EBF_1 26 0 + 0 0 . chr4 129134195 129134196 chr4:129134196:C:T rs34981309 C T C EBF1_EBF_1 -7 0 - 0 0 . chr4 129314928 129314929 chr4:129314929:C:A rs13143897 C A C EBF1_EBF_1 28 0 + 0 0 . chr4 129327024 129327025 chr4:129327025:T:G rs4246723 T G G EBF1_EBF_1 17 0 - 0 0 . chr4 129408833 129408834 chr4:129408834:C:T rs67407638 C T C EBF1_EBF_1 12 1 + 5.745331237167183 4.719064666616333 TTTCCCATGAGGCC chr4 129446266 129446267 chr4:129446267:A:G rs1040742037 A G A EBF1_EBF_1 28 0 - 0 0 . chr4 129446270 129446271 chr4:129446271:G:A rs1368584 G A A EBF1_EBF_1 24 0 - 0 0 . chr4 129533090 129533091 chr4:129533091:C:T rs626719 C T T EBF1_EBF_1 30 0 - 0 0 . chr4 129604732 129604733 chr4:129604733:A:G rs62309525 A G A EBF1_EBF_1 -10 0 + 0 0 . chr4 129731712 129731713 chr4:129731713:G:T rs66487116 G T G EBF1_EBF_1 1 1 - 7.906541979211235 7.835614801859149 CCTCCCTAGAGAAC chr4 130013010 130013011 chr4:130013011:C:T rs186377463 C T C EBF1_EBF_1 0 1 + 5.92681824631561 6.209020307046187 CTTCCCACGGGGCA chr4 130013018 130013019 chr4:130013019:G:A rs28576089 G A G EBF1_EBF_1 8 1 + 5.92681824631561 1.7005281574293476 CTTCCCACGGGGCA chr4 130131793 130131794 chr4:130131794:C:T rs10014736 C T C EBF1_EBF_1 -17 0 - 0 0 . chr4 130381954 130381955 chr4:130381955:A:C rs4864433 A C C EBF1_EBF_1 -10 0 + 0 0 . chr4 130403460 130403461 chr4:130403461:C:T rs2310953 C T c EBF1_EBF_1 -18 0 + 0 0 . chr4 130403468 130403469 chr4:130403469:T:A rs2310952 T A a EBF1_EBF_1 -10 0 + 0 0 . chr4 130527806 130527807 chr4:130527807:A:T rs77140105 A T A EBF1_EBF_1 -10 0 + 0 0 . chr4 130527842 130527843 chr4:130527843:T:C rs62318249 T C T EBF1_EBF_1 26 0 + 0 0 . chr4 130590991 130590992 chr4:130590992:C:G rs116312200 C G C EBF1_EBF_1 -20 0 + 0 0 . chr4 130808740 130808741 chr4:130808741:G:A rs149075120 G A G EBF1_EBF_1 -10 0 - 0 0 . chr4 131415424 131415425 chr4:131415425:A:C rs6830254 A C A EBF1_EBF_1 -6 0 + 0 0 . chr4 131415448 131415449 chr4:131415449:T:C rs6856136 T C C EBF1_EBF_1 18 0 + 0 0 . chr4 131441208 131441209 chr4:131441209:T:C rs72941422 T C C EBF1_EBF_1 32 0 + 0 0 . chr4 131514682 131514683 chr4:131514683:A:G rs1511503 A G G EBF1_EBF_1 -8 0 + 0 0 . chr4 131584260 131584261 chr4:131584261:C:T rs13130431 C T C EBF1_EBF_1 -1 0 + 0 0 . chr4 131584404 131584405 chr4:131584405:T:A rs142745428 T A T EBF1_EBF_1 20 0 + 0 0 . chr4 131658756 131658757 chr4:131658757:G:A rs1217767910 G A G EBF1_EBF_1 -4 0 + 0 0 . chr4 131729658 131729659 chr4:131729659:C:G rs150471002 C G - EBF1_EBF_1 -15 0 - 0 0 . chr4 131810798 131810799 chr4:131810799:G:A rs116538311 G A G EBF1_EBF_1 17 0 - 0 0 . chr4 131810816 131810817 chr4:131810817:A:C rs10030022 A C C EBF1_EBF_1 -1 0 - 0 0 . chr4 131976585 131976586 chr4:131976586:T:C rs168379 T C c EBF1_EBF_1 -13 0 + 0 0 . chr4 131976627 131976628 chr4:131976628:G:A rs35953868 G A g EBF1_EBF_1 29 0 + 0 0 . chr4 131994092 131994093 chr4:131994093:T:C rs4863854 T C C EBF1_EBF_1 -8 0 + 0 0 . chr4 132041518 132041519 chr4:132041519:T:G rs28774996 T G G EBF1_EBF_1 -8 0 + 0 0 . chr4 132049075 132049076 chr4:132049076:T:C rs13132582 T C C EBF1_EBF_1 -17 0 + 0 0 . chr4 132049094 132049095 chr4:132049095:T:C rs13132591 T C C EBF1_EBF_1 2 1 + 6.148263138916911 4.259439727474762 CCTCCCAAGGAAAT chr4 132101485 132101486 chr4:132101486:G:A rs11721382 G A A EBF1_EBF_1 4 1 - 3.2367335524666556 -1.1326533924782674 GCTTCCAGGGGACC chr4 132155681 132155682 chr4:132155682:G:A rs79994864 G A g EBF1_EBF_1 -9 0 - 0 0 . chr4 132203167 132203168 chr4:132203168:C:A rs17550533 C A A EBF1_EBF_1 -18 0 - 0 0 . chr4 132227831 132227832 chr4:132227832:G:A rs181373732 G A G EBF1_EBF_1 -20 0 + 0 0 . chr4 132627602 132627603 chr4:132627603:T:A rs13137817 T A T EBF1_EBF_1 11 1 - 6.984704280514033 2.8867900244153084 ACTCCCAAGGAAGT chr4 132630803 132630804 chr4:132630804:C:G rs4389592 C G G EBF1_EBF_1 25 0 - 0 0 . chr4 132783935 132783936 chr4:132783936:G:C rs62314218 G C C EBF1_EBF_1 8 1 + 5.40513025520284 0.08647139428815288 CCTCCCACGGGGCC chr4 132809857 132809858 chr4:132809858:C:A rs79132118 C A c EBF1_EBF_1 9 1 - 6.171719504571739 -0.6652699035170704 AACCCCTAGGGGGA chr4 132827743 132827744 chr4:132827744:C:T rs7689917 C T T EBF1_EBF_1 7 1 + 4.80869967734667 6.626204423629294 AGTCCCTCGGGCAG chr4 133021071 133021072 chr4:133021072:T:A rs13122167 T A A EBF1_EBF_1 19 0 - 0 0 . chr4 133130954 133130955 chr4:133130955:G:A rs78600179 G A A EBF1_EBF_1 -5 0 - 0 0 . chr4 133234035 133234036 chr4:133234036:G:A rs11930882 G A G EBF1_EBF_1 -3 0 + 0 0 . chr4 133390457 133390458 chr4:133390458:T:C rs2114008 T C T EBF1_EBF_1 -7 0 - 0 0 . chr4 133467519 133467520 chr4:133467520:C:A rs75339061 C A C EBF1_EBF_1 -3 0 + 0 0 . chr4 133684185 133684186 chr4:133684186:C:T rs72941205 C T C EBF1_EBF_1 -16 0 + 0 0 . chr4 134016823 134016824 chr4:134016824:C:G rs140148069 C G c EBF1_EBF_1 1 1 + 5.092889118124202 5.28266077269342 ACCCCTCAGGGATT chr4 134225265 134225266 chr4:134225266:T:C rs6535000 T C C EBF1_EBF_1 -8 0 + 0 0 . chr4 134350528 134350529 chr4:134350529:A:C rs1386362 A C A EBF1_EBF_1 28 0 - 0 0 . chr4 134350540 134350541 chr4:134350541:A:G rs7686849 A G A EBF1_EBF_1 16 0 - 0 0 . chr4 134507299 134507300 chr4:134507300:A:C rs4388143 A C A EBF1_EBF_1 -8 0 + 0 0 . chr4 134758007 134758008 chr4:134758008:G:A rs2939616 G A A EBF1_EBF_1 12 1 - 5.408534711842338 4.382268141291489 ATCCCCTTGAGTCT chr4 135091424 135091425 chr4:135091425:T:C rs12647065 T C T EBF1_EBF_1 17 0 + 0 0 . chr4 135136628 135136629 chr4:135136629:G:A rs114521768 G A G EBF1_EBF_1 -10 0 - 0 0 . chr4 135170772 135170773 chr4:135170773:G:C rs28816427 G C G EBF1_EBF_1 -3 0 - 0 0 . chr4 135177397 135177398 chr4:135177398:C:T rs12640344 C T C EBF1_EBF_1 -17 0 + 0 0 . chr4 135207763 135207764 chr4:135207764:T:A rs2169225 T A T EBF1_EBF_1 21 0 - 0 0 . chr4 135410021 135410022 chr4:135410022:C:T rs11099342 C T c EBF1_EBF_1 33 0 + 0 0 . chr4 135585749 135585750 chr4:135585750:G:C rs10006539 G C G EBF1_EBF_1 -3 0 + 0 0 . chr4 135787361 135787362 chr4:135787362:G:T rs72982898 G T T EBF1_EBF_1 5 1 - 4.684711190668427 -2.220169961966741 ATTCCCGAGGCACC chr4 136048110 136048111 chr4:136048111:T:G rs11941908 T G G EBF1_EBF_1 23 0 + 0 0 . chr4 136485520 136485521 chr4:136485521:G:T rs6848457 G T G EBF1_EBF_1 -4 0 - 0 0 . chr4 136554375 136554376 chr4:136554376:T:A rs12507557 T A A EBF1_EBF_1 28 0 + 0 0 . chr4 136584941 136584942 chr4:136584942:T:C rs10026784 T C C EBF1_EBF_1 12 1 + 4.339640277872775 5.3659068484236245 GCCCCCCAGGTATT chr4 136612517 136612518 chr4:136612518:T:A rs12513109 T A a EBF1_EBF_1 2 1 - 6.017755275604352 9.702222863203229 GAACCCCTGGGAAA chr4 136636232 136636233 chr4:136636233:G:A rs12502522 G A G EBF1_EBF_1 25 0 + 0 0 . chr4 136713354 136713355 chr4:136713355:T:C rs141576403 T C T EBF1_EBF_1 19 0 + 0 0 . chr4 137007368 137007369 chr4:137007369:T:C rs72710931 T C c EBF1_EBF_1 14 0 - 0 0 . chr4 137065572 137065573 chr4:137065573:T:C rs10049824 T C T EBF1_EBF_1 -7 0 + 0 0 . chr4 137077624 137077625 chr4:137077625:C:G rs72998517 C G C EBF1_EBF_1 9 1 - 4.372797298302339 -0.7028918480431257 GCTCCCTGGGTATC chr4 137120915 137120916 chr4:137120916:C:T rs7667554 C T T EBF1_EBF_1 16 0 + 0 0 . chr4 137238957 137238958 chr4:137238958:A:T rs908212 A T A EBF1_EBF_1 27 0 - 0 0 . chr4 137278684 137278685 chr4:137278685:A:G rs4864401 A G A EBF1_EBF_1 12 1 - 9.402645191081534 10.428911761632383 AATCCCTTGAGATT chr4 137284031 137284032 chr4:137284032:T:C rs10016706 T C T EBF1_EBF_1 19 0 + 0 0 . chr4 137481381 137481382 chr4:137481382:G:A rs10026142 G A G EBF1_EBF_1 17 0 + 0 0 . chr4 137496369 137496370 chr4:137496370:G:A rs375731278 G A - EBF1_EBF_1 20 0 - 0 0 . chr4 137511146 137511147 chr4:137511147:G:A rs72720190 G A G EBF1_EBF_1 -7 0 - 0 0 . chr4 137573107 137573108 chr4:137573108:C:T rs4241925 C T T EBF1_EBF_1 -7 0 + 0 0 . chr4 137639662 137639663 chr4:137639663:T:C rs140495659 T C T EBF1_EBF_1 -18 0 - 0 0 . chr4 137664127 137664128 chr4:137664128:C:A rs991688616 C A C EBF1_EBF_1 23 0 - 0 0 . chr4 137664135 137664136 chr4:137664136:G:A rs184245808 G A G EBF1_EBF_1 15 0 - 0 0 . chr4 137733986 137733987 chr4:137733987:G:A rs112539440 G A G EBF1_EBF_1 21 0 + 0 0 . chr4 137760275 137760276 chr4:137760276:G:A rs17049869 G A A EBF1_EBF_1 18 0 + 0 0 . chr4 137910170 137910171 chr4:137910171:G:A rs114036813 G A G EBF1_EBF_1 8 1 + 5.570351014362711 1.3440609254764504 ATTCCCACGAGTAT chr4 137910188 137910189 chr4:137910189:C:T rs72619517 C T C EBF1_EBF_1 26 0 + 0 0 . chr4 137910331 137910332 chr4:137910332:T:C rs1960161 T C C EBF1_EBF_1 28 0 - 0 0 . chr4 137942286 137942287 chr4:137942287:C:A rs2313442 C A C EBF1_EBF_1 -14 0 + 0 0 . chr4 137972002 137972003 chr4:137972003:C:T rs34738416 C T C EBF1_EBF_1 9 1 - 4.218164753779539 1.5632254883319359 ACACCCTGGGGCCT chr4 138053017 138053018 chr4:138053018:G:A rs34730138 G A G EBF1_EBF_1 -4 0 - 0 0 . chr4 138063553 138063554 chr4:138063554:G:T rs74414551 G T G EBF1_EBF_1 16 0 - 0 0 . chr4 138256550 138256551 chr4:138256551:G:C rs72712374 G C a EBF1_EBF_1 -9 0 - 0 0 . chr4 138256551 138256552 chr4:138256552:G:C rs72712375 G C g EBF1_EBF_1 -10 0 - 0 0 . chr4 138521813 138521814 chr4:138521814:G:A rs299135 G A G EBF1_EBF_1 4 1 - 6.041572791343262 1.6721858463983388 GTACCCCAGGGAGA chr4 138636213 138636214 chr4:138636214:A:G rs4863572 A G G EBF1_EBF_1 11 1 + 5.977985648563533 3.085278804959522 ACCCACAAGGGACT chr4 138680690 138680691 chr4:138680691:T:C rs937238 T C C EBF1_EBF_1 23 0 + 0 0 . chr4 138790028 138790029 chr4:138790029:C:T rs116078806 C T C EBF1_EBF_1 -5 0 - 0 0 . chr4 138835968 138835969 chr4:138835969:C:A rs114922629 C A C EBF1_EBF_1 2 1 + 6.37914045925267 4.583496283095943 ACCCCCAGGGGGCA chr4 138844513 138844514 chr4:138844514:C:T rs17268257 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 138887607 138887608 chr4:138887608:A:G rs11727479 A G G EBF1_EBF_1 19 0 - 0 0 . chr4 138943444 138943445 chr4:138943445:T:G rs6858138 T G T EBF1_EBF_1 -9 0 - 0 0 . chr4 138954159 138954160 chr4:138954160:C:G rs909941895 C G C EBF1_EBF_1 5 1 + 5.404862348841262 -1.500018803793906 ACTCCCCAGGAAGA chr4 139001025 139001026 chr4:139001026:C:T rs13134871 C T C EBF1_EBF_1 11 1 - 4.317113267272278 7.209820110876289 CCTCCCAAAGGGCT chr4 139018295 139018296 chr4:139018296:T:C rs7683983 T C T EBF1_EBF_1 20 0 - 0 0 . chr4 139043069 139043070 chr4:139043070:G:A rs186489274 G A g EBF1_EBF_1 19 0 - 0 0 . chr4 139083202 139083203 chr4:139083203:G:A rs190738565 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 139114874 139114875 chr4:139114875:G:A rs1984682 G A g EBF1_EBF_1 29 0 - 0 0 . chr4 139194361 139194362 chr4:139194362:G:A rs11941478 G A G EBF1_EBF_1 4 1 - 11.003273561537306 6.633886616592385 TGTCCCTAGGGACC chr4 139216483 139216484 chr4:139216484:T:C rs13130821 T C t EBF1_EBF_1 -8 0 + 0 0 . chr4 139295433 139295434 chr4:139295434:T:G chr4:139295434:T:G T G T EBF1_EBF_1 -2 0 - 0 0 . chr4 139296023 139296024 chr4:139296024:C:G rs994996548 C G C EBF1_EBF_1 7 1 - 5.04555721397042 4.064236632889063 ACCCCCAGAGGACA chr4 139302308 139302309 chr4:139302309:C:T rs150371376 C T C EBF1_EBF_1 13 1 - 7.2443011610403785 8.358626733109817 AATCCCAAGAGAGG chr4 139302332 139302333 chr4:139302333:C:T rs115543880 C T C EBF1_EBF_1 -11 0 - 0 0 . chr4 139436408 139436409 chr4:139436409:T:C rs1057522 T C C EBF1_EBF_1 26 0 - 0 0 . chr4 139454633 139454634 chr4:139454634:T:C rs540897926 T C T EBF1_EBF_1 22 0 - 0 0 . chr4 139461282 139461283 chr4:139461283:G:A rs182874424 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 139496516 139496517 chr4:139496517:G:C rs4863656 G C G EBF1_EBF_1 -6 0 - 0 0 . chr4 139559623 139559624 chr4:139559624:T:C rs6846949 T C T EBF1_EBF_1 33 0 - 0 0 . chr4 139564746 139564747 chr4:139564747:G:A rs116566094 G A G EBF1_EBF_1 2 1 - 5.523780474578517 7.412603886020668 TACCCCCAGAGACA chr4 139564759 139564760 chr4:139564760:A:G rs795365 A G G EBF1_EBF_1 -11 0 - 0 0 . chr4 139581490 139581491 chr4:139581491:T:G rs795384 T G G EBF1_EBF_1 16 0 - 0 0 . chr4 139584164 139584165 chr4:139584165:G:A rs706320 G A G EBF1_EBF_1 2 1 - 5.213818061033053 7.102641472475202 GTCCCCCAGGGCAC chr4 139607457 139607458 chr4:139607458:C:T rs13112005 C T T EBF1_EBF_1 33 0 - 0 0 . chr4 139625326 139625327 chr4:139625327:C:A rs10018489 C A A EBF1_EBF_1 -6 0 - 0 0 . chr4 139658796 139658797 chr4:139658797:A:C rs1453136 A C A EBF1_EBF_1 20 0 - 0 0 . chr4 139666259 139666260 chr4:139666260:A:C rs3822045 A C C EBF1_EBF_1 -6 0 + 0 0 . chr4 139666287 139666288 chr4:139666288:T:C rs4147586 T C T EBF1_EBF_1 22 0 + 0 0 . chr4 139666293 139666294 chr4:139666294:C:T rs8192006 C T C EBF1_EBF_1 28 0 + 0 0 . chr4 139668995 139668996 chr4:139668996:A:C rs2602253 A C C EBF1_EBF_1 27 0 + 0 0 . chr4 139669000 139669001 chr4:139669001:C:T rs2646046 C T T EBF1_EBF_1 32 0 + 0 0 . chr4 139735669 139735670 chr4:139735670:T:C rs956429229 T C T EBF1_EBF_1 -7 0 + 0 0 . chr4 139735694 139735695 chr4:139735695:T:G rs13143125 T G T EBF1_EBF_1 18 0 + 0 0 . chr4 139774975 139774976 chr4:139774976:C:T rs932012 C T T EBF1_EBF_1 7 1 - 5.677870154804522 6.9903285600933485 TTTCCCCGGAGAGA chr4 139816502 139816503 chr4:139816503:T:C rs186738604 T C T EBF1_EBF_1 -16 0 - 0 0 . chr4 139853529 139853530 chr4:139853530:A:G rs17289010 A G A EBF1_EBF_1 -20 0 - 0 0 . chr4 139860002 139860003 chr4:139860003:A:G rs2667366 A G G EBF1_EBF_1 24 0 - 0 0 . chr4 139866540 139866541 chr4:139866541:C:T rs2271391 C T T EBF1_EBF_1 20 0 + 0 0 . chr4 139871671 139871672 chr4:139871672:C:T rs113278137 C T C EBF1_EBF_1 4 1 + 7.911083704886071 3.5416967599411477 ACTCCCCTGGGTCC chr4 139889482 139889483 chr4:139889483:C:T rs11100342 C T T EBF1_EBF_1 -18 0 - 0 0 . chr4 139893083 139893084 chr4:139893084:A:T rs13118868 A T t EBF1_EBF_1 15 0 + 0 0 . chr4 139900035 139900036 chr4:139900036:A:G rs12500600 A G a EBF1_EBF_1 7 1 + 13.881140338028366 12.56868193273954 AGTCCCAAGGGACT chr4 139978797 139978798 chr4:139978798:T:C rs942467199 T C t EBF1_EBF_1 14 0 + 0 0 . chr4 139978804 139978805 chr4:139978805:T:G rs116655223 T G t EBF1_EBF_1 21 0 + 0 0 . chr4 140328056 140328057 chr4:140328057:C:T rs12508456 C T C EBF1_EBF_1 -9 0 - 0 0 . chr4 140524355 140524356 chr4:140524356:C:T rs149998004 C T C EBF1_EBF_1 9 1 - 4.378337833416248 1.7233985679686439 AACCCCGCGGGGCT chr4 140581306 140581307 chr4:140581307:C:T rs7665454 C T T EBF1_EBF_1 7 1 + 9.143703459722857 10.96120820600548 GCTCCCCCGGGACT chr4 140603596 140603597 chr4:140603597:C:T rs4956455 C T C EBF1_EBF_1 14 0 + 0 0 . chr4 140603610 140603611 chr4:140603611:T:A rs4956456 T A A EBF1_EBF_1 28 0 + 0 0 . chr4 140642864 140642865 chr4:140642865:G:A rs181066605 G A G EBF1_EBF_1 26 0 - 0 0 . chr4 140644963 140644964 chr4:140644964:C:T rs6843773 C T T EBF1_EBF_1 8 1 - 6.276317644367428 2.050027555481166 ACACCCCTGGGGCT chr4 140755824 140755825 chr4:140755825:G:A rs773878644 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 140757015 140757016 chr4:140757016:G:A chr4:140757016:G:A G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 140790787 140790788 chr4:140790788:G:A rs137939071 G A A EBF1_EBF_1 19 0 + 0 0 . chr4 140893934 140893935 chr4:140893935:A:C rs10034843 A C A EBF1_EBF_1 32 0 - 0 0 . chr4 141080828 141080829 chr4:141080829:G:A rs10018753 G A G EBF1_EBF_1 25 0 + 0 0 . chr4 141090760 141090761 chr4:141090761:C:T rs11734543 C T T EBF1_EBF_1 10 1 - 4.54157267124831 -0.7764557880186567 AATCACCAGGGGCT chr4 141090786 141090787 chr4:141090787:C:T rs11734545 C T T EBF1_EBF_1 -16 0 - 0 0 . chr4 141118129 141118130 chr4:141118130:G:A rs62324902 G A G EBF1_EBF_1 13 1 - 3.8863239285624043 4.730818043147923 GCTCCCCAGGAAGC chr4 141133524 141133525 chr4:141133525:T:A rs546608162 T A T EBF1_EBF_1 23 0 - 0 0 . chr4 141275824 141275825 chr4:141275825:T:A rs58861544 T A T EBF1_EBF_1 26 0 - 0 0 . chr4 141309171 141309172 chr4:141309172:C:T rs1435135 C T T EBF1_EBF_1 32 0 + 0 0 . chr4 141346273 141346274 chr4:141346274:C:G rs72936763 C G C EBF1_EBF_1 14 0 - 0 0 . chr4 141524238 141524239 chr4:141524239:A:G rs138693618 A G A EBF1_EBF_1 25 0 - 0 0 . chr4 141636645 141636646 chr4:141636646:A:G rs564989168 A G A EBF1_EBF_1 -17 0 - 0 0 . chr4 141654256 141654257 chr4:141654257:G:A rs74790983 G A A EBF1_EBF_1 -7 0 - 0 0 . chr4 141810268 141810269 chr4:141810269:T:C rs6537075 T C . EBF1_EBF_1 -13 0 - 0 0 . chr4 141810891 141810892 chr4:141810892:G:A rs55665212 G A . EBF1_EBF_1 6 1 - 7.756444414098803 8.05773054296056 TTCCCCCAGGGAGA chr4 141815814 141815815 chr4:141815815:A:G rs6847644 A G G EBF1_EBF_1 0 1 - 10.0661229364401 9.783920875709521 TCTCCCCTGGGAAC chr4 141841483 141841484 chr4:141841484:G:A rs28510073 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 141926592 141926593 chr4:141926593:T:C rs4499770 T C C EBF1_EBF_1 -3 0 - 0 0 . chr4 142091941 142091942 chr4:142091942:C:T rs10461275 C T C EBF1_EBF_1 -18 0 + 0 0 . chr4 142091945 142091946 chr4:142091946:G:T rs75141529 G T G EBF1_EBF_1 -14 0 + 0 0 . chr4 142091982 142091983 chr4:142091983:C:T rs1039936 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 142191555 142191556 chr4:142191556:C:G rs1497140 C G C EBF1_EBF_1 32 0 + 0 0 . chr4 142336606 142336607 chr4:142336607:G:A rs79147335 G A g EBF1_EBF_1 16 0 + 0 0 . chr4 142474312 142474313 chr4:142474313:C:G rs115334815 C G C EBF1_EBF_1 20 0 + 0 0 . chr4 142539769 142539770 chr4:142539770:A:G rs11100748 A G A EBF1_EBF_1 13 1 - 6.590055455795379 5.745561341209861 TGTCCCAAGGAACT chr4 142544740 142544741 chr4:142544741:G:A rs55877831 G A G EBF1_EBF_1 21 0 + 0 0 . chr4 142550063 142550064 chr4:142550064:T:C rs16998558 T C T EBF1_EBF_1 21 0 - 0 0 . chr4 142667585 142667586 chr4:142667586:T:C rs7671783 T C t EBF1_EBF_1 19 0 - 0 0 . chr4 142695945 142695946 chr4:142695946:G:A rs12650777 G A A EBF1_EBF_1 -2 0 - 0 0 . chr4 142802207 142802208 chr4:142802208:A:G rs10023146 A G A EBF1_EBF_1 7 1 + 9.215263929180033 7.902805523891209 AATCCCCAGAGAGT chr4 142802227 142802228 chr4:142802228:A:C rs12509337 A C A EBF1_EBF_1 27 0 + 0 0 . chr4 143019426 143019427 chr4:143019427:C:G rs7676293 C G C EBF1_EBF_1 -13 0 + 0 0 . chr4 143082840 143082841 chr4:143082841:C:A rs28496540 C A C EBF1_EBF_1 30 0 + 0 0 . chr4 143140279 143140280 chr4:143140280:C:T rs72719179 C T C EBF1_EBF_1 -14 0 + 0 0 . chr4 143140519 143140520 chr4:143140520:C:T rs72719180 C T C EBF1_EBF_1 -5 0 + 0 0 . chr4 143242329 143242330 chr4:143242330:T:C rs11732484 T C C EBF1_EBF_1 8 1 + 5.962059286593122 7.53483321465563 ACTCCCCTTGGACT chr4 143244797 143244798 chr4:143244798:C:T rs17017425 C T C EBF1_EBF_1 26 0 + 0 0 . chr4 143302808 143302809 chr4:143302809:G:A rs183848583 G A G EBF1_EBF_1 -8 0 + 0 0 . chr4 143336834 143336835 chr4:143336835:G:A rs561467040 G A G EBF1_EBF_1 1 1 - 8.631705599641153 9.527139040039897 GCCCCCTAGGGACA chr4 143337238 143337239 chr4:143337239:G:C rs147010310 G C G EBF1_EBF_1 7 1 + 4.030228584332525 3.0489080032511695 CCCCCCCGGAGAAA chr4 143375037 143375038 chr4:143375038:C:G rs6844575 C G C EBF1_EBF_1 16 0 - 0 0 . chr4 143581006 143581007 chr4:143581007:A:G rs35941280 A G A EBF1_EBF_1 22 0 + 0 0 . chr4 143586556 143586557 chr4:143586557:A:G rs28583054 A G A EBF1_EBF_1 11 1 + 5.913030504127776 3.020323660523764 TTCCCCAGGAGACC chr4 143665135 143665136 chr4:143665136:T:C rs7438449 T C C EBF1_EBF_1 18 0 + 0 0 . chr4 143726690 143726691 chr4:143726691:A:G rs17750042 A G A EBF1_EBF_1 -20 0 + 0 0 . chr4 143737646 143737647 chr4:143737647:G:T rs17018131 G T G EBF1_EBF_1 -12 0 + 0 0 . chr4 143853205 143853206 chr4:143853206:C:T rs113416713 C T . EBF1_EBF_1 -13 0 - 0 0 . chr4 143996462 143996463 chr4:143996463:T:C rs6537238 T C c EBF1_EBF_1 -18 0 - 0 0 . chr4 144086959 144086960 chr4:144086960:C:G rs13136943 C G . EBF1_EBF_1 -3 0 + 0 0 . chr4 144234455 144234456 chr4:144234456:A:G rs7690710 A G A EBF1_EBF_1 -7 0 + 0 0 . chr4 144253308 144253309 chr4:144253309:G:C rs4269131 G C C EBF1_EBF_1 20 0 + 0 0 . chr4 144301021 144301022 chr4:144301022:C:G rs13105533 C G G EBF1_EBF_1 -5 0 - 0 0 . chr4 144349714 144349715 chr4:144349715:C:T rs987246 C T C EBF1_EBF_1 10 1 - 4.657217293890457 -0.660811165376509 ACCCACATGGGACC chr4 144531950 144531951 chr4:144531951:G:A rs75595362 G A g EBF1_EBF_1 -12 0 - 0 0 . chr4 144584485 144584486 chr4:144584486:C:T rs10013495 C T C EBF1_EBF_1 14 0 + 0 0 . chr4 144645102 144645103 chr4:144645103:T:C rs112677294 T C T EBF1_EBF_1 27 0 + 0 0 . chr4 144645624 144645625 chr4:144645625:G:C rs1401514829 G C G EBF1_EBF_1 15 0 + 0 0 . chr4 144996451 144996452 chr4:144996452:C:T rs34919532 C T C EBF1_EBF_1 -9 0 + 0 0 . chr4 145014517 145014518 chr4:145014518:G:A rs11946220 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 145557665 145557666 chr4:145557666:G:A rs141611793 G A G EBF1_EBF_1 13 1 + 6.131437913146907 7.2457634852163455 TCTCCCCAGGGGCG chr4 145618678 145618679 chr4:145618679:A:C chr4:145618679:A:C A C A EBF1_EBF_1 11 1 + 7.193191563010699 2.7772298651583096 CCCCCCTCGGGACT chr4 145679978 145679979 chr4:145679979:G:A rs11726513 G A G EBF1_EBF_1 24 0 - 0 0 . chr4 145680003 145680004 chr4:145680004:C:A chr4:145680004:C:A C A C EBF1_EBF_1 -1 0 - 0 0 . chr4 145694846 145694847 chr4:145694847:C:T rs9996564 C T C EBF1_EBF_1 14 0 + 0 0 . chr4 145709442 145709443 chr4:145709443:T:C rs191871414 T C T EBF1_EBF_1 32 0 - 0 0 . chr4 145720730 145720731 chr4:145720731:G:A rs6823837 G A G EBF1_EBF_1 8 1 + 5.348452230539984 1.122162141653723 TTCCCCTAGGGTCA chr4 145721450 145721451 chr4:145721451:G:A rs7673057 G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 145796016 145796017 chr4:145796017:A:C rs10010535 A C A EBF1_EBF_1 20 0 - 0 0 . chr4 145937053 145937054 chr4:145937054:C:A rs564266474 C A C EBF1_EBF_1 10 1 - 5.2548027707800555 1.07205058455279 TATCCCCCGGGGCC chr4 145938450 145938451 chr4:145938451:C:T chr4:145938451:C:T C T C EBF1_EBF_1 -7 0 + 0 0 . chr4 145956784 145956785 chr4:145956785:A:T rs4073758 A T A EBF1_EBF_1 -15 0 - 0 0 . chr4 145984992 145984993 chr4:145984993:G:A rs62328081 G A G EBF1_EBF_1 8 1 + 5.594723929228027 1.3684338403417668 CTTTCCCAGGGAAT chr4 146054570 146054571 chr4:146054571:G:A rs139757845 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 146080911 146080912 chr4:146080912:G:A rs6537394 G A A EBF1_EBF_1 33 0 - 0 0 . chr4 146132596 146132597 chr4:146132597:G:A rs76466575 G A A EBF1_EBF_1 -9 0 - 0 0 . chr4 146176140 146176141 chr4:146176141:T:C rs6815527 T C C EBF1_EBF_1 -18 0 + 0 0 . chr4 146176157 146176158 chr4:146176158:A:T rs6858813 A T A EBF1_EBF_1 -1 0 + 0 0 . chr4 146273804 146273805 chr4:146273805:C:T rs17827901 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 146461560 146461561 chr4:146461561:A:G rs7439429 A G G EBF1_EBF_1 0 1 + 5.6384269415192145 3.746143071954383 AATCCCCAGGAAAG chr4 146558945 146558946 chr4:146558946:C:T rs28617320 C T C EBF1_EBF_1 -8 0 + 0 0 . chr4 146635749 146635750 chr4:146635750:G:A rs56066451 G A G EBF1_EBF_1 10 1 + 4.3236813062100214 -0.9943471530569448 ACTCCCAGGTGACA chr4 146764368 146764369 chr4:146764369:T:G rs12647139 T G T EBF1_EBF_1 -20 0 - 0 0 . chr4 146845103 146845104 chr4:146845104:G:A rs79773196 G A A EBF1_EBF_1 -14 0 - 0 0 . chr4 146916634 146916635 chr4:146916635:T:G rs4835355 T G G EBF1_EBF_1 33 0 - 0 0 . chr4 146916636 146916637 chr4:146916637:A:C rs13138511 A C A EBF1_EBF_1 31 0 - 0 0 . chr4 146943996 146943997 chr4:146943997:C:T rs73853258 C T T EBF1_EBF_1 21 0 - 0 0 . chr4 146977760 146977761 chr4:146977761:C:T rs10027282 C T T EBF1_EBF_1 -12 0 + 0 0 . chr4 147031088 147031089 chr4:147031089:G:A rs17576594 G A G EBF1_EBF_1 -11 0 + 0 0 . chr4 147292505 147292506 chr4:147292506:C:T rs1820831 C T C EBF1_EBF_1 19 0 + 0 0 . chr4 147355247 147355248 chr4:147355248:A:G rs2059904 A G G EBF1_EBF_1 15 0 + 0 0 . chr4 147388198 147388199 chr4:147388199:G:A rs150075382 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 147460177 147460178 chr4:147460178:A:G rs6852395 A G G EBF1_EBF_1 32 0 + 0 0 . chr4 147481149 147481150 chr4:147481150:T:C rs10305849 T C T EBF1_EBF_1 24 0 - 0 0 . chr4 147530681 147530682 chr4:147530682:G:A rs2048894 G A G EBF1_EBF_1 -16 0 - 0 0 . chr4 147550740 147550741 chr4:147550741:G:T rs73853933 G T g EBF1_EBF_1 5 1 - 4.877109854684303 -2.0277712979508644 TACCCCCTGAGAGT chr4 147616445 147616446 chr4:147616446:C:T rs78307506 C T C EBF1_EBF_1 28 0 + 0 0 . chr4 147678138 147678139 chr4:147678139:T:C rs7660361 T C T EBF1_EBF_1 33 0 + 0 0 . chr4 147769536 147769537 chr4:147769537:C:T rs147969333 C T c EBF1_EBF_1 7 1 - 5.814039557585805 7.126497962874629 AATCCCCGGGAAAC chr4 147769562 147769563 chr4:147769563:C:A rs116188652 C A C EBF1_EBF_1 -19 0 - 0 0 . chr4 147792015 147792016 chr4:147792016:C:T rs58274809 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 147799427 147799428 chr4:147799428:A:G rs6829479 A G A EBF1_EBF_1 22 0 - 0 0 . chr4 147800189 147800190 chr4:147800190:G:A rs974492691 G A G EBF1_EBF_1 22 0 - 0 0 . chr4 147813475 147813476 chr4:147813476:A:G rs7683103 A G G EBF1_EBF_1 -9 0 - 0 0 . chr4 147832200 147832201 chr4:147832201:G:A rs10018903 G A A EBF1_EBF_1 -4 0 + 0 0 . chr4 147861976 147861977 chr4:147861977:C:G rs7700162 C G G EBF1_EBF_1 -6 0 + 0 0 . chr4 147864274 147864275 chr4:147864275:C:G rs13129325 C G G EBF1_EBF_1 21 0 + 0 0 . chr4 147925241 147925242 chr4:147925242:A:G rs113596751 A G A EBF1_EBF_1 27 0 - 0 0 . chr4 147925265 147925266 chr4:147925266:G:T rs112911809 G T G EBF1_EBF_1 3 1 - 5.572278826316458 -1.3338952031493487 AATCCCAGGAGGAA chr4 147927733 147927734 chr4:147927734:T:G rs11934409 T G G EBF1_EBF_1 31 0 - 0 0 . chr4 147984202 147984203 chr4:147984203:G:A rs115749446 G A G EBF1_EBF_1 5 1 - 5.101267310463474 -0.2205199050771957 ATTCCCCAGGCAGC chr4 147984217 147984218 chr4:147984218:G:A rs189949720 G A G EBF1_EBF_1 -10 0 - 0 0 . chr4 148004864 148004865 chr4:148004865:T:C rs142437660 T C T EBF1_EBF_1 -12 0 - 0 0 . chr4 148070362 148070363 chr4:148070363:G:C rs3884525 G C C EBF1_EBF_1 -15 0 - 0 0 . chr4 148162152 148162153 chr4:148162153:G:A rs7694706 G A G EBF1_EBF_1 3 1 - 6.134816566945305 -0.2705821746080105 ATTCCCTTGAGCCC chr4 148162673 148162674 chr4:148162674:C:T rs3846299 C T C EBF1_EBF_1 10 1 - 4.107788404212263 -1.2102400550547028 AGCCCCATGCGACG chr4 148193379 148193380 chr4:148193380:G:A rs62331993 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 148210007 148210008 chr4:148210008:T:C rs116534903 T C T EBF1_EBF_1 2 1 + 5.790432838876038 3.9016094274338884 TTTCCCTTGGGCTC chr4 148330826 148330827 chr4:148330827:T:C rs12508913 T C T EBF1_EBF_1 7 1 - 6.900395662721856 5.587937257433031 ATCGCCAAGGGAAT chr4 148396324 148396325 chr4:148396325:T:A rs9993239 T A T EBF1_EBF_1 -5 0 + 0 0 . chr4 148426781 148426782 chr4:148426782:G:T rs13133379 G T T EBF1_EBF_1 8 1 + 4.0986995019740196 -2.792733287003175 CATCCACAGGGACT chr4 148444048 148444049 chr4:148444049:C:T rs1214092144 C T C EBF1_EBF_1 6 1 - 4.451401473926559 6.618195905201034 GGCCCCGCGGGAAA chr4 148447202 148447203 chr4:148447203:A:G rs79565887 A G A EBF1_EBF_1 33 0 + 0 0 . chr4 148462140 148462141 chr4:148462141:G:A rs1490458 G A A EBF1_EBF_1 20 0 + 0 0 . chr4 148575295 148575296 chr4:148575296:G:A rs35506581 G A G EBF1_EBF_1 -4 0 - 0 0 . chr4 148653908 148653909 chr4:148653909:A:G rs62334362 A G A EBF1_EBF_1 26 0 - 0 0 . chr4 148653945 148653946 chr4:148653946:G:C rs72734097 G C G EBF1_EBF_1 -11 0 - 0 0 . chr4 148654794 148654795 chr4:148654795:A:C rs72734098 A C A EBF1_EBF_1 13 1 - 5.374017230675313 3.04145209473438 TCTCCCAAGGAATT chr4 148694503 148694504 chr4:148694504:C:T rs58478397 C T C EBF1_EBF_1 17 0 - 0 0 . chr4 148730934 148730935 chr4:148730935:G:A rs17025034 G A A EBF1_EBF_1 3 1 - 4.802537578083124 -1.6028611634701948 AATCCCCCGGAACC chr4 148738992 148738993 chr4:148738993:A:G rs6822359 A G A EBF1_EBF_1 -5 0 + 0 0 . chr4 148741427 148741428 chr4:148741428:C:G rs7695810 C G C EBF1_EBF_1 8 1 - 4.360651169931275 -0.9580076909834121 CCTCCCAAGGTGCT chr4 148790888 148790889 chr4:148790889:A:C rs10519990 A C A EBF1_EBF_1 32 0 - 0 0 . chr4 148795315 148795316 chr4:148795316:C:T rs974413360 C T C EBF1_EBF_1 4 1 + 7.060316992569177 2.690930047624255 GTTCCCAAGGGCCA chr4 148832170 148832171 chr4:148832171:G:C rs79332521 G C G EBF1_EBF_1 25 0 - 0 0 . chr4 148842766 148842767 chr4:148842767:G:T rs1403455 G T G EBF1_EBF_1 24 0 + 0 0 . chr4 148845763 148845764 chr4:148845764:T:C rs17721605 T C T EBF1_EBF_1 23 0 - 0 0 . chr4 148944084 148944085 chr4:148944085:A:G rs76745326 A G A EBF1_EBF_1 27 0 - 0 0 . chr4 148944091 148944092 chr4:148944092:G:A rs4141387 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 149016806 149016807 chr4:149016807:G:A rs4449392 G A A EBF1_EBF_1 -9 0 - 0 0 . chr4 149135809 149135810 chr4:149135810:C:T rs965922 C T C EBF1_EBF_1 1 1 + 7.208561812480725 8.10399525287947 CCCCCCAGGGGATT chr4 149296892 149296893 chr4:149296893:C:T rs71618313 C T C EBF1_EBF_1 18 0 + 0 0 . chr4 149349663 149349664 chr4:149349664:T:A rs4311288 T A T EBF1_EBF_1 21 0 - 0 0 . chr4 149520396 149520397 chr4:149520397:G:A rs72726119 G A G EBF1_EBF_1 6 1 - 5.62451192263176 5.925798051493518 ATTACCCTGGGACA chr4 149692158 149692159 chr4:149692159:C:T rs7659523 C T T EBF1_EBF_1 24 0 - 0 0 . chr4 149716458 149716459 chr4:149716459:A:G rs12386444 A G A EBF1_EBF_1 7 1 + 5.022192078740893 3.709733673452068 GCCCCCAAGAGAGC chr4 149727663 149727664 chr4:149727664:T:C rs1503751 T C T EBF1_EBF_1 32 0 + 0 0 . chr4 149848319 149848320 chr4:149848320:C:T rs146490418 C T C EBF1_EBF_1 8 1 - 4.187187528881177 -0.03910256000508544 ACTCCCTAGTGAGA chr4 149915619 149915620 chr4:149915620:G:C rs4386579 G C G EBF1_EBF_1 28 0 - 0 0 . chr4 149980604 149980605 chr4:149980605:G:A rs11930273 G A A EBF1_EBF_1 -2 0 - 0 0 . chr4 149987597 149987598 chr4:149987598:A:G rs116751265 A G A EBF1_EBF_1 23 0 + 0 0 . chr4 150078567 150078568 chr4:150078568:C:A chr4:150078568:C:A C A C EBF1_EBF_1 22 0 + 0 0 . chr4 150079126 150079127 chr4:150079127:A:T rs1234394297 A T A EBF1_EBF_1 25 0 - 0 0 . chr4 150079137 150079138 chr4:150079138:G:A rs1272805570 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 150115534 150115535 chr4:150115535:T:G rs548150340 T G T EBF1_EBF_1 -6 0 + 0 0 . chr4 150168878 150168879 chr4:150168879:T:C rs28549386 T C C EBF1_EBF_1 2 1 + 5.568468069481924 3.6796446580397753 TTTCCCAAGGTGAT chr4 150176947 150176948 chr4:150176948:G:A rs72732403 G A G EBF1_EBF_1 23 0 + 0 0 . chr4 150381794 150381795 chr4:150381795:G:C rs76682500 G C G EBF1_EBF_1 19 0 + 0 0 . chr4 150527830 150527831 chr4:150527831:T:C rs10021103 T C T EBF1_EBF_1 12 1 - 7.066057289222204 5.647239947122727 TGTCCCTGGAGAAC chr4 150580515 150580516 chr4:150580516:G:T rs137996769 G T G EBF1_EBF_1 16 0 + 0 0 . chr4 150580526 150580527 chr4:150580527:A:T rs559214323 A T A EBF1_EBF_1 27 0 + 0 0 . chr4 150684169 150684170 chr4:150684170:G:A rs28379788 G A G EBF1_EBF_1 21 0 + 0 0 . chr4 150684181 150684182 chr4:150684182:T:C rs12505967 T C T EBF1_EBF_1 33 0 + 0 0 . chr4 150723020 150723021 chr4:150723021:G:A rs72738310 G A G EBF1_EBF_1 -11 0 + 0 0 . chr4 150966127 150966128 chr4:150966128:T:C rs6839352 T C T EBF1_EBF_1 -8 0 + 0 0 . chr4 151032479 151032480 chr4:151032480:C:T rs147844268 C T C EBF1_EBF_1 -1 0 + 0 0 . chr4 151206771 151206772 chr4:151206772:C:T rs74883557 C T C EBF1_EBF_1 -10 0 - 0 0 . chr4 151291450 151291451 chr4:151291451:T:G rs2407221 T G G EBF1_EBF_1 15 0 + 0 0 . chr4 151356674 151356675 chr4:151356675:A:G rs73861174 A G A EBF1_EBF_1 8 1 + 5.674594400439613 9.900884489325872 GTCCCCTAAGGACC chr4 151356682 151356683 chr4:151356683:G:T rs115903892 G T G EBF1_EBF_1 16 0 + 0 0 . chr4 151408594 151408595 chr4:151408595:C:G rs180909728 C G C EBF1_EBF_1 15 0 - 0 0 . chr4 151408886 151408887 chr4:151408887:C:T rs73861286 C T C EBF1_EBF_1 22 0 + 0 0 . chr4 151489176 151489177 chr4:151489177:C:G rs1596289 C G C EBF1_EBF_1 31 0 + 0 0 . chr4 151523478 151523479 chr4:151523479:T:A rs3929153 T A A EBF1_EBF_1 13 1 + 5.823278958201904 4.60503939433041 ATCCCGAAGGGAAT chr4 151542744 151542745 chr4:151542745:G:T rs55932132 G T G EBF1_EBF_1 5 1 - 8.060936184128842 1.1560550314936715 TTCCCCCAGGGAAG chr4 151567149 151567150 chr4:151567150:T:C rs7674244 T C C EBF1_EBF_1 21 0 - 0 0 . chr4 151576411 151576412 chr4:151576412:A:G rs6836343 A G G EBF1_EBF_1 11 1 + 6.524354541831157 3.6316476982271455 AACCTCCTGGGACT chr4 151581947 151581948 chr4:151581948:T:A rs73862064 T A A EBF1_EBF_1 19 0 - 0 0 . chr4 151614593 151614594 chr4:151614594:C:T rs77117823 C T C EBF1_EBF_1 7 1 - 6.162816784706281 7.475275189995106 GGCCCCAGGAGAAT chr4 151666817 151666818 chr4:151666818:C:A rs17360385 C A C EBF1_EBF_1 13 1 - 6.7154884937418755 9.048053629682808 ATTCCCAGAGGACG chr4 151676387 151676388 chr4:151676388:A:G rs17027798 A G G EBF1_EBF_1 13 1 - 6.072647408750289 5.228153294164771 ATCCCCTGAGGATT chr4 151689434 151689435 chr4:151689435:T:G rs9996320 T G G EBF1_EBF_1 29 0 - 0 0 . chr4 151690464 151690465 chr4:151690465:T:C rs115062709 T C C EBF1_EBF_1 27 0 - 0 0 . chr4 151753239 151753240 chr4:151753240:A:C rs113801043 A C C EBF1_EBF_1 -12 0 - 0 0 . chr4 151765913 151765914 chr4:151765914:G:A rs1469485 G A A EBF1_EBF_1 25 0 + 0 0 . chr4 151771783 151771784 chr4:151771784:C:T rs78920152 C T C EBF1_EBF_1 20 0 + 0 0 . chr4 151796604 151796605 chr4:151796605:C:T rs13149588 C T T EBF1_EBF_1 21 0 - 0 0 . chr4 151806777 151806778 chr4:151806778:G:A rs1479082982 G A G EBF1_EBF_1 1 1 + 4.96639833641291 4.705699504491608 AGACCCAAGGGCAC chr4 151926538 151926539 chr4:151926539:G:A rs937137189 G A G EBF1_EBF_1 21 0 - 0 0 . chr4 151931415 151931416 chr4:151931416:C:T rs509848 C T T EBF1_EBF_1 1 1 - 4.916320369166458 4.655621537245156 AGTCACCTGGGAAG chr4 151931906 151931907 chr4:151931907:C:T rs77487461 C T C EBF1_EBF_1 -3 0 + 0 0 . chr4 152000706 152000707 chr4:152000707:C:A rs553187189 C A C EBF1_EBF_1 8 1 - 5.701056775605505 -1.190376013371691 CTTCCCAGGGAACT chr4 152005049 152005050 chr4:152005050:T:C rs10010138 T C C EBF1_EBF_1 13 1 + 5.822556413528627 4.978062298943109 GCTCCCCAAGGAGT chr4 152039897 152039898 chr4:152039898:T:G rs28574816 T G T EBF1_EBF_1 -19 0 + 0 0 . chr4 152105041 152105042 chr4:152105042:A:T rs188176633 A T A EBF1_EBF_1 26 0 - 0 0 . chr4 152220617 152220618 chr4:152220618:A:T rs114358677 A T A EBF1_EBF_1 24 0 - 0 0 . chr4 152243865 152243866 chr4:152243866:G:T rs79836339 G T G EBF1_EBF_1 21 0 + 0 0 . chr4 152257552 152257553 chr4:152257553:C:G rs72738114 C G G EBF1_EBF_1 17 0 - 0 0 . chr4 152282503 152282504 chr4:152282504:A:G rs72719938 A G G EBF1_EBF_1 2 1 - 4.467312631470728 2.5784892200285774 CATCCCCAGGAACA chr4 152298806 152298807 chr4:152298807:G:A rs72719957 G A G EBF1_EBF_1 -16 0 - 0 0 . chr4 152643302 152643303 chr4:152643303:T:G rs11731739 T G T EBF1_EBF_1 -3 0 - 0 0 . chr4 152668712 152668713 chr4:152668713:G:T rs10008259 G T G EBF1_EBF_1 11 1 + 4.497333400758384 3.2921259882636695 CCACCCCAGGGGCT chr4 152680202 152680203 chr4:152680203:C:T rs77090947 C T C EBF1_EBF_1 6 1 + 4.347801217939107 4.649087346800865 CGTCCCCGGGGCAA chr4 152708585 152708586 chr4:152708586:A:C chr4:152708586:A:C A C A EBF1_EBF_1 -16 0 - 0 0 . chr4 152775482 152775483 chr4:152775483:C:T rs6850467 C T C EBF1_EBF_1 -15 0 - 0 0 . chr4 152935978 152935979 chr4:152935979:C:T rs969581593 C T C EBF1_EBF_1 3 1 + 5.575927828445205 -0.8294709131081124 GTTCCCCGAGGACA chr4 152936000 152936001 chr4:152936001:T:G rs150951767 T G T EBF1_EBF_1 25 0 + 0 0 . chr4 152936005 152936006 chr4:152936006:A:C rs866057841 A C A EBF1_EBF_1 30 0 + 0 0 . chr4 152936691 152936692 chr4:152936692:G:A rs552336150 G A G EBF1_EBF_1 -8 0 - 0 0 . chr4 152936702 152936703 chr4:152936703:C:G rs949253240 C G C EBF1_EBF_1 -19 0 - 0 0 . chr4 152936799 152936800 chr4:152936800:C:G rs538140578 C G C EBF1_EBF_1 26 0 - 0 0 . chr4 152937446 152937447 chr4:152937447:A:G rs908521 A G G EBF1_EBF_1 33 0 - 0 0 . chr4 152983931 152983932 chr4:152983932:A:G rs6816876 A G A EBF1_EBF_1 6 1 + 4.504703749690905 2.3379093184164295 TTTCCCAAGGCACA chr4 152988483 152988484 chr4:152988484:T:A rs72952911 T A A EBF1_EBF_1 1 1 - 4.001992078898994 4.968352696649824 GATCCCCCAGGACC chr4 152990743 152990744 chr4:152990744:G:A rs17029440 G A G EBF1_EBF_1 -8 0 + 0 0 . chr4 152996231 152996232 chr4:152996232:C:T rs139646455 C T C EBF1_EBF_1 7 1 + 3.8818754009544607 5.699380147237085 CCTCCCACGGGCCC chr4 153013764 153013765 chr4:153013765:G:A rs35130690 G A G EBF1_EBF_1 5 1 - 4.723754028720849 -0.5980331868198211 ACCCTCATGGGAGC chr4 153015994 153015995 chr4:153015995:T:C rs11931449 T C C EBF1_EBF_1 26 0 - 0 0 . chr4 153018634 153018635 chr4:153018635:T:C rs34016136 T C T EBF1_EBF_1 29 0 - 0 0 . chr4 153021564 153021565 chr4:153021565:C:T rs6535890 C T C EBF1_EBF_1 10 1 - 3.47532704368369 -1.842701415583276 CCTCCCCGGGGCTC chr4 153034787 153034788 chr4:153034788:C:T rs869537 C T T EBF1_EBF_1 -11 0 - 0 0 . chr4 153044058 153044059 chr4:153044059:T:G rs2897329 T G G EBF1_EBF_1 -20 0 - 0 0 . chr4 153064647 153064648 chr4:153064648:C:T rs11930064 C T C EBF1_EBF_1 26 0 - 0 0 . chr4 153079559 153079560 chr4:153079560:G:A rs61455990 G A G EBF1_EBF_1 -1 0 + 0 0 . chr4 153099502 153099503 chr4:153099503:G:A rs7693286 G A G EBF1_EBF_1 9 1 + 6.474618952424793 3.8196796869771887 CAACCCCAGGGACC chr4 153112135 153112136 chr4:153112136:G:A rs1176660159 G A G EBF1_EBF_1 15 0 + 0 0 . chr4 153112150 153112151 chr4:153112151:G:A rs117670617 G A G EBF1_EBF_1 30 0 + 0 0 . chr4 153166910 153166911 chr4:153166911:G:A rs4235230 G A A EBF1_EBF_1 6 1 + 3.858943107100524 6.025737538375 CCTCCCGGGGGTCT chr4 153172954 153172955 chr4:153172955:G:C rs11099874 G C G EBF1_EBF_1 4 1 - 6.974768072621219 1.3490029714716751 AACCCCCTGGGGTT chr4 153172956 153172957 chr4:153172957:G:C rs11099875 G C C EBF1_EBF_1 2 1 - 6.974768072621219 2.1152657938629016 AACCCCCTGGGGTT chr4 153181490 153181491 chr4:153181491:G:A rs62323670 G A G EBF1_EBF_1 8 1 + 7.488562534459875 3.2622724455736143 TGCCCCAGGGGACA chr4 153183792 153183793 chr4:153183793:G:T rs80091133 G T G EBF1_EBF_1 -14 0 - 0 0 . chr4 153220973 153220974 chr4:153220974:T:C rs4696439 T C C EBF1_EBF_1 -19 0 + 0 0 . chr4 153221020 153221021 chr4:153221021:A:C rs4696440 A C C EBF1_EBF_1 28 0 + 0 0 . chr4 153223074 153223075 chr4:153223075:C:A rs7680503 C A C EBF1_EBF_1 17 0 + 0 0 . chr4 153232947 153232948 chr4:153232948:C:A rs114954953 C A C EBF1_EBF_1 -11 0 + 0 0 . chr4 153249349 153249350 chr4:153249350:G:T rs186405219 G T G EBF1_EBF_1 -5 0 + 0 0 . chr4 153249356 153249357 chr4:153249357:C:G rs1247692215 C G C EBF1_EBF_1 2 1 + 5.645278304657939 0.7857760258996229 AGCCCCTAGGGCTC chr4 153249377 153249378 chr4:153249378:T:G rs543691005 T G T EBF1_EBF_1 23 0 + 0 0 . chr4 153249641 153249642 chr4:153249642:G:A rs190917633 G A G EBF1_EBF_1 2 1 - 2.9899038554267836 4.8787272668689345 GGCCCCCAGGGCCG chr4 153360884 153360885 chr4:153360885:T:G rs62324664 T G G EBF1_EBF_1 -16 0 - 0 0 . chr4 153375215 153375216 chr4:153375216:G:T rs12503471 G T T EBF1_EBF_1 -12 0 + 0 0 . chr4 153431881 153431882 chr4:153431882:G:A rs13129991 G A a EBF1_EBF_1 9 1 + 4.512569105743858 1.8576298402962546 AAACCCAGGGGGCA chr4 153447700 153447701 chr4:153447701:A:G rs139526200 A G A EBF1_EBF_1 6 1 + 10.560155177923988 8.393360746649515 ACTCCCATGAGACT chr4 153452092 153452093 chr4:153452093:C:T rs111821101 C T C EBF1_EBF_1 -11 0 - 0 0 . chr4 153465616 153465617 chr4:153465617:G:A rs9990507 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 153467072 153467073 chr4:153467073:C:G rs967645711 C G C EBF1_EBF_1 27 0 - 0 0 . chr4 153467094 153467095 chr4:153467095:G:A chr4:153467095:G:A G A G EBF1_EBF_1 5 1 - 4.7033460204517725 -0.6184411950888965 TTCCTCCTGGGAAC chr4 153468808 153468809 chr4:153468809:A:G rs116489051 A G A EBF1_EBF_1 2 1 - 10.219242882221545 8.330419470779395 GCTCCCCAGGGACA chr4 153472850 153472851 chr4:153472851:A:T rs72729661 A T A EBF1_EBF_1 -11 0 + 0 0 . chr4 153489150 153489151 chr4:153489151:C:T rs1176658311 C T C EBF1_EBF_1 19 0 + 0 0 . chr4 153538802 153538803 chr4:153538803:G:C rs78947501 G C G EBF1_EBF_1 22 0 - 0 0 . chr4 153538805 153538806 chr4:153538806:C:G rs17369958 C G C EBF1_EBF_1 19 0 - 0 0 . chr4 153541561 153541562 chr4:153541562:G:A rs536727537 G A G EBF1_EBF_1 -2 0 - 0 0 . chr4 153541870 153541871 chr4:153541871:G:C rs17030112 G C G EBF1_EBF_1 -2 0 + 0 0 . chr4 153541896 153541897 chr4:153541897:C:T rs72971056 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 153594401 153594402 chr4:153594402:G:C rs35988013 G C G EBF1_EBF_1 26 0 - 0 0 . chr4 153594404 153594405 chr4:153594405:G:T rs35180316 G T G EBF1_EBF_1 23 0 - 0 0 . chr4 153605953 153605954 chr4:153605954:T:A rs76328453 T A T EBF1_EBF_1 18 0 - 0 0 . chr4 153626671 153626672 chr4:153626672:G:T rs4696472 G T G EBF1_EBF_1 -12 0 + 0 0 . chr4 153655749 153655750 chr4:153655750:G:A rs79054924 G A A EBF1_EBF_1 18 0 - 0 0 . chr4 153661152 153661153 chr4:153661153:T:C rs7680448 T C T EBF1_EBF_1 -14 0 - 0 0 . chr4 153684464 153684465 chr4:153684465:A:C rs56346547 A C C EBF1_EBF_1 1 1 - 4.417520808606274 3.7118590227767454 GTTCCCCAGAGCCC chr4 153684742 153684743 chr4:153684743:G:C rs56000463 G C G EBF1_EBF_1 6 1 - 5.409962959656013 3.6047708961828135 ACCCCCCCGGGGCC chr4 153684758 153684759 chr4:153684759:G:A rs55714645 G A G EBF1_EBF_1 -10 0 - 0 0 . chr4 153684775 153684776 chr4:153684776:C:T rs981125151 C T C EBF1_EBF_1 31 0 - 0 0 . chr4 153733490 153733491 chr4:153733491:A:C rs2606329 A C A EBF1_EBF_1 -14 0 + 0 0 . chr4 153743772 153743773 chr4:153743773:G:T rs72731672 G T G EBF1_EBF_1 32 0 - 0 0 . chr4 153795254 153795255 chr4:153795255:A:G rs62325141 A G . EBF1_EBF_1 25 0 + 0 0 . chr4 153799085 153799086 chr4:153799086:C:T rs11732581 C T . EBF1_EBF_1 33 0 + 0 0 . chr4 153810675 153810676 chr4:153810676:T:C rs10006749 T C T EBF1_EBF_1 26 0 + 0 0 . chr4 154025509 154025510 chr4:154025510:T:G rs111785721 T G T EBF1_EBF_1 23 0 - 0 0 . chr4 154066954 154066955 chr4:154066955:G:C rs71620288 G C G EBF1_EBF_1 33 0 - 0 0 . chr4 154067968 154067969 chr4:154067969:G:A rs13106197 G A G EBF1_EBF_1 -9 0 - 0 0 . chr4 154163954 154163955 chr4:154163955:G:A rs36123238 G A G EBF1_EBF_1 0 1 - 5.723377563464804 6.005579624195382 CTCCCCTTGGTACT chr4 154430445 154430446 chr4:154430446:A:G rs9995943 A G G EBF1_EBF_1 -3 0 - 0 0 . chr4 154489912 154489913 chr4:154489913:T:C rs7656522 T C C EBF1_EBF_1 -8 0 - 0 0 . chr4 154490786 154490787 chr4:154490787:A:C rs17373874 A C C EBF1_EBF_1 30 0 - 0 0 . chr4 154490795 154490796 chr4:154490796:C:A rs184619033 C A C EBF1_EBF_1 21 0 - 0 0 . chr4 154510304 154510305 chr4:154510305:C:G rs73856418 C G C EBF1_EBF_1 2 1 + 5.241696437966529 0.38219415920821026 TGCCCCCAGGGCCT chr4 154523605 154523606 chr4:154523606:A:G rs4642230 A G a EBF1_EBF_1 13 1 - 9.433987162364609 8.58949304777909 GCCCCCATGGGACT chr4 154709709 154709710 chr4:154709710:C:T rs276176 C T T EBF1_EBF_1 5 1 + 6.590959880802089 1.269172665261419 CTACCCCTGGGACA chr4 154745925 154745926 chr4:154745926:T:C rs17031988 T C T EBF1_EBF_1 -3 0 + 0 0 . chr4 154838735 154838736 chr4:154838736:G:A rs11099964 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 154954982 154954983 chr4:154954983:A:G rs10025331 A G G EBF1_EBF_1 15 0 + 0 0 . chr4 155044538 155044539 chr4:155044539:G:A rs56223002 G A g EBF1_EBF_1 6 1 + 3.7600238150411345 5.92681824631561 CTTCCCGCGGGGCA chr4 155059758 155059759 chr4:155059759:T:G rs60433061 T G G EBF1_EBF_1 29 0 + 0 0 . chr4 155403911 155403912 chr4:155403912:G:A rs10025655 G A A EBF1_EBF_1 3 1 - 9.170195294107941 2.764796552554624 CTTCCCTTGAGAAT chr4 155522724 155522725 chr4:155522725:A:C rs1400623 A C A EBF1_EBF_1 -8 0 + 0 0 . chr4 155552479 155552480 chr4:155552480:C:T rs115117583 C T C EBF1_EBF_1 3 1 + 11.045789388362717 4.640390646809401 ATCCCCCTGGGAAC chr4 155573282 155573283 chr4:155573283:C:T rs13117599 C T C EBF1_EBF_1 17 0 - 0 0 . chr4 155573293 155573294 chr4:155573294:G:A rs13118136 G A G EBF1_EBF_1 6 1 - 7.457992191056693 7.759278319918451 CATCCCCGGGGAGC chr4 155598046 155598047 chr4:155598047:G:A rs9998255 G A A EBF1_EBF_1 19 0 - 0 0 . chr4 155667651 155667652 chr4:155667652:G:A rs1037966241 G A G EBF1_EBF_1 -14 0 - 0 0 . chr4 155723021 155723022 chr4:155723022:A:G rs13131521 A G G EBF1_EBF_1 20 0 + 0 0 . chr4 155759607 155759608 chr4:155759608:G:A rs75998227 G A G EBF1_EBF_1 14 0 + 0 0 . chr4 155760107 155760108 chr4:155760108:C:G rs531182889 C G C EBF1_EBF_1 18 0 + 0 0 . chr4 155826645 155826646 chr4:155826646:A:C rs12645566 A C A EBF1_EBF_1 -4 0 + 0 0 . chr4 155955399 155955400 chr4:155955400:G:A rs78951138 G A G EBF1_EBF_1 9 1 + 6.899917256787518 4.244977991339915 ATCCCTATGGGACT chr4 155964364 155964365 chr4:155964365:C:T rs12510509 C T C EBF1_EBF_1 -8 0 + 0 0 . chr4 156016057 156016058 chr4:156016058:C:T rs11100027 C T T EBF1_EBF_1 10 1 - 5.980379698135516 0.66235123886855 ATTGCCATGGGAAG chr4 156046859 156046860 chr4:156046860:A:C rs4602450 A C - EBF1_EBF_1 -5 0 - 0 0 . chr4 156077446 156077447 chr4:156077447:G:A rs4690843 G A g EBF1_EBF_1 8 1 + 8.633485220094435 4.407195131208174 AGCCCCAAGGGATG chr4 156115269 156115270 chr4:156115270:T:C rs7673288 T C t EBF1_EBF_1 25 0 + 0 0 . chr4 156219191 156219192 chr4:156219192:T:A chr4:156219192:T:A T A T EBF1_EBF_1 6 1 - 4.923185308071572 4.862869069132053 ATCCCCAAGGGCTG chr4 156294465 156294466 chr4:156294466:A:C rs17034349 A C A EBF1_EBF_1 32 0 - 0 0 . chr4 156347032 156347033 chr4:156347033:C:T rs11725600 C T C EBF1_EBF_1 1 1 - 4.695020171547452 4.43432133962615 AGCCCCCTAGGAGC chr4 156378297 156378298 chr4:156378298:C:G rs41518444 C G C EBF1_EBF_1 5 1 + 4.416347920457879 -2.4885332321772906 GCCCCCTTGAGAAG chr4 156600697 156600698 chr4:156600698:A:G rs112718018 A G a EBF1_EBF_1 22 0 + 0 0 . chr4 156821033 156821034 chr4:156821034:T:C rs74732169 T C T EBF1_EBF_1 1 1 + 10.64127590215854 9.745842461759796 ATTCCCATGAGAAC chr4 156837951 156837952 chr4:156837952:A:G rs116780087 A G A EBF1_EBF_1 20 0 - 0 0 . chr4 156943327 156943328 chr4:156943328:T:C rs72683370 T C T EBF1_EBF_1 7 1 + 9.927775697543073 8.11027095126045 ATTCCCATGGTACT chr4 156975397 156975398 chr4:156975398:C:T rs1443235 C T T EBF1_EBF_1 -5 0 - 0 0 . chr4 157076923 157076924 chr4:157076924:T:C rs552936197 T C T EBF1_EBF_1 -9 0 - 0 0 . chr4 157474175 157474176 chr4:157474176:T:C rs72966605 T C C EBF1_EBF_1 -14 0 - 0 0 . chr4 157474256 157474257 chr4:157474257:T:C rs13106094 T C T EBF1_EBF_1 14 0 - 0 0 . chr4 157509275 157509276 chr4:157509276:T:C rs6854084 T C T EBF1_EBF_1 0 1 - 7.895999020362583 6.003715150797751 ATTCCCTGGGAACT chr4 157796643 157796644 chr4:157796644:A:G rs1383694 A G A EBF1_EBF_1 25 0 - 0 0 . chr4 157809027 157809028 chr4:157809028:G:A rs10517677 G A G EBF1_EBF_1 28 0 + 0 0 . chr4 157824478 157824479 chr4:157824479:A:T rs2197789 A T T EBF1_EBF_1 28 0 + 0 0 . chr4 157908712 157908713 chr4:157908713:A:G rs1448449 A G A EBF1_EBF_1 12 1 + 4.14232926405332 2.7235119219538415 CATCCCCATGGAAT chr4 157979375 157979376 chr4:157979376:T:C rs1482941743 T C T EBF1_EBF_1 10 1 + 6.8768565102012875 4.168806309099077 AATCCCTGGGTAAA chr4 158044576 158044577 chr4:158044577:G:A rs62336961 G A G EBF1_EBF_1 -2 0 - 0 0 . chr4 158051479 158051480 chr4:158051480:C:G chr4:158051480:C:G C G C EBF1_EBF_1 -11 0 - 0 0 . chr4 158051480 158051481 chr4:158051481:C:T rs74799030 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 158068678 158068679 chr4:158068679:G:A rs187902551 G A G EBF1_EBF_1 -19 0 - 0 0 . chr4 158090131 158090132 chr4:158090132:C:T rs4362869 C T - EBF1_EBF_1 -15 0 + 0 0 . chr4 158165193 158165194 chr4:158165194:G:A rs145619745 G A G EBF1_EBF_1 -16 0 - 0 0 . chr4 158171077 158171078 chr4:158171078:A:G rs757829847 A G A EBF1_EBF_1 -4 0 + 0 0 . chr4 158192698 158192699 chr4:158192699:A:G rs76586812 A G A EBF1_EBF_1 13 1 - 6.1678793867135004 5.323385272127982 AGCCCCTTGGAAAT chr4 158302166 158302167 chr4:158302167:G:A rs115386944 G A G EBF1_EBF_1 15 0 - 0 0 . chr4 158302181 158302182 chr4:158302182:T:C rs79091942 T C T EBF1_EBF_1 0 1 - 6.74874983579805 4.856465966233217 ATCCTCCAGGGACA chr4 158443928 158443929 chr4:158443929:G:C rs17037441 G C C EBF1_EBF_1 33 0 - 0 0 . chr4 158446219 158446220 chr4:158446220:A:G rs2061125 A G A EBF1_EBF_1 30 0 - 0 0 . chr4 158450973 158450974 chr4:158450974:G:C rs4586885 G C G EBF1_EBF_1 3 1 - 6.304520246266719 0.4767557981515037 TTACCCAAGAGAAT chr4 158671129 158671130 chr4:158671130:A:G rs28396605 A G A EBF1_EBF_1 29 0 - 0 0 . chr4 158671158 158671159 chr4:158671159:G:A rs116040611 G A G EBF1_EBF_1 0 1 - 5.449870658520421 5.732072719250999 CTTTCCATGGGACT chr4 158769553 158769554 chr4:158769554:A:T rs188305365 A T A EBF1_EBF_1 23 0 - 0 0 . chr4 158875158 158875159 chr4:158875159:C:G rs13143943 C G C EBF1_EBF_1 -13 0 + 0 0 . chr4 159094156 159094157 chr4:159094157:A:G rs492150 A G G EBF1_EBF_1 -19 0 + 0 0 . chr4 159103489 159103490 chr4:159103490:G:T chr4:159103490:G:T G T G EBF1_EBF_1 -19 0 - 0 0 . chr4 159104947 159104948 chr4:159104948:G:A rs77024063 G A A EBF1_EBF_1 -2 0 + 0 0 . chr4 159316007 159316008 chr4:159316008:C:T rs140544014 C T c EBF1_EBF_1 -15 0 - 0 0 . chr4 159356123 159356124 chr4:159356124:C:T rs1135004 C T C EBF1_EBF_1 18 0 - 0 0 . chr4 159400654 159400655 chr4:159400655:G:A rs181224344 G A G EBF1_EBF_1 4 1 - 8.974683977970297 4.605297033025371 TTCCCCCAGGGAGT chr4 159402078 159402079 chr4:159402079:C:A rs72967798 C A C EBF1_EBF_1 33 0 - 0 0 . chr4 159443684 159443685 chr4:159443685:G:A rs10434161 G A A EBF1_EBF_1 -8 0 + 0 0 . chr4 159500271 159500272 chr4:159500272:G:A rs2851835 G A A EBF1_EBF_1 19 0 - 0 0 . chr4 159507435 159507436 chr4:159507436:G:A rs2707666 G A A EBF1_EBF_1 -11 0 - 0 0 . chr4 159516545 159516546 chr4:159516546:A:G rs57590722 A G G EBF1_EBF_1 -6 0 - 0 0 . chr4 159567153 159567154 chr4:159567154:G:T rs9992703 G T G EBF1_EBF_1 16 0 - 0 0 . chr4 159639964 159639965 chr4:159639965:G:C rs1105951 G C G EBF1_EBF_1 -6 0 - 0 0 . chr4 159677743 159677744 chr4:159677744:T:C rs115595501 T C T EBF1_EBF_1 6 1 - 5.840662585758322 3.6738681544838467 ATTCCCATAAGAGT chr4 159698239 159698240 chr4:159698240:T:C rs2088985 T C T EBF1_EBF_1 23 0 + 0 0 . chr4 159698255 159698256 chr4:159698256:T:C rs77388851 T C T EBF1_EBF_1 11 1 - 4.781757368961149 1.8890505253571372 GTTCCCCAGGAAGC chr4 159705437 159705438 chr4:159705438:A:C rs529474850 A C A EBF1_EBF_1 -19 0 + 0 0 . chr4 159869163 159869164 chr4:159869164:C:T rs2348628 C T T EBF1_EBF_1 21 0 - 0 0 . chr4 159869200 159869201 chr4:159869201:T:C rs2348627 T C C EBF1_EBF_1 -16 0 - 0 0 . chr4 159877901 159877902 chr4:159877902:C:T rs4342154 C T C EBF1_EBF_1 9 1 - 7.565645014724675 4.9107057492770725 ACTCCCCTGGAAAT chr4 159966594 159966595 chr4:159966595:G:A rs6842001 G A A EBF1_EBF_1 -10 0 - 0 0 . chr4 160045160 160045161 chr4:160045161:A:G rs12507702 A G - EBF1_EBF_1 14 0 + 0 0 . chr4 160055966 160055967 chr4:160055967:C:T rs71609070 C T C EBF1_EBF_1 8 1 - 3.26778715303279 -0.9585029358534707 GCCCCCCTGGGCGT chr4 160096226 160096227 chr4:160096227:G:A rs114786122 G A G EBF1_EBF_1 3 1 - 3.835853856276354 -2.569544885276964 AGCCGCAGGGGACA chr4 160157214 160157215 chr4:160157215:C:T rs10016613 C T C EBF1_EBF_1 1 1 + 4.187187528881177 5.082620969279921 ACTCCCTAGTGAGA chr4 160195704 160195705 chr4:160195705:C:A rs998213 C A C EBF1_EBF_1 27 0 + 0 0 . chr4 160224437 160224438 chr4:160224438:C:T rs2098600 C T C EBF1_EBF_1 -6 0 - 0 0 . chr4 160246996 160246997 chr4:160246997:T:G rs74938770 T G T EBF1_EBF_1 7 1 + 9.53388860737314 8.697704442171872 ACTCCCATGAGATT chr4 160277362 160277363 chr4:160277363:A:C rs36084300 A C A EBF1_EBF_1 28 0 + 0 0 . chr4 160434296 160434297 chr4:160434297:C:T rs1595662 C T C EBF1_EBF_1 30 0 + 0 0 . chr4 160434827 160434828 chr4:160434828:A:C rs9999332 A C C EBF1_EBF_1 -15 0 - 0 0 . chr4 160551668 160551669 chr4:160551669:A:G rs17355592 A G G EBF1_EBF_1 27 0 - 0 0 . chr4 160699704 160699705 chr4:160699705:A:G rs2350476 A G A EBF1_EBF_1 7 1 + 4.533477309169344 3.221018903880518 TGCCCCCAGGGTTT chr4 160764885 160764886 chr4:160764886:T:G rs3846256 T G G EBF1_EBF_1 -8 0 - 0 0 . chr4 161067807 161067808 chr4:161067808:A:C rs17301745 A C C EBF1_EBF_1 -7 0 + 0 0 . chr4 161118255 161118256 chr4:161118256:G:A rs62323803 G A G EBF1_EBF_1 -5 0 - 0 0 . chr4 161190037 161190038 chr4:161190038:G:A rs13106265 G A G EBF1_EBF_1 30 0 + 0 0 . chr4 161291356 161291357 chr4:161291357:G:T rs72696538 G T G EBF1_EBF_1 -13 0 - 0 0 . chr4 161420058 161420059 chr4:161420059:A:G rs57460378 A G G EBF1_EBF_1 32 0 - 0 0 . chr4 161429028 161429029 chr4:161429029:C:G rs72681786 C G C EBF1_EBF_1 33 0 - 0 0 . chr4 161448892 161448893 chr4:161448893:T:C rs147427114 T C t EBF1_EBF_1 26 0 - 0 0 . chr4 161448936 161448937 chr4:161448937:C:T rs7681811 C T T EBF1_EBF_1 -18 0 - 0 0 . chr4 161648318 161648319 chr4:161648319:A:G rs183248958 A G A EBF1_EBF_1 0 1 + 5.524879259504259 3.632595389939426 ACTGCCAGGGGATT chr4 161663086 161663087 chr4:161663087:C:T rs13127726 C T C EBF1_EBF_1 5 1 + 6.551258661588218 1.2294714460475467 CTTCCCCTGGGTCC chr4 161697194 161697195 chr4:161697195:G:A rs113332965 G A G EBF1_EBF_1 10 1 + 5.132071511559776 -0.18595694770719073 CCTGCCATGGGACT chr4 161823344 161823345 chr4:161823345:G:T rs78468414 G T t EBF1_EBF_1 33 0 + 0 0 . chr4 161828300 161828301 chr4:161828301:T:C rs188035949 T C T EBF1_EBF_1 22 0 - 0 0 . chr4 161828325 161828326 chr4:161828326:T:C rs7679848 T C C EBF1_EBF_1 -3 0 - 0 0 . chr4 161845831 161845832 chr4:161845832:G:A rs12509749 G A G EBF1_EBF_1 3 1 - 4.565136926630121 -1.8402618149231977 GACCTCTAGGGACC chr4 161920914 161920915 chr4:161920915:G:C rs1019874 G C G EBF1_EBF_1 33 0 + 0 0 . chr4 162045853 162045854 chr4:162045854:G:A rs11942587 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 162052571 162052572 chr4:162052572:C:G rs17459918 C G C EBF1_EBF_1 -2 0 - 0 0 . chr4 162244840 162244841 chr4:162244841:C:G rs7683421 C G C EBF1_EBF_1 5 1 + 7.113659634509189 0.20877848187402037 CACCCCTAGGGAAG chr4 162317803 162317804 chr4:162317804:A:T rs7654512 A T A EBF1_EBF_1 -3 0 - 0 0 . chr4 162350535 162350536 chr4:162350536:G:A rs13146646 G A A EBF1_EBF_1 18 0 + 0 0 . chr4 162475224 162475225 chr4:162475225:C:G rs12108459 C G G EBF1_EBF_1 -15 0 + 0 0 . chr4 162562350 162562351 chr4:162562351:G:T rs10011714 G T - EBF1_EBF_1 12 1 - 7.586649188494024 7.61683058691535 TTCCCCACGGGACC chr4 162582219 162582220 chr4:162582220:C:T rs7659392 C T C EBF1_EBF_1 -5 0 - 0 0 . chr4 162892513 162892514 chr4:162892514:T:C rs79738332 T C T EBF1_EBF_1 14 0 - 0 0 . chr4 162892859 162892860 chr4:162892860:G:A rs77454445 G A G EBF1_EBF_1 4 1 - 4.87014808788536 0.5007611429404348 ACTCCTAAGAGACC chr4 163080035 163080036 chr4:163080036:T:C rs2320303 T C C EBF1_EBF_1 -2 0 - 0 0 . chr4 163107908 163107909 chr4:163107909:C:G rs575755226 C G C EBF1_EBF_1 29 0 - 0 0 . chr4 163107914 163107915 chr4:163107915:A:G rs12646879 A G A EBF1_EBF_1 23 0 - 0 0 . chr4 163176346 163176347 chr4:163176347:C:T rs115461592 C T C EBF1_EBF_1 33 0 + 0 0 . chr4 163471964 163471965 chr4:163471965:T:A rs11735477 T A T EBF1_EBF_1 -20 0 + 0 0 . chr4 163523992 163523993 chr4:163523993:C:A rs115653119 C A C EBF1_EBF_1 11 1 + 5.651757982118217 10.067719679970606 ATTCCCCTGAGCTT chr4 163542581 163542582 chr4:163542582:G:C rs773934878 G C G EBF1_EBF_1 19 0 - 0 0 . chr4 163741723 163741724 chr4:163741724:T:G rs12501462 T G G EBF1_EBF_1 -15 0 - 0 0 . chr4 163748175 163748176 chr4:163748176:C:A rs34491561 C A C EBF1_EBF_1 -11 0 + 0 0 . chr4 164108949 164108950 chr4:164108950:G:T rs13146038 G T G EBF1_EBF_1 18 0 - 0 0 . chr4 164447693 164447694 chr4:164447694:A:G rs6858337 A G A EBF1_EBF_1 8 1 + 4.877286183488441 9.103576272374701 AGTCCCCAAGGCCT chr4 164501290 164501291 chr4:164501291:T:C rs149466889 T C T EBF1_EBF_1 -19 0 + 0 0 . chr4 164753889 164753890 chr4:164753890:A:G rs76816221 A G G EBF1_EBF_1 16 0 + 0 0 . chr4 164784217 164784218 chr4:164784218:T:C rs147579221 T C T EBF1_EBF_1 23 0 + 0 0 . chr4 164902985 164902986 chr4:164902986:G:A rs73871534 G A G EBF1_EBF_1 7 1 - 4.046121858696779 5.863626604979403 GACCCCACGAGACC chr4 164905965 164905966 chr4:164905966:T:A rs138398303 T A T EBF1_EBF_1 2 1 + 5.03860360144962 1.3541360138507423 ATTGCCCAGGGATG chr4 164932970 164932971 chr4:164932971:T:C rs17487295 T C N EBF1_EBF_1 24 0 - 0 0 . chr4 164956810 164956811 chr4:164956811:T:C rs6848637 T C c EBF1_EBF_1 31 0 - 0 0 . chr4 164956837 164956838 chr4:164956838:G:T rs9762579 G T a EBF1_EBF_1 4 1 - 6.567657123847867 0.7430975003943993 AGCCCCTTGAGAGC chr4 164956852 164956853 chr4:164956853:A:C rs79735644 A C - EBF1_EBF_1 -11 0 - 0 0 . chr4 164956890 164956891 chr4:164956891:C:G rs913069689 C G t EBF1_EBF_1 23 0 + 0 0 . chr4 164976263 164976264 chr4:164976264:G:A rs898332181 G A G EBF1_EBF_1 0 1 + 5.890524048136792 7.782807917701624 GGTCCCCTGGGCCC chr4 164995980 164995981 chr4:164995981:G:A rs6814971 G A - EBF1_EBF_1 -12 0 - 0 0 . chr4 165112348 165112349 chr4:165112349:G:C rs777203981 G C G EBF1_EBF_1 -9 0 - 0 0 . chr4 165378988 165378989 chr4:165378989:T:G rs1034805944 T G T EBF1_EBF_1 2 1 + 4.674485823016724 -2.0738398671837444 GCTCCCCTGGGCTC chr4 165391852 165391853 chr4:165391853:A:G rs35212926 A G A EBF1_EBF_1 -17 0 - 0 0 . chr4 165517304 165517305 chr4:165517305:T:C rs7699278 T C T EBF1_EBF_1 23 0 + 0 0 . chr4 165557093 165557094 chr4:165557094:A:G rs28487969 A G A EBF1_EBF_1 29 0 - 0 0 . chr4 165614650 165614651 chr4:165614651:T:A rs17046878 T A T EBF1_EBF_1 -3 0 + 0 0 . chr4 165635628 165635629 chr4:165635629:T:C rs1246910 T C C EBF1_EBF_1 -17 0 + 0 0 . chr4 165677299 165677300 chr4:165677300:G:A rs34577087 G A G EBF1_EBF_1 28 0 + 0 0 . chr4 165784837 165784838 chr4:165784838:A:G rs7694605 A G G EBF1_EBF_1 23 0 - 0 0 . chr4 165872286 165872287 chr4:165872287:C:A rs560854262 C A C EBF1_EBF_1 10 1 - 5.393686072341 1.2109338861137346 GCTTCCAAGGGACT chr4 165874728 165874729 chr4:165874729:C:A rs181098363 C A C EBF1_EBF_1 -7 0 + 0 0 . chr4 166086639 166086640 chr4:166086640:A:G rs59036877 A G A EBF1_EBF_1 7 1 + 5.515943691425212 4.203485286136386 TGTCCCAAGAGGCC chr4 166258237 166258238 chr4:166258238:G:T rs138723976 G T G EBF1_EBF_1 -1 0 - 0 0 . chr4 166298024 166298025 chr4:166298025:A:G rs395631 A G G EBF1_EBF_1 10 1 + 4.245414118727341 9.563442577994307 GGCCCCTTGGAACT chr4 166352071 166352072 chr4:166352072:G:A rs11733353 G A A EBF1_EBF_1 -1 0 + 0 0 . chr4 166382139 166382140 chr4:166382140:A:G rs67755778 A G A EBF1_EBF_1 -17 0 + 0 0 . chr4 166655891 166655892 chr4:166655892:A:G rs146570550 A G g EBF1_EBF_1 -7 0 + 0 0 . chr4 167002233 167002234 chr4:167002234:C:A rs192493027 C A C EBF1_EBF_1 21 0 + 0 0 . chr4 167195679 167195680 chr4:167195680:T:C rs777287306 T C T EBF1_EBF_1 3 1 - 3.9676441164418033 5.046053697792394 TCTACCAAGGGACC chr4 167324456 167324457 chr4:167324457:C:G rs10015859 C G G EBF1_EBF_1 14 0 - 0 0 . chr4 167349431 167349432 chr4:167349432:G:C rs28888805 G C G EBF1_EBF_1 -7 0 + 0 0 . chr4 167419745 167419746 chr4:167419746:G:A rs73864205 G A C EBF1_EBF_1 -7 0 + 0 0 . chr4 167471274 167471275 chr4:167471275:C:T rs148098671 C T C EBF1_EBF_1 -17 0 + 0 0 . chr4 167479728 167479729 chr4:167479729:C:T rs2126865 C T C EBF1_EBF_1 7 1 + 4.733753915305595 6.551258661588218 CTTCCCCCGGGTCC chr4 167896010 167896011 chr4:167896011:C:G rs72981427 C G C EBF1_EBF_1 33 0 + 0 0 . chr4 168021790 168021791 chr4:168021791:A:C rs35270405 A C C EBF1_EBF_1 -4 0 - 0 0 . chr4 168096161 168096162 chr4:168096162:G:T rs896521274 G T G EBF1_EBF_1 -2 0 - 0 0 . chr4 168128742 168128743 chr4:168128743:C:T rs142444035 C T C EBF1_EBF_1 2 1 + 3.7056464023007294 5.594469813742881 ACCCCCCAGGCACC chr4 168152261 168152262 chr4:168152262:A:G rs4600882 A G G EBF1_EBF_1 21 0 - 0 0 . chr4 168317939 168317940 chr4:168317940:T:C rs59144868 T C T EBF1_EBF_1 0 1 + 5.039669451337654 4.757467390607077 TGTCCCATGAGGCC chr4 168318172 168318173 chr4:168318173:C:G rs528258249 C G C EBF1_EBF_1 9 1 - 6.2107491338425085 1.135059987497045 TTCCCCCAGGTAAT chr4 168318462 168318463 chr4:168318463:C:A rs6845046 C A C EBF1_EBF_1 27 0 - 0 0 . chr4 168318509 168318510 chr4:168318510:G:A rs116571962 G A G EBF1_EBF_1 -20 0 - 0 0 . chr4 168337369 168337370 chr4:168337370:T:C rs576130005 T C T EBF1_EBF_1 6 1 + 5.1297306308930954 4.8284445020313385 ACTCCCTTGTGAAA chr4 168440532 168440533 chr4:168440533:T:A rs1387323 T A T EBF1_EBF_1 32 0 - 0 0 . chr4 168501651 168501652 chr4:168501652:T:C rs2712148 T C C EBF1_EBF_1 -7 0 + 0 0 . chr4 168501654 168501655 chr4:168501655:T:C rs2712147 T C C EBF1_EBF_1 -4 0 + 0 0 . chr4 168556984 168556985 chr4:168556985:C:T rs112180425 C T C EBF1_EBF_1 7 1 + 7.966416129426901 9.783920875709521 CCTCCCCCGGGAAC chr4 168604072 168604073 chr4:168604073:T:C rs62335530 T C T EBF1_EBF_1 -7 0 + 0 0 . chr4 168605292 168605293 chr4:168605293:A:C rs60569003 A C A EBF1_EBF_1 23 0 - 0 0 . chr4 168629615 168629616 chr4:168629616:C:G rs62333860 C G C EBF1_EBF_1 -13 0 - 0 0 . chr4 168631332 168631333 chr4:168631333:A:C rs114125695 A C A EBF1_EBF_1 33 0 - 0 0 . chr4 168654742 168654743 chr4:168654743:A:C rs4692668 A C C EBF1_EBF_1 18 0 - 0 0 . chr4 168717821 168717822 chr4:168717822:T:G rs56923347 T G T EBF1_EBF_1 -20 0 - 0 0 . chr4 168723327 168723328 chr4:168723328:A:C rs77300924 A C A EBF1_EBF_1 27 0 - 0 0 . chr4 168813000 168813001 chr4:168813001:C:T rs116676871 C T C EBF1_EBF_1 1 1 + 4.308622159038164 5.20405559943691 CCTCCCCAGAGGCA chr4 168832568 168832569 chr4:168832569:A:G rs6820223 A G G EBF1_EBF_1 -18 0 - 0 0 . chr4 168860339 168860340 chr4:168860340:T:G rs2173811 T G G EBF1_EBF_1 20 0 + 0 0 . chr4 168955882 168955883 chr4:168955883:T:A rs28706141 T A T EBF1_EBF_1 31 0 - 0 0 . chr4 168980073 168980074 chr4:168980074:A:G rs2331450 A G G EBF1_EBF_1 -5 0 - 0 0 . chr4 169015637 169015638 chr4:169015638:G:A rs56248956 G A G EBF1_EBF_1 29 0 + 0 0 . chr4 169033994 169033995 chr4:169033995:G:C rs9996877 G C G EBF1_EBF_1 26 0 - 0 0 . chr4 169114525 169114526 chr4:169114526:C:T rs72706431 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 169278885 169278886 chr4:169278886:A:G rs111325338 A G G EBF1_EBF_1 -14 0 + 0 0 . chr4 169316288 169316289 chr4:169316289:A:G rs62334213 A G A EBF1_EBF_1 15 0 + 0 0 . chr4 169317870 169317871 chr4:169317871:G:A rs138882315 G A G EBF1_EBF_1 17 0 - 0 0 . chr4 169341613 169341614 chr4:169341614:G:A rs78032323 G A G EBF1_EBF_1 -20 0 + 0 0 . chr4 169660418 169660419 chr4:169660419:G:A rs4692739 G A A EBF1_EBF_1 -2 0 + 0 0 . chr4 169758009 169758010 chr4:169758010:C:T rs972977625 C T C EBF1_EBF_1 -11 0 + 0 0 . chr4 169775358 169775359 chr4:169775359:C:A rs756722421 C A C EBF1_EBF_1 4 1 + 4.02990646453041 -1.794653158923058 GGTCCCCAGAGTCC chr4 169814381 169814382 chr4:169814382:G:T rs975764 G T G EBF1_EBF_1 11 1 + 5.889836337041425 4.68462892454671 GCTCCCCAGAGGCT chr4 169869691 169869692 chr4:169869692:G:C rs76234867 G C G EBF1_EBF_1 -5 0 - 0 0 . chr4 169870202 169870203 chr4:169870203:A:G rs28372206 A G A EBF1_EBF_1 -15 0 + 0 0 . chr4 169897369 169897370 chr4:169897370:A:C rs4076939 A C C EBF1_EBF_1 23 0 - 0 0 . chr4 169922759 169922760 chr4:169922760:G:A rs4333146 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 169922767 169922768 chr4:169922768:G:A rs78330318 G A G EBF1_EBF_1 -2 0 + 0 0 . chr4 169922781 169922782 chr4:169922782:A:G rs79105702 A G A EBF1_EBF_1 12 1 + 7.0580544329098345 5.639237090810357 CATCCCCTGAGAAC chr4 169940546 169940547 chr4:169940547:T:A rs17660124 T A A EBF1_EBF_1 9 1 - 5.383728869365906 1.2016787267246996 TTTCCCCTGAGGCC chr4 170027004 170027005 chr4:170027005:C:T rs115453491 C T C EBF1_EBF_1 19 0 - 0 0 . chr4 170058344 170058345 chr4:170058345:G:A rs144071703 G A G EBF1_EBF_1 -14 0 + 0 0 . chr4 170128763 170128764 chr4:170128764:G:A rs2101065 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 170128771 170128772 chr4:170128772:T:C rs113031122 T C T EBF1_EBF_1 20 0 - 0 0 . chr4 170247276 170247277 chr4:170247277:C:T rs1718782 C T C EBF1_EBF_1 8 1 - 4.201558462209067 -0.024731626677194016 GGCCCCTGGAGATC chr4 170272021 170272022 chr4:170272022:C:T rs4692816 C T C EBF1_EBF_1 24 0 + 0 0 . chr4 170462490 170462491 chr4:170462491:T:C rs111631998 T C T EBF1_EBF_1 26 0 - 0 0 . chr4 170559519 170559520 chr4:170559520:C:G rs438588 C G C EBF1_EBF_1 -17 0 + 0 0 . chr4 170622534 170622535 chr4:170622535:C:T rs72696826 C T C EBF1_EBF_1 16 0 - 0 0 . chr4 170675797 170675798 chr4:170675798:G:C rs773799614 G C G EBF1_EBF_1 -1 0 - 0 0 . chr4 170675799 170675800 chr4:170675800:T:C rs28728039 T C T EBF1_EBF_1 -3 0 - 0 0 . chr4 170750731 170750732 chr4:170750732:C:G rs72981885 C G c EBF1_EBF_1 -8 0 - 0 0 . chr4 171025008 171025009 chr4:171025009:T:G rs141293519 T G T EBF1_EBF_1 6 1 - 9.093554393466851 8.731952025665574 TCTCCCAAGAGAAT chr4 171517885 171517886 chr4:171517886:A:G rs183041514 A G A EBF1_EBF_1 -1 0 + 0 0 . chr4 171594684 171594685 chr4:171594685:A:G rs28522174 A G G EBF1_EBF_1 24 0 - 0 0 . chr4 171602036 171602037 chr4:171602037:G:A rs72621993 G A G EBF1_EBF_1 30 0 - 0 0 . chr4 171710869 171710870 chr4:171710870:A:G rs17057331 A G G EBF1_EBF_1 32 0 + 0 0 . chr4 171714929 171714930 chr4:171714930:C:T rs114232764 C T C EBF1_EBF_1 28 0 - 0 0 . chr4 171718226 171718227 chr4:171718227:G:A rs117215312 G A G EBF1_EBF_1 -4 0 - 0 0 . chr4 171796094 171796095 chr4:171796095:C:T rs76043162 C T C EBF1_EBF_1 -19 0 + 0 0 . chr4 172017510 172017511 chr4:172017511:A:G rs35272840 A G A EBF1_EBF_1 7 1 - 3.9896332652748185 2.172128518992195 TCTCCCCTGGCACT chr4 172131769 172131770 chr4:172131770:T:G rs12506901 T G C EBF1_EBF_1 -15 0 - 0 0 . chr4 172149601 172149602 chr4:172149602:G:A rs1491615 G A A EBF1_EBF_1 32 0 - 0 0 . chr4 172156345 172156346 chr4:172156346:G:T rs4479668 G T G EBF1_EBF_1 6 1 - 5.325729948132141 5.687332315933418 TCTCCCCCGGGGCC chr4 172173406 172173407 chr4:172173407:C:T rs191796828 C T C EBF1_EBF_1 6 1 + 7.239080134978223 7.54036626383998 ATTCCTCTGGGAAA chr4 172348616 172348617 chr4:172348617:C:T chr4:172348617:C:T C T C EBF1_EBF_1 29 0 - 0 0 . chr4 172369601 172369602 chr4:172369602:C:T rs3903474 C T C EBF1_EBF_1 20 0 - 0 0 . chr4 172455750 172455751 chr4:172455751:G:A rs634284 G A A EBF1_EBF_1 28 0 + 0 0 . chr4 172553432 172553433 chr4:172553433:G:A rs7670427 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 172736292 172736293 chr4:172736293:T:G rs191374125 T G T EBF1_EBF_1 1 1 + 6.980085753804032 6.274423967974505 CTCCCCCTGAGAAT chr4 172758928 172758929 chr4:172758929:G:A rs75997893 G A G EBF1_EBF_1 2 1 - 9.010696309293321 10.899519720735471 CCCCCCTTGGGACT chr4 172809597 172809598 chr4:172809598:G:A rs757736485 G A G EBF1_EBF_1 -6 0 - 0 0 . chr4 172876517 172876518 chr4:172876518:C:T rs12512152 C T T EBF1_EBF_1 24 0 - 0 0 . chr4 173030461 173030462 chr4:173030462:A:G rs3905287 A G G EBF1_EBF_1 14 0 - 0 0 . chr4 173057779 173057780 chr4:173057780:G:T rs4235218 G T T EBF1_EBF_1 18 0 + 0 0 . chr4 173099251 173099252 chr4:173099252:C:T rs11935600 C T C EBF1_EBF_1 6 1 - 5.199296151683466 7.36609058295794 TTCCCCGAGGGATG chr4 173099268 173099269 chr4:173099269:G:A rs1296881846 G A G EBF1_EBF_1 -11 0 - 0 0 . chr4 173124582 173124583 chr4:173124583:G:A rs62341069 G A G EBF1_EBF_1 2 1 - 6.485664621117472 8.374488032559622 AACCCCTGGAGACA chr4 173128612 173128613 chr4:173128613:G:A rs1044158044 G A G EBF1_EBF_1 3 1 - 9.667557534840412 3.262158793287094 CTTCCCCAGGGAAG chr4 173186277 173186278 chr4:173186278:C:A rs114533313 C A C EBF1_EBF_1 27 0 + 0 0 . chr4 173209683 173209684 chr4:173209684:T:C rs116057498 T C T EBF1_EBF_1 15 0 + 0 0 . chr4 173264748 173264749 chr4:173264749:A:T rs142311005 A T A EBF1_EBF_1 -7 0 - 0 0 . chr4 173288456 173288457 chr4:173288457:A:G rs182910438 A G A EBF1_EBF_1 -2 0 + 0 0 . chr4 173288465 173288466 chr4:173288466:A:G rs74438006 A G A EBF1_EBF_1 7 1 + 3.831458019131034 2.518999613842208 ACTCCCCATGGAGA chr4 173504278 173504279 chr4:173504279:A:G rs73867808 A G A EBF1_EBF_1 25 0 + 0 0 . chr4 173516015 173516016 chr4:173516016:G:T rs111493520 G T G EBF1_EBF_1 11 1 + 6.19877296031866 4.9935655478239465 AAACCCAAGGGGCC chr4 173521354 173521355 chr4:173521355:C:T rs62341029 C T C EBF1_EBF_1 11 1 - 4.563653150287579 7.456359993891589 CTCCCCCAGAGGAT chr4 173525250 173525251 chr4:173525251:G:A rs553562822 G A G EBF1_EBF_1 -13 0 - 0 0 . chr4 173533016 173533017 chr4:173533017:C:A rs536844820 C A C EBF1_EBF_1 -9 0 - 0 0 . chr4 173536990 173536991 chr4:173536991:C:G rs3775587 C G C EBF1_EBF_1 4 1 + 5.350256723536073 -0.2755083776134711 ATCCCTCTGGGAAA chr4 173699062 173699063 chr4:173699063:A:C rs6823807 A C A EBF1_EBF_1 -16 0 - 0 0 . chr4 173890171 173890172 chr4:173890172:G:A rs28734487 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 173983995 173983996 chr4:173983996:C:T rs73866267 C T C EBF1_EBF_1 5 1 + 6.611593391906944 1.289806176366274 CTCCCCGTGGGAAA chr4 174118425 174118426 chr4:174118426:T:A rs148150151 T A T EBF1_EBF_1 -19 0 - 0 0 . chr4 174135746 174135747 chr4:174135747:G:A rs17274854 G A A EBF1_EBF_1 4 1 - 6.660833891193136 2.2914469462482123 AACCCCAAAGGACC chr4 174173473 174173474 chr4:174173474:C:A rs75792599 C A C EBF1_EBF_1 12 1 - 8.886791524722817 9.249160897850118 CTTCCCCTGGGAGA chr4 174328281 174328282 chr4:174328282:A:G rs17060468 A G A EBF1_EBF_1 26 0 + 0 0 . chr4 174389145 174389146 chr4:174389146:G:C rs4131103 G C C EBF1_EBF_1 25 0 + 0 0 . chr4 174389431 174389432 chr4:174389432:G:A rs74856159 G A G EBF1_EBF_1 4 1 - 5.889999280669436 1.5206123357245118 GATCCCAGGAGATC chr4 174427858 174427859 chr4:174427859:G:C rs116771333 G C G EBF1_EBF_1 -12 0 - 0 0 . chr4 174434654 174434655 chr4:174434655:G:T rs11937077 G T G EBF1_EBF_1 9 1 - 6.847126872191548 9.267876753089407 ATTCCCCAGCGAAG chr4 174516461 174516462 chr4:174516462:C:A rs2555646 C A C EBF1_EBF_1 -4 0 + 0 0 . chr4 174516469 174516470 chr4:174516470:C:A rs2555645 C A A EBF1_EBF_1 4 1 + 5.195333625242105 -0.6292259982113633 AGTCCCCAAGGTCT chr4 174538672 174538673 chr4:174538673:G:A rs12643132 G A G EBF1_EBF_1 8 1 + 4.866804237341235 0.6405141484549746 CATCCCCCGAGAAA chr4 174549867 174549868 chr4:174549868:C:T rs570050737 C T C EBF1_EBF_1 4 1 + 8.235142789769855 3.86575584482493 ATACCCTAGGGAGA chr4 174595500 174595501 chr4:174595501:G:A rs150445565 G A G EBF1_EBF_1 4 1 - 7.044135752320689 2.6747488073757664 ATTCCCCTGGGTGA chr4 174663786 174663787 chr4:174663787:G:A rs35952497 G A g EBF1_EBF_1 -2 0 + 0 0 . chr4 175068957 175068958 chr4:175068958:C:G rs11735068 C G C EBF1_EBF_1 7 1 - 6.406517426376007 5.425196845294652 ATTCCCTGGATAAT chr4 175226030 175226031 chr4:175226031:G:A rs71644862 G A G EBF1_EBF_1 12 1 - 6.503270199080431 5.477003628529581 TTTCCCATGGGCCA chr4 175407357 175407358 chr4:175407358:A:C rs2333160 A C C EBF1_EBF_1 -8 0 + 0 0 . chr4 175407392 175407393 chr4:175407393:C:G rs77679590 C G C EBF1_EBF_1 27 0 + 0 0 . chr4 175448914 175448915 chr4:175448915:A:G rs74402324 A G A EBF1_EBF_1 -12 0 + 0 0 . chr4 175874443 175874444 chr4:175874444:G:T chr4:175874444:G:T G T G EBF1_EBF_1 19 0 - 0 0 . chr4 175898624 175898625 chr4:175898625:A:G rs35919453 A G A EBF1_EBF_1 1 1 + 8.961897557374169 9.22259638929547 AACCCCTTGGGAGC chr4 175944614 175944615 chr4:175944615:A:T rs11133120 A T A EBF1_EBF_1 2 1 - 6.9414154627155185 3.2569478751166425 ATTCCCCTGATAAT chr4 175983877 175983878 chr4:175983878:T:G chr4:175983878:T:G T G T EBF1_EBF_1 -4 0 + 0 0 . chr4 176011734 176011735 chr4:176011735:A:G rs7665600 A G A EBF1_EBF_1 28 0 + 0 0 . chr4 176164920 176164921 chr4:176164921:C:T rs12505769 C T C EBF1_EBF_1 -7 0 - 0 0 . chr4 176192278 176192279 chr4:176192279:C:T rs77817074 C T C EBF1_EBF_1 19 0 - 0 0 . chr4 176195627 176195628 chr4:176195628:T:C rs4565028 T C C EBF1_EBF_1 11 1 - 3.9438460764863135 1.0511392328823022 CGCCCCACGAGACC chr4 176196197 176196198 chr4:176196198:G:A rs1905184 G A g EBF1_EBF_1 -10 0 + 0 0 . chr4 176237987 176237988 chr4:176237988:G:C rs2014312 G C G EBF1_EBF_1 -3 0 - 0 0 . chr4 176260205 176260206 chr4:176260206:G:A rs11940931 G A A EBF1_EBF_1 26 0 - 0 0 . chr4 176320420 176320421 chr4:176320421:C:G rs180939847 C G C EBF1_EBF_1 20 0 + 0 0 . chr4 176387804 176387805 chr4:176387805:A:G rs13139292 A G A EBF1_EBF_1 -7 0 - 0 0 . chr4 176426608 176426609 chr4:176426609:C:T rs72710032 C T C EBF1_EBF_1 10 1 - 5.165421453848016 -0.1526070054189499 ATTCCCAAAGGGAG chr4 176426615 176426616 chr4:176426616:G:T rs72710033 G T G EBF1_EBF_1 3 1 - 5.165421453848016 -1.7407525756177895 ATTCCCAAAGGGAG chr4 176467144 176467145 chr4:176467145:G:C rs7680664 G C C EBF1_EBF_1 -7 0 + 0 0 . chr4 176484443 176484444 chr4:176484444:T:C rs73005265 T C T EBF1_EBF_1 29 0 + 0 0 . chr4 176546157 176546158 chr4:176546158:C:T rs1040664302 C T C EBF1_EBF_1 12 1 + 5.722680531680425 4.696413961129575 AGTCCCATGATACC chr4 176572937 176572938 chr4:176572938:G:C rs12509519 G C C EBF1_EBF_1 0 1 + 9.153505518797886 8.790530904666118 GTCCCCCTGGGAAC chr4 176601282 176601283 chr4:176601283:A:C rs577672427 A C A EBF1_EBF_1 -8 0 - 0 0 . chr4 176636098 176636099 chr4:176636099:A:G rs73005662 A G G EBF1_EBF_1 -17 0 + 0 0 . chr4 176798762 176798763 chr4:176798763:C:G rs75830473 C G C EBF1_EBF_1 -13 0 - 0 0 . chr4 176833076 176833077 chr4:176833077:A:G rs28696711 A G A EBF1_EBF_1 0 1 + 10.091870210192123 8.199586340627292 ATCCCCCAGGGATA chr4 176874828 176874829 chr4:176874829:T:C rs34822459 T C T EBF1_EBF_1 1 1 + 8.013644215506456 7.11821077510771 GTTCCCCTGAGAAA chr4 176926568 176926569 chr4:176926569:G:C rs28687469 G C G EBF1_EBF_1 4 1 - 6.277199839982716 0.6514347388331726 ACCCCCTGGGTAAT chr4 176964556 176964557 chr4:176964557:T:C rs10029095 T C C EBF1_EBF_1 -12 0 + 0 0 . chr4 177193336 177193337 chr4:177193337:C:A rs28377399 C A C EBF1_EBF_1 11 1 - 3.7600238150411345 2.55481640254642 CTTCCCGCGGGGCA chr4 177229166 177229167 chr4:177229167:T:C rs2580053 T C t EBF1_EBF_1 7 1 - 5.3158612803608305 4.0034028750720045 TTTCCCCAGAGGGT chr4 177229180 177229181 chr4:177229181:G:A rs78774689 G A g EBF1_EBF_1 -7 0 - 0 0 . chr4 177267916 177267917 chr4:177267917:G:A rs77447353 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 177310366 177310367 chr4:177310367:A:G rs17064590 A G A EBF1_EBF_1 -18 0 - 0 0 . chr4 177348515 177348516 chr4:177348516:A:G rs9637678 A G G EBF1_EBF_1 -3 0 - 0 0 . chr4 177374570 177374571 chr4:177374571:C:T rs17064756 C T T EBF1_EBF_1 3 1 + 5.1801988640725956 -1.2251998774807211 CAACCCAGGGGAAA chr4 177376542 177376543 chr4:177376543:T:G rs11131793 T G T EBF1_EBF_1 20 0 - 0 0 . chr4 177442455 177442456 chr4:177442456:C:G rs780075139 C G C EBF1_EBF_1 31 0 - 0 0 . chr4 177442482 177442483 chr4:177442483:G:A rs778276574 G A G EBF1_EBF_1 4 1 - 4.747681517031252 0.37829457208632805 ACCCCCGAGAGAGC chr4 177442484 177442485 chr4:177442485:G:A rs763964734 G A G EBF1_EBF_1 2 1 - 4.747681517031252 6.636504928473403 ACCCCCGAGAGAGC chr4 177607147 177607148 chr4:177607148:C:T rs10017420 C T T EBF1_EBF_1 -19 0 + 0 0 . chr4 177696797 177696798 chr4:177696798:G:T rs62341541 G T G EBF1_EBF_1 20 0 + 0 0 . chr4 177744719 177744720 chr4:177744720:C:T rs41318847 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 177761727 177761728 chr4:177761728:A:G rs7698744 A G A EBF1_EBF_1 -18 0 - 0 0 . chr4 177781766 177781767 chr4:177781767:A:G rs12645758 A G G EBF1_EBF_1 -15 0 + 0 0 . chr4 177860191 177860192 chr4:177860192:G:A rs57270269 G A A EBF1_EBF_1 -7 0 - 0 0 . chr4 177948920 177948921 chr4:177948921:C:T rs17754142 C T C EBF1_EBF_1 23 0 + 0 0 . chr4 178027997 178027998 chr4:178027998:A:G rs36059057 A G G EBF1_EBF_1 -1 0 - 0 0 . chr4 178143109 178143110 chr4:178143110:C:T rs13102802 C T C EBF1_EBF_1 14 0 + 0 0 . chr4 178148497 178148498 chr4:178148498:T:C rs12502956 T C C EBF1_EBF_1 23 0 - 0 0 . chr4 178310067 178310068 chr4:178310068:G:T rs2706006 G T G EBF1_EBF_1 3 1 - 6.7231232064678865 -0.18305082299791892 TTTCCCATAGGAAA chr4 178379136 178379137 chr4:178379137:G:A rs79153289 G A G EBF1_EBF_1 21 0 - 0 0 . chr4 178411171 178411172 chr4:178411172:G:T rs13133973 G T G EBF1_EBF_1 -7 0 - 0 0 . chr4 178415929 178415930 chr4:178415930:A:G rs28810456 A G A EBF1_EBF_1 -17 0 + 0 0 . chr4 178637003 178637004 chr4:178637004:C:T rs13137740 C T C EBF1_EBF_1 6 1 - 5.615029579310524 7.781824010584999 CCACCCGAGGGAAT chr4 179008299 179008300 chr4:179008300:A:C rs6833369 A C A EBF1_EBF_1 22 0 - 0 0 . chr4 179049293 179049294 chr4:179049294:C:T rs12503474 C T C EBF1_EBF_1 16 0 - 0 0 . chr4 179111142 179111143 chr4:179111143:T:C rs114959444 T C T EBF1_EBF_1 14 0 - 0 0 . chr4 179164908 179164909 chr4:179164909:A:C rs74565866 A C A EBF1_EBF_1 30 0 - 0 0 . chr4 179171606 179171607 chr4:179171607:A:G rs72712883 A G A EBF1_EBF_1 26 0 + 0 0 . chr4 179171609 179171610 chr4:179171610:A:T rs72712885 A T T EBF1_EBF_1 29 0 + 0 0 . chr4 179276781 179276782 chr4:179276782:G:A rs115805428 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 179334232 179334233 chr4:179334233:G:A rs56386018 G A G EBF1_EBF_1 -8 0 - 0 0 . chr4 179581420 179581421 chr4:179581421:T:C rs2716788 T C C EBF1_EBF_1 -1 0 - 0 0 . chr4 179636624 179636625 chr4:179636625:C:T rs62334911 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 179666346 179666347 chr4:179666347:G:C rs12499629 G C G EBF1_EBF_1 13 1 - 5.1494388621180045 3.6613678407625896 TTCCTCCAGGGACC chr4 179804488 179804489 chr4:179804489:C:T rs188793990 C T C EBF1_EBF_1 9 1 - 4.648209833368959 1.9932705679213556 GATCCCCAGGGCAG chr4 179867749 179867750 chr4:179867750:A:T rs11727654 A T A EBF1_EBF_1 -9 0 + 0 0 . chr4 179877501 179877502 chr4:179877502:G:A rs72700158 G A G EBF1_EBF_1 -6 0 - 0 0 . chr4 180006819 180006820 chr4:180006820:G:A rs7681216 G A - EBF1_EBF_1 15 0 + 0 0 . chr4 180098750 180098751 chr4:180098751:G:A rs13143893 G A G EBF1_EBF_1 2 1 - 6.71907982881085 8.607903240253 TTCCCCTTGAGAAC chr4 180101096 180101097 chr4:180101097:T:C rs7664608 T C T EBF1_EBF_1 25 0 + 0 0 . chr4 180101725 180101726 chr4:180101726:T:C rs1342912121 T C T EBF1_EBF_1 7 1 - 5.785331862823373 4.472873457534547 AATCCCTAGGGCTG chr4 180101820 180101821 chr4:180101821:G:A rs111922458 G A G EBF1_EBF_1 3 1 - 6.652199556811629 0.24680081525831282 GGACCCCTGGGAAC chr4 180197983 180197984 chr4:180197984:C:T rs17068866 C T C EBF1_EBF_1 5 1 + 7.471655544152679 2.149868328612009 ATTCACATGGGAAC chr4 180227860 180227861 chr4:180227861:G:A rs62336088 G A C EBF1_EBF_1 7 1 + 6.384067524361925 7.696525929650751 ATTCCCCGAGGAAG chr4 180260306 180260307 chr4:180260307:G:C rs7678113 G C C EBF1_EBF_1 24 0 + 0 0 . chr4 180397302 180397303 chr4:180397303:A:G rs13110060 A G A EBF1_EBF_1 33 0 - 0 0 . chr4 180438523 180438524 chr4:180438524:C:T rs72695942 C T C EBF1_EBF_1 19 0 + 0 0 . chr4 180578417 180578418 chr4:180578418:G:A rs13114520 G A G EBF1_EBF_1 -10 0 - 0 0 . chr4 180634506 180634507 chr4:180634507:G:T rs10000189 G T T EBF1_EBF_1 -8 0 + 0 0 . chr4 180637984 180637985 chr4:180637985:G:A rs2546287 G A A EBF1_EBF_1 27 0 - 0 0 . chr4 180766313 180766314 chr4:180766314:C:T rs2545259 C T T EBF1_EBF_1 -12 0 - 0 0 . chr4 180883034 180883035 chr4:180883035:A:G rs28374148 A G G EBF1_EBF_1 0 1 + 4.977581219403113 3.08529734983828 ACACCCGAGGGGAT chr4 180883045 180883046 chr4:180883046:G:C rs116627860 G C G EBF1_EBF_1 11 1 + 4.977581219403113 3.454326365154736 ACACCCGAGGGGAT chr4 180901314 180901315 chr4:180901315:C:T rs12503713 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 180923838 180923839 chr4:180923839:A:C rs57392382 A C A EBF1_EBF_1 -16 0 + 0 0 . chr4 181118995 181118996 chr4:181118996:G:A rs10028081 G A G EBF1_EBF_1 -11 0 - 0 0 . chr4 181122891 181122892 chr4:181122892:C:T rs4502720 C T C EBF1_EBF_1 23 0 - 0 0 . chr4 181144187 181144188 chr4:181144188:C:G rs6824888 C G G EBF1_EBF_1 -17 0 + 0 0 . chr4 181144197 181144198 chr4:181144198:C:T rs6824894 C T C EBF1_EBF_1 -7 0 + 0 0 . chr4 181145715 181145716 chr4:181145716:G:A rs6552486 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 181180535 181180536 chr4:181180536:G:A rs10018288 G A A EBF1_EBF_1 -11 0 - 0 0 . chr4 181184523 181184524 chr4:181184524:A:G rs75721286 A G A EBF1_EBF_1 -8 0 - 0 0 . chr4 181238788 181238789 chr4:181238789:G:C rs12645410 G C G EBF1_EBF_1 11 1 - 4.7593000583458895 6.282554912594268 TTCCCCCAGGGCAA chr4 181261365 181261366 chr4:181261366:C:T rs17070900 C T C EBF1_EBF_1 29 0 + 0 0 . chr4 181293093 181293094 chr4:181293094:C:A rs6846320 C A C EBF1_EBF_1 4 1 + 5.270411161543058 -0.5541484619104088 TCCCCCCTGGGAGG chr4 181361775 181361776 chr4:181361776:G:A rs17071183 G A A EBF1_EBF_1 -2 0 - 0 0 . chr4 181415367 181415368 chr4:181415368:C:G rs55734326 C G C EBF1_EBF_1 -3 0 - 0 0 . chr4 181475432 181475433 chr4:181475433:G:T rs7687690 G T G EBF1_EBF_1 13 1 - 6.959698352411552 6.585952903125576 TGTCCCTAGAGAGC chr4 181619140 181619141 chr4:181619141:A:G rs62341664 A G A EBF1_EBF_1 -12 0 - 0 0 . chr4 181650171 181650172 chr4:181650172:T:G rs17212276 T G T EBF1_EBF_1 1 1 + 6.436652266894202 5.730990481064674 ATTCCCAGGATACT chr4 181758243 181758244 chr4:181758244:A:G rs4582190 A G A EBF1_EBF_1 -12 0 - 0 0 . chr4 181860031 181860032 chr4:181860032:A:C rs67344773 A C A EBF1_EBF_1 31 0 + 0 0 . chr4 182049826 182049827 chr4:182049827:A:C rs28637562 A C A EBF1_EBF_1 1 1 - 5.5432748288491975 4.83761304301967 CTCCCCAAGAGATA chr4 182141104 182141105 chr4:182141105:C:T rs73869698 C T C EBF1_EBF_1 27 0 - 0 0 . chr4 182141121 182141122 chr4:182141122:C:T rs13142890 C T C EBF1_EBF_1 10 1 - 3.5325857159626093 -1.7854427433043565 CCTCCCGTGGGCCC chr4 182166393 182166394 chr4:182166394:T:C rs896028 T C T EBF1_EBF_1 26 0 + 0 0 . chr4 182178804 182178805 chr4:182178805:G:A rs7656907 G A A EBF1_EBF_1 -17 0 - 0 0 . chr4 182179162 182179163 chr4:182179163:C:T rs144745916 C T C EBF1_EBF_1 -11 0 + 0 0 . chr4 182192663 182192664 chr4:182192664:A:G rs188788795 A G A EBF1_EBF_1 17 0 - 0 0 . chr4 182193120 182193121 chr4:182193121:T:C rs145538114 T C T EBF1_EBF_1 30 0 + 0 0 . chr4 182226282 182226283 chr4:182226283:G:A rs2726818 G A G EBF1_EBF_1 21 0 + 0 0 . chr4 182231375 182231376 chr4:182231376:C:T rs759514253 C T C EBF1_EBF_1 26 0 + 0 0 . chr4 182240699 182240700 chr4:182240700:G:A rs1414419144 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 182277598 182277599 chr4:182277599:T:G rs2063905 T G T EBF1_EBF_1 -17 0 + 0 0 . chr4 182335117 182335118 chr4:182335118:T:A rs72698100 T A A EBF1_EBF_1 -18 0 + 0 0 . chr4 182378932 182378933 chr4:182378933:T:C rs7677376 T C C EBF1_EBF_1 6 1 + 9.422215956417192 9.120929827555434 TTTCCCTTGAGACT chr4 182530671 182530672 chr4:182530672:G:C rs181924776 G C T EBF1_EBF_1 6 1 - 7.832621952488127 6.027429889014929 TTCCCCCGGGGACA chr4 182532978 182532979 chr4:182532979:G:A rs11731599 G A G EBF1_EBF_1 -6 0 + 0 0 . chr4 182560374 182560375 chr4:182560375:A:G chr4:182560375:A:G A G A EBF1_EBF_1 6 1 + 7.541524492447945 5.37473006117347 ATTCCCAAGGTGAT chr4 182616337 182616338 chr4:182616338:T:C rs72701984 T C - EBF1_EBF_1 29 0 - 0 0 . chr4 182648686 182648687 chr4:182648687:A:T rs10011637 A T A EBF1_EBF_1 -8 0 + 0 0 . chr4 182825410 182825411 chr4:182825411:G:A rs4557317 G A G EBF1_EBF_1 4 1 - 5.095401614154429 0.7260146692095062 CATCCCAGGAGAAG chr4 182826433 182826434 chr4:182826434:C:A rs78790827 C A C EBF1_EBF_1 15 0 + 0 0 . chr4 182829070 182829071 chr4:182829071:A:G rs12642670 A G G EBF1_EBF_1 31 0 - 0 0 . chr4 182835512 182835513 chr4:182835513:A:G rs11132151 A G G EBF1_EBF_1 7 1 + 6.104567313230913 4.7921089079420875 GCTCCCTAGGGCCA chr4 182840273 182840274 chr4:182840274:G:A rs6854616 G A G EBF1_EBF_1 26 0 - 0 0 . chr4 182859430 182859431 chr4:182859431:G:A rs56662457 G A G EBF1_EBF_1 -3 0 - 0 0 . chr4 182873195 182873196 chr4:182873196:T:G rs11726093 T G G EBF1_EBF_1 11 1 - 6.888046998085033 2.472085300232644 TGCCCCATGAGACT chr4 182876201 182876202 chr4:182876202:T:C rs11132156 T C T EBF1_EBF_1 21 0 - 0 0 . chr4 182876241 182876242 chr4:182876242:G:A rs60832802 G A G EBF1_EBF_1 4 1 - 6.0137251360532025 1.6443381911082793 CACCCCCTGAGAAT chr4 182885258 182885259 chr4:182885259:G:A rs4073675 G A G EBF1_EBF_1 -4 0 - 0 0 . chr4 182889978 182889979 chr4:182889979:A:G rs7677967 A G A EBF1_EBF_1 29 0 + 0 0 . chr4 182892271 182892272 chr4:182892272:C:T rs12507552 C T T EBF1_EBF_1 28 0 + 0 0 . chr4 182895890 182895891 chr4:182895891:G:A rs72687804 G A G EBF1_EBF_1 20 0 + 0 0 . chr4 182904139 182904140 chr4:182904140:G:A rs1029045545 G A G EBF1_EBF_1 14 0 - 0 0 . chr4 182913870 182913871 chr4:182913871:T:C rs13119957 T C C EBF1_EBF_1 0 1 - 5.322455282655152 3.4301714130903194 AAGCCCCAGGGAAA chr4 182916791 182916792 chr4:182916792:T:A rs535445792 T A T EBF1_EBF_1 2 1 + 6.133473142102171 2.449005554503294 AATCCCCTGGGCGC chr4 182917891 182917892 chr4:182917892:T:G chr4:182917892:T:G T G T EBF1_EBF_1 33 0 - 0 0 . chr4 183073616 183073617 chr4:183073617:G:T chr4:183073617:G:T G T G EBF1_EBF_1 4 1 - 6.398580144132677 0.5740205206792088 TTACCCAGGGGACA chr4 183085226 183085227 chr4:183085227:G:A rs34847137 G A G EBF1_EBF_1 16 0 + 0 0 . chr4 183295528 183295529 chr4:183295529:C:T rs28593573 C T C EBF1_EBF_1 -9 0 - 0 0 . chr4 183337595 183337596 chr4:183337596:C:T rs79469998 C T C EBF1_EBF_1 -10 0 - 0 0 . chr4 183341017 183341018 chr4:183341018:G:T rs28669318 G T G EBF1_EBF_1 30 0 - 0 0 . chr4 183342331 183342332 chr4:183342332:C:G rs13126271 C G C EBF1_EBF_1 -16 0 + 0 0 . chr4 183347739 183347740 chr4:183347740:G:A rs72703436 G A G EBF1_EBF_1 -2 0 - 0 0 . chr4 183349423 183349424 chr4:183349424:T:C rs35389289 T C T EBF1_EBF_1 6 1 - 4.8820112221328715 2.7152167908583964 GACCCCAAGAGAAG chr4 183364119 183364120 chr4:183364120:C:T rs34145465 C T T EBF1_EBF_1 -17 0 - 0 0 . chr4 183376002 183376003 chr4:183376003:G:T rs55815731 G T T EBF1_EBF_1 -14 0 + 0 0 . chr4 183376017 183376018 chr4:183376018:C:T rs6849830 C T C EBF1_EBF_1 1 1 + 4.566243150001881 5.461676590400626 ACCCCGCAGGGAAT chr4 183413485 183413486 chr4:183413486:G:A rs72705552 G A G EBF1_EBF_1 11 1 - 5.076607725762221 5.394655167515885 CTTCCCTTGGGCAG chr4 183413486 183413487 chr4:183413487:C:A rs76278776 C A C EBF1_EBF_1 10 1 - 5.076607725762221 0.8938555395349579 CTTCCCTTGGGCAG chr4 183419805 183419806 chr4:183419806:A:T rs28417520 A T A EBF1_EBF_1 29 0 + 0 0 . chr4 183424266 183424267 chr4:183424267:G:A rs76974212 G A G EBF1_EBF_1 15 0 + 0 0 . chr4 183424275 183424276 chr4:183424276:A:C rs7694179 A C A EBF1_EBF_1 24 0 + 0 0 . chr4 183424560 183424561 chr4:183424561:G:A rs6858319 G A G EBF1_EBF_1 28 0 - 0 0 . chr4 183431867 183431868 chr4:183431868:C:G rs4579166 C G C EBF1_EBF_1 4 1 + 5.550484290779198 -0.07528081037034662 CTCCCCATGGGCCT chr4 183433361 183433362 chr4:183433362:G:A rs13148782 G A g EBF1_EBF_1 -13 0 + 0 0 . chr4 183439163 183439164 chr4:183439164:A:C chr4:183439164:A:C A C A EBF1_EBF_1 23 0 + 0 0 . chr4 183443098 183443099 chr4:183443099:G:A rs4337768 G A G EBF1_EBF_1 9 1 + 4.360651169931275 1.7057119044836704 CCTCCCAAGGTGCT chr4 183506126 183506127 chr4:183506127:G:C rs565061565 G C G EBF1_EBF_1 25 0 + 0 0 . chr4 183506685 183506686 chr4:183506686:G:A rs72689382 G A G EBF1_EBF_1 4 1 - 6.549759403930102 2.180372458985179 ATTCCTTAGAGACT chr4 183506999 183507000 chr4:183507000:G:A rs74439818 G A G EBF1_EBF_1 -12 0 - 0 0 . chr4 183607126 183607127 chr4:183607127:G:A rs7694576 G A G EBF1_EBF_1 13 1 - 5.6189364610392465 6.4634305756247645 CTCCCCTAGGGGTC chr4 183658861 183658862 chr4:183658862:T:C chr4:183658862:T:C T C T EBF1_EBF_1 11 1 - 3.767759351057011 0.875052507453 GCCCCCGTGAGACC chr4 183722925 183722926 chr4:183722926:C:T rs11132207 C T C EBF1_EBF_1 -20 0 + 0 0 . chr4 183722941 183722942 chr4:183722942:G:A rs11132208 G A A EBF1_EBF_1 -4 0 + 0 0 . chr4 183746379 183746380 chr4:183746380:G:C rs11736510 G C g EBF1_EBF_1 15 0 - 0 0 . chr4 183747363 183747364 chr4:183747364:T:C rs62342187 T C t EBF1_EBF_1 25 0 - 0 0 . chr4 183758724 183758725 chr4:183758725:G:A rs79338501 G A G EBF1_EBF_1 -10 0 + 0 0 . chr4 183797522 183797523 chr4:183797523:C:T rs62342251 C T C EBF1_EBF_1 5 1 + 3.8298936877037013 -1.49189352783697 TGCGCCCAGGGACT chr4 183807576 183807577 chr4:183807577:C:T rs7659056 C T C EBF1_EBF_1 -6 0 - 0 0 . chr4 183856654 183856655 chr4:183856655:C:T rs6812782 C T C EBF1_EBF_1 20 0 - 0 0 . chr4 183857334 183857335 chr4:183857335:T:C rs28634450 T C c EBF1_EBF_1 7 1 + 6.944820754167224 5.1273160078846 TATCCCATAGGACT chr4 183861465 183861466 chr4:183861466:T:G rs13150058 T G T EBF1_EBF_1 7 1 - 6.110349912501993 3.8165709261318117 TCTCCCTAGAGGCT chr4 183966542 183966543 chr4:183966543:A:G rs56869218 A G G EBF1_EBF_1 18 0 - 0 0 . chr4 183995839 183995840 chr4:183995840:C:G rs6552726 C G C EBF1_EBF_1 -20 0 - 0 0 . chr4 184021952 184021953 chr4:184021953:G:C rs1970895 G C G EBF1_EBF_1 19 0 + 0 0 . chr4 184068132 184068133 chr4:184068133:G:A rs6827053 G A G EBF1_EBF_1 -19 0 + 0 0 . chr4 184098492 184098493 chr4:184098493:G:A rs3990 G A A EBF1_EBF_1 17 0 - 0 0 . chr4 184119765 184119766 chr4:184119766:C:T rs4423918 C T C EBF1_EBF_1 -9 0 + 0 0 . chr4 184144281 184144282 chr4:184144282:T:C rs7671647 T C C EBF1_EBF_1 28 0 - 0 0 . chr4 184154995 184154996 chr4:184154996:A:G rs10014247 A G A EBF1_EBF_1 -6 0 + 0 0 . chr4 184177034 184177035 chr4:184177035:C:T rs138780848 C T C EBF1_EBF_1 20 0 + 0 0 . chr4 184200802 184200803 chr4:184200803:A:G rs79932194 A G A EBF1_EBF_1 28 0 + 0 0 . chr4 184216074 184216075 chr4:184216075:G:A rs1921563 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 184221547 184221548 chr4:184221548:C:T rs17075504 C T C EBF1_EBF_1 -11 0 - 0 0 . chr4 184239442 184239443 chr4:184239443:G:A rs17584650 G A G EBF1_EBF_1 32 0 + 0 0 . chr4 184243209 184243210 chr4:184243210:A:G rs73011544 A G A EBF1_EBF_1 31 0 + 0 0 . chr4 184258140 184258141 chr4:184258141:G:A rs12511186 G A A EBF1_EBF_1 -11 0 + 0 0 . chr4 184272010 184272011 chr4:184272011:C:T rs793910 C T t EBF1_EBF_1 15 0 - 0 0 . chr4 184273059 184273060 chr4:184273060:C:T rs1776007 C T C EBF1_EBF_1 -10 0 - 0 0 . chr4 184273764 184273765 chr4:184273765:G:A rs55720381 G A G EBF1_EBF_1 1 1 + 6.0300388831214145 5.769340051200113 CGCCCCAAGGGGAC chr4 184288885 184288886 chr4:184288886:T:G rs1217972 T G G EBF1_EBF_1 -7 0 + 0 0 . chr4 184288902 184288903 chr4:184288903:G:A rs76108946 G A G EBF1_EBF_1 10 1 + 6.895348170017848 1.5773197107508827 ACTCCCTAGAGGAA chr4 184295530 184295531 chr4:184295531:A:G rs35391674 A G A EBF1_EBF_1 -6 0 + 0 0 . chr4 184317214 184317215 chr4:184317215:C:T rs34710824 C T C EBF1_EBF_1 21 0 + 0 0 . chr4 184342657 184342658 chr4:184342658:G:A rs793879 G A G EBF1_EBF_1 12 1 + 4.149780871328207 5.568598213427684 ATTCCCAGTGGAGC chr4 184344430 184344431 chr4:184344431:A:G rs793881 A G G EBF1_EBF_1 6 1 + 8.009627692655009 5.842833261380533 ATACCCAAGGGGCT chr4 184359986 184359987 chr4:184359987:T:G rs11725146 T G T EBF1_EBF_1 -11 0 + 0 0 . chr4 184383665 184383666 chr4:184383666:G:C rs10015654 G C G EBF1_EBF_1 19 0 + 0 0 . chr4 184391026 184391027 chr4:184391027:C:T rs793773 C T C EBF1_EBF_1 11 1 + 5.355626011797281 5.673673453550945 GGTCCCTGGGGCCC chr4 184476935 184476936 chr4:184476936:G:T rs3179192 G T G EBF1_EBF_1 13 1 + 4.680950044953397 7.01351518089433 CTTCCCAAGGAACG chr4 184537729 184537730 chr4:184537730:G:A rs140764409 G A G EBF1_EBF_1 25 0 - 0 0 . chr4 184540195 184540196 chr4:184540196:G:A rs1918633 G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 184594797 184594798 chr4:184594798:T:C rs72689212 T C T EBF1_EBF_1 -15 0 - 0 0 . chr4 184611545 184611546 chr4:184611546:C:T rs12503733 C T C EBF1_EBF_1 -3 0 + 0 0 . chr4 184738447 184738448 chr4:184738448:C:T rs62339923 C T C EBF1_EBF_1 32 0 - 0 0 . chr4 184834173 184834174 chr4:184834174:T:G rs6827693 T G G EBF1_EBF_1 -7 0 + 0 0 . chr4 184834834 184834835 chr4:184834835:T:G chr4:184834835:T:G T G T EBF1_EBF_1 11 1 - 7.483186590827716 3.067224892975327 ATACCCTAGGGATG chr4 184858075 184858076 chr4:184858076:C:G rs72691787 C G C EBF1_EBF_1 6 1 + 6.189827328159107 4.384635264685909 GCCCCCCAGGGATG chr4 184858077 184858078 chr4:184858078:G:C rs72691788 G C G EBF1_EBF_1 8 1 + 6.189827328159107 0.8711684672444209 GCCCCCCAGGGATG chr4 184888117 184888118 chr4:184888118:T:C rs6849202 T C T EBF1_EBF_1 -9 0 + 0 0 . chr4 184888998 184888999 chr4:184888999:G:A rs62340899 G A G EBF1_EBF_1 -13 0 + 0 0 . chr4 184890034 184890035 chr4:184890035:C:T rs9762843 C T C EBF1_EBF_1 22 0 + 0 0 . chr4 184894297 184894298 chr4:184894298:T:C rs56965968 T C T EBF1_EBF_1 31 0 + 0 0 . chr4 184897480 184897481 chr4:184897481:T:C rs7674496 T C C EBF1_EBF_1 1 1 - 6.397713391628493 6.658412223549795 TATCCCCAGAGAGC chr4 184932481 184932482 chr4:184932482:G:A rs7682801 G A A EBF1_EBF_1 17 0 + 0 0 . chr4 184933528 184933529 chr4:184933529:C:T rs115359756 C T T EBF1_EBF_1 32 0 + 0 0 . chr4 184942966 184942967 chr4:184942967:G:A rs142739543 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 184942993 184942994 chr4:184942994:G:C rs7668249 G C C EBF1_EBF_1 4 1 - 4.407936408348757 -1.217828692800788 AGACCCTGGGGCCT chr4 184954980 184954981 chr4:184954981:C:T rs6822322 C T c EBF1_EBF_1 20 0 - 0 0 . chr4 184970105 184970106 chr4:184970106:G:A rs11726344 G A A EBF1_EBF_1 -11 0 - 0 0 . chr4 184972189 184972190 chr4:184972190:A:T rs4301154 A T T EBF1_EBF_1 17 0 + 0 0 . chr4 184980034 184980035 chr4:184980035:C:T rs908639797 C T C EBF1_EBF_1 1 1 + 2.838918350428319 3.7343517908270645 GCCCCCCCGGGCCT chr4 184980040 184980041 chr4:184980041:C:G rs6851279 C G G EBF1_EBF_1 7 1 + 2.838918350428319 3.8202389315096745 GCCCCCCCGGGCCT chr4 184983684 184983685 chr4:184983685:G:A rs4861650 G A G EBF1_EBF_1 0 1 + 10.478316459221238 12.370600328786074 GCTCCCATGGGACC chr4 184986842 184986843 chr4:184986843:A:G rs4862478 A G G EBF1_EBF_1 16 0 - 0 0 . chr4 185020041 185020042 chr4:185020042:G:A rs901434 G A G EBF1_EBF_1 0 1 - 3.900812981681721 4.1830150424123 CCTCCCTGGGAACC chr4 185020046 185020047 chr4:185020047:T:G rs12501580 T G T EBF1_EBF_1 -5 0 - 0 0 . chr4 185078637 185078638 chr4:185078638:G:A rs56295552 G A G EBF1_EBF_1 3 1 - 11.436110199762549 5.030711458209233 CCTCCCAAGGGACT chr4 185085088 185085089 chr4:185085089:T:C rs67687492 T C C EBF1_EBF_1 -10 0 + 0 0 . chr4 185100539 185100540 chr4:185100540:A:G rs736059 A G G EBF1_EBF_1 18 0 + 0 0 . chr4 185117502 185117503 chr4:185117503:G:A rs7661225 G A G EBF1_EBF_1 33 0 - 0 0 . chr4 185127979 185127980 chr4:185127980:C:T rs74968508 C T C EBF1_EBF_1 32 0 + 0 0 . chr4 185203514 185203515 chr4:185203515:C:T rs185739848 C T C EBF1_EBF_1 -15 0 + 0 0 . chr4 185209431 185209432 chr4:185209432:G:A rs138063600 G A G EBF1_EBF_1 -17 0 + 0 0 . chr4 185217532 185217533 chr4:185217533:A:G rs3108291 A G G EBF1_EBF_1 31 0 - 0 0 . chr4 185237356 185237357 chr4:185237357:A:G rs1002222720 A G A EBF1_EBF_1 16 0 - 0 0 . chr4 185290930 185290931 chr4:185290931:G:A rs1288543 G A A EBF1_EBF_1 27 0 - 0 0 . chr4 185344281 185344282 chr4:185344282:A:G rs79685076 A G A EBF1_EBF_1 -7 0 - 0 0 . chr4 185373043 185373044 chr4:185373044:C:T rs3797026 C T C EBF1_EBF_1 -8 0 - 0 0 . chr4 185414551 185414552 chr4:185414552:G:T rs10029981 G T T EBF1_EBF_1 -2 0 + 0 0 . chr4 185540268 185540269 chr4:185540269:A:G rs57635745 A G A EBF1_EBF_1 0 1 + 5.676654933431501 3.7843710638666668 ATTGCCCTGGGATA chr4 185574202 185574203 chr4:185574203:G:T rs77226282 G T G EBF1_EBF_1 26 0 + 0 0 . chr4 185577713 185577714 chr4:185577714:G:A rs58454020 G A G EBF1_EBF_1 1 1 + 3.849938391469656 3.589239559548354 AGCCCCCAGAGGGC chr4 185606902 185606903 chr4:185606903:T:C rs13144128 T C T EBF1_EBF_1 19 0 + 0 0 . chr4 185607278 185607279 chr4:185607279:T:C rs13144963 T C C EBF1_EBF_1 6 1 + 6.433674286353443 6.132388157491687 GTTCCCTGGAGAGC chr4 185672255 185672256 chr4:185672256:G:A rs4599460 G A A EBF1_EBF_1 19 0 - 0 0 . chr4 185680875 185680876 chr4:185680876:G:A rs4862561 G A A EBF1_EBF_1 10 1 + 5.692354119908855 0.374325660641889 AGCCCCAGGAGACG chr4 185730725 185730726 chr4:185730726:G:T rs56054115 G T G EBF1_EBF_1 -3 0 + 0 0 . chr4 185730737 185730738 chr4:185730738:A:G rs72702092 A G A EBF1_EBF_1 9 1 + 4.3346530515148505 6.989592316962453 AGCCCCTAGAGTCC chr4 185737592 185737593 chr4:185737593:C:T rs56110010 C T C EBF1_EBF_1 30 0 + 0 0 . chr4 185778597 185778598 chr4:185778598:A:G rs10006147 A G A EBF1_EBF_1 -20 0 + 0 0 . chr4 185778618 185778619 chr4:185778619:G:A rs73873376 G A G EBF1_EBF_1 1 1 + 5.633166994824343 5.37246816290304 CGCCCCTAGAGAGT chr4 185817599 185817600 chr4:185817600:C:A rs13112865 C A A EBF1_EBF_1 32 0 + 0 0 . chr4 185825050 185825051 chr4:185825051:C:T rs56202118 C T C EBF1_EBF_1 -13 0 + 0 0 . chr4 185838344 185838345 chr4:185838345:G:C rs12511080 G C G EBF1_EBF_1 4 1 - 6.3646729388996715 0.7389078377501274 GCCCCCAGGGGAGC chr4 185838346 185838347 chr4:185838347:G:A rs77528481 G A G EBF1_EBF_1 2 1 - 6.3646729388996715 8.253496350341823 GCCCCCAGGGGAGC chr4 185839447 185839448 chr4:185839448:G:A rs28429008 G A G EBF1_EBF_1 0 1 - 3.366336700654525 3.648538761385103 CGACCCCTGGGGCC chr4 185839537 185839538 chr4:185839538:C:A rs73019803 C A A EBF1_EBF_1 23 0 - 0 0 . chr4 185847004 185847005 chr4:185847005:C:A rs7673810 C A C EBF1_EBF_1 12 1 - 11.025709080042118 11.388078453169419 AATCCCCAGGGAGC chr4 185847273 185847274 chr4:185847274:G:C rs57378513 G C G EBF1_EBF_1 19 0 - 0 0 . chr4 185897093 185897094 chr4:185897094:C:T rs188840479 C T C EBF1_EBF_1 3 1 + 4.48829542896716 -1.9171033125861578 TCTCCCCTGAGGCC chr4 185955563 185955564 chr4:185955564:A:T rs976496064 A T A EBF1_EBF_1 -15 0 - 0 0 . chr4 186013010 186013011 chr4:186013011:T:C rs2100477 T C T EBF1_EBF_1 -7 0 + 0 0 . chr4 186029845 186029846 chr4:186029846:C:T rs73875748 C T C EBF1_EBF_1 -12 0 - 0 0 . chr4 186030365 186030366 chr4:186030366:G:C rs76289354 G C G EBF1_EBF_1 -12 0 + 0 0 . chr4 186051258 186051259 chr4:186051259:T:C rs73873703 T C T EBF1_EBF_1 -2 0 - 0 0 . chr4 186079212 186079213 chr4:186079213:C:T rs5743313 C T C EBF1_EBF_1 15 0 + 0 0 . chr4 186079220 186079221 chr4:186079221:G:C rs5743314 G C g EBF1_EBF_1 23 0 + 0 0 . chr4 186091332 186091333 chr4:186091333:A:T rs6821294 A T A EBF1_EBF_1 26 0 - 0 0 . chr4 186092420 186092421 chr4:186092421:T:C rs10020072 T C - EBF1_EBF_1 28 0 - 0 0 . chr4 186105481 186105482 chr4:186105482:G:T rs892405228 G T G EBF1_EBF_1 -11 0 + 0 0 . chr4 186105757 186105758 chr4:186105758:T:C rs13123385 T C C EBF1_EBF_1 -4 0 + 0 0 . chr4 186105775 186105776 chr4:186105776:C:T rs114336415 C T C EBF1_EBF_1 14 0 + 0 0 . chr4 186154803 186154804 chr4:186154804:A:G rs35459248 A G G EBF1_EBF_1 28 0 + 0 0 . chr4 186171575 186171576 chr4:186171576:A:G rs6852180 A G G EBF1_EBF_1 20 0 - 0 0 . chr4 186240922 186240923 chr4:186240923:C:G rs4253260 C G c EBF1_EBF_1 23 0 + 0 0 . chr4 186260938 186260939 chr4:186260939:A:G rs58527029 A G A EBF1_EBF_1 6 1 + 6.47939016886981 4.312595737595335 ACTCCCATGAGGAA chr4 186294293 186294294 chr4:186294294:C:T rs74199370 C T c EBF1_EBF_1 21 0 + 0 0 . chr4 186342520 186342521 chr4:186342521:T:C rs4861710 T C T EBF1_EBF_1 -15 0 + 0 0 . chr4 186342556 186342557 chr4:186342557:A:T rs12645838 A T A EBF1_EBF_1 21 0 + 0 0 . chr4 186454484 186454485 chr4:186454485:C:T rs62348868 C T C EBF1_EBF_1 15 0 - 0 0 . chr4 186454501 186454502 chr4:186454502:G:T rs1451401 G T T EBF1_EBF_1 -2 0 - 0 0 . chr4 186462863 186462864 chr4:186462864:C:T rs62348889 C T C EBF1_EBF_1 30 0 - 0 0 . chr4 186485821 186485822 chr4:186485822:C:T rs67926703 C T c EBF1_EBF_1 10 1 - 4.531821233951238 -0.7862072253157287 TGCCCCCAGGGGGC chr4 186485831 186485832 chr4:186485832:A:G rs59360804 A G g EBF1_EBF_1 0 1 - 4.531821233951238 4.24961917322066 TGCCCCCAGGGGGC chr4 186553938 186553939 chr4:186553939:T:C rs11132403 T C T EBF1_EBF_1 6 1 + 5.9720976706615625 5.670811541799805 AGTCCCTGGGAACA chr4 186557629 186557630 chr4:186557630:T:G rs4862708 T G T EBF1_EBF_1 15 0 - 0 0 . chr4 186622372 186622373 chr4:186622373:G:A rs11726072 G A G EBF1_EBF_1 1 1 - 7.409744831713173 8.30517827211192 GCCCCCAGGGGAAA chr4 186715315 186715316 chr4:186715316:T:C rs189008 T C T EBF1_EBF_1 19 0 - 0 0 . chr4 186723325 186723326 chr4:186723326:G:A rs9685361 G A G EBF1_EBF_1 -7 0 + 0 0 . chr4 186723355 186723356 chr4:186723356:G:A rs13125023 G A g EBF1_EBF_1 23 0 + 0 0 . chr4 186723356 186723357 chr4:186723357:C:G rs13124649 C G c EBF1_EBF_1 24 0 + 0 0 . chr4 186724859 186724860 chr4:186724860:T:C rs909868979 T C T EBF1_EBF_1 1 1 + 7.343526774415744 6.448093334016998 ATTTCCCTGGGACT chr4 186726088 186726089 chr4:186726089:A:T rs78956283 A T A EBF1_EBF_1 32 0 + 0 0 . chr4 186758135 186758136 chr4:186758136:T:G rs10031284 T G T EBF1_EBF_1 11 1 - 6.918072264991755 2.502110567139365 GGTCCCAAAGGACC chr4 186820643 186820644 chr4:186820644:T:C rs62344815 T C T EBF1_EBF_1 22 0 - 0 0 . chr4 186822348 186822349 chr4:186822349:G:C rs34318462 G C G EBF1_EBF_1 33 0 - 0 0 . chr4 186840956 186840957 chr4:186840957:C:T rs7696564 C T C EBF1_EBF_1 -1 0 - 0 0 . chr4 186843520 186843521 chr4:186843521:G:T rs7669375 G T G EBF1_EBF_1 16 0 + 0 0 . chr4 186861961 186861962 chr4:186861962:C:G rs2241672 C G C EBF1_EBF_1 29 0 + 0 0 . chr4 186880151 186880152 chr4:186880152:T:A rs1835748 T A T EBF1_EBF_1 30 0 + 0 0 . chr4 186894988 186894989 chr4:186894989:C:T rs11943620 C T c EBF1_EBF_1 23 0 + 0 0 . chr4 186894996 186894997 chr4:186894997:C:G rs398205 C G c EBF1_EBF_1 4 1 + 4.976724414427703 -0.6490406867218421 ACCCCCGTGGTACT chr4 186906938 186906939 chr4:186906939:C:T rs322398 C T c EBF1_EBF_1 26 0 - 0 0 . chr4 186921152 186921153 chr4:186921153:C:T rs60791963 C T T EBF1_EBF_1 22 0 - 0 0 . chr4 187090736 187090737 chr4:187090737:T:G rs28495215 T G T EBF1_EBF_1 -10 0 + 0 0 . chr4 187132017 187132018 chr4:187132018:C:T rs6822982 C T T EBF1_EBF_1 23 0 - 0 0 . chr4 187170312 187170313 chr4:187170313:G:A rs35093798 G A G EBF1_EBF_1 -15 0 - 0 0 . chr4 187185389 187185390 chr4:187185390:G:A rs13145216 G A G EBF1_EBF_1 31 0 - 0 0 . chr4 187188190 187188191 chr4:187188191:C:T rs180798551 C T C EBF1_EBF_1 5 1 + 6.3063352756355044 0.9845480600948338 GTCCCCGAGGGACG chr4 187188197 187188198 chr4:187188198:C:T rs6858800 C T C EBF1_EBF_1 12 1 + 6.3063352756355044 5.280068705084655 GTCCCCGAGGGACG chr4 187603244 187603245 chr4:187603245:A:G rs146686325 A G A EBF1_EBF_1 8 1 + 4.464703022473682 8.690993111359942 GCTCCCACAGGAAC chr4 187605189 187605190 chr4:187605190:G:A rs13147499 G A G EBF1_EBF_1 30 0 + 0 0 . chr4 187606748 187606749 chr4:187606749:T:C rs759883487 T C T EBF1_EBF_1 12 1 - 7.945763340730232 6.5269459986307545 CTTCCCAAGGGCAT chr4 187613357 187613358 chr4:187613358:G:T rs13121282 G T G EBF1_EBF_1 -16 0 - 0 0 . chr4 187672319 187672320 chr4:187672320:C:T rs34782471 C T C EBF1_EBF_1 -6 0 - 0 0 . chr4 187862827 187862828 chr4:187862828:G:A rs13125509 G A A EBF1_EBF_1 31 0 + 0 0 . chr4 187905231 187905232 chr4:187905232:C:T rs4596298 C T C EBF1_EBF_1 18 0 - 0 0 . chr4 187937931 187937932 chr4:187937932:T:C rs12645122 T C T EBF1_EBF_1 12 1 - 5.589637665446244 4.170820323346766 AATCCCAGGGGCAG chr4 187999231 187999232 chr4:187999232:G:C rs10031545 G C C EBF1_EBF_1 -2 0 + 0 0 . chr4 188076462 188076463 chr4:188076463:C:A rs67727918 C A C EBF1_EBF_1 25 0 - 0 0 . chr4 188081391 188081392 chr4:188081392:G:A rs80278878 G A G EBF1_EBF_1 -8 0 - 0 0 . chr4 188127332 188127333 chr4:188127333:T:A rs567003821 T A T EBF1_EBF_1 -12 0 + 0 0 . chr4 188127358 188127359 chr4:188127359:C:T rs75401015 C T C EBF1_EBF_1 14 0 + 0 0 . chr4 188145869 188145870 chr4:188145870:C:G rs62351466 C G G EBF1_EBF_1 33 0 - 0 0 . chr4 188218575 188218576 chr4:188218576:T:G rs7661016 T G G EBF1_EBF_1 31 0 + 0 0 . chr4 188283071 188283072 chr4:188283072:T:A rs77413648 T A T EBF1_EBF_1 -11 0 + 0 0 . chr4 188283113 188283114 chr4:188283114:G:T rs112094776 G T G EBF1_EBF_1 31 0 + 0 0 . chr4 188400546 188400547 chr4:188400547:C:T rs115086206 C T C EBF1_EBF_1 21 0 - 0 0 . chr4 188400584 188400585 chr4:188400585:C:T rs75846930 C T T EBF1_EBF_1 -17 0 - 0 0 . chr4 188400585 188400586 chr4:188400586:G:A rs13148234 G A G EBF1_EBF_1 -18 0 - 0 0 . chr4 188444788 188444789 chr4:188444789:C:G rs7687522 C G - EBF1_EBF_1 17 0 - 0 0 . chr4 188454661 188454662 chr4:188454662:C:G rs407480 C G C EBF1_EBF_1 19 0 - 0 0 . chr4 188663827 188663828 chr4:188663828:C:T rs899274 C T t EBF1_EBF_1 -8 0 - 0 0 . chr4 188672443 188672444 chr4:188672444:G:A rs28647220 G A G EBF1_EBF_1 22 0 - 0 0 . chr4 188673030 188673031 chr4:188673031:A:C rs7682760 A C C EBF1_EBF_1 -8 0 - 0 0 . chr4 188715345 188715346 chr4:188715346:A:G rs75179570 A G G EBF1_EBF_1 23 0 + 0 0 . chr4 188828590 188828591 chr4:188828591:G:A rs9999605 G A g EBF1_EBF_1 11 1 + 6.1302585004140235 9.022965344018033 ATTCCCTTGGTGCC chr4 188904811 188904812 chr4:188904812:A:G rs6848942 A G A EBF1_EBF_1 31 0 - 0 0 . chr4 188905616 188905617 chr4:188905617:C:T rs74816176 C T C EBF1_EBF_1 -16 0 + 0 0 . chr4 188930834 188930835 chr4:188930835:A:G rs2166951 A G A EBF1_EBF_1 -15 0 + 0 0 . chr4 189002017 189002018 chr4:189002018:T:G rs368956289 T G T EBF1_EBF_1 0 1 - 4.388554645774274 2.133296162077673 AGCCCCCTGAGGCA chr4 189030170 189030171 chr4:189030171:C:A rs138304237 C A C EBF1_EBF_1 -11 0 - 0 0 . chr4 189035740 189035741 chr4:189035741:G:A rs73875651 G A A EBF1_EBF_1 21 0 + 0 0 . chr4 189092573 189092574 chr4:189092574:A:G rs35016710 A G A EBF1_EBF_1 -11 0 - 0 0 . chr4 189124106 189124107 chr4:189124107:C:T rs11724050 C T T EBF1_EBF_1 1 1 + 9.341915614052494 10.237349054451238 ACTCCCATGAGACA chr4 189201194 189201195 chr4:189201195:G:A rs6816376 G A G EBF1_EBF_1 20 0 - 0 0 . chr4 189228883 189228884 chr4:189228884:C:T rs7691871 C T C EBF1_EBF_1 14 0 - 0 0 . chr4 189228895 189228896 chr4:189228896:G:A rs11132626 G A G EBF1_EBF_1 2 1 - 5.573163965249613 7.461987376691762 TGCCCCTAGGGCAT chr4 189302934 189302935 chr4:189302935:G:T rs11936757 G T T EBF1_EBF_1 -18 0 + 0 0 . chr4 189428603 189428604 chr4:189428604:G:A rs1869940 G A A EBF1_EBF_1 5 1 - 4.7113363545409 -0.6104508609997705 TCTCCCTGGGGCCA chr4 189428614 189428615 chr4:189428615:G:A rs1869939 G A A EBF1_EBF_1 -6 0 - 0 0 . chr4 189607117 189607118 chr4:189607118:A:C rs2443329 A C C EBF1_EBF_1 33 0 - 0 0 . chr4 189655538 189655539 chr4:189655539:C:A rs7697659 C A c EBF1_EBF_1 -10 0 - 0 0 . chr4 189655539 189655540 chr4:189655540:G:A rs6856409 G A g EBF1_EBF_1 -11 0 - 0 0 . chr4 189655541 189655542 chr4:189655542:C:T rs6856026 C T c EBF1_EBF_1 -13 0 - 0 0 . chr4 189656839 189656840 chr4:189656840:G:A rs6553346 G A N EBF1_EBF_1 -2 0 + 0 0 . chr4 189662527 189662528 chr4:189662528:C:T rs182853367 C T c EBF1_EBF_1 4 1 + 7.749812715769085 3.3804257708241625 ATTCCCAAGTGACT chr4 189722549 189722550 chr4:189722550:C:G rs527344935 C G . EBF1_EBF_1 -7 0 - 0 0 . chr4 189732616 189732617 chr4:189732617:G:T rs6816047 G T . EBF1_EBF_1 4 1 - 4.798619529620142 -1.0259400938333259 CCACCCTAGGGGCT chr4 189768315 189768316 chr4:189768316:C:T rs574434808 C T C EBF1_EBF_1 4 1 + 7.181523302468313 2.8121363575233898 ACTCCTAAGGGAAA chr4 189834583 189834584 chr4:189834584:G:A rs3813287 G A G EBF1_EBF_1 -12 0 - 0 0 . chr4 189912481 189912482 chr4:189912482:T:C rs28588900 T C . EBF1_EBF_1 -6 0 - 0 0 . chr4 189917433 189917434 chr4:189917434:C:T rs538032832 C T . EBF1_EBF_1 -4 0 + 0 0 . chr4 189940717 189940718 chr4:189940718:T:C rs533168576 T C . EBF1_EBF_1 26 0 - 0 0 . chr4 189940735 189940736 chr4:189940736:T:C rs560422169 T C . EBF1_EBF_1 8 1 - 5.366985622920762 9.593275711807022 AGCCCCTGAGGATT chr4 189981268 189981269 chr4:189981269:C:T rs114341942 C T . EBF1_EBF_1 21 0 - 0 0 . chr4 189981283 189981284 chr4:189981284:A:T rs1213705935 A T . EBF1_EBF_1 6 1 - 5.150172958267067 5.210489197206586 GACCCCTTGGTAAT chr4 190020519 190020520 chr4:190020520:G:C rs111268960 G C . EBF1_EBF_1 -15 0 - 0 0 . chr4 190040588 190040589 chr4:190040589:C:T rs369924341 C T . EBF1_EBF_1 -13 0 + 0 0 . chr4 190059236 190059237 chr4:190059237:G:A rs113947761 G A . EBF1_EBF_1 16 0 + 0 0 . chr4 190082020 190082021 chr4:190082021:C:T rs782507914 C T . EBF1_EBF_1 17 0 - 0 0 . chr4 190113050 190113051 chr4:190113051:T:C rs782721773 T C . EBF1_EBF_1 22 0 + 0 0 . chr4 190113163 190113164 chr4:190113164:T:C rs797035539 T C . EBF1_EBF_1 7 1 + 4.778970619416352 2.9614658731337276 TATCCCATGAGGCC chr4 190122096 190122097 chr4:190122097:C:G rs138922933 C G . EBF1_EBF_1 -13 0 + 0 0 . chr5 72710 72711 chr5:72711:C:T rs73730594 C T T EBF1_EBF_1 -19 0 + 0 0 . chr5 72727 72728 chr5:72728:C:G rs199622138 C G C EBF1_EBF_1 -2 0 + 0 0 . chr5 73048 73049 chr5:73049:G:C rs780352438 G C - EBF1_EBF_1 25 0 + 0 0 . chr5 73055 73056 chr5:73056:G:A rs111429636 G A - EBF1_EBF_1 32 0 + 0 0 . chr5 73740 73741 chr5:73741:G:A rs7379796 G A - EBF1_EBF_1 31 0 + 0 0 . chr5 73824 73825 chr5:73825:G:A rs7379814 G A A EBF1_EBF_1 18 0 + 0 0 . chr5 90046 90047 chr5:90047:A:G rs73018533 A G A EBF1_EBF_1 16 0 + 0 0 . chr5 93464 93465 chr5:93465:C:T rs7734346 C T C EBF1_EBF_1 -18 0 - 0 0 . chr5 102653 102654 chr5:102654:G:A rs9313246 G A A EBF1_EBF_1 23 0 + 0 0 . chr5 108970 108971 chr5:108971:G:T rs62344111 G T G EBF1_EBF_1 26 0 - 0 0 . chr5 140520 140521 chr5:140521:G:A rs12523402 G A G EBF1_EBF_1 -15 0 + 0 0 . chr5 153314 153315 chr5:153315:C:T rs77811383 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 155021 155022 chr5:155022:G:A rs11745772 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 156769 156770 chr5:156770:C:T rs759486651 C T C EBF1_EBF_1 6 1 + 5.429914492947854 5.731200621809612 CTTCCTCAGGGACA chr5 158043 158044 chr5:158044:G:A rs187410734 G A G EBF1_EBF_1 9 1 + 5.529321426506723 2.8743821610591196 AATCCCTGGGGCAG chr5 162813 162814 chr5:162814:G:C rs75342794 G C G EBF1_EBF_1 -15 0 + 0 0 . chr5 163506 163507 chr5:163507:G:A rs3810866 G A G EBF1_EBF_1 11 1 + 4.582686780137267 7.475393623741278 TCCCCCTCGGGGCT chr5 163508 163509 chr5:163509:T:C rs115230008 T C T EBF1_EBF_1 13 1 + 4.582686780137267 3.7381926655517477 TCCCCCTCGGGGCT chr5 169293 169294 chr5:169294:T:C rs79806378 T C T EBF1_EBF_1 -18 0 + 0 0 . chr5 172370 172371 chr5:172371:C:T rs4956984 C T C EBF1_EBF_1 1 1 - 5.616943586845554 5.356244754924252 AGCCCCCAGAGATG chr5 172449 172450 chr5:172450:G:C rs67733610 G C G EBF1_EBF_1 18 0 + 0 0 . chr5 174937 174938 chr5:174938:C:G rs56161250 C G G EBF1_EBF_1 -14 0 + 0 0 . chr5 174955 174956 chr5:174956:C:T rs4956989 C T T EBF1_EBF_1 4 1 + 5.018373635345585 0.6489866904006601 TTCCCCATGGGCAC chr5 189017 189018 chr5:189018:G:A rs116320362 G A G EBF1_EBF_1 -13 0 - 0 0 . chr5 189683 189684 chr5:189684:T:C rs3810865 T C C EBF1_EBF_1 24 0 + 0 0 . chr5 201907 201908 chr5:201908:A:C rs6886904 A C A EBF1_EBF_1 30 0 + 0 0 . chr5 214622 214623 chr5:214623:T:C rs6555042 T C C EBF1_EBF_1 -18 0 + 0 0 . chr5 218482 218483 chr5:218483:G:A rs2303740 G A G EBF1_EBF_1 12 1 - 3.9595114120535926 2.933244841502743 ACCCGCTGGGGACC chr5 274985 274986 chr5:274986:A:G rs28581737 A G A EBF1_EBF_1 32 0 - 0 0 . chr5 279396 279397 chr5:279397:G:A rs10056628 G A - EBF1_EBF_1 13 1 - 6.091469196048134 6.935963310633652 GTTCCCTGGGGCAC chr5 285632 285633 chr5:285633:C:G rs28558836 C G c EBF1_EBF_1 -16 0 - 0 0 . chr5 315563 315564 chr5:315564:G:A rs13163118 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 317594 317595 chr5:317595:C:T rs73734224 C T C EBF1_EBF_1 13 1 + 4.771889166176737 5.616383280762255 ACCCACCAGGGACC chr5 318505 318506 chr5:318506:G:C rs7379162 G C C EBF1_EBF_1 21 0 + 0 0 . chr5 318780 318781 chr5:318781:G:T rs12152848 G T G EBF1_EBF_1 2 1 - 6.370258746347033 4.574614570190306 AGCCCCCAGGGCCC chr5 322472 322473 chr5:322473:C:T rs574320542 C T C EBF1_EBF_1 29 0 + 0 0 . chr5 345852 345853 chr5:345853:C:T rs16899920 C T T EBF1_EBF_1 -9 0 - 0 0 . chr5 346669 346670 chr5:346670:G:C rs62331560 G C G EBF1_EBF_1 10 1 + 7.405865553279173 0.515063165949698 GGCCCCCAGGGACG chr5 353660 353661 chr5:353661:G:A rs114530743 G A G EBF1_EBF_1 -6 0 + 0 0 . chr5 367182 367183 chr5:367183:G:A rs6875975 G A G EBF1_EBF_1 -5 0 - 0 0 . chr5 372779 372780 chr5:372780:T:C rs115308997 T C C EBF1_EBF_1 -16 0 + 0 0 . chr5 386656 386657 chr5:386657:C:G rs11749473 C G C EBF1_EBF_1 33 0 - 0 0 . chr5 395353 395354 chr5:395354:T:G rs61605057 T G T EBF1_EBF_1 26 0 - 0 0 . chr5 400091 400092 chr5:400092:C:T rs1045712513 C T C EBF1_EBF_1 23 0 - 0 0 . chr5 400123 400124 chr5:400124:C:A rs80318212 C A C EBF1_EBF_1 -9 0 - 0 0 . chr5 400329 400330 chr5:400330:A:G rs2672750 A G G EBF1_EBF_1 22 0 - 0 0 . chr5 400341 400342 chr5:400342:C:G rs2721021 C G G EBF1_EBF_1 10 1 - 9.236623746332349 2.345821359002874 CTCCCCCAGGGACC chr5 406183 406184 chr5:406184:C:T rs73730809 C T C EBF1_EBF_1 27 0 - 0 0 . chr5 415207 415208 chr5:415208:A:G rs72711364 A G G EBF1_EBF_1 -16 0 - 0 0 . chr5 433142 433143 chr5:433143:C:G rs35664198 C G C EBF1_EBF_1 10 1 - 7.225609260010133 0.33480687268065795 AGTCCCCAGCGACA chr5 433292 433293 chr5:433293:C:G rs370044127 C G C EBF1_EBF_1 -6 0 + 0 0 . chr5 438891 438892 chr5:438892:C:T rs183619556 C T c EBF1_EBF_1 3 1 + 3.7099446421193116 -2.6954540994340057 CCTCCCCCAGGACC chr5 438896 438897 chr5:438897:A:G rs349582 A G g EBF1_EBF_1 8 1 + 3.7099446421193116 7.936234731005574 CCTCCCCCAGGACC chr5 442943 442944 chr5:442944:G:T rs77101200 G T G EBF1_EBF_1 3 1 - 3.8327784948885446 -3.073395534577262 CTTCCCCAGGGCGG chr5 459656 459657 chr5:459657:A:G rs2561663 A G G EBF1_EBF_1 0 1 + 4.979232410001165 3.086948540436332 ACCCCCTTGGGCTC chr5 459677 459678 chr5:459678:T:C rs2561664 T C C EBF1_EBF_1 21 0 + 0 0 . chr5 464223 464224 chr5:464224:C:A rs72698510 C A - EBF1_EBF_1 26 0 - 0 0 . chr5 464230 464231 chr5:464231:C:T rs78363604 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 464698 464699 chr5:464699:C:G rs3815928 C G C EBF1_EBF_1 10 1 - 7.83170516402633 0.9409027766968556 AATCCCTGGGGCCT chr5 464707 464708 chr5:464708:T:C rs3815929 T C T EBF1_EBF_1 1 1 - 7.83170516402633 8.092403995947633 AATCCCTGGGGCCT chr5 473445 473446 chr5:473446:C:G chr5:473446:C:G C G C EBF1_EBF_1 6 1 + 6.214620123052136 4.4094280595789375 CGTCCCCGGGGGCC chr5 473673 473674 chr5:473674:G:C rs115806815 G C G EBF1_EBF_1 -9 0 + 0 0 . chr5 473680 473681 chr5:473681:A:G rs74579320 A G A EBF1_EBF_1 -2 0 + 0 0 . chr5 476853 476854 chr5:476854:C:T rs890978 C T C EBF1_EBF_1 7 1 + 5.195918159805434 7.013422906088057 CTCCCCTCGGGGCT chr5 477518 477519 chr5:477519:G:A rs4334924 G A G EBF1_EBF_1 1 1 - 4.23761206723312 5.1330455076318655 TCCCCCCAGGGCAC chr5 485768 485769 chr5:485769:A:T rs12522575 A T A EBF1_EBF_1 -13 0 - 0 0 . chr5 489473 489474 chr5:489474:C:T rs61590346 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 490225 490226 chr5:490226:C:T rs10073235 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 490248 490249 chr5:490249:G:A rs72700701 G A G EBF1_EBF_1 -5 0 - 0 0 . chr5 494810 494811 chr5:494811:T:C rs4956940 T C C EBF1_EBF_1 -2 0 + 0 0 . chr5 494840 494841 chr5:494841:A:G rs4957043 A G G EBF1_EBF_1 28 0 + 0 0 . chr5 501183 501184 chr5:501184:C:T rs72702726 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 501504 501505 chr5:501505:C:T rs188942349 C T C EBF1_EBF_1 20 0 + 0 0 . chr5 504375 504376 chr5:504376:T:C rs12519017 T C T EBF1_EBF_1 13 1 + 6.4442287288107485 5.5997346142252304 AGCCCCCCGGGGCT chr5 504394 504395 chr5:504395:G:A rs116337185 G A G EBF1_EBF_1 32 0 + 0 0 . chr5 514980 514981 chr5:514981:G:A rs72704765 G A G EBF1_EBF_1 33 0 - 0 0 . chr5 515124 515125 chr5:515125:T:C rs10076947 T C C EBF1_EBF_1 25 0 - 0 0 . chr5 515348 515349 chr5:515349:A:C rs60425043 A C A EBF1_EBF_1 -5 0 + 0 0 . chr5 515349 515350 chr5:515350:C:T rs114184476 C T C EBF1_EBF_1 -4 0 + 0 0 . chr5 515497 515498 chr5:515498:T:C rs10078684 T C C EBF1_EBF_1 27 0 + 0 0 . chr5 520527 520528 chr5:520528:G:A rs148963503 G A G EBF1_EBF_1 3 1 - 7.409172861703154 1.003774120149838 CTTCCCTTGGGCAT chr5 520980 520981 chr5:520981:C:T rs4957061 C T T EBF1_EBF_1 -20 0 + 0 0 . chr5 523879 523880 chr5:523880:G:A rs10475282 G A A EBF1_EBF_1 32 0 + 0 0 . chr5 529066 529067 chr5:529067:T:A rs772658 T A A EBF1_EBF_1 -12 0 + 0 0 . chr5 544524 544525 chr5:544525:C:T rs28464867 C T C EBF1_EBF_1 -1 0 + 0 0 . chr5 548436 548437 chr5:548437:C:T rs113117991 C T C EBF1_EBF_1 1 1 + 3.8955603300943755 4.790993770493122 GCTCCCGTGGGCCC chr5 548441 548442 chr5:548442:G:A rs113525351 G A g EBF1_EBF_1 6 1 + 3.8955603300943755 6.062354761368851 GCTCCCGTGGGCCC chr5 551946 551947 chr5:551947:A:C rs112336558 A C A EBF1_EBF_1 22 0 - 0 0 . chr5 555437 555438 chr5:555438:G:T rs191433759 G T G EBF1_EBF_1 17 0 + 0 0 . chr5 555448 555449 chr5:555449:A:C rs12522303 A C C EBF1_EBF_1 28 0 + 0 0 . chr5 556305 556306 chr5:556306:C:T rs12521988 C T C EBF1_EBF_1 -3 0 - 0 0 . chr5 558191 558192 chr5:558192:T:C rs17497684 T C C EBF1_EBF_1 -19 0 + 0 0 . chr5 567130 567131 chr5:567131:C:T rs3853657 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 570098 570099 chr5:570099:C:T rs13154585 C T C EBF1_EBF_1 -15 0 - 0 0 . chr5 572547 572548 chr5:572548:T:C rs16900912 T C T EBF1_EBF_1 -19 0 + 0 0 . chr5 575811 575812 chr5:575812:T:C rs4957053 T C T EBF1_EBF_1 21 0 - 0 0 . chr5 581656 581657 chr5:581657:A:G rs12519469 A G G EBF1_EBF_1 -2 0 - 0 0 . chr5 583326 583327 chr5:583327:G:A rs4957048 G A G EBF1_EBF_1 33 0 - 0 0 . chr5 587145 587146 chr5:587146:C:A rs12522140 C A C EBF1_EBF_1 16 0 - 0 0 . chr5 590626 590627 chr5:590627:T:C rs12522724 T C t EBF1_EBF_1 -3 0 + 0 0 . chr5 592321 592322 chr5:592322:G:A rs114748986 G A G EBF1_EBF_1 -17 0 - 0 0 . chr5 592358 592359 chr5:592359:G:A rs558464171 G A G EBF1_EBF_1 -14 0 - 0 0 . chr5 601478 601479 chr5:601479:C:T rs145326285 C T C EBF1_EBF_1 7 1 - 8.917555312086929 10.230013717375753 CCTCCCCGGGGACC chr5 601815 601816 chr5:601816:T:C rs368573759 T C T EBF1_EBF_1 -16 0 + 0 0 . chr5 601850 601851 chr5:601851:T:A rs3749617 T A A EBF1_EBF_1 19 0 + 0 0 . chr5 611918 611919 chr5:611919:G:A rs534529087 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 611938 611939 chr5:611939:G:A rs942076149 G A G EBF1_EBF_1 12 1 - 7.218503555213997 6.192236984663147 CGCCCCCGGGGACC chr5 611955 611956 chr5:611956:G:C rs112704068 G C G EBF1_EBF_1 -5 0 - 0 0 . chr5 628477 628478 chr5:628478:A:T rs10070935 A T - EBF1_EBF_1 20 0 - 0 0 . chr5 628706 628707 chr5:628707:G:C rs374698173 G C G EBF1_EBF_1 -8 0 - 0 0 . chr5 628908 628909 chr5:628909:G:C rs79229751 G C - EBF1_EBF_1 -8 0 - 0 0 . chr5 659715 659716 chr5:659716:C:T rs534678559 C T C EBF1_EBF_1 4 1 + 10.149215292699282 5.779828347754358 ACTCCCTCGGGAAA chr5 669272 669273 chr5:669273:T:C rs10054597 T C T EBF1_EBF_1 16 0 + 0 0 . chr5 670485 670486 chr5:670486:C:T rs139154820 C T C EBF1_EBF_1 14 0 + 0 0 . chr5 670557 670558 chr5:670558:A:G rs418441 A G a EBF1_EBF_1 7 1 - 3.1670171587688367 1.3495124124862132 CCCCCCGTGGGGCC chr5 671378 671379 chr5:671379:G:T rs78541804 G T G EBF1_EBF_1 12 1 - 5.359086469919965 5.389267868341291 AGCCCCTGGGGCCC chr5 674970 674971 chr5:674971:T:C rs1709549 T C T EBF1_EBF_1 -19 0 - 0 0 . chr5 676268 676269 chr5:676269:G:C rs78350330 G C G EBF1_EBF_1 30 0 - 0 0 . chr5 676307 676308 chr5:676308:G:A rs12516318 G A G EBF1_EBF_1 -9 0 - 0 0 . chr5 677546 677547 chr5:677547:G:A rs436539 G A N EBF1_EBF_1 31 0 - 0 0 . chr5 678510 678511 chr5:678511:G:A rs4079333 G A N EBF1_EBF_1 -2 0 + 0 0 . chr5 678634 678635 chr5:678635:C:T rs447352 C T c EBF1_EBF_1 18 0 - 0 0 . chr5 679579 679580 chr5:679580:C:A rs551722160 C A c EBF1_EBF_1 29 0 + 0 0 . chr5 681258 681259 chr5:681259:G:A rs409832 G A g EBF1_EBF_1 27 0 + 0 0 . chr5 681374 681375 chr5:681375:C:A rs112297579 C A c EBF1_EBF_1 11 1 - 7.537063784184643 6.33185637168993 ACCCCCTGGGGGCT chr5 682027 682028 chr5:682028:A:C rs398524 A C a EBF1_EBF_1 23 0 + 0 0 . chr5 682633 682634 chr5:682634:G:T rs400264 G T g EBF1_EBF_1 -4 0 + 0 0 . chr5 683496 683497 chr5:683497:A:G rs183291734 A G N EBF1_EBF_1 17 0 - 0 0 . chr5 685279 685280 chr5:685280:A:G rs6886251 A G N EBF1_EBF_1 18 0 + 0 0 . chr5 685322 685323 chr5:685323:G:A rs451280 G A g EBF1_EBF_1 15 0 + 0 0 . chr5 693699 693700 chr5:693700:G:T rs1709529 G T g EBF1_EBF_1 33 0 + 0 0 . chr5 695495 695496 chr5:695496:T:G rs142251859 T G N EBF1_EBF_1 1 1 - 5.234214093309798 5.305141270661882 GATCCCCAGGAAAC chr5 698614 698615 chr5:698615:A:G rs433465 A G - EBF1_EBF_1 17 0 - 0 0 . chr5 698624 698625 chr5:698625:A:G rs371087 A G - EBF1_EBF_1 7 1 - 5.5360457729627655 3.718541026680141 AGTCTCATGAGACC chr5 746288 746289 chr5:746289:A:G rs28678838 A G N EBF1_EBF_1 11 1 - 5.106438131730645 4.788390689976981 GCTCCCCAGGGTGC chr5 751113 751114 chr5:751114:G:T rs200795910 G T g EBF1_EBF_1 8 1 + 4.675373254283033 -2.2160595346941614 GGCCCCTTGAGAGC chr5 751119 751120 chr5:751120:G:A rs71273552 G A g EBF1_EBF_1 14 0 + 0 0 . chr5 757859 757860 chr5:757860:C:A rs150656649 C A c EBF1_EBF_1 18 0 - 0 0 . chr5 758239 758240 chr5:758240:A:C rs406007 A C N EBF1_EBF_1 2 1 - 5.859465770548578 -0.8888599196518918 CTTCCCCTGGGCCA chr5 759804 759805 chr5:759805:G:A rs80062444 G A g EBF1_EBF_1 21 0 + 0 0 . chr5 759940 759941 chr5:759941:T:C rs398120 T C N EBF1_EBF_1 -9 0 - 0 0 . chr5 760807 760808 chr5:760808:G:A rs78272307 G A g EBF1_EBF_1 -17 0 + 0 0 . chr5 760837 760838 chr5:760838:C:T rs62332145 C T c EBF1_EBF_1 13 1 + 5.273587625910067 6.118081740495585 CCTCCCAAGGAACC chr5 760843 760844 chr5:760844:G:A rs556191950 G A g EBF1_EBF_1 19 0 + 0 0 . chr5 761673 761674 chr5:761674:T:C rs6894547 T C t EBF1_EBF_1 -16 0 + 0 0 . chr5 764518 764519 chr5:764519:G:A rs148125048 G A g EBF1_EBF_1 25 0 - 0 0 . chr5 767382 767383 chr5:767383:A:G rs438922 A G N EBF1_EBF_1 13 1 + 4.4908227790803315 3.3764972070108925 GCTCCCCGGGGCCA chr5 767387 767388 chr5:767388:C:T rs391675 C T N EBF1_EBF_1 18 0 + 0 0 . chr5 767394 767395 chr5:767395:G:A rs440240 G A N EBF1_EBF_1 25 0 + 0 0 . chr5 767590 767591 chr5:767591:C:T rs371560 C T c EBF1_EBF_1 17 0 - 0 0 . chr5 773345 773346 chr5:773346:G:A rs61212052 G A N EBF1_EBF_1 16 0 + 0 0 . chr5 774165 774166 chr5:774166:C:T rs3894749 C T N EBF1_EBF_1 17 0 + 0 0 . chr5 774171 774172 chr5:774172:A:C rs199979006 A C a EBF1_EBF_1 23 0 + 0 0 . chr5 774201 774202 chr5:774202:C:G rs7723772 C G c EBF1_EBF_1 10 1 - 7.620081459604594 0.7292790722751197 TTTCCCAGGAGATT chr5 774212 774213 chr5:774213:T:C rs419777 T C t EBF1_EBF_1 -1 0 - 0 0 . chr5 774650 774651 chr5:774651:T:C rs428802 T C - EBF1_EBF_1 -8 0 + 0 0 . chr5 774767 774768 chr5:774768:C:G rs28641955 C G N EBF1_EBF_1 -11 0 + 0 0 . chr5 774804 774805 chr5:774805:T:G rs4079447 T G t EBF1_EBF_1 26 0 + 0 0 . chr5 775664 775665 chr5:775665:G:A rs56162379 G A g EBF1_EBF_1 -11 0 - 0 0 . chr5 775982 775983 chr5:775983:C:T rs7730439 C T c EBF1_EBF_1 21 0 + 0 0 . chr5 776176 776177 chr5:776177:C:A rs7734567 C A c EBF1_EBF_1 33 0 + 0 0 . chr5 776450 776451 chr5:776451:G:A rs112516684 G A g EBF1_EBF_1 8 1 + 9.385441419036573 5.159151330150311 AGCCCCAAGGGAGA chr5 776457 776458 chr5:776458:C:T rs11743905 C T c EBF1_EBF_1 15 0 + 0 0 . chr5 778593 778594 chr5:778594:C:T rs111414568 C T c EBF1_EBF_1 -1 0 - 0 0 . chr5 778900 778901 chr5:778901:C:T rs1260875 C T N EBF1_EBF_1 27 0 - 0 0 . chr5 780142 780143 chr5:780143:T:G rs3853744 T G t EBF1_EBF_1 -18 0 + 0 0 . chr5 780403 780404 chr5:780404:C:T rs1156532958 C T c EBF1_EBF_1 22 0 + 0 0 . chr5 783516 783517 chr5:783517:C:T rs1108701 C T c EBF1_EBF_1 -9 0 + 0 0 . chr5 784038 784039 chr5:784039:C:A rs549869516 C A - EBF1_EBF_1 -19 0 - 0 0 . chr5 784990 784991 chr5:784991:T:G rs890959 T G - EBF1_EBF_1 -8 0 + 0 0 . chr5 785014 785015 chr5:785015:C:T rs1189651444 C T - EBF1_EBF_1 16 0 + 0 0 . chr5 837871 837872 chr5:837872:A:G rs7731267 A G N EBF1_EBF_1 31 0 + 0 0 . chr5 842952 842953 chr5:842953:C:T rs1809935 C T c EBF1_EBF_1 3 1 + 8.043916885261055 1.6385181437077367 GGCCCCCTGGGACA chr5 842971 842972 chr5:842972:C:T rs4045700 C T c EBF1_EBF_1 22 0 + 0 0 . chr5 848046 848047 chr5:848047:C:T rs879777118 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 849593 849594 chr5:849594:G:A rs13354533 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 850901 850902 chr5:850902:G:T rs73730974 G T g EBF1_EBF_1 -8 0 + 0 0 . chr5 850930 850931 chr5:850931:G:A rs3747733 G A g EBF1_EBF_1 21 0 + 0 0 . chr5 850998 850999 chr5:850999:C:T rs60003992 C T T EBF1_EBF_1 -9 0 + 0 0 . chr5 858135 858136 chr5:858136:G:A rs113590165 G A - EBF1_EBF_1 15 0 - 0 0 . chr5 859866 859867 chr5:859867:C:T rs77693131 C T c EBF1_EBF_1 12 1 + 7.400964011775996 6.3746974412251465 GGTCCCCAAGGACT chr5 876045 876046 chr5:876046:G:A rs72703144 G A A EBF1_EBF_1 -8 0 + 0 0 . chr5 878344 878345 chr5:878345:C:T rs112921031 C T C EBF1_EBF_1 12 1 + 3.3764972070108925 2.3502306364600427 GCTCCCCGGGGCCG chr5 891369 891370 chr5:891370:G:C rs77141166 G C G EBF1_EBF_1 6 1 - 7.128981610261919 5.3237895467887215 CCTCCCCTGAGAAC chr5 903125 903126 chr5:903126:C:G rs113867090 C G C EBF1_EBF_1 -4 0 + 0 0 . chr5 923361 923362 chr5:923362:T:C rs55998337 T C C EBF1_EBF_1 -4 0 + 0 0 . chr5 926927 926928 chr5:926928:C:G rs73734423 C G g EBF1_EBF_1 29 0 + 0 0 . chr5 956710 956711 chr5:956711:T:C rs4957113 T C C EBF1_EBF_1 -20 0 + 0 0 . chr5 957409 957410 chr5:957410:C:A rs921427383 C A C EBF1_EBF_1 -2 0 - 0 0 . chr5 961881 961882 chr5:961882:T:C rs7730318 T C C EBF1_EBF_1 -2 0 + 0 0 . chr5 967241 967242 chr5:967242:G:A rs73731107 G A G EBF1_EBF_1 -13 0 - 0 0 . chr5 983509 983510 chr5:983510:C:T chr5:983510:C:T C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 1000981 1000982 chr5:1000982:C:A rs12523656 C A C EBF1_EBF_1 -7 0 - 0 0 . chr5 1006258 1006259 chr5:1006259:C:G rs67926348 C G A EBF1_EBF_1 5 1 + 4.3025711740217 -2.6023099786134694 CCACCCGGGGGAAT chr5 1006403 1006404 chr5:1006404:T:C rs67746750 T C T EBF1_EBF_1 -9 0 - 0 0 . chr5 1019662 1019663 chr5:1019663:A:G rs4975579 A G A EBF1_EBF_1 30 0 + 0 0 . chr5 1028760 1028761 chr5:1028761:A:G rs6876150 A G G EBF1_EBF_1 7 1 + 4.81399135653257 3.5015329512437448 TCTCGCTAGGGACA chr5 1028802 1028803 chr5:1028803:G:A rs11743637 G A G EBF1_EBF_1 5 1 - 4.008859956050168 -1.312927259490503 CCTCCCGAGGGCCC chr5 1030026 1030027 chr5:1030027:T:G rs72643717 T G G EBF1_EBF_1 19 0 - 0 0 . chr5 1030099 1030100 chr5:1030100:C:A rs73026742 C A C EBF1_EBF_1 18 0 - 0 0 . chr5 1034130 1034131 chr5:1034131:A:T rs4975576 A T A EBF1_EBF_1 18 0 - 0 0 . chr5 1034486 1034487 chr5:1034487:G:A rs144875853 G A g EBF1_EBF_1 21 0 + 0 0 . chr5 1039371 1039372 chr5:1039372:C:T rs6887135 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 1039553 1039554 chr5:1039554:C:T rs868761187 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 1050955 1050956 chr5:1050956:G:A rs61567240 G A G EBF1_EBF_1 -16 0 - 0 0 . chr5 1052244 1052245 chr5:1052245:C:T rs3810854 C T C EBF1_EBF_1 20 0 + 0 0 . chr5 1053100 1053101 chr5:1053101:G:C rs2241601 G C G EBF1_EBF_1 5 1 - 4.471022517429793 -2.433858635205376 TGTCCCCAGGTGCT chr5 1062537 1062538 chr5:1062538:C:T rs11950894 C T c EBF1_EBF_1 -11 0 + 0 0 . chr5 1066022 1066023 chr5:1066023:A:G rs10474873 A G A EBF1_EBF_1 -14 0 - 0 0 . chr5 1067262 1067263 chr5:1067263:C:A rs12515500 C A C EBF1_EBF_1 14 0 + 0 0 . chr5 1068096 1068097 chr5:1068097:G:A rs75012800 G A G EBF1_EBF_1 18 0 + 0 0 . chr5 1084737 1084738 chr5:1084738:G:C rs34597478 G C G EBF1_EBF_1 5 1 - 5.908080338507525 -0.996800814127643 AGCCCCTTGAGGCT chr5 1087683 1087684 chr5:1087684:C:T rs112799898 C T C EBF1_EBF_1 -13 0 - 0 0 . chr5 1093144 1093145 chr5:1093145:C:T rs6554595 C T C EBF1_EBF_1 13 1 - 3.7380995748121695 4.852425146881608 GCTCCCAGGGGCCG chr5 1100419 1100420 chr5:1100420:C:T rs114881363 C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 1105712 1105713 chr5:1105713:C:T rs77940844 C T C EBF1_EBF_1 10 1 - 4.391478434106329 -0.9265500251606369 GCTGCCCAGGGACA chr5 1106551 1106552 chr5:1106552:C:A rs10044441 C A A EBF1_EBF_1 9 1 - 6.286467021567215 -0.550522386521596 ACACCCCAGGGATG chr5 1116393 1116394 chr5:1116394:C:T rs114249239 C T C EBF1_EBF_1 7 1 + 3.838320474906848 5.65582522118947 CCTCCCCCGGGTCC chr5 1120104 1120105 chr5:1120105:C:T rs3923254 C T T EBF1_EBF_1 28 0 + 0 0 . chr5 1125183 1125184 chr5:1125184:C:T rs115297926 C T C EBF1_EBF_1 7 1 + 7.227859193746078 9.045363940028698 AATCCCCCGGGGCC chr5 1129630 1129631 chr5:1129631:A:G rs11951225 A G G EBF1_EBF_1 27 0 + 0 0 . chr5 1130920 1130921 chr5:1130921:G:A rs368612839 G A G EBF1_EBF_1 -9 0 - 0 0 . chr5 1131249 1131250 chr5:1131250:G:A rs189046675 G A G EBF1_EBF_1 -14 0 - 0 0 . chr5 1133823 1133824 chr5:1133824:C:T rs73734028 C T C EBF1_EBF_1 5 1 + 10.07485304255457 4.753065827013901 AATCCCAGGGGAGC chr5 1140901 1140902 chr5:1140902:C:T chr5:1140902:C:T C T C EBF1_EBF_1 -3 0 - 0 0 . chr5 1153218 1153219 chr5:1153219:T:C rs7719009 T C C EBF1_EBF_1 11 1 - 5.613178456100506 2.720471612496495 ACCGCCCAGGGACT chr5 1169542 1169543 chr5:1169543:C:G rs78955030 C G C EBF1_EBF_1 -17 0 + 0 0 . chr5 1180583 1180584 chr5:1180584:C:T rs181878110 C T C EBF1_EBF_1 6 1 + 5.054339882935524 5.355626011797281 GGTCCCCGGGGCCC chr5 1183004 1183005 chr5:1183005:G:A chr5:1183005:G:A G A G EBF1_EBF_1 31 0 - 0 0 . chr5 1183014 1183015 chr5:1183015:G:C rs1053904982 G C G EBF1_EBF_1 21 0 - 0 0 . chr5 1195093 1195094 chr5:1195094:T:A rs6872717 T A A EBF1_EBF_1 -6 0 - 0 0 . chr5 1195765 1195766 chr5:1195766:G:T rs62331275 G T G EBF1_EBF_1 1 1 - 4.865671342148447 4.794744164796361 ACTCCCCAGGTGGT chr5 1195905 1195906 chr5:1195906:A:G rs72491337 A G - EBF1_EBF_1 26 0 + 0 0 . chr5 1200766 1200767 chr5:1200767:C:T rs13183296 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 1200769 1200770 chr5:1200770:C:G rs13183297 C G G EBF1_EBF_1 25 0 - 0 0 . chr5 1201026 1201027 chr5:1201027:G:C rs57041537 G C C EBF1_EBF_1 21 0 - 0 0 . chr5 1201065 1201066 chr5:1201066:C:T rs78531478 C T C EBF1_EBF_1 -18 0 - 0 0 . chr5 1201342 1201343 chr5:1201343:G:A rs36018851 G A G EBF1_EBF_1 -7 0 - 0 0 . chr5 1201348 1201349 chr5:1201349:A:G rs7379677 A G G EBF1_EBF_1 -13 0 - 0 0 . chr5 1205197 1205198 chr5:1205198:G:C rs13170093 G C G EBF1_EBF_1 18 0 - 0 0 . chr5 1210673 1210674 chr5:1210674:G:A rs4358564 G A G EBF1_EBF_1 -14 0 + 0 0 . chr5 1211189 1211190 chr5:1211190:C:T rs117699231 C T C EBF1_EBF_1 21 0 - 0 0 . chr5 1212241 1212242 chr5:1212242:C:A rs7727745 C A C EBF1_EBF_1 23 0 - 0 0 . chr5 1214490 1214491 chr5:1214491:C:T rs115974489 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 1217258 1217259 chr5:1217259:C:T rs149918068 C T C EBF1_EBF_1 13 1 + 4.901282044889951 5.745776159475469 CACCCCCTGGGGCC chr5 1240088 1240089 chr5:1240089:C:T rs78368589 C T C EBF1_EBF_1 -13 0 - 0 0 . chr5 1282203 1282204 chr5:1282204:C:A rs7726159 C A C EBF1_EBF_1 12 1 + 6.376224299535548 6.406405697956874 AAACCCTAGAGACC chr5 1319881 1319882 chr5:1319882:C:A rs79717857 C A C EBF1_EBF_1 14 0 - 0 0 . chr5 1319887 1319888 chr5:1319888:C:T rs57902743 C T C EBF1_EBF_1 8 1 - 4.36742392379036 0.1411338349040987 CTCCCCTCGGGAGG chr5 1321308 1321309 chr5:1321309:A:T rs140325844 A T A EBF1_EBF_1 -6 0 + 0 0 . chr5 1321347 1321348 chr5:1321348:G:T rs59669852 G T G EBF1_EBF_1 33 0 + 0 0 . chr5 1341374 1341375 chr5:1341375:C:A rs7732291 C A C EBF1_EBF_1 -14 0 + 0 0 . chr5 1343678 1343679 chr5:1343679:C:T rs10462706 C T T EBF1_EBF_1 -10 0 + 0 0 . chr5 1345269 1345270 chr5:1345270:G:A chr5:1345270:G:A G A g EBF1_EBF_1 -19 0 + 0 0 . chr5 1345285 1345286 chr5:1345286:C:T chr5:1345286:C:T C T c EBF1_EBF_1 -3 0 + 0 0 . chr5 1345310 1345311 chr5:1345311:A:C chr5:1345311:A:C A C a EBF1_EBF_1 22 0 + 0 0 . chr5 1359017 1359018 chr5:1359018:T:C rs27066 T C C EBF1_EBF_1 7 1 + 5.912022823196103 4.094518076913479 AGCCCCATGGGCAA chr5 1380028 1380029 chr5:1380029:A:G rs10866501 A G A EBF1_EBF_1 -2 0 + 0 0 . chr5 1380049 1380050 chr5:1380050:A:T rs142307046 A T A EBF1_EBF_1 19 0 + 0 0 . chr5 1380059 1380060 chr5:1380060:A:G rs2113329 A G A EBF1_EBF_1 29 0 + 0 0 . chr5 1380060 1380061 chr5:1380061:G:A rs12514538 G A G EBF1_EBF_1 30 0 + 0 0 . chr5 1406547 1406548 chr5:1406548:G:A rs11564763 G A G EBF1_EBF_1 -6 0 + 0 0 . chr5 1412489 1412490 chr5:1412490:C:T rs62331084 C T C EBF1_EBF_1 6 1 - 5.558881945906125 7.725676377180601 TTTCCCGAGAGAGC chr5 1412497 1412498 chr5:1412498:G:A rs543140204 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 1415175 1415176 chr5:1415176:G:A rs11564759 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 1415224 1415225 chr5:1415225:G:A rs28382257 G A G EBF1_EBF_1 12 1 + 7.0422769273430905 8.461094269442567 ACTCCCAAGGGCGC chr5 1420152 1420153 chr5:1420153:C:A rs2735917 C A C EBF1_EBF_1 2 1 + 7.786130445356527 5.990486269199799 TGCCCCAAGGGAGC chr5 1436839 1436840 chr5:1436840:C:G rs993462519 C G C EBF1_EBF_1 17 0 + 0 0 . chr5 1443342 1443343 chr5:1443343:C:T rs114741028 C T C EBF1_EBF_1 20 0 - 0 0 . chr5 1443382 1443383 chr5:1443383:G:A rs2455391 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 1460250 1460251 chr5:1460251:T:C rs114794213 T C C EBF1_EBF_1 -20 0 - 0 0 . chr5 1462897 1462898 chr5:1462898:C:T rs2937653 C T T EBF1_EBF_1 -15 0 + 0 0 . chr5 1462930 1462931 chr5:1462931:G:A rs191683805 G A G EBF1_EBF_1 18 0 + 0 0 . chr5 1462935 1462936 chr5:1462936:G:C rs8352 G C G EBF1_EBF_1 23 0 + 0 0 . chr5 1470306 1470307 chr5:1470307:C:A rs2963289 C A C EBF1_EBF_1 2 1 + 9.39758450052127 7.601940324364545 AGCCCCCAGGGAGC chr5 1470993 1470994 chr5:1470994:T:C rs27054 T C C EBF1_EBF_1 6 1 - 6.450284820757714 4.283490389483239 GCACCCATGGGAAA chr5 1481147 1481148 chr5:1481148:G:A rs10037459 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 1482789 1482790 chr5:1482790:T:C rs2617582 T C C EBF1_EBF_1 19 0 - 0 0 . chr5 1482790 1482791 chr5:1482791:G:A rs6882886 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 1484494 1484495 chr5:1484495:G:A rs72717529 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 1488034 1488035 chr5:1488035:G:T rs56321848 G T G EBF1_EBF_1 1 1 - 5.369084961399946 5.298157784047862 ACCCGCCTGGGAAT chr5 1494991 1494992 chr5:1494992:C:T rs1002086359 C T C EBF1_EBF_1 6 1 - 8.230749474545576 10.39754390582005 TCTCCCGTGGGACC chr5 1495797 1495798 chr5:1495798:T:C rs111788433 T C T EBF1_EBF_1 -9 0 + 0 0 . chr5 1512626 1512627 chr5:1512627:C:T rs57704006 C T C EBF1_EBF_1 7 1 + 6.076817645711542 7.894322391994165 ACTCCCTCGGGCCC chr5 1516769 1516770 chr5:1516770:C:G rs13159671 C G C EBF1_EBF_1 20 0 + 0 0 . chr5 1516977 1516978 chr5:1516978:G:C rs949745380 G C G EBF1_EBF_1 -9 0 + 0 0 . chr5 1519871 1519872 chr5:1519872:T:A chr5:1519872:T:A T A T EBF1_EBF_1 1 1 + 9.22448066484765 8.258120047096822 CTCCCCAAGGGACA chr5 1519888 1519889 chr5:1519889:C:T rs151167674 C T C EBF1_EBF_1 18 0 + 0 0 . chr5 1524079 1524080 chr5:1524080:A:G chr5:1524080:A:G A G C EBF1_EBF_1 22 0 - 0 0 . chr5 1524099 1524100 chr5:1524100:T:C rs956796440 T C T EBF1_EBF_1 2 1 - 5.992072951730441 2.9282148491288518 AGACCCCAGAGAAA chr5 1524119 1524120 chr5:1524120:C:T rs144443971 C T T EBF1_EBF_1 -18 0 - 0 0 . chr5 1524179 1524180 chr5:1524180:G:A rs563480127 G A G EBF1_EBF_1 -15 0 + 0 0 . chr5 1543889 1543890 chr5:1543890:C:T rs116485258 C T c EBF1_EBF_1 28 0 - 0 0 . chr5 1544630 1544631 chr5:1544631:C:T rs60566472 C T c EBF1_EBF_1 23 0 + 0 0 . chr5 1547453 1547454 chr5:1547454:G:T rs116404800 G T G EBF1_EBF_1 12 1 - 7.857276512658729 7.887457911080055 TCTCCCCAGAGACC chr5 1548434 1548435 chr5:1548435:T:G rs115278194 T G T EBF1_EBF_1 8 1 - 5.004505176928233 3.912136404899808 ACTCCCGCAGGACT chr5 1548892 1548893 chr5:1548893:T:C rs7724501 T C C EBF1_EBF_1 -8 0 + 0 0 . chr5 1548929 1548930 chr5:1548930:T:C rs2963287 T C C EBF1_EBF_1 29 0 + 0 0 . chr5 1550735 1550736 chr5:1550736:A:G rs4529248 A G A EBF1_EBF_1 -17 0 + 0 0 . chr5 1550826 1550827 chr5:1550827:T:C rs10079102 T C C EBF1_EBF_1 12 1 - 5.614570451119787 4.19575310902031 GTTCCCCAGGGCAG chr5 1555167 1555168 chr5:1555168:G:C rs2962046 G C G EBF1_EBF_1 20 0 - 0 0 . chr5 1565255 1565256 chr5:1565256:C:T rs4975556 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 1566091 1566092 chr5:1566092:C:T rs4975659 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 1566191 1566192 chr5:1566192:C:G rs4975557 C G C EBF1_EBF_1 -18 0 + 0 0 . chr5 1600356 1600357 chr5:1600357:A:G rs180881459 A G - EBF1_EBF_1 -18 0 - 0 0 . chr5 1603945 1603946 chr5:1603946:A:G rs2937019 A G - EBF1_EBF_1 2 1 - 8.250778631107115 6.361955219664966 CTTCCCAAGGGGAA chr5 1608060 1608061 chr5:1608061:G:A rs73732124 G A - EBF1_EBF_1 -7 0 + 0 0 . chr5 1610995 1610996 chr5:1610996:C:T rs79337124 C T - EBF1_EBF_1 -1 0 - 0 0 . chr5 1614803 1614804 chr5:1614804:A:G rs2883372 A G - EBF1_EBF_1 22 0 + 0 0 . chr5 1617331 1617332 chr5:1617332:C:T rs11953233 C T - EBF1_EBF_1 7 1 + 6.306285469946839 8.123790216229462 ATCCCCACGGGTCT chr5 1626542 1626543 chr5:1626543:C:T rs112442204 C T - EBF1_EBF_1 13 1 + 6.091469196048134 6.935963310633652 GTTCCCTGGGGCAC chr5 1626557 1626558 chr5:1626558:G:A rs113230557 G A - EBF1_EBF_1 28 0 + 0 0 . chr5 1630368 1630369 chr5:1630369:G:A rs6894420 G A g EBF1_EBF_1 20 0 - 0 0 . chr5 1630662 1630663 chr5:1630663:C:T rs6896140 C T - EBF1_EBF_1 32 0 + 0 0 . chr5 1640470 1640471 chr5:1640471:T:C rs12656700 T C T EBF1_EBF_1 -6 0 + 0 0 . chr5 1642829 1642830 chr5:1642830:A:G rs571181321 A G A EBF1_EBF_1 14 0 - 0 0 . chr5 1645126 1645127 chr5:1645127:C:T rs4551124 C T C EBF1_EBF_1 -10 0 + 0 0 . chr5 1645245 1645246 chr5:1645246:G:A rs539803726 G A G EBF1_EBF_1 8 1 + 4.3910667436078334 0.16477665472157088 GGTCCCCCGGGTCC chr5 1645270 1645271 chr5:1645271:A:G rs11133842 A G G EBF1_EBF_1 33 0 + 0 0 . chr5 1652707 1652708 chr5:1652708:C:T rs34576087 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 1653477 1653478 chr5:1653478:A:G chr5:1653478:A:G A G a EBF1_EBF_1 16 0 + 0 0 . chr5 1653480 1653481 chr5:1653481:G:A chr5:1653481:G:A G A C EBF1_EBF_1 19 0 + 0 0 . chr5 1653480 1653481 chr5:1653481:G:C rs2354132 G C C EBF1_EBF_1 19 0 + 0 0 . chr5 1653935 1653936 chr5:1653936:G:A rs4524557 G A A EBF1_EBF_1 -19 0 + 0 0 . chr5 1661465 1661466 chr5:1661466:T:C rs904735 T C t EBF1_EBF_1 -18 0 - 0 0 . chr5 1661467 1661468 chr5:1661468:T:C rs904736 T C t EBF1_EBF_1 -20 0 - 0 0 . chr5 1662068 1662069 chr5:1662069:T:C rs11952443 T C C EBF1_EBF_1 -15 0 - 0 0 . chr5 1665392 1665393 chr5:1665393:G:A rs12521511 G A G EBF1_EBF_1 7 1 + 6.959424447004333 8.271882852293157 AGCCCCCGGGGATG chr5 1667407 1667408 chr5:1667408:T:G rs981883936 T G - EBF1_EBF_1 7 1 + 5.2145644821248185 4.378380316923551 GTTGCCCTGGGAAC chr5 1672087 1672088 chr5:1672088:A:C rs55996912 A C A EBF1_EBF_1 19 0 - 0 0 . chr5 1687028 1687029 chr5:1687029:G:A rs12109529 G A G EBF1_EBF_1 21 0 + 0 0 . chr5 1687117 1687118 chr5:1687118:G:A rs1875195 G A g EBF1_EBF_1 4 1 + 4.672038021477621 4.473243499173698 AGCCGCATGGGACA chr5 1701402 1701403 chr5:1701403:G:A rs35103747 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 1702617 1702618 chr5:1702618:A:G rs1106418 A G A EBF1_EBF_1 24 0 - 0 0 . chr5 1703757 1703758 chr5:1703758:C:A rs56294200 C A C EBF1_EBF_1 13 1 + 5.570157938140746 5.19641248885477 ACTGCCTAGGGAGC chr5 1723193 1723194 chr5:1723194:C:T rs11133883 C T T EBF1_EBF_1 -3 0 + 0 0 . chr5 1731442 1731443 chr5:1731443:A:G rs114700523 A G A EBF1_EBF_1 8 1 + 5.518665540985953 9.744955629872212 ATCCCCTAAGGGCT chr5 1733083 1733084 chr5:1733084:G:T rs114198992 G T G EBF1_EBF_1 0 1 + 4.650552011106025 4.569779457704836 GCTGCCATGGGACC chr5 1735503 1735504 chr5:1735504:T:C rs7707604 T C C EBF1_EBF_1 2 1 + 7.4355351397855625 5.546711728343413 TGTCCCCAGGGGCA chr5 1745686 1745687 chr5:1745687:A:C rs11749397 A C C EBF1_EBF_1 31 0 - 0 0 . chr5 1751303 1751304 chr5:1751304:C:T rs62336002 C T T EBF1_EBF_1 22 0 + 0 0 . chr5 1751449 1751450 chr5:1751450:A:G rs10077977 A G G EBF1_EBF_1 25 0 + 0 0 . chr5 1752913 1752914 chr5:1752914:G:A rs114543664 G A G EBF1_EBF_1 7 1 - 7.293676292609237 9.11118103889186 GTCCCCACGGGACA chr5 1754742 1754743 chr5:1754743:G:A rs73732200 G A G EBF1_EBF_1 -18 0 + 0 0 . chr5 1760762 1760763 chr5:1760763:C:T rs11750141 C T c EBF1_EBF_1 28 0 - 0 0 . chr5 1763075 1763076 chr5:1763076:G:A rs115233366 G A G EBF1_EBF_1 22 0 + 0 0 . chr5 1763428 1763429 chr5:1763429:G:T rs376369238 G T G EBF1_EBF_1 32 0 - 0 0 . chr5 1763450 1763451 chr5:1763451:C:T rs138407357 C T C EBF1_EBF_1 10 1 - 7.228194875078569 1.9101664158116023 AGACCCCAGGGAGA chr5 1764357 1764358 chr5:1764358:C:T rs10056616 C T C EBF1_EBF_1 29 0 - 0 0 . chr5 1764393 1764394 chr5:1764394:G:A rs115516668 G A G EBF1_EBF_1 -7 0 - 0 0 . chr5 1779727 1779728 chr5:1779728:C:T rs10040940 C T T EBF1_EBF_1 -8 0 - 0 0 . chr5 1797907 1797908 chr5:1797908:G:T rs4277951 G T G EBF1_EBF_1 10 1 + 7.973528433954732 3.790776247727467 TCACCCTAGGGACT chr5 1807567 1807568 chr5:1807568:G:A rs3822364 G A G EBF1_EBF_1 19 0 + 0 0 . chr5 1823518 1823519 chr5:1823519:C:T rs10475110 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 1827928 1827929 chr5:1827929:T:C rs13154141 T C C EBF1_EBF_1 11 1 - 6.182137983462237 3.2894311398582254 TGTCCCCTGAGAGC chr5 1832546 1832547 chr5:1832547:C:T rs10051882 C T C EBF1_EBF_1 13 1 + 3.845962326476876 4.690456441062395 AACCCCCCGGGCAC chr5 1836791 1836792 chr5:1836792:T:G rs6555014 T G G EBF1_EBF_1 1 1 + 6.149844723371359 5.4441829375418305 TTCCCCCTGGGGCC chr5 1836793 1836794 chr5:1836794:C:A rs35923357 C A C EBF1_EBF_1 3 1 + 6.149844723371359 -0.7563293060944483 TTCCCCCTGGGGCC chr5 1840247 1840248 chr5:1840248:G:T rs116515393 G T G EBF1_EBF_1 -8 0 - 0 0 . chr5 1856225 1856226 chr5:1856226:C:T rs260394 C T C EBF1_EBF_1 31 0 + 0 0 . chr5 1856856 1856857 chr5:1856857:C:G rs10475117 C G C EBF1_EBF_1 -9 0 - 0 0 . chr5 1863391 1863392 chr5:1863392:C:T rs2077269 C T C EBF1_EBF_1 8 1 - 5.618030909242471 1.3917408203562114 ATCCCCCAGGGCAG chr5 1869337 1869338 chr5:1869338:C:G rs1684958 C G G EBF1_EBF_1 9 1 - 6.964662069436984 1.8889729230915209 AGTCCCAGGGGCAA chr5 1877103 1877104 chr5:1877104:C:G rs1684971 C G C EBF1_EBF_1 7 1 + 5.673665870277447 6.654986451358803 CCCCCCCCGGGACA chr5 1881618 1881619 chr5:1881619:T:C rs260402 T C C EBF1_EBF_1 15 0 - 0 0 . chr5 1882328 1882329 chr5:1882329:T:C rs4975756 T C C EBF1_EBF_1 1 1 - 8.056459712916965 8.317158544838264 AACCCCTGGGGAAG chr5 1882464 1882465 chr5:1882465:G:A rs4975757 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 1890361 1890362 chr5:1890362:C:G rs11133913 C G C EBF1_EBF_1 -4 0 - 0 0 . chr5 1895371 1895372 chr5:1895372:A:C rs12656007 A C A EBF1_EBF_1 23 0 + 0 0 . chr5 1897064 1897065 chr5:1897065:G:A rs13177600 G A G EBF1_EBF_1 12 1 - 6.423012683530656 5.396746112979806 ACTCCCCTGGGTCG chr5 1897610 1897611 chr5:1897611:A:G rs260411 A G G EBF1_EBF_1 0 1 + 5.561321297428588 3.6690374278637536 ACTTCCTTGGGAAA chr5 1920171 1920172 chr5:1920172:G:C rs6861662 G C C EBF1_EBF_1 -9 0 - 0 0 . chr5 1930822 1930823 chr5:1930823:G:T rs11952878 G T - EBF1_EBF_1 7 1 - 5.059527765972008 7.353306752342189 CCTCCCCCGGGAGG chr5 1939431 1939432 chr5:1939432:C:G rs9312967 C G - EBF1_EBF_1 -17 0 - 0 0 . chr5 1946854 1946855 chr5:1946855:C:T rs11133916 C T c EBF1_EBF_1 -11 0 - 0 0 . chr5 1947265 1947266 chr5:1947266:G:A rs11748657 G A a EBF1_EBF_1 -3 0 - 0 0 . chr5 1948247 1948248 chr5:1948248:A:C rs62337098 A C a EBF1_EBF_1 -20 0 - 0 0 . chr5 1948269 1948270 chr5:1948270:G:A rs62337099 G A g EBF1_EBF_1 -8 0 + 0 0 . chr5 1948309 1948310 chr5:1948310:C:T rs10062568 C T c EBF1_EBF_1 32 0 + 0 0 . chr5 1962141 1962142 chr5:1962142:T:C rs12654104 T C T EBF1_EBF_1 27 0 - 0 0 . chr5 1962865 1962866 chr5:1962866:A:G rs55860207 A G G EBF1_EBF_1 -8 0 - 0 0 . chr5 1966143 1966144 chr5:1966144:C:G rs73735141 C G C EBF1_EBF_1 6 1 + 6.572878303214381 4.767686239741184 AGTCCCCGGGGCCA chr5 1974871 1974872 chr5:1974872:C:T rs190943066 C T C EBF1_EBF_1 31 0 + 0 0 . chr5 2003346 2003347 chr5:2003347:G:T rs73031990 G T G EBF1_EBF_1 19 0 - 0 0 . chr5 2005733 2005734 chr5:2005734:T:G rs10076791 T G G EBF1_EBF_1 -13 0 - 0 0 . chr5 2010741 2010742 chr5:2010742:C:G rs73033976 C G C EBF1_EBF_1 27 0 + 0 0 . chr5 2013848 2013849 chr5:2013849:C:T rs11747989 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 2031875 2031876 chr5:2031876:A:G rs7714134 A G G EBF1_EBF_1 7 1 - 5.139902341431972 3.322397595149348 AGCCCCATGAGAGG chr5 2117765 2117766 chr5:2117766:A:G rs72710637 A G A EBF1_EBF_1 -3 0 - 0 0 . chr5 2125729 2125730 chr5:2125730:G:A rs3935323 G A A EBF1_EBF_1 18 0 - 0 0 . chr5 2131669 2131670 chr5:2131670:C:T rs11953155 C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 2135902 2135903 chr5:2135903:C:A rs13167003 C A C EBF1_EBF_1 -20 0 + 0 0 . chr5 2156446 2156447 chr5:2156447:A:G rs6872691 A G G EBF1_EBF_1 1 1 - 4.564272605142056 3.6688391647433107 CTACCCCAGGGAGG chr5 2167106 2167107 chr5:2167107:T:G rs73027602 T G T EBF1_EBF_1 -20 0 + 0 0 . chr5 2167625 2167626 chr5:2167626:G:A rs4591752 G A g EBF1_EBF_1 -11 0 + 0 0 . chr5 2169319 2169320 chr5:2169320:T:C rs77261235 T C T EBF1_EBF_1 22 0 + 0 0 . chr5 2175645 2175646 chr5:2175646:C:T rs16903521 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 2175912 2175913 chr5:2175913:C:T rs11133933 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 2175923 2175924 chr5:2175924:G:A rs12518547 G A G EBF1_EBF_1 4 1 - 8.600495473999096 4.231108529054174 GCTCCCTCGGGACC chr5 2213318 2213319 chr5:2213319:T:G rs6859292 T G G EBF1_EBF_1 0 1 + 6.9661709115417025 7.04694346494289 TTTCCCCAGGGTAA chr5 2242017 2242018 chr5:2242018:A:G rs11960571 A G G EBF1_EBF_1 -13 0 + 0 0 . chr5 2251395 2251396 chr5:2251396:A:G rs2018542 A G G EBF1_EBF_1 2 1 + 4.488256209341756 1.424398106740164 TCACCCAGGGGAGC chr5 2257696 2257697 chr5:2257697:T:C rs6891531 T C C EBF1_EBF_1 19 0 - 0 0 . chr5 2259885 2259886 chr5:2259886:A:G rs6898973 A G G EBF1_EBF_1 13 1 - 5.603298805767806 4.758804691182288 TGCCCCAAGGGCCT chr5 2259907 2259908 chr5:2259908:A:G rs6898985 A G G EBF1_EBF_1 -9 0 - 0 0 . chr5 2282204 2282205 chr5:2282205:G:A rs562674 G A g EBF1_EBF_1 -6 0 + 0 0 . chr5 2282233 2282234 chr5:2282234:T:C rs635969 T C T EBF1_EBF_1 23 0 + 0 0 . chr5 2297098 2297099 chr5:2297099:G:T rs537778474 G T G EBF1_EBF_1 9 1 + 9.270648303887674 2.4336588957988656 ATTCCCAAGGGTCA chr5 2302248 2302249 chr5:2302249:C:A rs2125393 C A C EBF1_EBF_1 5 1 + 5.784241468312098 -1.120639684323071 AGTCCCCAGGCACC chr5 2303549 2303550 chr5:2303550:T:C rs75476832 T C T EBF1_EBF_1 -4 0 - 0 0 . chr5 2330222 2330223 chr5:2330223:T:C rs2125395 T C T EBF1_EBF_1 23 0 + 0 0 . chr5 2336796 2336797 chr5:2336797:T:C rs2609083 T C C EBF1_EBF_1 12 1 + 6.227091442984436 7.253358013535286 CCTCCCAAGGTATT chr5 2337224 2337225 chr5:2337225:C:T rs13182644 C T T EBF1_EBF_1 -19 0 + 0 0 . chr5 2346506 2346507 chr5:2346507:A:G rs468976 A G G EBF1_EBF_1 -12 0 + 0 0 . chr5 2352615 2352616 chr5:2352616:C:T rs10036054 C T C EBF1_EBF_1 -20 0 - 0 0 . chr5 2358737 2358738 chr5:2358738:C:G rs13355050 C G G EBF1_EBF_1 -19 0 + 0 0 . chr5 2364752 2364753 chr5:2364753:G:T rs28653433 G T T EBF1_EBF_1 8 1 + 5.140109040674697 -1.7513237483024968 ACCCACCTGGGACT chr5 2364805 2364806 chr5:2364806:T:C rs28474956 T C T EBF1_EBF_1 -9 0 - 0 0 . chr5 2381277 2381278 chr5:2381278:G:T rs79081924 G T G EBF1_EBF_1 14 0 + 0 0 . chr5 2387648 2387649 chr5:2387649:C:T rs114744115 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 2388114 2388115 chr5:2388115:G:A rs2062334 G A G EBF1_EBF_1 -20 0 + 0 0 . chr5 2388120 2388121 chr5:2388121:C:A rs77426818 C A C EBF1_EBF_1 -14 0 + 0 0 . chr5 2430611 2430612 chr5:2430612:T:A chr5:2430612:T:A T A T EBF1_EBF_1 0 1 - 5.923478193585434 3.950421770619413 ACCCCCCAGAGGAT chr5 2442893 2442894 chr5:2442894:G:A rs13355826 G A G EBF1_EBF_1 10 1 + 9.423733191726448 4.105704732459482 AATCCCCTGGGATG chr5 2457942 2457943 chr5:2457943:T:C rs315892 T C C EBF1_EBF_1 -6 0 - 0 0 . chr5 2467377 2467378 chr5:2467378:C:T rs73733343 C T C EBF1_EBF_1 8 1 - 4.824613294233475 0.5983232053472132 CTTCACCTGGGACC chr5 2470163 2470164 chr5:2470164:C:T rs112679438 C T C EBF1_EBF_1 -10 0 - 0 0 . chr5 2471590 2471591 chr5:2471591:A:G rs1496447 A G A EBF1_EBF_1 -12 0 + 0 0 . chr5 2495649 2495650 chr5:2495650:G:A rs146280158 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 2495661 2495662 chr5:2495662:G:A rs76045402 G A G EBF1_EBF_1 4 1 - 5.449430773238439 1.0800438282935154 TGTCCCCAAGGATC chr5 2508106 2508107 chr5:2508107:C:G rs3112414 C G G EBF1_EBF_1 26 0 - 0 0 . chr5 2517750 2517751 chr5:2517751:A:G rs422459 A G G EBF1_EBF_1 -1 0 - 0 0 . chr5 2518310 2518311 chr5:2518311:C:T rs442207 C T C EBF1_EBF_1 17 0 - 0 0 . chr5 2519580 2519581 chr5:2519581:G:A rs10059886 G A G EBF1_EBF_1 0 1 - 7.282379574990103 7.564581635720683 CATCCCCAGGGAGG chr5 2539171 2539172 chr5:2539172:A:G rs6879053 A G g EBF1_EBF_1 17 0 - 0 0 . chr5 2549406 2549407 chr5:2549407:C:T rs116693548 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 2568648 2568649 chr5:2568649:G:A rs72720982 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 2569434 2569435 chr5:2569435:C:G rs142367134 C G C EBF1_EBF_1 12 1 + 4.097406625143381 2.7087706814652295 CATACCCAGGGACT chr5 2579105 2579106 chr5:2579106:A:G rs380965 A G A EBF1_EBF_1 20 0 - 0 0 . chr5 2594162 2594163 chr5:2594163:A:G rs77850854 A G A EBF1_EBF_1 14 0 + 0 0 . chr5 2619449 2619450 chr5:2619450:G:A rs73036818 G A G EBF1_EBF_1 30 0 - 0 0 . chr5 2624227 2624228 chr5:2624228:G:A rs35885953 G A G EBF1_EBF_1 1 1 + 5.315220933414901 5.054522101493599 AGACCCCTGAGAGT chr5 2635776 2635777 chr5:2635777:A:G rs6555117 A G G EBF1_EBF_1 24 0 + 0 0 . chr5 2659428 2659429 chr5:2659429:G:A rs1868039 G A G EBF1_EBF_1 8 1 + 4.3949485461600295 0.1686584572737676 CCTCCCCCGGGCAT chr5 2684691 2684692 chr5:2684692:C:G rs6872057 C G C EBF1_EBF_1 20 0 + 0 0 . chr5 2694640 2694641 chr5:2694641:T:C rs78335945 T C T EBF1_EBF_1 -4 0 + 0 0 . chr5 2714841 2714842 chr5:2714842:C:T rs2962583 C T T EBF1_EBF_1 10 1 - 4.255634136011585 -1.0623943232553812 GCTCCCCCGAGAGC chr5 2724174 2724175 chr5:2724175:C:T rs6869742 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 2725660 2725661 chr5:2725661:A:G rs1039161 A G G EBF1_EBF_1 25 0 + 0 0 . chr5 2726192 2726193 chr5:2726193:C:T rs34411685 C T C EBF1_EBF_1 23 0 - 0 0 . chr5 2777170 2777171 chr5:2777171:G:C rs12514620 G C G EBF1_EBF_1 -10 0 - 0 0 . chr5 2777180 2777181 chr5:2777181:C:G rs7710435 C G C EBF1_EBF_1 -20 0 - 0 0 . chr5 2779711 2779712 chr5:2779712:G:T rs112860668 G T G EBF1_EBF_1 -2 0 - 0 0 . chr5 2780220 2780221 chr5:2780221:C:T rs73035539 C T C EBF1_EBF_1 10 1 - 13.144167266019506 7.8261388067525415 AATCCCATGGGACT chr5 2797347 2797348 chr5:2797348:G:T chr5:2797348:G:T G T G EBF1_EBF_1 4 1 - 6.8435487709536424 1.0189891475001753 AACCCCAAGAGAGC chr5 2859238 2859239 chr5:2859239:G:A rs923895 G A G EBF1_EBF_1 17 0 - 0 0 . chr5 2859254 2859255 chr5:2859255:G:A rs73039424 G A G EBF1_EBF_1 1 1 - 4.882606545302934 5.778039985701679 GCTCCCAGGGGCAA chr5 2863364 2863365 chr5:2863365:T:C rs4994802 T C C EBF1_EBF_1 24 0 - 0 0 . chr5 2884706 2884707 chr5:2884707:G:T rs77115063 G T G EBF1_EBF_1 -4 0 + 0 0 . chr5 2892828 2892829 chr5:2892829:G:A rs34420734 G A G EBF1_EBF_1 -10 0 - 0 0 . chr5 2986022 2986023 chr5:2986023:T:C rs73733081 T C T EBF1_EBF_1 0 1 - 9.899240426487982 8.00695655692315 AATCCCAAGGGAGG chr5 3004469 3004470 chr5:3004470:T:G rs2009378 T G G EBF1_EBF_1 29 0 - 0 0 . chr5 3007286 3007287 chr5:3007287:T:G rs67380703 T G T EBF1_EBF_1 12 1 - 4.436094883325387 4.405913484904061 AGCCCCCTGGGCAG chr5 3008249 3008250 chr5:3008250:A:G rs79501007 A G A EBF1_EBF_1 -19 0 + 0 0 . chr5 3019183 3019184 chr5:3019184:T:A rs9313021 T A T EBF1_EBF_1 20 0 - 0 0 . chr5 3019235 3019236 chr5:3019236:T:C rs9313022 T C T EBF1_EBF_1 19 0 - 0 0 . chr5 3019376 3019377 chr5:3019377:T:C rs9313023 T C C EBF1_EBF_1 -18 0 - 0 0 . chr5 3029683 3029684 chr5:3029684:T:C rs10454942 T C T EBF1_EBF_1 16 0 + 0 0 . chr5 3064747 3064748 chr5:3064748:G:A rs7728601 G A G EBF1_EBF_1 31 0 - 0 0 . chr5 3080239 3080240 chr5:3080240:C:A rs461353 C A C EBF1_EBF_1 -9 0 + 0 0 . chr5 3104086 3104087 chr5:3104087:G:A rs316998 G A A EBF1_EBF_1 -6 0 + 0 0 . chr5 3122100 3122101 chr5:3122101:G:A rs160563 G A G EBF1_EBF_1 -20 0 + 0 0 . chr5 3127738 3127739 chr5:3127739:G:A rs16871136 G A G EBF1_EBF_1 1 1 + 7.909513479165857 7.6488146472445555 AGCCCCCAGGGAGG chr5 3134604 3134605 chr5:3134605:G:A rs7726783 G A G EBF1_EBF_1 -8 0 - 0 0 . chr5 3153291 3153292 chr5:3153292:G:A rs251826 G A G EBF1_EBF_1 15 0 + 0 0 . chr5 3162912 3162913 chr5:3162913:C:T rs10223245 C T c EBF1_EBF_1 22 0 + 0 0 . chr5 3177999 3178000 chr5:3178000:G:A rs251834 G A A EBF1_EBF_1 17 0 - 0 0 . chr5 3178032 3178033 chr5:3178033:C:T rs1151905 C T C EBF1_EBF_1 -16 0 - 0 0 . chr5 3199918 3199919 chr5:3199919:G:T rs115999465 G T G EBF1_EBF_1 4 1 - 8.975775293337337 3.151215669883869 AGCCCCCAGAGACT chr5 3200460 3200461 chr5:3200461:C:A rs875854 C A C EBF1_EBF_1 1 1 - 5.41496236352887 6.120624149358399 AGACCCAGGAGAAC chr5 3200472 3200473 chr5:3200473:A:G rs2404272 A G A EBF1_EBF_1 -11 0 - 0 0 . chr5 3210937 3210938 chr5:3210938:A:G rs396319 A G G EBF1_EBF_1 23 0 - 0 0 . chr5 3215395 3215396 chr5:3215396:C:A rs58897792 C A C EBF1_EBF_1 -15 0 + 0 0 . chr5 3217072 3217073 chr5:3217073:G:A rs384754 G A A EBF1_EBF_1 22 0 + 0 0 . chr5 3217800 3217801 chr5:3217801:C:T rs183176726 C T C EBF1_EBF_1 21 0 - 0 0 . chr5 3237233 3237234 chr5:3237234:G:A rs17632617 G A g EBF1_EBF_1 19 0 - 0 0 . chr5 3238261 3238262 chr5:3238262:G:A rs7717593 G A G EBF1_EBF_1 -7 0 - 0 0 . chr5 3242715 3242716 chr5:3242716:G:A rs11954694 G A G EBF1_EBF_1 19 0 - 0 0 . chr5 3267397 3267398 chr5:3267398:T:C rs60808439 T C T EBF1_EBF_1 22 0 - 0 0 . chr5 3286208 3286209 chr5:3286209:G:A rs4866545 G A g EBF1_EBF_1 -12 0 - 0 0 . chr5 3329731 3329732 chr5:3329732:A:G rs114878620 A G A EBF1_EBF_1 1 1 - 9.434335333197973 8.538901892799228 TTCCCCATGGGAAC chr5 3330442 3330443 chr5:3330443:T:C rs10462765 T C C EBF1_EBF_1 16 0 + 0 0 . chr5 3333960 3333961 chr5:3333961:G:A rs13171269 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 3336441 3336442 chr5:3336442:C:T rs12691272 C T C EBF1_EBF_1 15 0 + 0 0 . chr5 3339212 3339213 chr5:3339213:C:T rs80063970 C T C EBF1_EBF_1 -4 0 - 0 0 . chr5 3339219 3339220 chr5:3339220:G:C rs7721094 G C C EBF1_EBF_1 -11 0 - 0 0 . chr5 3344965 3344966 chr5:3344966:G:A rs10069869 G A G EBF1_EBF_1 1 1 + 4.2010024255502865 3.9403035936289834 CGTCCCTCAGGACC chr5 3346244 3346245 chr5:3346245:T:C rs56169656 T C T EBF1_EBF_1 -3 0 + 0 0 . chr5 3347610 3347611 chr5:3347611:T:C rs7723053 T C C EBF1_EBF_1 10 1 + 6.626756289024944 3.9187060879227333 TATCCCCTGGTACT chr5 3353885 3353886 chr5:3353886:A:G rs10070798 A G G EBF1_EBF_1 21 0 - 0 0 . chr5 3360486 3360487 chr5:3360487:C:T rs115518251 C T C EBF1_EBF_1 4 1 + 8.66920447799535 4.299817533050426 ATACCCAAGGGAGC chr5 3363909 3363910 chr5:3363910:G:A rs6878458 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 3364750 3364751 chr5:3364751:T:A rs57580990 T A T EBF1_EBF_1 1 1 - 5.4583762282861965 6.424736846037026 GATCCCAGGAGAAG chr5 3364768 3364769 chr5:3364769:G:A rs72722910 G A G EBF1_EBF_1 -17 0 - 0 0 . chr5 3378892 3378893 chr5:3378893:C:A rs72722924 C A C EBF1_EBF_1 30 0 - 0 0 . chr5 3416724 3416725 chr5:3416725:G:A rs17632043 G A G EBF1_EBF_1 26 0 + 0 0 . chr5 3430886 3430887 chr5:3430887:A:G rs12658228 A G G EBF1_EBF_1 0 1 + 6.595281719183241 4.7029978496184075 ACCCTCCTGGGACT chr5 3489962 3489963 chr5:3489963:G:A rs10780101 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 3543935 3543936 chr5:3543936:G:C rs1632320 G C C EBF1_EBF_1 5 1 - 5.819744302562766 -1.085136850072402 AGTCCCATAGGATG chr5 3644614 3644615 chr5:3644615:T:C rs12655073 T C C EBF1_EBF_1 -7 0 + 0 0 . chr5 3656130 3656131 chr5:3656131:G:C rs575758030 G C G EBF1_EBF_1 33 0 - 0 0 . chr5 3669890 3669891 chr5:3669891:T:C rs6555214 T C C EBF1_EBF_1 26 0 - 0 0 . chr5 3671542 3671543 chr5:3671543:T:G rs6873655 T G G EBF1_EBF_1 31 0 - 0 0 . chr5 3672131 3672132 chr5:3672132:G:C rs4866460 G C G EBF1_EBF_1 8 1 + 6.742645235073668 1.423986374158982 AGCCCCCAGAGAGC chr5 3852878 3852879 chr5:3852879:G:T rs11134020 G T G EBF1_EBF_1 28 0 + 0 0 . chr5 3881167 3881168 chr5:3881168:A:G rs72729463 A G G EBF1_EBF_1 -17 0 - 0 0 . chr5 3904840 3904841 chr5:3904841:C:T rs73733852 C T C EBF1_EBF_1 -19 0 - 0 0 . chr5 3958156 3958157 chr5:3958157:G:T rs515433 G T g EBF1_EBF_1 10 1 + 7.819334608023357 3.636582421796093 GTCCCCCAGAGAAT chr5 4000100 4000101 chr5:4000101:C:A rs7713287 C A C EBF1_EBF_1 -3 0 - 0 0 . chr5 4012188 4012189 chr5:4012189:T:C rs3943033 T C T EBF1_EBF_1 -17 0 + 0 0 . chr5 4013312 4013313 chr5:4013313:C:T rs644190 C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 4020486 4020487 chr5:4020487:G:A rs11738089 G A G EBF1_EBF_1 24 0 - 0 0 . chr5 4036698 4036699 chr5:4036699:T:C rs493049 T C C EBF1_EBF_1 -5 0 - 0 0 . chr5 4101455 4101456 chr5:4101456:C:T rs1187483 C T C EBF1_EBF_1 21 0 - 0 0 . chr5 4188901 4188902 chr5:4188902:G:C rs10055863 G C G EBF1_EBF_1 -10 0 - 0 0 . chr5 4226739 4226740 chr5:4226740:G:A rs12517494 G A G EBF1_EBF_1 29 0 - 0 0 . chr5 4255407 4255408 chr5:4255408:T:C rs57523747 T C C EBF1_EBF_1 25 0 - 0 0 . chr5 4255423 4255424 chr5:4255424:C:T rs58021310 C T C EBF1_EBF_1 9 1 - 5.733253594846892 3.0783143293992885 ACTCCCTCGGGCAA chr5 4314020 4314021 chr5:4314021:C:T rs76185360 C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 4481444 4481445 chr5:4481445:C:T rs182752472 C T C EBF1_EBF_1 -11 0 + 0 0 . chr5 4522714 4522715 chr5:4522715:G:A rs4702801 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 4554539 4554540 chr5:4554540:T:G rs293083 T G T EBF1_EBF_1 22 0 + 0 0 . chr5 4627028 4627029 chr5:4627029:T:G rs10475263 T G T EBF1_EBF_1 -5 0 + 0 0 . chr5 4629096 4629097 chr5:4629097:A:G rs6887582 A G A EBF1_EBF_1 11 1 + 6.119802157659438 3.2270953140554273 TTTCCCCAGGAAAC chr5 4660788 4660789 chr5:4660789:A:G rs2115525 A G A EBF1_EBF_1 23 0 + 0 0 . chr5 4671348 4671349 chr5:4671349:C:G rs11739590 C G C EBF1_EBF_1 14 0 + 0 0 . chr5 4671360 4671361 chr5:4671361:A:T rs6555307 A T T EBF1_EBF_1 26 0 + 0 0 . chr5 4738058 4738059 chr5:4738059:T:C rs6870892 T C C EBF1_EBF_1 -8 0 + 0 0 . chr5 4868248 4868249 chr5:4868249:G:T rs572123029 G T G EBF1_EBF_1 -5 0 - 0 0 . chr5 4873885 4873886 chr5:4873886:G:C rs77682054 G C g EBF1_EBF_1 -5 0 - 0 0 . chr5 4878417 4878418 chr5:4878418:G:A rs7714294 G A G EBF1_EBF_1 0 1 - 9.363065764810374 9.645267825540952 CTTCCCCAGGGAGA chr5 4885042 4885043 chr5:4885043:C:A rs11746248 C A C EBF1_EBF_1 24 0 + 0 0 . chr5 4906269 4906270 chr5:4906270:C:A rs114169458 C A C EBF1_EBF_1 -2 0 + 0 0 . chr5 4942510 4942511 chr5:4942511:C:T chr5:4942511:C:T C T C EBF1_EBF_1 3 1 + 6.444078940395816 0.03868019884249674 AGTCCCCAGGTGCT chr5 4954412 4954413 chr5:4954413:C:A rs148298677 C A C EBF1_EBF_1 -11 0 + 0 0 . chr5 4954452 4954453 chr5:4954453:T:C rs152377 T C C EBF1_EBF_1 29 0 + 0 0 . chr5 4995184 4995185 chr5:4995185:C:A rs249458 C A C EBF1_EBF_1 -17 0 + 0 0 . chr5 4995199 4995200 chr5:4995200:C:T rs145739077 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 5018398 5018399 chr5:5018399:T:C rs79695326 T C T EBF1_EBF_1 -7 0 + 0 0 . chr5 5018424 5018425 chr5:5018425:C:G rs17705775 C G C EBF1_EBF_1 19 0 + 0 0 . chr5 5111036 5111037 chr5:5111037:C:G rs76276318 C G C EBF1_EBF_1 -7 0 + 0 0 . chr5 5132784 5132785 chr5:5132785:G:A rs35883471 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 5137360 5137361 chr5:5137361:C:T rs34269275 C T C EBF1_EBF_1 22 0 + 0 0 . chr5 5139575 5139576 chr5:5139576:C:T rs10434657 C T C EBF1_EBF_1 33 0 + 0 0 . chr5 5142096 5142097 chr5:5142097:C:T rs270196 C T T EBF1_EBF_1 -8 0 + 0 0 . chr5 5155923 5155924 chr5:5155924:C:G rs16874884 C G C EBF1_EBF_1 4 1 + 3.9080602318628967 -1.7177048692866481 GGTCCACAGGGAAC chr5 5184014 5184015 chr5:5184015:C:T rs2399716 C T T EBF1_EBF_1 -3 0 + 0 0 . chr5 5199098 5199099 chr5:5199099:G:A rs73038119 G A G EBF1_EBF_1 21 0 + 0 0 . chr5 5199107 5199108 chr5:5199108:G:A rs73038120 G A G EBF1_EBF_1 30 0 + 0 0 . chr5 5202118 5202119 chr5:5202119:G:A rs4702241 G A C EBF1_EBF_1 23 0 - 0 0 . chr5 5223494 5223495 chr5:5223495:G:A rs6894449 G A A EBF1_EBF_1 25 0 + 0 0 . chr5 5226366 5226367 chr5:5226367:A:G rs115754825 A G A EBF1_EBF_1 -12 0 + 0 0 . chr5 5226956 5226957 chr5:5226957:T:A rs2964442 T A T EBF1_EBF_1 24 0 - 0 0 . chr5 5272145 5272146 chr5:5272146:C:G rs528643290 C G C EBF1_EBF_1 -18 0 - 0 0 . chr5 5278667 5278668 chr5:5278668:C:A rs71596748 C A C EBF1_EBF_1 -1 0 - 0 0 . chr5 5288280 5288281 chr5:5288281:C:T rs11749960 C T t EBF1_EBF_1 8 1 - 7.43088914624147 3.2045990573552077 CTTCCCACGGGAGA chr5 5300785 5300786 chr5:5300786:T:C rs10071930 T C T EBF1_EBF_1 -14 0 + 0 0 . chr5 5314434 5314435 chr5:5314435:T:C rs6885277 T C C EBF1_EBF_1 0 1 - 6.1604398988353495 4.268156029270516 ATTCCCTTGGTGAC chr5 5341815 5341816 chr5:5341816:C:T rs10064182 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 5365176 5365177 chr5:5365177:T:C rs34168963 T C G EBF1_EBF_1 20 0 - 0 0 . chr5 5374495 5374496 chr5:5374496:T:C rs71596757 T C T EBF1_EBF_1 6 1 - 6.856257720974507 4.689463289700033 TCTCCCATGGGCAT chr5 5385482 5385483 chr5:5385483:A:G rs2913332 A G G EBF1_EBF_1 -10 0 + 0 0 . chr5 5390398 5390399 chr5:5390399:G:A rs113384633 G A G EBF1_EBF_1 31 0 + 0 0 . chr5 5401642 5401643 chr5:5401643:G:T rs11960113 G T C EBF1_EBF_1 -1 0 + 0 0 . chr5 5422616 5422617 chr5:5422617:G:A rs1014015606 G A G EBF1_EBF_1 4 1 - 3.9491418357576547 -0.4202451091872678 CCTCCCCTGGGCGC chr5 5460933 5460934 chr5:5460934:C:T rs749167315 C T C EBF1_EBF_1 -19 0 - 0 0 . chr5 5495113 5495114 chr5:5495114:G:C rs74807459 G C G EBF1_EBF_1 -20 0 - 0 0 . chr5 5542697 5542698 chr5:5542698:T:C rs2438487 T C T EBF1_EBF_1 -7 0 - 0 0 . chr5 5573708 5573709 chr5:5573709:A:G rs26295 A G G EBF1_EBF_1 17 0 - 0 0 . chr5 5649829 5649830 chr5:5649830:G:A rs71598486 G A A EBF1_EBF_1 -12 0 - 0 0 . chr5 5667261 5667262 chr5:5667262:G:C rs574186991 G C G EBF1_EBF_1 6 1 - 5.179348183878426 3.3741561204052286 AATCCCCATGGAAA chr5 5722086 5722087 chr5:5722087:C:G rs138291217 C G C EBF1_EBF_1 10 1 - 6.9842354274325755 0.09343304010310105 AACCCCCAGGGCAT chr5 5765208 5765209 chr5:5765209:C:T rs79029922 C T C EBF1_EBF_1 8 1 - 4.752042104513393 0.5257520156271323 CATCCCCAGGGGTG chr5 5794415 5794416 chr5:5794416:G:A rs32561 G A G EBF1_EBF_1 3 1 - 7.271987813187306 0.8665890716339879 AAACCCCAGGGAAG chr5 5797891 5797892 chr5:5797892:C:G rs32559 C G G EBF1_EBF_1 -4 0 + 0 0 . chr5 5800645 5800646 chr5:5800646:G:A rs77901845 G A G EBF1_EBF_1 31 0 + 0 0 . chr5 5912269 5912270 chr5:5912270:G:A rs1150463 G A G EBF1_EBF_1 -11 0 - 0 0 . chr5 5957656 5957657 chr5:5957657:A:G rs160128 A G G EBF1_EBF_1 7 1 + 5.583089595051611 4.270631189762786 TTTCACCAGGGACC chr5 6146793 6146794 chr5:6146794:T:C rs6893635 T C T EBF1_EBF_1 9 1 - 5.478827099713692 8.133766365161296 CACCCCTGGAGAAT chr5 6161555 6161556 chr5:6161556:T:C rs115999250 T C T EBF1_EBF_1 -18 0 - 0 0 . chr5 6189667 6189668 chr5:6189668:G:T rs10073376 G T G EBF1_EBF_1 33 0 - 0 0 . chr5 6205020 6205021 chr5:6205021:G:A rs11134147 G A G EBF1_EBF_1 19 0 + 0 0 . chr5 6221330 6221331 chr5:6221331:G:C rs62331412 G C G EBF1_EBF_1 15 0 + 0 0 . chr5 6235188 6235189 chr5:6235189:C:T rs2964755 C T C EBF1_EBF_1 -16 0 - 0 0 . chr5 6237131 6237132 chr5:6237132:A:G rs6861005 A G G EBF1_EBF_1 14 0 + 0 0 . chr5 6250656 6250657 chr5:6250657:C:A chr5:6250657:C:A C A C EBF1_EBF_1 2 1 + 5.607811392960921 3.812167216804194 ATCCTCATGGGATA chr5 6252641 6252642 chr5:6252642:A:G rs1840842 A G A EBF1_EBF_1 -2 0 - 0 0 . chr5 6253790 6253791 chr5:6253791:A:T rs2914744 A T A EBF1_EBF_1 17 0 + 0 0 . chr5 6287087 6287088 chr5:6287088:G:C rs10077683 G C G EBF1_EBF_1 8 1 + 7.772321205262783 2.453662344348096 ACTCTCCAGGGAAA chr5 6305864 6305865 chr5:6305865:C:T rs68079151 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 6349370 6349371 chr5:6349371:G:A rs72716065 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 6349889 6349890 chr5:6349890:G:T rs56085350 G T G EBF1_EBF_1 4 1 + 4.834186925060437 6.090565081265058 ACCCGCTGGGGAAT chr5 6359785 6359786 chr5:6359786:G:C rs565455593 G C G EBF1_EBF_1 16 0 + 0 0 . chr5 6368600 6368601 chr5:6368601:C:T rs11954419 C T C EBF1_EBF_1 12 1 + 9.043831796956566 8.017565226405717 ACTCCCTTGGGGCA chr5 6370233 6370234 chr5:6370234:T:C rs60295485 T C T EBF1_EBF_1 27 0 + 0 0 . chr5 6372471 6372472 chr5:6372472:A:G rs9451 A G G EBF1_EBF_1 7 1 - 10.890281028653396 9.072776282370773 GATCCCCTGGGACT chr5 6383673 6383674 chr5:6383674:C:T rs28413155 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 6387957 6387958 chr5:6387958:G:A rs7706816 G A G EBF1_EBF_1 -12 0 + 0 0 . chr5 6396512 6396513 chr5:6396513:C:T rs11957437 C T C EBF1_EBF_1 1 1 - 4.7876487890284025 4.5269499571071 CGTCCCATGAGGAC chr5 6461399 6461400 chr5:6461400:T:G rs166625 T G T EBF1_EBF_1 -17 0 - 0 0 . chr5 6475662 6475663 chr5:6475663:G:T rs112661989 G T G EBF1_EBF_1 25 0 - 0 0 . chr5 6484342 6484343 chr5:6484343:T:G rs272455 T G T EBF1_EBF_1 -2 0 - 0 0 . chr5 6488255 6488256 chr5:6488256:G:A rs77546148 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 6508144 6508145 chr5:6508145:C:T rs16877409 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 6518190 6518191 chr5:6518191:G:A rs11741406 G A G EBF1_EBF_1 7 1 - 3.7534825447783087 5.570987291060932 CCTCCCCCGGTACC chr5 6519303 6519304 chr5:6519304:A:G rs13161323 A G A EBF1_EBF_1 29 0 + 0 0 . chr5 6521929 6521930 chr5:6521930:A:G rs11134164 A G A EBF1_EBF_1 23 0 + 0 0 . chr5 6530227 6530228 chr5:6530228:C:T rs62330592 C T C EBF1_EBF_1 16 0 - 0 0 . chr5 6533793 6533794 chr5:6533794:A:G rs7718218 A G G EBF1_EBF_1 -2 0 + 0 0 . chr5 6545162 6545163 chr5:6545163:T:C rs577060 T C C EBF1_EBF_1 -3 0 + 0 0 . chr5 6557552 6557553 chr5:6557553:A:C rs550934 A C C EBF1_EBF_1 11 1 + 9.100016589624621 4.684054891772232 AGCCCCCGGGGACA chr5 6557557 6557558 chr5:6557558:T:G rs520511 T G T EBF1_EBF_1 16 0 + 0 0 . chr5 6562743 6562744 chr5:6562744:G:A rs477633 G A G EBF1_EBF_1 32 0 + 0 0 . chr5 6565463 6565464 chr5:6565464:G:C rs34912087 G C G EBF1_EBF_1 3 1 - 4.834722733776086 -0.9930417143391295 ATCCCCAAGTGATT chr5 6568237 6568238 chr5:6568238:G:C rs143853974 G C G EBF1_EBF_1 -6 0 + 0 0 . chr5 6568757 6568758 chr5:6568758:A:G rs537483 A G G EBF1_EBF_1 -16 0 + 0 0 . chr5 6569187 6569188 chr5:6569188:A:T rs115795406 A T - EBF1_EBF_1 22 0 + 0 0 . chr5 6579799 6579800 chr5:6579800:A:G rs62330650 A G A EBF1_EBF_1 29 0 - 0 0 . chr5 6652138 6652139 chr5:6652139:G:A rs3733773 G A G EBF1_EBF_1 15 0 - 0 0 . chr5 6661047 6661048 chr5:6661048:C:G rs248798 C G G EBF1_EBF_1 -5 0 - 0 0 . chr5 6666988 6666989 chr5:6666989:C:T rs6872996 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 6682886 6682887 chr5:6682887:A:G rs10512874 A G A EBF1_EBF_1 -18 0 - 0 0 . chr5 6706918 6706919 chr5:6706919:C:T rs10035113 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 6728072 6728073 chr5:6728073:G:A rs3806875 G A G EBF1_EBF_1 15 0 - 0 0 . chr5 6733324 6733325 chr5:6733325:C:T rs147390067 C T C EBF1_EBF_1 21 0 - 0 0 . chr5 6733327 6733328 chr5:6733328:C:T rs139441892 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 6768835 6768836 chr5:6768836:A:C rs274660 A C C EBF1_EBF_1 16 0 - 0 0 . chr5 6770388 6770389 chr5:6770389:C:T rs1532333 C T C EBF1_EBF_1 25 0 + 0 0 . chr5 6790420 6790421 chr5:6790421:C:T rs97490 C T C EBF1_EBF_1 9 1 - 10.679354316108268 8.024415050660664 CTTCCCCTGGGAAC chr5 6814827 6814828 chr5:6814828:T:C rs373569990 T C C EBF1_EBF_1 15 0 + 0 0 . chr5 6837153 6837154 chr5:6837154:G:A rs275472 G A G EBF1_EBF_1 -12 0 + 0 0 . chr5 6855807 6855808 chr5:6855808:C:G rs10056914 C G C EBF1_EBF_1 -20 0 + 0 0 . chr5 6856653 6856654 chr5:6856654:A:G rs275496 A G G EBF1_EBF_1 7 1 - 9.117180187272867 7.299675440990243 ACTCCCATGGGTCT chr5 6908393 6908394 chr5:6908394:C:T rs4702401 C T C EBF1_EBF_1 12 1 + 3.789277750269682 2.763011179718833 ACTCCCGAGGCACC chr5 6911159 6911160 chr5:6911160:C:T rs77397074 C T T EBF1_EBF_1 9 1 - 5.480053595524902 2.825114330077299 CTTCCCCCAGGAAT chr5 6978785 6978786 chr5:6978786:G:C rs189070555 G C G EBF1_EBF_1 17 0 + 0 0 . chr5 7209161 7209162 chr5:7209162:C:A rs35391262 C A C EBF1_EBF_1 13 1 + 5.84856295366831 5.474817504382334 AATCCCAGAGGAGC chr5 7237678 7237679 chr5:7237679:C:T rs72723222 C T T EBF1_EBF_1 -14 0 + 0 0 . chr5 7244377 7244378 chr5:7244378:A:G rs4276378 A G G EBF1_EBF_1 -14 0 - 0 0 . chr5 7301712 7301713 chr5:7301713:C:T rs34719151 C T t EBF1_EBF_1 -14 0 + 0 0 . chr5 7445252 7445253 chr5:7445253:G:A rs7715966 G A A EBF1_EBF_1 3 1 - 6.3307450940229115 -0.07465364753040553 CTTCCCACGGGGAC chr5 7517304 7517305 chr5:7517305:T:G rs10038196 T G T EBF1_EBF_1 -15 0 + 0 0 . chr5 7517349 7517350 chr5:7517350:T:C rs148472182 T C T EBF1_EBF_1 30 0 + 0 0 . chr5 7593099 7593100 chr5:7593100:G:A rs72710461 G A G EBF1_EBF_1 29 0 - 0 0 . chr5 7748203 7748204 chr5:7748204:C:T rs6898767 C T C EBF1_EBF_1 -14 0 - 0 0 . chr5 7794389 7794390 chr5:7794390:A:G rs16879116 A G A EBF1_EBF_1 26 0 - 0 0 . chr5 7795537 7795538 chr5:7795538:C:G rs4701796 C G C EBF1_EBF_1 8 1 - 5.043527887401563 -0.2751309735131241 CCTCCCCCGGGGCC chr5 7795556 7795557 chr5:7795557:A:G rs4702499 A G G EBF1_EBF_1 -11 0 - 0 0 . chr5 7796908 7796909 chr5:7796909:C:T rs326134 C T C EBF1_EBF_1 7 1 - 3.2223104694610907 4.534768874749916 ACCACCCGGGGACT chr5 7797177 7797178 chr5:7797178:G:A rs6864771 G A A EBF1_EBF_1 -18 0 - 0 0 . chr5 7823542 7823543 chr5:7823543:T:C rs10474821 T C C EBF1_EBF_1 -1 0 + 0 0 . chr5 7848373 7848374 chr5:7848374:G:A rs114201226 G A G EBF1_EBF_1 -17 0 + 0 0 . chr5 7850333 7850334 chr5:7850334:C:T rs1050088623 C T C EBF1_EBF_1 -18 0 + 0 0 . chr5 7852928 7852929 chr5:7852929:G:A rs74647306 G A G EBF1_EBF_1 -19 0 - 0 0 . chr5 7927893 7927894 chr5:7927894:G:A rs1392050527 G A G EBF1_EBF_1 -1 0 + 0 0 . chr5 7952331 7952332 chr5:7952332:C:G rs11740985 C G C EBF1_EBF_1 -9 0 - 0 0 . chr5 7959828 7959829 chr5:7959829:G:C rs72716568 G C G EBF1_EBF_1 -5 0 + 0 0 . chr5 8143687 8143688 chr5:8143688:G:A rs115416915 G A G EBF1_EBF_1 9 1 + 6.779065917785449 4.124126652337845 AACCCCTTGGGCCT chr5 8317093 8317094 chr5:8317094:C:T rs4311401 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 8329439 8329440 chr5:8329440:C:T rs34284766 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 8389978 8389979 chr5:8389979:A:G rs340691 A G A EBF1_EBF_1 17 0 - 0 0 . chr5 8417510 8417511 chr5:8417511:C:G rs11951209 C G C EBF1_EBF_1 -20 0 + 0 0 . chr5 8417816 8417817 chr5:8417817:A:G rs2940549 A G G EBF1_EBF_1 -9 0 - 0 0 . chr5 8460301 8460302 chr5:8460302:C:G rs34805629 C G C EBF1_EBF_1 -18 0 + 0 0 . chr5 8517572 8517573 chr5:8517573:T:C rs534039792 T C T EBF1_EBF_1 -18 0 + 0 0 . chr5 8517589 8517590 chr5:8517590:C:T rs114591931 C T c EBF1_EBF_1 -1 0 + 0 0 . chr5 8521006 8521007 chr5:8521007:T:C rs369892 T C C EBF1_EBF_1 -6 0 - 0 0 . chr5 8569011 8569012 chr5:8569012:A:G rs12515836 A G G EBF1_EBF_1 22 0 + 0 0 . chr5 8655816 8655817 chr5:8655817:C:A rs7721335 C A c EBF1_EBF_1 20 0 + 0 0 . chr5 8686967 8686968 chr5:8686968:T:C rs895624869 T C T EBF1_EBF_1 21 0 + 0 0 . chr5 8781640 8781641 chr5:8781641:G:A rs7734585 G A G EBF1_EBF_1 3 1 - 6.335637002595091 -0.06976173895822556 AGACCCCAGAGACC chr5 8813307 8813308 chr5:8813308:A:G rs1604979 A G A EBF1_EBF_1 2 1 + 6.201982078912227 3.1381239763106366 CCACCCCAGGGAAA chr5 8813310 8813311 chr5:8813311:C:T rs1604978 C T C EBF1_EBF_1 5 1 + 6.201982078912227 0.8801948633715577 CCACCCCAGGGAAA chr5 8828971 8828972 chr5:8828972:T:G rs76192886 T G T EBF1_EBF_1 -9 0 + 0 0 . chr5 8829075 8829076 chr5:8829076:C:T rs62356588 C T C EBF1_EBF_1 -14 0 - 0 0 . chr5 8829778 8829779 chr5:8829779:C:T rs116073784 C T C EBF1_EBF_1 0 1 + 8.133766365161296 8.415968425891874 CACCCCTGGGGAAT chr5 8844300 8844301 chr5:8844301:T:C rs189820014 T C T EBF1_EBF_1 -8 0 + 0 0 . chr5 8844326 8844327 chr5:8844327:G:C rs529176884 G C G EBF1_EBF_1 18 0 + 0 0 . chr5 8844327 8844328 chr5:8844328:C:T rs549118825 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 9016831 9016832 chr5:9016832:C:T rs1379567 C T C EBF1_EBF_1 13 1 - 5.166328791506514 6.280654363575953 CCTCCCAGGAGAAG chr5 9046413 9046414 chr5:9046414:T:C rs187688 T C C EBF1_EBF_1 24 0 + 0 0 . chr5 9058235 9058236 chr5:9058236:G:A rs117363645 G A G EBF1_EBF_1 3 1 - 4.651670291491643 -1.7537284500616752 AACCCCCAGGGCAG chr5 9061017 9061018 chr5:9061018:G:A rs707635 G A A EBF1_EBF_1 8 1 + 6.054555309719522 1.8282652208332604 TGTCCCTCGAGACC chr5 9065312 9065313 chr5:9065313:C:T rs786848 C T C EBF1_EBF_1 32 0 + 0 0 . chr5 9214955 9214956 chr5:9214956:G:A rs1805962 G A A EBF1_EBF_1 -17 0 + 0 0 . chr5 9245328 9245329 chr5:9245329:G:A rs3777296 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 9263820 9263821 chr5:9263821:T:C rs3797968 T C T EBF1_EBF_1 21 0 - 0 0 . chr5 9337877 9337878 chr5:9337878:T:G rs62342010 T G T EBF1_EBF_1 -6 0 - 0 0 . chr5 9361754 9361755 chr5:9361755:G:C rs3797998 G C G EBF1_EBF_1 1 1 - 5.717770557096862 5.907542211666079 ACTCCCAACAGACT chr5 9468339 9468340 chr5:9468340:C:T rs73036739 C T C EBF1_EBF_1 -16 0 + 0 0 . chr5 9505731 9505732 chr5:9505732:T:A rs952098202 T A T EBF1_EBF_1 21 0 + 0 0 . chr5 9541753 9541754 chr5:9541754:T:C rs605126 T C G EBF1_EBF_1 -18 0 - 0 0 . chr5 9558908 9558909 chr5:9558909:C:A rs76862656 C A C EBF1_EBF_1 9 1 + 7.148413001053306 9.569162881951165 ATTCCCTAGCGAAG chr5 9562491 9562492 chr5:9562492:T:G rs890946 T G G EBF1_EBF_1 -6 0 - 0 0 . chr5 9627065 9627066 chr5:9627066:C:G rs192161593 C G C EBF1_EBF_1 -5 0 + 0 0 . chr5 9629416 9629417 chr5:9629417:G:A rs2234233 G A G EBF1_EBF_1 -7 0 + 0 0 . chr5 9659271 9659272 chr5:9659272:T:C rs27843 T C T EBF1_EBF_1 30 0 + 0 0 . chr5 9659490 9659491 chr5:9659491:A:T rs551201044 A T A EBF1_EBF_1 -11 0 + 0 0 . chr5 9686466 9686467 chr5:9686467:C:T rs115652556 C T C EBF1_EBF_1 -5 0 + 0 0 . chr5 9699887 9699888 chr5:9699888:G:A rs41485 G A g EBF1_EBF_1 -2 0 + 0 0 . chr5 9817934 9817935 chr5:9817935:G:A rs76709029 G A G EBF1_EBF_1 10 1 + 7.853558592194963 2.535530132927997 GCTCCCATGAGAAC chr5 9817944 9817945 chr5:9817945:A:T rs12657439 A T A EBF1_EBF_1 20 0 + 0 0 . chr5 9834632 9834633 chr5:9834633:G:A rs16901033 G A G EBF1_EBF_1 0 1 + 11.114676322620289 13.006960192185122 GTTCCCCAGGGACA chr5 9835044 9835045 chr5:9835045:T:C rs11745386 T C T EBF1_EBF_1 6 1 + 5.6680715786850575 5.3667854498233 GACCCCTAGGGGCA chr5 9903885 9903886 chr5:9903886:G:A rs11948000 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 9905813 9905814 chr5:9905814:G:T rs80191846 G T G EBF1_EBF_1 5 1 - 6.0989053975581315 -0.8059757550770366 TCCCCCCTGGGGCT chr5 9927636 9927637 chr5:9927637:G:A rs149928651 G A G EBF1_EBF_1 9 1 + 6.646091052049202 3.9911517866015984 ACTCCCAAGGTGAT chr5 9933107 9933108 chr5:9933108:A:G rs13179743 A G A EBF1_EBF_1 24 0 + 0 0 . chr5 10059587 10059588 chr5:10059588:G:C rs74933085 G C C EBF1_EBF_1 -17 0 + 0 0 . chr5 10107760 10107761 chr5:10107761:A:G rs188292405 A G A EBF1_EBF_1 8 1 - 7.333766967079424 8.906540895141932 ATTCCCCATGGACT chr5 10132887 10132888 chr5:10132888:T:C rs1395094 T C C EBF1_EBF_1 -13 0 + 0 0 . chr5 10139492 10139493 chr5:10139493:G:A rs72738918 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 10139589 10139590 chr5:10139590:G:T rs9312721 G T G EBF1_EBF_1 -4 0 + 0 0 . chr5 10139598 10139599 chr5:10139599:G:C rs2658081 G C C EBF1_EBF_1 5 1 + 4.120827927406951 11.025709080042118 AATCCGCAGGGAGC chr5 10140492 10140493 chr5:10140493:T:C rs2136196 T C T EBF1_EBF_1 0 1 + 5.241696437966529 4.959494377235951 TGCCCCCAGGGCCT chr5 10140493 10140494 chr5:10140494:G:A rs35751555 G A G EBF1_EBF_1 1 1 + 5.241696437966529 4.980997606045226 TGCCCCCAGGGCCT chr5 10260180 10260181 chr5:10260181:C:T rs188356497 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 10268313 10268314 chr5:10268314:C:T rs2607290 C T C EBF1_EBF_1 -14 0 - 0 0 . chr5 10278490 10278491 chr5:10278491:C:T rs1287735 C T C EBF1_EBF_1 32 0 + 0 0 . chr5 10290344 10290345 chr5:10290345:A:G rs10043622 A G A EBF1_EBF_1 23 0 + 0 0 . chr5 10290347 10290348 chr5:10290348:A:G rs13173113 A G G EBF1_EBF_1 26 0 + 0 0 . chr5 10306333 10306334 chr5:10306334:C:T rs11948322 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 10306905 10306906 chr5:10306906:T:A rs7723296 T A T EBF1_EBF_1 2 1 + 5.230555784507407 1.546088196908529 ATTCCCCGGATACC chr5 10306909 10306910 chr5:10306910:C:T rs11738492 C T C EBF1_EBF_1 6 1 + 5.230555784507407 5.531841913369163 ATTCCCCGGATACC chr5 10306924 10306925 chr5:10306925:C:G rs11738495 C G C EBF1_EBF_1 21 0 + 0 0 . chr5 10307585 10307586 chr5:10307586:G:A rs2290670 G A G EBF1_EBF_1 29 0 - 0 0 . chr5 10307604 10307605 chr5:10307605:C:T chr5:10307605:C:T C T C EBF1_EBF_1 10 1 - 4.721546667225083 -0.5964817920418829 CGTCCCCGGGGCAC chr5 10307754 10307755 chr5:10307755:G:C rs577275457 G C G EBF1_EBF_1 27 0 - 0 0 . chr5 10349270 10349271 chr5:10349271:G:C rs74711780 G C G EBF1_EBF_1 30 0 + 0 0 . chr5 10424220 10424221 chr5:10424221:G:A chr5:10424221:G:A G A G EBF1_EBF_1 20 0 - 0 0 . chr5 10424235 10424236 chr5:10424236:G:C rs917508379 G C G EBF1_EBF_1 5 1 - 6.761104106581191 -0.14377704605397645 CACCCCTAGAGACT chr5 10460343 10460344 chr5:10460344:G:C rs938453 G C G EBF1_EBF_1 5 1 - 5.8032811843691565 -1.1015999682660127 GCTCCCCAGGGCCA chr5 10465960 10465961 chr5:10465961:C:G rs2648810 C G G EBF1_EBF_1 -19 0 + 0 0 . chr5 10486224 10486225 chr5:10486225:T:C rs544973113 T C T EBF1_EBF_1 -3 0 - 0 0 . chr5 10503493 10503494 chr5:10503494:G:A rs575972327 G A T EBF1_EBF_1 22 0 + 0 0 . chr5 10521970 10521971 chr5:10521971:T:C rs78688555 T C T EBF1_EBF_1 6 1 - 5.823450656311231 3.656656225036756 AGTCACAAGGGAGC chr5 10524769 10524770 chr5:10524770:C:T rs114994482 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 10541426 10541427 chr5:10541427:G:C rs527514111 G C G EBF1_EBF_1 10 1 + 5.08494625773677 -1.8058561295927045 ACTGCCATGGGAAG chr5 10547126 10547127 chr5:10547127:C:A rs182260826 C A C EBF1_EBF_1 -16 0 + 0 0 . chr5 10551443 10551444 chr5:10551444:C:T rs549181526 C T C EBF1_EBF_1 31 0 - 0 0 . chr5 10551669 10551670 chr5:10551670:C:T chr5:10551670:C:T C T C EBF1_EBF_1 29 0 - 0 0 . chr5 10563757 10563758 chr5:10563758:G:A rs537248134 G A G EBF1_EBF_1 22 0 - 0 0 . chr5 10563780 10563781 chr5:10563781:C:T rs570625617 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 10563782 10563783 chr5:10563783:C:G rs539577140 C G C EBF1_EBF_1 -3 0 - 0 0 . chr5 10564185 10564186 chr5:10564186:G:A rs945099810 G A G EBF1_EBF_1 28 0 - 0 0 . chr5 10564207 10564208 chr5:10564208:G:A rs1030228683 G A G EBF1_EBF_1 6 1 - 5.938961327800309 6.240247456662066 CTTCCCCCGGGGCC chr5 10564299 10564300 chr5:10564300:T:G chr5:10564300:T:G T G T EBF1_EBF_1 32 0 + 0 0 . chr5 10578559 10578560 chr5:10578560:C:T rs56059130 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 10601890 10601891 chr5:10601891:T:C rs141003458 T C T EBF1_EBF_1 7 1 + 5.333811590043313 3.516306843760689 CCCCCCATGGGGCC chr5 10602317 10602318 chr5:10602318:C:T rs149093335 C T C EBF1_EBF_1 10 1 - 8.554875188179263 3.236846728912297 CGTCCCCTGGGAGC chr5 10618054 10618055 chr5:10618055:C:A chr5:10618055:C:A C A C EBF1_EBF_1 -1 0 + 0 0 . chr5 10620161 10620162 chr5:10620162:C:T rs879143 C T C EBF1_EBF_1 2 1 - 4.234405700233479 7.298263802835071 ACGCCCCTGGGATC chr5 10624753 10624754 chr5:10624754:T:G rs10062687 T G G EBF1_EBF_1 18 0 + 0 0 . chr5 10632312 10632313 chr5:10632313:A:G rs6554592 A G G EBF1_EBF_1 -10 0 + 0 0 . chr5 10632319 10632320 chr5:10632320:A:G rs7724857 A G A EBF1_EBF_1 -3 0 + 0 0 . chr5 10634997 10634998 chr5:10634998:C:T rs17761709 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 10655729 10655730 chr5:10655730:C:T rs3733758 C T T EBF1_EBF_1 7 1 - 6.658533672171323 7.9709920774601475 AATCCCCGGGAAAT chr5 10673989 10673990 chr5:10673990:T:C rs10452495 T C C EBF1_EBF_1 -14 0 + 0 0 . chr5 10674026 10674027 chr5:10674027:A:G rs10866479 A G G EBF1_EBF_1 23 0 + 0 0 . chr5 10688942 10688943 chr5:10688943:A:G rs62337568 A G G EBF1_EBF_1 -13 0 - 0 0 . chr5 10747576 10747577 chr5:10747577:C:T rs267945 C T c EBF1_EBF_1 18 0 - 0 0 . chr5 10761633 10761634 chr5:10761634:C:G rs371380193 C G c EBF1_EBF_1 -15 0 + 0 0 . chr5 10761677 10761678 chr5:10761678:G:T rs961030833 G T G EBF1_EBF_1 29 0 + 0 0 . chr5 10874859 10874860 chr5:10874860:C:T rs111696213 C T C EBF1_EBF_1 6 1 - 6.947517889584277 9.114312320858751 TTTCCCGAGAGACC chr5 10874881 10874882 chr5:10874882:T:C rs6861514 T C C EBF1_EBF_1 -16 0 - 0 0 . chr5 10876274 10876275 chr5:10876275:C:G rs145237253 C G C EBF1_EBF_1 14 0 + 0 0 . chr5 10881213 10881214 chr5:10881214:A:G rs4235599 A G G EBF1_EBF_1 26 0 + 0 0 . chr5 10894365 10894366 chr5:10894366:A:G rs10077795 A G A EBF1_EBF_1 26 0 + 0 0 . chr5 10921993 10921994 chr5:10921994:C:G rs116647747 C G C EBF1_EBF_1 26 0 + 0 0 . chr5 10977209 10977210 chr5:10977210:A:G rs10052295 A G G EBF1_EBF_1 33 0 + 0 0 . chr5 10986571 10986572 chr5:10986572:G:C rs12515637 G C G EBF1_EBF_1 -18 0 - 0 0 . chr5 10987475 10987476 chr5:10987476:C:T rs988358667 C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 10990230 10990231 chr5:10990231:C:G rs79955041 C G C EBF1_EBF_1 -4 0 - 0 0 . chr5 10994716 10994717 chr5:10994717:T:G rs12520291 T G G EBF1_EBF_1 -4 0 - 0 0 . chr5 11001029 11001030 chr5:11001030:C:G rs536818561 C G C EBF1_EBF_1 30 0 + 0 0 . chr5 11062659 11062660 chr5:11062660:C:T rs75953110 C T C EBF1_EBF_1 6 1 + 6.850261798529976 7.151547927391734 GCTCCCCTGGGGCA chr5 11104331 11104332 chr5:11104332:A:G rs61755683 A G A EBF1_EBF_1 -15 0 - 0 0 . chr5 11144500 11144501 chr5:11144501:A:G rs7713340 A G A EBF1_EBF_1 23 0 - 0 0 . chr5 11181363 11181364 chr5:11181364:T:C rs72730928 T C T EBF1_EBF_1 11 1 - 5.942863731715738 3.0501568881117267 GCCCCCAGGAGACT chr5 11239783 11239784 chr5:11239784:C:T rs61750721 C T c EBF1_EBF_1 21 0 - 0 0 . chr5 11323924 11323925 chr5:11323925:A:G rs171813 A G A EBF1_EBF_1 25 0 + 0 0 . chr5 11512720 11512721 chr5:11512721:T:C rs146311575 T C t EBF1_EBF_1 16 0 - 0 0 . chr5 11528606 11528607 chr5:11528607:A:G rs31780 A G G EBF1_EBF_1 2 1 - 6.250959406592937 4.362135995150788 GTTCCCTGAGGACC chr5 11705921 11705922 chr5:11705922:T:C rs13357360 T C C EBF1_EBF_1 0 1 - 6.5610525067328584 4.668768637168026 ATTCCCAAGATAAA chr5 11801048 11801049 chr5:11801049:G:A rs6554640 G A A EBF1_EBF_1 5 1 - 6.529385198159433 1.207597982618764 ATTCCCGTAGGAAA chr5 12111022 12111023 chr5:12111023:C:A rs77167156 C A C EBF1_EBF_1 30 0 + 0 0 . chr5 12243683 12243684 chr5:12243684:A:C rs4440360 A C . EBF1_EBF_1 28 0 - 0 0 . chr5 12299727 12299728 chr5:12299728:G:T rs138274570 G T G EBF1_EBF_1 30 0 + 0 0 . chr5 12627028 12627029 chr5:12627029:G:C rs184418306 G C G EBF1_EBF_1 2 1 - 5.259540225052197 0.40003794629387884 AACCCCCTGGGCCA chr5 12804522 12804523 chr5:12804523:T:G rs35803412 T G - EBF1_EBF_1 7 1 - 6.617800355178123 4.324021368807944 CATCCCCAGGGCAT chr5 12854594 12854595 chr5:12854595:G:C rs35427599 G C g EBF1_EBF_1 8 1 + 4.189165105044735 -1.1294937558699514 CTTCCCCAGAGGGC chr5 12958044 12958045 chr5:12958045:T:C rs2218052 T C T EBF1_EBF_1 -14 0 + 0 0 . chr5 13053254 13053255 chr5:13053255:C:T rs36090991 C T t EBF1_EBF_1 30 0 + 0 0 . chr5 13073207 13073208 chr5:13073208:C:T rs1840851 C T T EBF1_EBF_1 24 0 + 0 0 . chr5 13410718 13410719 chr5:13410719:G:A rs72743136 G A G EBF1_EBF_1 9 1 + 6.1770266336551325 3.5220873682075275 GCTCCCCAGGGCCC chr5 13529522 13529523 chr5:13529523:T:C rs182780630 T C T EBF1_EBF_1 -6 0 - 0 0 . chr5 13529529 13529530 chr5:13529530:A:C rs17506868 A C A EBF1_EBF_1 -13 0 - 0 0 . chr5 13624415 13624416 chr5:13624416:A:G rs1445695 A G A EBF1_EBF_1 11 1 + 5.3618300620304264 2.4691232184264145 AGCCCCAGGGAACT chr5 13632911 13632912 chr5:13632912:C:A rs79054076 C A C EBF1_EBF_1 -5 0 + 0 0 . chr5 13726907 13726908 chr5:13726908:C:T rs10866502 C T C EBF1_EBF_1 -14 0 + 0 0 . chr5 13726908 13726909 chr5:13726909:T:C rs10866503 T C T EBF1_EBF_1 -13 0 + 0 0 . chr5 13762603 13762604 chr5:13762604:T:C rs11742383 T C T EBF1_EBF_1 8 1 + 3.620782989129138 5.193556917191647 TCTCCCCATGGACC chr5 13877977 13877978 chr5:13877978:A:G rs115302186 A G A EBF1_EBF_1 -11 0 + 0 0 . chr5 13946310 13946311 chr5:13946311:C:G rs77184086 C G C EBF1_EBF_1 -4 0 + 0 0 . chr5 13972052 13972053 chr5:13972053:A:G rs189276 A G G EBF1_EBF_1 9 1 + 7.756879007938781 10.411818273386384 ATTCCCAGGAGGAT chr5 13986179 13986180 chr5:13986180:C:T rs339417 C T T EBF1_EBF_1 26 0 - 0 0 . chr5 13986186 13986187 chr5:13986187:A:G rs56920184 A G A EBF1_EBF_1 19 0 - 0 0 . chr5 13991392 13991393 chr5:13991393:G:A rs10045723 G A G EBF1_EBF_1 13 1 - 6.161946469229008 7.006440583814526 AGTCCAAAGGGAAC chr5 14011420 14011421 chr5:14011421:T:G rs115772211 T G T EBF1_EBF_1 6 1 + 5.015422373452226 2.908944181117271 ACCCCCTGAGGAAA chr5 14040763 14040764 chr5:14040764:C:T rs78226105 C T C EBF1_EBF_1 -4 0 - 0 0 . chr5 14143090 14143091 chr5:14143091:A:G rs758294046 A G A EBF1_EBF_1 1 1 - 3.939137431699196 3.0437039913004513 CTTCCCCGGGGCAG chr5 14143107 14143108 chr5:14143108:C:A rs561036228 C A C EBF1_EBF_1 -16 0 - 0 0 . chr5 14143110 14143111 chr5:14143111:A:G chr5:14143111:A:G A G A EBF1_EBF_1 -19 0 - 0 0 . chr5 14144023 14144024 chr5:14144024:C:T rs533655148 C T C EBF1_EBF_1 13 1 + 4.41017643686923 5.2546705514547485 TCTCGCCTGGGACC chr5 14144538 14144539 chr5:14144539:T:G rs374332352 T G T EBF1_EBF_1 13 1 - 6.078642251922567 6.452387701208543 CGTCCCCGGAGACA chr5 14146763 14146764 chr5:14146764:C:T rs72740385 C T C EBF1_EBF_1 -17 0 - 0 0 . chr5 14168421 14168422 chr5:14168422:A:G rs17233363 A G A EBF1_EBF_1 18 0 - 0 0 . chr5 14168446 14168447 chr5:14168447:A:G rs530258549 A G A EBF1_EBF_1 -7 0 - 0 0 . chr5 14190957 14190958 chr5:14190958:A:G rs76717889 A G G EBF1_EBF_1 -2 0 + 0 0 . chr5 14190959 14190960 chr5:14190960:T:C rs76014453 T C C EBF1_EBF_1 0 1 + 7.19922981660584 6.917027755875261 TCTCCCCTGAGATT chr5 14266920 14266921 chr5:14266921:A:G rs190855174 A G A EBF1_EBF_1 30 0 + 0 0 . chr5 14278404 14278405 chr5:14278405:T:C rs30620 T C T EBF1_EBF_1 17 0 - 0 0 . chr5 14369580 14369581 chr5:14369581:G:A rs35860741 G A G EBF1_EBF_1 -17 0 + 0 0 . chr5 14372330 14372331 chr5:14372331:C:T rs419154 C T C EBF1_EBF_1 -2 0 - 0 0 . chr5 14448856 14448857 chr5:14448857:A:T rs6882743 A T A EBF1_EBF_1 18 0 - 0 0 . chr5 14448857 14448858 chr5:14448858:G:T rs6883187 G T G EBF1_EBF_1 17 0 - 0 0 . chr5 14467438 14467439 chr5:14467439:C:G rs27360 C G C EBF1_EBF_1 25 0 + 0 0 . chr5 14468045 14468046 chr5:14468046:G:A rs73749264 G A G EBF1_EBF_1 14 0 + 0 0 . chr5 14478491 14478492 chr5:14478492:G:A rs6882373 G A A EBF1_EBF_1 -9 0 - 0 0 . chr5 14491859 14491860 chr5:14491860:A:G rs26110 A G G EBF1_EBF_1 15 0 - 0 0 . chr5 14505550 14505551 chr5:14505551:A:G rs572717914 A G A EBF1_EBF_1 31 0 + 0 0 . chr5 14511036 14511037 chr5:14511037:A:G rs26211 A G G EBF1_EBF_1 7 1 - 8.742545668094808 6.925040921812187 ACTCCCCTGGGGCA chr5 14545788 14545789 chr5:14545789:C:T rs3993996 C T T EBF1_EBF_1 23 0 + 0 0 . chr5 14581545 14581546 chr5:14581546:C:G rs552106960 C G C EBF1_EBF_1 32 0 - 0 0 . chr5 14660928 14660929 chr5:14660929:G:T rs80296557 G T G EBF1_EBF_1 12 1 - 7.108062388442883 7.138243786864209 ATTCCTAGGGGACC chr5 14810724 14810725 chr5:14810725:C:T rs31910 C T C EBF1_EBF_1 14 0 + 0 0 . chr5 14872503 14872504 chr5:14872504:G:A chr5:14872504:G:A G A A EBF1_EBF_1 25 0 + 0 0 . chr5 14872524 14872525 chr5:14872525:A:G chr5:14872525:A:G A G A EBF1_EBF_1 1 1 - 4.856465966233217 3.9610325258344714 GTCCTCCAGGGACA chr5 14880914 14880915 chr5:14880915:A:G rs149335127 A G A EBF1_EBF_1 24 0 - 0 0 . chr5 14912103 14912104 chr5:14912104:G:C rs145408729 G C G EBF1_EBF_1 3 1 - 4.816891292185228 -1.0108731559299857 AGCCGCCAGGGAAA chr5 14928689 14928690 chr5:14928690:T:C rs57297063 T C t EBF1_EBF_1 19 0 + 0 0 . chr5 15021815 15021816 chr5:15021816:G:A rs16903782 G A A EBF1_EBF_1 -6 0 + 0 0 . chr5 15182616 15182617 chr5:15182617:G:A rs17599876 G A G EBF1_EBF_1 13 1 - 5.435078057585388 6.279572172170906 TTTGCCTTGGGAAC chr5 15266023 15266024 chr5:15266024:G:A rs692944 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 15283846 15283847 chr5:15283847:T:C rs2607521 T C C EBF1_EBF_1 19 0 + 0 0 . chr5 15330837 15330838 chr5:15330838:G:A rs975620 G A . EBF1_EBF_1 4 1 - 5.865580121305864 1.49619317636094 ATCCCCCAAGGATA chr5 15334613 15334614 chr5:15334614:C:T rs59697153 C T . EBF1_EBF_1 -7 0 + 0 0 . chr5 15349628 15349629 chr5:15349629:G:C rs77775915 G C C EBF1_EBF_1 8 1 + 11.027052504885251 5.708393643970568 ATTCCCTAGAGACC chr5 15500227 15500228 chr5:15500228:G:T rs139767597 G T G EBF1_EBF_1 -7 0 + 0 0 . chr5 15518936 15518937 chr5:15518937:A:G rs575014 A G G EBF1_EBF_1 29 0 - 0 0 . chr5 15595187 15595188 chr5:15595188:A:G rs115665430 A G A EBF1_EBF_1 15 0 + 0 0 . chr5 15620606 15620607 chr5:15620607:A:G rs115328020 A G A EBF1_EBF_1 17 0 - 0 0 . chr5 15620626 15620627 chr5:15620627:T:C rs2964265 T C T EBF1_EBF_1 -3 0 - 0 0 . chr5 15665222 15665223 chr5:15665223:C:A rs543293206 C A C EBF1_EBF_1 31 0 - 0 0 . chr5 15716170 15716171 chr5:15716171:G:A rs13157120 G A G EBF1_EBF_1 -18 0 + 0 0 . chr5 15716176 15716177 chr5:15716177:T:A rs56161126 T A T EBF1_EBF_1 -12 0 + 0 0 . chr5 15719112 15719113 chr5:15719113:C:G rs4435862 C G C EBF1_EBF_1 10 1 - 7.482206637289628 0.5914042499601533 ATCCCCTGGAGAAA chr5 15720256 15720257 chr5:15720257:C:A rs75396722 C A C EBF1_EBF_1 23 0 + 0 0 . chr5 15734335 15734336 chr5:15734336:G:C rs149999065 G C G EBF1_EBF_1 13 1 - 4.220438374147461 2.7323673527920462 AGCCCCAGAGGAGC chr5 15960518 15960519 chr5:15960519:A:G rs1502025 A G A EBF1_EBF_1 -14 0 + 0 0 . chr5 16009874 16009875 chr5:16009875:G:A rs250503 G A G EBF1_EBF_1 -11 0 - 0 0 . chr5 16109295 16109296 chr5:16109296:C:G rs10063965 C G G EBF1_EBF_1 -15 0 - 0 0 . chr5 16179233 16179234 chr5:16179234:T:C rs542700832 T C T EBF1_EBF_1 22 0 + 0 0 . chr5 16237984 16237985 chr5:16237985:G:T rs11750406 G T G EBF1_EBF_1 4 1 - 7.1038538726131994 1.279294249159734 ATTCCCGAGGGTCA chr5 16389256 16389257 chr5:16389257:C:T rs335958 C T A EBF1_EBF_1 0 1 + 8.610342560542211 8.89254462127279 CTTCCCAAGGGAGG chr5 16389269 16389270 chr5:16389270:G:A rs335957 G A G EBF1_EBF_1 13 1 + 8.610342560542211 9.72466813261165 CTTCCCAAGGGAGG chr5 16427584 16427585 chr5:16427585:G:A rs72742276 G A G EBF1_EBF_1 8 1 + 4.556315023868877 0.33002493498261604 CCTCCCTCGAGATC chr5 16438197 16438198 chr5:16438198:G:A rs115673547 G A G EBF1_EBF_1 33 0 - 0 0 . chr5 16490942 16490943 chr5:16490943:A:G rs12187267 A G G EBF1_EBF_1 -18 0 - 0 0 . chr5 16588930 16588931 chr5:16588931:T:C rs2451852 T C T EBF1_EBF_1 7 1 - 4.220965978589818 2.9085075733009917 GGCCCCCAGAGGAT chr5 16603743 16603744 chr5:16603744:G:A rs154295 G A G EBF1_EBF_1 14 0 + 0 0 . chr5 16618262 16618263 chr5:16618263:C:A rs1339955633 C A C EBF1_EBF_1 22 0 - 0 0 . chr5 16618290 16618291 chr5:16618291:T:G rs181721210 T G T EBF1_EBF_1 -6 0 - 0 0 . chr5 16618301 16618302 chr5:16618302:T:C rs115605011 T C T EBF1_EBF_1 -17 0 - 0 0 . chr5 16624988 16624989 chr5:16624989:C:T rs72748415 C T C EBF1_EBF_1 4 1 + 8.2857677088448 3.9163807638998778 CTCCCCAGGGGACC chr5 16644761 16644762 chr5:16644762:C:T rs12654715 C T c EBF1_EBF_1 23 0 - 0 0 . chr5 16690492 16690493 chr5:16690493:C:T rs183168665 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 16690499 16690500 chr5:16690500:T:C rs117964158 T C T EBF1_EBF_1 12 1 - 5.425080986812414 4.006263644712937 CTCCCCTAGAGAAG chr5 16718127 16718128 chr5:16718128:A:C rs550027026 A C A EBF1_EBF_1 6 1 - 5.646335476530071 3.539857284195115 ACTGCCTGGGGACC chr5 16718128 16718129 chr5:16718129:G:A rs568680543 G A G EBF1_EBF_1 5 1 - 5.646335476530071 0.32454826098940115 ACTGCCTGGGGACC chr5 16750195 16750196 chr5:16750196:A:G rs11959214 A G G EBF1_EBF_1 1 1 - 5.177244807498284 4.281811367099539 CTTCCCGAGAGACG chr5 16754663 16754664 chr5:16754664:G:A rs768292735 G A G EBF1_EBF_1 3 1 - 6.955531738182877 0.5501329966295603 TGTCCCTTGGGCCT chr5 16776750 16776751 chr5:16776751:G:A rs73053074 G A G EBF1_EBF_1 6 1 + 6.272037502176074 8.43883193345055 TGTCCCGAGAGAAC chr5 16784967 16784968 chr5:16784968:A:G rs11133860 A G a EBF1_EBF_1 31 0 - 0 0 . chr5 16855631 16855632 chr5:16855632:C:T rs147922981 C T C EBF1_EBF_1 4 1 + 6.821887255992416 2.452500311047493 ATTCCCTGGAGGCC chr5 16886397 16886398 chr5:16886398:C:G rs577928362 C G C EBF1_EBF_1 -18 0 + 0 0 . chr5 16890410 16890411 chr5:16890411:T:C rs56969691 T C T EBF1_EBF_1 24 0 + 0 0 . chr5 16894825 16894826 chr5:16894826:A:G rs253479 A G A EBF1_EBF_1 0 1 + 4.923419754192103 3.031135884627269 AGCCCCCACGGAGT chr5 16910679 16910680 chr5:16910680:G:A rs152342 G A G EBF1_EBF_1 10 1 + 8.606302623987393 3.288274164720427 ATTCCCTAGCGACC chr5 16924168 16924169 chr5:16924169:C:G rs10068690 C G C EBF1_EBF_1 10 1 - 5.63751415091535 -1.2532882364141253 ACACTCAAGGGACT chr5 16986297 16986298 chr5:16986298:C:T rs7718924 C T C EBF1_EBF_1 -3 0 - 0 0 . chr5 17075463 17075464 chr5:17075464:G:T rs34916658 G T G EBF1_EBF_1 10 1 + 7.147843979052181 2.965091792824916 CTTCTCAAGGGAAC chr5 17097067 17097068 chr5:17097068:T:C rs536895562 T C T EBF1_EBF_1 -15 0 + 0 0 . chr5 17113588 17113589 chr5:17113589:C:T rs2624420 C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 17178441 17178442 chr5:17178442:A:G rs79564892 A G A EBF1_EBF_1 28 0 + 0 0 . chr5 17202388 17202389 chr5:17202389:T:C rs2956563 T C T EBF1_EBF_1 13 1 + 7.070319615067075 6.225825500481557 GGTCCCACGAGAAT chr5 17224025 17224026 chr5:17224026:C:T rs75852280 C T C EBF1_EBF_1 5 1 + 6.34789048128551 1.0261032657448392 ATCCCCGTGGGGAC chr5 17249310 17249311 chr5:17249311:A:G rs953431 A G A EBF1_EBF_1 20 0 - 0 0 . chr5 17289855 17289856 chr5:17289856:C:T rs17615463 C T C EBF1_EBF_1 16 0 - 0 0 . chr5 17315777 17315778 chr5:17315778:G:A rs298508 G A A EBF1_EBF_1 14 0 - 0 0 . chr5 17326741 17326742 chr5:17326742:G:T chr5:17326742:G:T G T G EBF1_EBF_1 8 1 + 12.318594039230804 5.4271612502536115 ACTCCCTGGGGACT chr5 17401112 17401113 chr5:17401113:G:A rs78042642 G A G EBF1_EBF_1 5 1 - 7.1241711699489105 1.802383954408241 CGCCCCGTGGGAAT chr5 17865481 17865482 chr5:17865482:G:C rs72744985 G C G EBF1_EBF_1 14 0 - 0 0 . chr5 17883291 17883292 chr5:17883292:T:C rs139752883 T C T EBF1_EBF_1 14 0 - 0 0 . chr5 17910908 17910909 chr5:17910909:G:T rs75226414 G T G EBF1_EBF_1 4 1 - 10.029091065591684 4.204531442138217 ATTCCCCAAGGAAT chr5 17929498 17929499 chr5:17929499:G:T rs1513089 G T T EBF1_EBF_1 -14 0 - 0 0 . chr5 17951788 17951789 chr5:17951789:G:A rs68127036 G A G EBF1_EBF_1 13 1 - 5.038585735001969 5.883079849587487 CTTCCCTGGCGACC chr5 18141226 18141227 chr5:18141227:T:C rs430183 T C C EBF1_EBF_1 -9 0 + 0 0 . chr5 18220710 18220711 chr5:18220711:G:A rs315833 G A A EBF1_EBF_1 18 0 - 0 0 . chr5 18274749 18274750 chr5:18274750:G:A rs12516489 G A G EBF1_EBF_1 -1 0 + 0 0 . chr5 18365708 18365709 chr5:18365709:T:A rs2950488 T A T EBF1_EBF_1 25 0 - 0 0 . chr5 18500843 18500844 chr5:18500844:G:A rs4348220 G A A EBF1_EBF_1 7 1 - 9.311915990049046 11.129420736331669 ATTCCCGCGGGAAC chr5 18653592 18653593 chr5:18653593:A:G rs7445872 A G a EBF1_EBF_1 15 0 - 0 0 . chr5 18653593 18653594 chr5:18653594:T:C rs7446641 T C C EBF1_EBF_1 14 0 - 0 0 . chr5 18697918 18697919 chr5:18697919:A:G rs329891 A G A EBF1_EBF_1 -20 0 + 0 0 . chr5 18792713 18792714 chr5:18792714:T:C rs34003508 T C T EBF1_EBF_1 -2 0 - 0 0 . chr5 18984804 18984805 chr5:18984805:G:A rs62350784 G A G EBF1_EBF_1 27 0 + 0 0 . chr5 18984809 18984810 chr5:18984810:T:C rs78403750 T C T EBF1_EBF_1 32 0 + 0 0 . chr5 19030432 19030433 chr5:19030433:A:T rs73759463 A T A EBF1_EBF_1 7 1 + 4.905534745087968 4.429260505000411 CCTCGCTAGGGACC chr5 19334380 19334381 chr5:19334381:C:T rs2942314 C T T EBF1_EBF_1 17 0 + 0 0 . chr5 19496345 19496346 chr5:19496346:C:T rs347721 C T T EBF1_EBF_1 -1 0 - 0 0 . chr5 19497555 19497556 chr5:19497556:T:C rs410566 T C T EBF1_EBF_1 23 0 - 0 0 . chr5 19497589 19497590 chr5:19497590:C:T rs9292647 C T T EBF1_EBF_1 -11 0 - 0 0 . chr5 19511932 19511933 chr5:19511933:T:C rs418681 T C C EBF1_EBF_1 2 1 - 5.656381062711576 2.5925229601099846 ATACCCTGGAGACA chr5 19547733 19547734 chr5:19547734:C:T rs347738 C T C EBF1_EBF_1 33 0 - 0 0 . chr5 20248392 20248393 chr5:20248393:C:T rs193276798 C T C EBF1_EBF_1 16 0 + 0 0 . chr5 20367254 20367255 chr5:20367255:C:T rs4426917 C T C EBF1_EBF_1 3 1 + 6.537423351799846 0.13202461024652873 TGCCCCACGGGAAA chr5 20526273 20526274 chr5:20526274:G:A rs191642584 G A G EBF1_EBF_1 14 0 + 0 0 . chr5 20575945 20575946 chr5:20575946:G:C rs181364989 G C G EBF1_EBF_1 10 1 + 7.128286816482038 0.23748442915256396 AGTCCCAAGAGTCT chr5 20780858 20780859 chr5:20780859:C:T rs112593978 C T C EBF1_EBF_1 33 0 - 0 0 . chr5 20854759 20854760 chr5:20854760:T:G rs6451912 T G - EBF1_EBF_1 29 0 + 0 0 . chr5 20975408 20975409 chr5:20975409:G:T rs1466843 G T G EBF1_EBF_1 23 0 - 0 0 . chr5 21370696 21370697 chr5:21370697:C:T rs368999741 C T . EBF1_EBF_1 8 1 - 4.925752970359878 0.6994628814736173 TCACCCACGGGAAC chr5 21447270 21447271 chr5:21447271:G:A rs11747634 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 21473643 21473644 chr5:21473644:G:A rs181305916 G A G EBF1_EBF_1 12 1 + 4.425416075845214 5.844233417944691 CCTCCCCAGGGCGC chr5 21496337 21496338 chr5:21496338:C:T rs7444362 C T . EBF1_EBF_1 29 0 - 0 0 . chr5 21680342 21680343 chr5:21680343:G:T chr5:21680343:G:T G T G EBF1_EBF_1 2 1 - 4.957277167081027 3.1616329909243 CACCCCCTGAGATT chr5 22214242 22214243 chr5:22214243:A:G rs2278002 A G G EBF1_EBF_1 11 1 + 4.666087549358005 1.7733807057539943 AGCCCCAGGAGATG chr5 22305642 22305643 chr5:22305643:C:G rs2968253 C G G EBF1_EBF_1 -19 0 - 0 0 . chr5 22474240 22474241 chr5:22474241:G:T rs10039824 G T T EBF1_EBF_1 -14 0 + 0 0 . chr5 22591007 22591008 chr5:22591008:G:T rs269877 G T G EBF1_EBF_1 -17 0 - 0 0 . chr5 22971268 22971269 chr5:22971269:G:A rs6894891 G A G EBF1_EBF_1 2 1 - 6.358115664862334 8.246939076304482 AGCCCCAAGGGCCA chr5 22971398 22971399 chr5:22971399:T:G rs75327726 T G T EBF1_EBF_1 15 0 - 0 0 . chr5 23008134 23008135 chr5:23008135:G:A rs2680788 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 23711528 23711529 chr5:23711529:G:A rs2884033 G A A EBF1_EBF_1 1 1 + 6.374209992100547 6.113511160179245 AGTCACCTGGGACC chr5 24045233 24045234 chr5:24045234:G:A rs62349717 G A A EBF1_EBF_1 -10 0 - 0 0 . chr5 24283767 24283768 chr5:24283768:C:T rs1435819 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 24581116 24581117 chr5:24581117:T:C rs10805765 T C C EBF1_EBF_1 -9 0 + 0 0 . chr5 24713095 24713096 chr5:24713096:G:A rs13163171 G A G EBF1_EBF_1 -11 0 + 0 0 . chr5 24750219 24750220 chr5:24750220:A:G rs7703184 A G G EBF1_EBF_1 -16 0 + 0 0 . chr5 24750255 24750256 chr5:24750256:G:C rs7720292 G C C EBF1_EBF_1 20 0 + 0 0 . chr5 24763759 24763760 chr5:24763760:A:G rs10043256 A G A EBF1_EBF_1 27 0 - 0 0 . chr5 24855039 24855040 chr5:24855040:G:C rs10043237 G C G EBF1_EBF_1 -18 0 - 0 0 . chr5 24923480 24923481 chr5:24923481:T:G rs66861538 T G T EBF1_EBF_1 4 1 - 5.864804958577711 11.689364582031176 AATCACTAGGGATC chr5 25181352 25181353 chr5:25181353:G:A rs116555259 G A G EBF1_EBF_1 9 1 + 4.432430667681864 1.7774914022342603 CCTGCCCAGGGAAC chr5 25330757 25330758 chr5:25330758:C:A rs4604160 C A C EBF1_EBF_1 8 1 + 4.338999274904232 5.431368046932657 TGCCCCCACGGACT chr5 25615875 25615876 chr5:25615876:T:A rs13186811 T A A EBF1_EBF_1 22 0 + 0 0 . chr5 25823652 25823653 chr5:25823653:G:T rs35339199 G T G EBF1_EBF_1 8 1 + 3.7271681246785584 -3.1642646642986363 ACTCCCCCGCGAGC chr5 25823672 25823673 chr5:25823673:C:T rs185882506 C T C EBF1_EBF_1 28 0 + 0 0 . chr5 26324709 26324710 chr5:26324710:C:T rs192801046 C T C EBF1_EBF_1 -6 0 - 0 0 . chr5 26324723 26324724 chr5:26324724:A:G rs184394430 A G C EBF1_EBF_1 -20 0 - 0 0 . chr5 26484032 26484033 chr5:26484033:C:G rs248029 C G c EBF1_EBF_1 15 0 + 0 0 . chr5 26569560 26569561 chr5:26569561:G:T rs77098837 G T T EBF1_EBF_1 29 0 - 0 0 . chr5 26582806 26582807 chr5:26582807:T:G rs35351129 T G T EBF1_EBF_1 26 0 - 0 0 . chr5 26669464 26669465 chr5:26669465:C:T rs77198932 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 26700998 26700999 chr5:26700999:T:A rs143606805 T A T EBF1_EBF_1 9 1 - 5.932093039492637 1.7500428968514306 ATCCCCAAGAGGCA chr5 26791485 26791486 chr5:26791486:A:G rs11949242 A G G EBF1_EBF_1 -17 0 + 0 0 . chr5 26791523 26791524 chr5:26791524:G:C rs11952220 G C G EBF1_EBF_1 21 0 + 0 0 . chr5 26813471 26813472 chr5:26813472:G:A rs12652831 G A G EBF1_EBF_1 -8 0 + 0 0 . chr5 26813575 26813576 chr5:26813576:C:G rs10070062 C G G EBF1_EBF_1 -8 0 - 0 0 . chr5 26847161 26847162 chr5:26847162:T:G chr5:26847162:T:G T G T EBF1_EBF_1 -8 0 + 0 0 . chr5 26918848 26918849 chr5:26918849:G:T rs10942217 G T G EBF1_EBF_1 -4 0 - 0 0 . chr5 26918938 26918939 chr5:26918939:C:A rs79547551 C A C EBF1_EBF_1 8 1 - 5.982633981792597 -0.9087988071845974 ATTCTCCAGAGACA chr5 27014413 27014414 chr5:27014414:T:A rs80241862 T A T EBF1_EBF_1 1 1 - 5.131573374464253 6.097933992215082 GATCCCTAGGAACA chr5 27191989 27191990 chr5:27191990:G:A rs72742714 G A G EBF1_EBF_1 4 1 - 8.208901138447448 3.8395141935025237 TACCCCCAGGGAAA chr5 27244600 27244601 chr5:27244601:G:A rs72744632 G A G EBF1_EBF_1 9 1 + 3.961513085925395 1.3065738204777921 AGCCCCAGGGGCAG chr5 27520847 27520848 chr5:27520848:T:C rs2329622 T C C EBF1_EBF_1 -6 0 + 0 0 . chr5 27582938 27582939 chr5:27582939:A:C rs12055345 A C A EBF1_EBF_1 -6 0 + 0 0 . chr5 27612063 27612064 chr5:27612064:G:A rs67304248 G A G EBF1_EBF_1 3 1 - 7.175242940409275 0.7698441988559575 AGTCCCCAGGAATT chr5 27616921 27616922 chr5:27616922:G:A rs4867160 G A G EBF1_EBF_1 22 0 - 0 0 . chr5 27773449 27773450 chr5:27773450:A:G rs4867009 A G G EBF1_EBF_1 6 1 + 6.599722797821373 4.432928366546898 CTCCCCAAGAGAAA chr5 27797025 27797026 chr5:27797026:A:G rs6879383 A G G EBF1_EBF_1 22 0 - 0 0 . chr5 27936885 27936886 chr5:27936886:T:C rs6450581 T C C EBF1_EBF_1 29 0 - 0 0 . chr5 27936931 27936932 chr5:27936932:G:A rs6450582 G A A EBF1_EBF_1 -17 0 - 0 0 . chr5 28012696 28012697 chr5:28012697:T:C rs35362222 T C T EBF1_EBF_1 13 1 - 4.394683258768078 3.280357686698639 GCTCACCAGGGACA chr5 28369473 28369474 chr5:28369474:C:T rs9632401 C T T EBF1_EBF_1 0 1 + 7.2064737436196395 7.488675804350216 CTTCCCCAGGGGTC chr5 28369484 28369485 chr5:28369485:G:A rs9632395 G A G EBF1_EBF_1 11 1 + 7.2064737436196395 10.09918058722365 CTTCCCCAGGGGTC chr5 28390731 28390732 chr5:28390732:C:T rs72752430 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 28397560 28397561 chr5:28397561:A:G rs4260681 A G A EBF1_EBF_1 -16 0 + 0 0 . chr5 28397605 28397606 chr5:28397606:A:G rs4507496 A G A EBF1_EBF_1 29 0 + 0 0 . chr5 28505327 28505328 chr5:28505328:A:G rs150200407 A G A EBF1_EBF_1 20 0 + 0 0 . chr5 29002263 29002264 chr5:29002264:T:G rs36080912 T G T EBF1_EBF_1 18 0 - 0 0 . chr5 29370021 29370022 chr5:29370022:G:A rs28673706 G A G EBF1_EBF_1 3 1 - 7.814998590655836 1.4095998491025195 TCTCCCTAGAGAAA chr5 29403742 29403743 chr5:29403743:C:T rs10057399 C T c EBF1_EBF_1 3 1 + 5.832686351509777 -0.5727123900435411 TTCCCCATGGGCCT chr5 29468023 29468024 chr5:29468024:C:A rs60995442 C A C EBF1_EBF_1 -1 0 + 0 0 . chr5 29509672 29509673 chr5:29509673:A:G rs626513 A G G EBF1_EBF_1 1 1 - 5.10286410921054 4.207430668811794 TTCCCCCAGGGCCC chr5 29644112 29644113 chr5:29644113:A:G rs77475896 A G G EBF1_EBF_1 33 0 - 0 0 . chr5 29855333 29855334 chr5:29855334:C:G rs4478337 C G C EBF1_EBF_1 22 0 + 0 0 . chr5 30106276 30106277 chr5:30106277:C:T rs13172518 C T T EBF1_EBF_1 -19 0 + 0 0 . chr5 30106286 30106287 chr5:30106287:T:C rs12186426 T C T EBF1_EBF_1 -9 0 + 0 0 . chr5 30232971 30232972 chr5:30232972:C:T rs78127385 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 30495804 30495805 chr5:30495805:G:A rs371029961 G A - EBF1_EBF_1 -18 0 + 0 0 . chr5 30775794 30775795 chr5:30775795:C:T rs6881904 C T C EBF1_EBF_1 -16 0 + 0 0 . chr5 30802759 30802760 chr5:30802760:G:A rs10940919 G A G EBF1_EBF_1 10 1 + 4.978062298943109 -0.33996616032385724 GCTCCCCAAGGAGC chr5 31100009 31100010 chr5:31100010:T:G rs564345846 T G T EBF1_EBF_1 13 1 - 4.296886976076759 4.670632425362735 ATTCCAGAGGGACA chr5 31378997 31378998 chr5:31378998:C:T rs13174419 C T T EBF1_EBF_1 -5 0 + 0 0 . chr5 31379025 31379026 chr5:31379026:G:A rs334291 G A G EBF1_EBF_1 23 0 + 0 0 . chr5 31381871 31381872 chr5:31381872:T:C rs2161005 T C T EBF1_EBF_1 -11 0 - 0 0 . chr5 31384097 31384098 chr5:31384098:T:C rs656661 T C T EBF1_EBF_1 0 1 - 8.445415209911708 6.553131340346873 ACTCCCTGGAGACA chr5 31384116 31384117 chr5:31384117:A:G rs672990 A G G EBF1_EBF_1 -19 0 - 0 0 . chr5 31443456 31443457 chr5:31443457:G:A rs7712155 G A g EBF1_EBF_1 26 0 + 0 0 . chr5 31638573 31638574 chr5:31638574:C:G rs93593 C G C EBF1_EBF_1 -17 0 + 0 0 . chr5 31638574 31638575 chr5:31638575:C:T rs773289698 C T C EBF1_EBF_1 -16 0 + 0 0 . chr5 31638590 31638591 chr5:31638591:C:T rs28310 C T T EBF1_EBF_1 0 1 + 6.292977576915111 6.57517963764569 CCCCCCCAGGGGCT chr5 31678131 31678132 chr5:31678132:G:A rs6450853 G A G EBF1_EBF_1 -7 0 + 0 0 . chr5 31678571 31678572 chr5:31678572:C:T rs560325547 C T C EBF1_EBF_1 -6 0 - 0 0 . chr5 31786519 31786520 chr5:31786520:T:C rs13362167 T C C EBF1_EBF_1 16 0 + 0 0 . chr5 31798055 31798056 chr5:31798056:G:T rs55871110 G T G EBF1_EBF_1 -14 0 - 0 0 . chr5 31816386 31816387 chr5:31816387:A:T rs73060327 A T A EBF1_EBF_1 -5 0 + 0 0 . chr5 31845463 31845464 chr5:31845464:G:A rs114170747 G A G EBF1_EBF_1 15 0 - 0 0 . chr5 31849128 31849129 chr5:31849129:C:A rs75570146 C A A EBF1_EBF_1 -14 0 - 0 0 . chr5 31856354 31856355 chr5:31856355:C:T rs4867390 C T T EBF1_EBF_1 8 1 - 5.860003109453464 1.6337130205672021 TTTCCCCAGAGGCC chr5 31911796 31911797 chr5:31911797:G:C rs13357057 G C G EBF1_EBF_1 22 0 + 0 0 . chr5 31912320 31912321 chr5:31912321:A:G rs11749275 A G A EBF1_EBF_1 -14 0 - 0 0 . chr5 31945621 31945622 chr5:31945622:T:C rs56341423 T C C EBF1_EBF_1 21 0 + 0 0 . chr5 31945654 31945655 chr5:31945655:A:G rs976319129 A G A EBF1_EBF_1 -6 0 + 0 0 . chr5 31976076 31976077 chr5:31976077:A:G rs77677446 A G A EBF1_EBF_1 14 0 + 0 0 . chr5 31988519 31988520 chr5:31988520:T:C rs78174269 T C T EBF1_EBF_1 25 0 - 0 0 . chr5 31998084 31998085 chr5:31998085:T:C rs10461906 T C C EBF1_EBF_1 -10 0 + 0 0 . chr5 32016563 32016564 chr5:32016564:C:T rs12657024 C T C EBF1_EBF_1 -8 0 + 0 0 . chr5 32036968 32036969 chr5:32036969:G:A rs78480772 G A G EBF1_EBF_1 -16 0 - 0 0 . chr5 32074285 32074286 chr5:32074286:G:A rs2291114 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 32087146 32087147 chr5:32087147:A:G rs157495 A G A EBF1_EBF_1 -11 0 + 0 0 . chr5 32090772 32090773 chr5:32090773:T:C rs774783107 T C T EBF1_EBF_1 27 0 - 0 0 . chr5 32100587 32100588 chr5:32100588:A:G rs1004634800 A G A EBF1_EBF_1 30 0 - 0 0 . chr5 32100605 32100606 chr5:32100606:G:A rs11749184 G A G EBF1_EBF_1 12 1 - 5.468191984932457 4.441925414381608 AGCCCCCAGGAACC chr5 32145850 32145851 chr5:32145851:C:T rs161524 C T T EBF1_EBF_1 29 0 + 0 0 . chr5 32210422 32210423 chr5:32210423:G:T rs114770903 G T G EBF1_EBF_1 0 1 - 4.904341633236959 7.159600116933561 CCCCCCCAGGGGGT chr5 32221358 32221359 chr5:32221359:A:G rs11738821 A G A EBF1_EBF_1 -16 0 - 0 0 . chr5 32274860 32274861 chr5:32274861:T:C rs67162125 T C T EBF1_EBF_1 28 0 + 0 0 . chr5 32311396 32311397 chr5:32311397:C:T rs2052563 C T C EBF1_EBF_1 3 1 + 5.509150214323607 -0.8962485272297098 TTCCCCTGGAGAAA chr5 32444962 32444963 chr5:32444963:C:G rs551106479 C G C EBF1_EBF_1 10 1 - 6.967807490442066 0.07700510311259186 ACTCCCCAGAGGAC chr5 32474417 32474418 chr5:32474418:G:C rs612442 G C G EBF1_EBF_1 -15 0 - 0 0 . chr5 32475488 32475489 chr5:32475489:C:T rs2463789 C T C EBF1_EBF_1 7 1 + 5.687332315933418 7.504837062216041 TCTCCCACGGGGCC chr5 32531228 32531229 chr5:32531229:A:G rs6891927 A G A EBF1_EBF_1 11 1 + 6.613136828506029 3.720429984902018 GGTCCCATGAGATA chr5 32538397 32538398 chr5:32538398:A:C rs617933 A C A EBF1_EBF_1 27 0 - 0 0 . chr5 32625373 32625374 chr5:32625374:T:C rs864674 T C T EBF1_EBF_1 29 0 - 0 0 . chr5 32669175 32669176 chr5:32669176:A:C rs6450920 A C A EBF1_EBF_1 9 1 + 7.040138216645749 4.619388335747889 GGTCCCACGAGACT chr5 32710345 32710346 chr5:32710346:G:T rs6868454 G T G EBF1_EBF_1 19 0 + 0 0 . chr5 32710349 32710350 chr5:32710350:C:A rs149606045 C A C EBF1_EBF_1 23 0 + 0 0 . chr5 32712724 32712725 chr5:32712725:C:T rs4867473 C T C EBF1_EBF_1 27 0 - 0 0 . chr5 32786008 32786009 chr5:32786009:G:A rs10472211 G A G EBF1_EBF_1 27 0 + 0 0 . chr5 32788077 32788078 chr5:32788078:C:T rs1147225 C T T EBF1_EBF_1 16 0 + 0 0 . chr5 32836629 32836630 chr5:32836630:A:G rs905208 A G A EBF1_EBF_1 30 0 - 0 0 . chr5 32844746 32844747 chr5:32844747:A:G rs1173704 A G G EBF1_EBF_1 -6 0 + 0 0 . chr5 32887503 32887504 chr5:32887504:G:A rs1472261 G A A EBF1_EBF_1 12 1 + 5.798627596958222 7.2174449390577 AACCCCCAGGTAGT chr5 32898479 32898480 chr5:32898480:C:G rs59649660 C G C EBF1_EBF_1 5 1 + 5.1766843032593215 -1.7281968493758482 TTTCCCCAGGTGCT chr5 32898880 32898881 chr5:32898881:T:C rs145343827 T C T EBF1_EBF_1 -12 0 - 0 0 . chr5 32921303 32921304 chr5:32921304:T:C rs56304938 T C t EBF1_EBF_1 -9 0 - 0 0 . chr5 33023965 33023966 chr5:33023966:G:T rs6881818 G T T EBF1_EBF_1 -3 0 + 0 0 . chr5 33167068 33167069 chr5:33167069:C:T rs35860535 C T T EBF1_EBF_1 31 0 + 0 0 . chr5 33200418 33200419 chr5:33200419:C:G rs75610830 C G C EBF1_EBF_1 2 1 + 6.205839845562434 1.3463375668041166 TTCCCCCTGAGATT chr5 33331903 33331904 chr5:33331904:C:T rs9885108 C T C EBF1_EBF_1 9 1 - 4.888467154280777 2.233527888833173 CACCCCCAAGGACT chr5 33343944 33343945 chr5:33343945:A:G rs1994934 A G G EBF1_EBF_1 -5 0 - 0 0 . chr5 33514027 33514028 chr5:33514028:C:T rs75474670 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 33600213 33600214 chr5:33600214:G:C rs13189279 G C C EBF1_EBF_1 8 1 + 5.836261088599663 0.5176022276849764 TTTCCCCAGGTAGC chr5 33600227 33600228 chr5:33600228:T:C rs13171217 T C C EBF1_EBF_1 22 0 + 0 0 . chr5 33686238 33686239 chr5:33686239:C:T rs4360047 C T C EBF1_EBF_1 1 1 + 4.267189277511319 5.162622717910066 CCTCCCCTGGGTGC chr5 33697179 33697180 chr5:33697180:T:A rs6451015 T A T EBF1_EBF_1 32 0 - 0 0 . chr5 33711509 33711510 chr5:33711510:C:A rs11749202 C A C EBF1_EBF_1 19 0 + 0 0 . chr5 33941250 33941251 chr5:33941251:T:G rs35401 T G T EBF1_EBF_1 21 0 - 0 0 . chr5 34026650 34026651 chr5:34026651:C:T rs10941113 C T C EBF1_EBF_1 0 1 - 4.658861960490276 6.551145830055109 GCTGCCAGGGGACT chr5 34465833 34465834 chr5:34465834:A:G rs11959102 A G A EBF1_EBF_1 13 1 + 6.210098133703626 5.095772561634187 AGTCCCCTAGGAGA chr5 34480129 34480130 chr5:34480130:G:A rs34887234 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 34487384 34487385 chr5:34487385:G:A rs16902317 G A G EBF1_EBF_1 17 0 + 0 0 . chr5 34489693 34489694 chr5:34489694:C:G rs114626546 C G C EBF1_EBF_1 -9 0 - 0 0 . chr5 34490650 34490651 chr5:34490651:A:T rs150512128 A T A EBF1_EBF_1 16 0 - 0 0 . chr5 34491194 34491195 chr5:34491195:C:T rs34275776 C T C EBF1_EBF_1 25 0 + 0 0 . chr5 34529912 34529913 chr5:34529913:C:T rs72728822 C T C EBF1_EBF_1 11 1 - 7.551385631445624 10.444092475049635 GTCCCCCAGGGGCT chr5 34536242 34536243 chr5:34536243:T:C rs10045740 T C T EBF1_EBF_1 -10 0 - 0 0 . chr5 34584124 34584125 chr5:34584125:A:G rs62355097 A G G EBF1_EBF_1 6 1 + 5.417045364518941 3.250250933244466 AGTCCCAAGGTGGT chr5 34599596 34599597 chr5:34599597:G:C rs182464201 G C G EBF1_EBF_1 -16 0 + 0 0 . chr5 34656031 34656032 chr5:34656032:C:T rs75699529 C T C EBF1_EBF_1 -5 0 + 0 0 . chr5 34656421 34656422 chr5:34656422:G:C rs112304690 G C g EBF1_EBF_1 -6 0 - 0 0 . chr5 34656428 34656429 chr5:34656429:T:G rs930700005 T G t EBF1_EBF_1 -13 0 - 0 0 . chr5 34671125 34671126 chr5:34671126:A:G rs998579226 A G A EBF1_EBF_1 -18 0 + 0 0 . chr5 34709895 34709896 chr5:34709896:T:C rs74760886 T C T EBF1_EBF_1 15 0 + 0 0 . chr5 34752495 34752496 chr5:34752496:C:T rs6867213 C T c EBF1_EBF_1 -9 0 + 0 0 . chr5 34752502 34752503 chr5:34752503:A:G rs376896 A G G EBF1_EBF_1 -2 0 + 0 0 . chr5 34752503 34752504 chr5:34752504:T:C rs392588 T C T EBF1_EBF_1 -1 0 + 0 0 . chr5 34752533 34752534 chr5:34752534:A:G rs334909 A G A EBF1_EBF_1 29 0 + 0 0 . chr5 34762060 34762061 chr5:34762061:A:G rs164311 A G G EBF1_EBF_1 30 0 + 0 0 . chr5 34848459 34848460 chr5:34848460:A:G rs113095607 A G A EBF1_EBF_1 7 1 - 6.982591033785482 5.165086287502859 AGTCCCTTGAGGAC chr5 35004307 35004308 chr5:35004308:C:T rs78078037 C T C EBF1_EBF_1 20 0 - 0 0 . chr5 35090019 35090020 chr5:35090020:C:T rs79579397 C T C EBF1_EBF_1 10 1 - 5.797508367647815 0.4794799083808488 TCTCCCATAGGACA chr5 35136528 35136529 chr5:35136529:C:T rs779294935 C T C EBF1_EBF_1 -11 0 - 0 0 . chr5 35172104 35172105 chr5:35172105:T:C rs4703506 T C C EBF1_EBF_1 0 1 + 6.610075978252708 6.327873917522131 TGTCCCGTGAGACT chr5 35198409 35198410 chr5:35198410:G:A rs57816130 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 35199021 35199022 chr5:35199022:C:T rs114402674 C T C EBF1_EBF_1 31 0 - 0 0 . chr5 35203084 35203085 chr5:35203085:G:A rs76374767 G A G EBF1_EBF_1 18 0 + 0 0 . chr5 35204397 35204398 chr5:35204398:G:A rs7707413 G A G EBF1_EBF_1 15 0 - 0 0 . chr5 35212581 35212582 chr5:35212582:T:C rs924599 T C C EBF1_EBF_1 7 1 + 5.501679837420485 3.6841750911378623 AGTCCCCTGGTATG chr5 35212582 35212583 chr5:35212583:G:A rs77665054 G A G EBF1_EBF_1 8 1 + 5.501679837420485 1.2753897485342245 AGTCCCCTGGTATG chr5 35219170 35219171 chr5:35219171:G:C rs143539215 G C G EBF1_EBF_1 25 0 - 0 0 . chr5 35223848 35223849 chr5:35223849:G:A rs182353401 G A G EBF1_EBF_1 -17 0 - 0 0 . chr5 35233752 35233753 chr5:35233753:C:T rs10074938 C T T EBF1_EBF_1 -15 0 - 0 0 . chr5 35406049 35406050 chr5:35406050:G:A rs139296556 G A G EBF1_EBF_1 29 0 + 0 0 . chr5 35408049 35408050 chr5:35408050:G:C rs73747409 G C G EBF1_EBF_1 5 1 - 5.179348183878426 -1.7255329687567422 AATCCCCATGGAAA chr5 35469519 35469520 chr5:35469520:A:G rs10079365 A G G EBF1_EBF_1 26 0 - 0 0 . chr5 35484375 35484376 chr5:35484376:T:A rs140876476 T A T EBF1_EBF_1 19 0 + 0 0 . chr5 35647473 35647474 chr5:35647474:T:G rs4703420 T G G EBF1_EBF_1 30 0 - 0 0 . chr5 35647557 35647558 chr5:35647558:T:G rs4703421 T G G EBF1_EBF_1 33 0 + 0 0 . chr5 35717772 35717773 chr5:35717773:G:T rs573632720 G T G EBF1_EBF_1 -12 0 + 0 0 . chr5 35728337 35728338 chr5:35728338:A:G rs4130679 A G G EBF1_EBF_1 -5 0 - 0 0 . chr5 35730941 35730942 chr5:35730942:A:G rs7709463 A G G EBF1_EBF_1 -13 0 - 0 0 . chr5 35797406 35797407 chr5:35797407:C:T rs150926675 C T C EBF1_EBF_1 13 1 - 4.3053548762277725 5.419680448297211 ACACCCATGGGGCG chr5 35852026 35852027 chr5:35852027:A:G rs75516268 A G A EBF1_EBF_1 -5 0 + 0 0 . chr5 35852208 35852209 chr5:35852209:G:A rs6890853 G A G EBF1_EBF_1 8 1 - 4.48218681008629 2.9094128820237812 CTTCCCAGCGGACA chr5 35855307 35855308 chr5:35855308:T:C rs4869492 T C T EBF1_EBF_1 -6 0 - 0 0 . chr5 35908507 35908508 chr5:35908508:G:A rs115727000 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 35990580 35990581 chr5:35990581:A:C rs28434403 A C C EBF1_EBF_1 -20 0 + 0 0 . chr5 36073614 36073615 chr5:36073615:T:G rs766064 T G g EBF1_EBF_1 -9 0 - 0 0 . chr5 36074139 36074140 chr5:36074140:A:G rs267785 A G G EBF1_EBF_1 13 1 - 5.548029512707814 4.703535398122296 CCTGCCTAGGGACT chr5 36079124 36079125 chr5:36079125:G:T rs34165875 G T G EBF1_EBF_1 33 0 - 0 0 . chr5 36079472 36079473 chr5:36079473:G:T rs116064013 G T G EBF1_EBF_1 -6 0 - 0 0 . chr5 36079473 36079474 chr5:36079474:C:T rs114558468 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 36156244 36156245 chr5:36156245:G:A rs972881116 G A G EBF1_EBF_1 9 1 + 3.958168376691171 1.3032291112435666 ATTCCCAATGGGCC chr5 36223388 36223389 chr5:36223389:T:C rs73751620 T C T EBF1_EBF_1 -5 0 - 0 0 . chr5 36253094 36253095 chr5:36253095:G:C rs80049170 G C G EBF1_EBF_1 -8 0 - 0 0 . chr5 36402802 36402803 chr5:36402803:T:C rs16902999 T C T EBF1_EBF_1 0 1 - 5.825239051392052 3.9329551818272184 ATTCCCAAGGCATC chr5 36446196 36446197 chr5:36446197:G:A rs72730754 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 36495492 36495493 chr5:36495493:C:T rs2967067 C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 36522381 36522382 chr5:36522382:T:C rs4072644 T C T EBF1_EBF_1 11 1 - 5.7627059603688355 2.8699991167648244 ACTCCTAAGGGAGA chr5 36522387 36522388 chr5:36522388:A:G rs4072645 A G A EBF1_EBF_1 5 1 - 5.7627059603688355 11.084493175909506 ACTCCTAAGGGAGA chr5 36526989 36526990 chr5:36526990:C:T rs115584611 C T C EBF1_EBF_1 7 1 + 5.640732197305185 7.458236943587807 ATCCCCACGGGGTC chr5 36569896 36569897 chr5:36569897:G:A rs76152826 G A G EBF1_EBF_1 21 0 + 0 0 . chr5 36584778 36584779 chr5:36584779:A:G rs10061650 A G A EBF1_EBF_1 17 0 - 0 0 . chr5 36584789 36584790 chr5:36584790:C:G rs10076624 C G G EBF1_EBF_1 6 1 - 5.206812125451802 7.012004188924999 ATTCACGAGGGAGT chr5 36593401 36593402 chr5:36593402:A:G rs2731920 A G G EBF1_EBF_1 19 0 - 0 0 . chr5 36613860 36613861 chr5:36613861:C:T rs114092271 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 36614529 36614530 chr5:36614530:T:C rs62354631 T C T EBF1_EBF_1 -18 0 + 0 0 . chr5 36682638 36682639 chr5:36682639:C:T rs7726798 C T T EBF1_EBF_1 33 0 - 0 0 . chr5 36690740 36690741 chr5:36690741:G:C rs60229781 G C G EBF1_EBF_1 10 1 + 4.712549006745466 -2.1782533805840094 CCTCCCCAGAGGAC chr5 36690741 36690742 chr5:36690742:G:A rs61496493 G A G EBF1_EBF_1 11 1 + 4.712549006745466 7.605255850349477 CCTCCCCAGAGGAC chr5 36703525 36703526 chr5:36703526:G:A rs115410859 G A G EBF1_EBF_1 -17 0 + 0 0 . chr5 36703550 36703551 chr5:36703551:G:A rs918642 G A G EBF1_EBF_1 8 1 + 4.653286854854031 0.42699676596776903 AGTCCCAGGAGCCC chr5 36716695 36716696 chr5:36716696:G:A rs71617764 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 36733301 36733302 chr5:36733302:C:T rs78170568 C T T EBF1_EBF_1 -12 0 - 0 0 . chr5 36740815 36740816 chr5:36740816:G:A rs13162139 G A G EBF1_EBF_1 -16 0 - 0 0 . chr5 37249012 37249013 chr5:37249013:G:A rs1054001524 G A G EBF1_EBF_1 -4 0 - 0 0 . chr5 37353325 37353326 chr5:37353326:C:A rs13161931 C A C EBF1_EBF_1 20 0 - 0 0 . chr5 37400520 37400521 chr5:37400521:C:T rs6876447 C T C EBF1_EBF_1 -17 0 + 0 0 . chr5 37489521 37489522 chr5:37489522:C:G rs12109722 C G C EBF1_EBF_1 15 0 - 0 0 . chr5 37787039 37787040 chr5:37787040:G:A rs773608566 G A G EBF1_EBF_1 4 1 - 5.816157480943093 1.4467705359981688 ATTCCCCAAGTACT chr5 37788131 37788132 chr5:37788132:A:C rs56320282 A C A EBF1_EBF_1 -10 0 + 0 0 . chr5 37802077 37802078 chr5:37802078:T:C rs35599296 T C T EBF1_EBF_1 -13 0 - 0 0 . chr5 37803443 37803444 chr5:37803444:C:T rs36070136 C T C EBF1_EBF_1 3 1 + 7.601940324364545 1.1965415828112265 AGACCCCAGGGAGC chr5 37813999 37814000 chr5:37814000:T:C rs11111 T C T EBF1_EBF_1 33 0 + 0 0 . chr5 37848289 37848290 chr5:37848290:G:A rs139666272 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 37885227 37885228 chr5:37885228:G:C rs2910745 G C G EBF1_EBF_1 -2 0 + 0 0 . chr5 37890287 37890288 chr5:37890288:T:C rs73751407 T C T EBF1_EBF_1 -3 0 + 0 0 . chr5 37977565 37977566 chr5:37977566:C:T rs72730669 C T C EBF1_EBF_1 4 1 + 8.472476178806454 4.103089233861531 CATCCCAAGGGGCT chr5 38060050 38060051 chr5:38060051:G:A rs270595 G A G EBF1_EBF_1 -14 0 - 0 0 . chr5 38257468 38257469 chr5:38257469:C:T rs79002635 C T C EBF1_EBF_1 4 1 + 6.9341148738236305 2.564727928878707 TTTCCCCAGGAACT chr5 38298398 38298399 chr5:38298399:G:A rs2589779 G A A EBF1_EBF_1 10 1 + 7.547049614078103 2.2290211548111363 TCTCCCTAGGGGCA chr5 38316717 38316718 chr5:38316718:G:A rs2589816 G A G EBF1_EBF_1 -9 0 + 0 0 . chr5 38382925 38382926 chr5:38382926:C:A chr5:38382926:C:A C A C EBF1_EBF_1 31 0 - 0 0 . chr5 38408619 38408620 chr5:38408620:G:A rs61255452 G A A EBF1_EBF_1 9 1 + 5.574925480807616 2.9199862153600122 CCTCCCCAGGGGGA chr5 38433498 38433499 chr5:38433499:G:A rs1013054717 G A G EBF1_EBF_1 -2 0 + 0 0 . chr5 38433510 38433511 chr5:38433511:G:A rs190873123 G A G EBF1_EBF_1 10 1 + 4.907118070592837 -0.41091038867412855 TCCCCCTTGGGCAT chr5 38433519 38433520 chr5:38433520:C:G chr5:38433520:C:G C G C EBF1_EBF_1 19 0 + 0 0 . chr5 38464062 38464063 chr5:38464063:A:C rs138949633 A C A EBF1_EBF_1 33 0 + 0 0 . chr5 38466693 38466694 chr5:38466694:G:C rs6451386 G C C EBF1_EBF_1 31 0 - 0 0 . chr5 38466742 38466743 chr5:38466743:T:G rs7715490 T G T EBF1_EBF_1 -18 0 - 0 0 . chr5 38467700 38467701 chr5:38467701:G:C rs147123583 G C A EBF1_EBF_1 -17 0 - 0 0 . chr5 38468317 38468318 chr5:38468318:A:G rs10067809 A G A EBF1_EBF_1 -14 0 - 0 0 . chr5 38468961 38468962 chr5:38468962:C:T rs115198398 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 38482992 38482993 chr5:38482993:T:C rs12054659 T C T EBF1_EBF_1 6 1 - 5.706340964689226 3.5395465334147507 AGTGCCATGGGATC chr5 38603018 38603019 chr5:38603019:T:C rs3097239 T C C EBF1_EBF_1 -2 0 + 0 0 . chr5 38641131 38641132 chr5:38641132:C:T rs140257004 C T C EBF1_EBF_1 10 1 - 7.756466074082932 2.4384376148159648 CTTCCCCTGGGGCC chr5 38725184 38725185 chr5:38725185:G:A rs139187716 G A G EBF1_EBF_1 33 0 - 0 0 . chr5 38725213 38725214 chr5:38725214:G:A rs74368828 G A G EBF1_EBF_1 4 1 - 6.727523776858738 2.3581368319138156 CTTCCCAAGGGCAA chr5 38808093 38808094 chr5:38808094:A:G rs62353357 A G A EBF1_EBF_1 11 1 + 7.688809890865185 4.796103047261174 AACCCCCAGAGATT chr5 38837583 38837584 chr5:38837584:G:A rs75488845 G A G EBF1_EBF_1 7 1 - 10.2095315316388 12.027036277921425 ACTCCCACGGGAAA chr5 38845871 38845872 chr5:38845872:C:A rs192085489 C A C EBF1_EBF_1 6 1 + 7.787338874547687 8.148941242348965 CTCCCCCCGGGACT chr5 38866269 38866270 chr5:38866270:T:C rs6865980 T C C EBF1_EBF_1 6 1 + 6.407385585164804 6.106099456303048 GATCCCTAGGGCCC chr5 38907643 38907644 chr5:38907644:T:G rs357254 T G G EBF1_EBF_1 -5 0 + 0 0 . chr5 39094631 39094632 chr5:39094632:A:G rs62358675 A G A EBF1_EBF_1 -16 0 + 0 0 . chr5 39201419 39201420 chr5:39201420:C:T rs10941424 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 39283650 39283651 chr5:39283651:G:A rs40119 G A G EBF1_EBF_1 5 1 - 5.081761200802746 -0.24002601473792312 ACCCCCTAGGGCTA chr5 39283673 39283674 chr5:39283674:C:G rs42864 C G C EBF1_EBF_1 -18 0 - 0 0 . chr5 39302878 39302879 chr5:39302879:C:T rs265711 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 39353966 39353967 chr5:39353967:G:A rs265721 G A G EBF1_EBF_1 4 1 - 6.044645851606966 1.6752589066620445 ATTCCCCAGGAGAT chr5 39380150 39380151 chr5:39380151:G:A rs62358394 G A . EBF1_EBF_1 -14 0 + 0 0 . chr5 39394956 39394957 chr5:39394957:C:A rs62358414 C A C EBF1_EBF_1 16 0 + 0 0 . chr5 39399979 39399980 chr5:39399980:G:A rs13190434 G A G EBF1_EBF_1 -11 0 + 0 0 . chr5 39423976 39423977 chr5:39423977:G:A chr5:39423977:G:A G A G EBF1_EBF_1 16 0 - 0 0 . chr5 39424989 39424990 chr5:39424990:T:G rs55818129 T G T EBF1_EBF_1 12 1 + 4.652906149899125 4.290536776771823 ACTCCCCAGGAATG chr5 39464057 39464058 chr5:39464058:C:T rs7737346 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 39471981 39471982 chr5:39471982:T:C rs72740061 T C T EBF1_EBF_1 -1 0 + 0 0 . chr5 39471985 39471986 chr5:39471986:C:A rs72740062 C A C EBF1_EBF_1 3 1 + 5.10958566132661 -1.7965883681391965 GGCCCCCTGGTAAT chr5 39502652 39502653 chr5:39502653:G:A rs4957476 G A A EBF1_EBF_1 5 1 - 5.418103664287392 0.09631644874672131 ATTCCCAAAGGTCC chr5 39665440 39665441 chr5:39665441:C:T rs146366897 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 39730055 39730056 chr5:39730056:G:A rs74744860 G A G EBF1_EBF_1 3 1 - 6.625463117604567 0.22006437605125118 ACCCTCCTGGGAAT chr5 39891556 39891557 chr5:39891557:T:C rs148213236 T C T EBF1_EBF_1 21 0 - 0 0 . chr5 40114051 40114052 chr5:40114052:C:T rs116515657 C T C EBF1_EBF_1 2 1 + 10.081117860917868 11.969941272360018 CTCCCCCAGGGACT chr5 40131038 40131039 chr5:40131039:C:T rs2162633 C T C EBF1_EBF_1 17 0 - 0 0 . chr5 40165935 40165936 chr5:40165936:G:A rs350081 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 40274605 40274606 chr5:40274606:C:T rs17823714 C T c EBF1_EBF_1 12 1 + 4.903520372157024 3.877253801606174 CTTCCCTTTGGACT chr5 40356083 40356084 chr5:40356084:C:T rs941889949 C T C EBF1_EBF_1 3 1 - 6.5020901017401 5.423680520389509 AATGCCATGGGAAC chr5 40380953 40380954 chr5:40380954:A:T rs7445978 A T a EBF1_EBF_1 20 0 - 0 0 . chr5 40428279 40428280 chr5:40428280:C:T rs1016205397 C T C EBF1_EBF_1 24 0 - 0 0 . chr5 40453936 40453937 chr5:40453937:C:G rs190138965 C G C EBF1_EBF_1 -3 0 + 0 0 . chr5 40453950 40453951 chr5:40453951:A:G rs180900011 A G A EBF1_EBF_1 11 1 + 8.790530904666118 5.897824061062107 CTCCCCCTGGGAAC chr5 40477412 40477413 chr5:40477413:C:A rs4495224 C A C EBF1_EBF_1 -2 0 + 0 0 . chr5 40515639 40515640 chr5:40515640:C:T rs114212600 C T C EBF1_EBF_1 24 0 - 0 0 . chr5 40539403 40539404 chr5:40539404:A:C chr5:40539404:A:C A C A EBF1_EBF_1 -2 0 - 0 0 . chr5 40626963 40626964 chr5:40626964:T:C rs11745901 T C C EBF1_EBF_1 0 1 - 5.3471764162236735 3.4548925466588387 AGTCACATGGGAGC chr5 40655268 40655269 chr5:40655269:A:T rs72747928 A T A EBF1_EBF_1 17 0 + 0 0 . chr5 40679654 40679655 chr5:40679655:C:T rs557243172 C T - EBF1_EBF_1 10 1 - 5.019501666870789 -0.2985267923961773 TTTCCCCGGCGACC chr5 40679674 40679675 chr5:40679675:C:A rs45535631 C A - EBF1_EBF_1 -10 0 - 0 0 . chr5 40680415 40680416 chr5:40680416:T:G chr5:40680416:T:G T G T EBF1_EBF_1 31 0 - 0 0 . chr5 40680461 40680462 chr5:40680462:C:T rs45582639 C T C EBF1_EBF_1 -15 0 - 0 0 . chr5 40797708 40797709 chr5:40797709:G:C rs168731 G C g EBF1_EBF_1 7 1 + 7.891288741536081 6.909968160454724 CCTCCCCGGGGATC chr5 40869538 40869539 chr5:40869539:A:G rs10041319 A G A EBF1_EBF_1 -6 0 + 0 0 . chr5 40881183 40881184 chr5:40881184:G:T rs150328104 G T G EBF1_EBF_1 0 1 - 6.118081740495585 8.373340224192185 CCTCCCAAGGAACT chr5 40881602 40881603 chr5:40881603:G:T rs9292787 G T G EBF1_EBF_1 -20 0 - 0 0 . chr5 41008964 41008965 chr5:41008965:G:A rs57467828 G A G EBF1_EBF_1 3 1 + 5.332906266183615 4.254496684833025 ACTGCCAGGGGACA chr5 41068485 41068486 chr5:41068486:G:A rs1423390 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 41122607 41122608 chr5:41122608:A:G rs1423396 A G a EBF1_EBF_1 31 0 + 0 0 . chr5 41334915 41334916 chr5:41334916:T:G rs75854233 T G T EBF1_EBF_1 23 0 - 0 0 . chr5 41340619 41340620 chr5:41340620:A:G rs10462017 A G A EBF1_EBF_1 -5 0 + 0 0 . chr5 41397775 41397776 chr5:41397776:C:T rs7734535 C T C EBF1_EBF_1 14 0 + 0 0 . chr5 41415325 41415326 chr5:41415326:T:C rs12523305 T C T EBF1_EBF_1 15 0 - 0 0 . chr5 41657047 41657048 chr5:41657048:T:C rs7706652 T C C EBF1_EBF_1 -12 0 + 0 0 . chr5 41696056 41696057 chr5:41696057:G:A rs590851 G A a EBF1_EBF_1 32 0 - 0 0 . chr5 41760930 41760931 chr5:41760931:A:G rs13163137 A G G EBF1_EBF_1 -2 0 - 0 0 . chr5 41925597 41925598 chr5:41925598:G:A chr5:41925598:G:A G A G EBF1_EBF_1 33 0 + 0 0 . chr5 42057328 42057329 chr5:42057329:A:G chr5:42057329:A:G A G A EBF1_EBF_1 -16 0 + 0 0 . chr5 42076981 42076982 chr5:42076982:A:G rs660786 A G G EBF1_EBF_1 -9 0 - 0 0 . chr5 42077204 42077205 chr5:42077205:T:C rs183924 T C T EBF1_EBF_1 -4 0 + 0 0 . chr5 42243315 42243316 chr5:42243316:G:C rs181116665 G C G EBF1_EBF_1 22 0 - 0 0 . chr5 42319842 42319843 chr5:42319843:C:T rs115620233 C T C EBF1_EBF_1 0 1 + 8.810910244012419 9.093112304742997 CCTCCCCCGGGAAT chr5 42562096 42562097 chr5:42562097:A:G rs7735889 A G G EBF1_EBF_1 31 0 - 0 0 . chr5 42598406 42598407 chr5:42598407:G:A rs6876245 G A G EBF1_EBF_1 5 1 - 7.133211927533827 1.8114247119931572 TATCCCCAGGTAAT chr5 42627644 42627645 chr5:42627645:A:G rs4355531 A G A EBF1_EBF_1 1 1 + 6.171719504571739 6.43241833649304 AACCCCTAGGGGGA chr5 42636544 42636545 chr5:42636545:T:C rs148397342 T C T EBF1_EBF_1 -1 0 + 0 0 . chr5 42640963 42640964 chr5:42640964:T:C rs73087475 T C T EBF1_EBF_1 -10 0 + 0 0 . chr5 42658649 42658650 chr5:42658650:T:C rs4631220 T C T EBF1_EBF_1 0 1 + 5.760866921481498 5.478664860750919 TCCCCCCAGGGGAC chr5 42751219 42751220 chr5:42751220:C:T chr5:42751220:C:T C T C EBF1_EBF_1 5 1 + 6.495211759834564 1.1734245442938933 AGTCTCCAGAGACT chr5 42924213 42924214 chr5:42924214:C:G rs72759096 C G c EBF1_EBF_1 7 1 + 7.212903739208048 8.194224320289404 TTCCCCACGGGACA chr5 42982146 42982147 chr5:42982147:C:G rs553538608 C G - EBF1_EBF_1 9 1 - 6.352557084606736 1.2768679382612718 TGTCCCTGGGTACT chr5 42982158 42982159 chr5:42982159:A:G rs867494940 A G - EBF1_EBF_1 -3 0 - 0 0 . chr5 42993950 42993951 chr5:42993951:T:C rs60087060 T C T EBF1_EBF_1 -16 0 + 0 0 . chr5 42993987 42993988 chr5:42993988:T:C rs756077158 T C T EBF1_EBF_1 21 0 + 0 0 . chr5 43007669 43007670 chr5:43007670:C:G rs146448453 C G c EBF1_EBF_1 17 0 + 0 0 . chr5 43008894 43008895 chr5:43008895:T:G rs56289342 T G t EBF1_EBF_1 9 1 - 5.3688886750351426 2.948138794137283 TTCCCCAGGAGAGT chr5 43017698 43017699 chr5:43017699:G:A rs994457576 G A g EBF1_EBF_1 -4 0 - 0 0 . chr5 43019032 43019033 chr5:43019033:G:A rs145255009 G A g EBF1_EBF_1 9 1 + 6.508430040426476 3.853490774978873 ACTCCCATGGAAGT chr5 43034039 43034040 chr5:43034040:G:T rs585302 G T G EBF1_EBF_1 13 1 - 5.425354125331668 5.051608676045692 CGTCCCAGGAGAGC chr5 43063998 43063999 chr5:43063999:A:C rs12513807 A C A EBF1_EBF_1 -7 0 + 0 0 . chr5 43063999 43064000 chr5:43064000:G:C rs12519052 G C G EBF1_EBF_1 -6 0 + 0 0 . chr5 43064005 43064006 chr5:43064006:G:A rs62367728 G A G EBF1_EBF_1 0 1 + 8.158002119050462 10.050285988615293 GGTCCCCAGAGAAC chr5 43067654 43067655 chr5:43067655:A:G rs79414102 A G A EBF1_EBF_1 -4 0 - 0 0 . chr5 43075681 43075682 chr5:43075682:C:T rs145547986 C T C EBF1_EBF_1 -17 0 - 0 0 . chr5 43155414 43155415 chr5:43155415:G:T rs1617756 G T G EBF1_EBF_1 -9 0 - 0 0 . chr5 43208914 43208915 chr5:43208915:G:A rs78363585 G A G EBF1_EBF_1 15 0 + 0 0 . chr5 43350202 43350203 chr5:43350203:C:T rs6887351 C T C EBF1_EBF_1 -17 0 - 0 0 . chr5 43397214 43397215 chr5:43397215:C:A rs551221420 C A c EBF1_EBF_1 10 1 - 4.201295089038615 0.01854290281134964 GCTCCCCCGGGTCC chr5 43602677 43602678 chr5:43602678:T:C chr5:43602678:T:C T C T EBF1_EBF_1 -12 0 + 0 0 . chr5 43647141 43647142 chr5:43647142:G:A rs3805720 G A G EBF1_EBF_1 -7 0 - 0 0 . chr5 43666907 43666908 chr5:43666908:A:G rs34361740 A G G EBF1_EBF_1 -9 0 - 0 0 . chr5 43787274 43787275 chr5:43787275:C:T rs13171626 C T T EBF1_EBF_1 26 0 - 0 0 . chr5 43981739 43981740 chr5:43981740:G:A rs576372863 G A G EBF1_EBF_1 -20 0 + 0 0 . chr5 44110678 44110679 chr5:44110679:A:G rs4866863 A G G EBF1_EBF_1 31 0 - 0 0 . chr5 44151764 44151765 chr5:44151765:G:T rs4391174 G T T EBF1_EBF_1 33 0 + 0 0 . chr5 44361403 44361404 chr5:44361404:G:A rs339508 G A G EBF1_EBF_1 11 1 + 4.609908287899922 7.502615131503933 CCTCCCTAGAGGCA chr5 44538778 44538779 chr5:44538779:C:T rs17268006 C T C EBF1_EBF_1 3 1 + 6.169994901977538 -0.23540383957577898 TGTCCCATGAGAGA chr5 44860403 44860404 chr5:44860404:T:C rs6451781 T C C EBF1_EBF_1 -16 0 + 0 0 . chr5 44883991 44883992 chr5:44883992:G:A rs74918844 G A G EBF1_EBF_1 6 1 - 4.615087684811342 4.9163738136731 CCTCCCCAAGGAGC chr5 45038515 45038516 chr5:45038516:C:T rs555922073 C T C EBF1_EBF_1 5 1 + 7.783967852754641 2.462180637213971 ACTCCCTTGGTAAA chr5 46000648 46000649 chr5:46000649:A:G rs7293310 A G . EBF1_EBF_1 26 0 + 0 0 . chr5 46009606 46009607 chr5:46009607:T:C rs62373048 T C . EBF1_EBF_1 -13 0 + 0 0 . chr5 46009635 46009636 chr5:46009636:C:T rs13436599 C T . EBF1_EBF_1 16 0 + 0 0 . chr5 46105347 46105348 chr5:46105348:G:C rs188631074 G C G EBF1_EBF_1 8 1 + 6.000074320865525 0.6814154599508393 CCTCCCTTGAGATA chr5 47104640 47104641 chr5:47104641:G:A rs1405750651 G A . EBF1_EBF_1 22 0 - 0 0 . chr5 49739458 49739459 chr5:49739459:C:T rs1395957748 C T . EBF1_EBF_1 4 1 + 9.187614291332808 4.818227346387885 TCTCCCAGGGGACA chr5 49739468 49739469 chr5:49739469:C:T rs1283098895 C T . EBF1_EBF_1 14 0 + 0 0 . chr5 49739476 49739477 chr5:49739477:G:T rs1269334529 G T . EBF1_EBF_1 22 0 + 0 0 . chr5 50293085 50293086 chr5:50293086:A:G rs35972041 A G - EBF1_EBF_1 6 1 + 5.855499581156082 3.6887051498816072 CTCCCCATGGGGCA chr5 50293086 50293087 chr5:50293087:T:C rs114297826 T C - EBF1_EBF_1 7 1 + 5.855499581156082 4.037994834873459 CTCCCCATGGGGCA chr5 50320933 50320934 chr5:50320934:T:C rs6876902 T C t EBF1_EBF_1 0 1 - 10.18687763431975 8.294593764754918 ATTCCCGAGGGAGC chr5 50365709 50365710 chr5:50365710:A:C rs3849669 A C A EBF1_EBF_1 26 0 + 0 0 . chr5 50441341 50441342 chr5:50441342:C:A chr5:50441342:C:A C A c EBF1_EBF_1 21 0 + 0 0 . chr5 50450488 50450489 chr5:50450489:A:G rs7720960 A G N EBF1_EBF_1 2 1 - 5.151095857015764 3.2622724455736143 TGTCCCAGAGGACA chr5 50667653 50667654 chr5:50667654:C:T rs112639637 C T c EBF1_EBF_1 29 0 + 0 0 . chr5 50667706 50667707 chr5:50667707:C:G rs191564495 C G c EBF1_EBF_1 -5 0 + 0 0 . chr5 50853498 50853499 chr5:50853499:G:A rs62365519 G A G EBF1_EBF_1 32 0 + 0 0 . chr5 50886861 50886862 chr5:50886862:C:G rs79771689 C G C EBF1_EBF_1 21 0 + 0 0 . chr5 50963964 50963965 chr5:50963965:T:C rs10073740 T C C EBF1_EBF_1 23 0 - 0 0 . chr5 50963999 50964000 chr5:50964000:T:G rs148256364 T G T EBF1_EBF_1 -12 0 - 0 0 . chr5 51159638 51159639 chr5:51159639:G:A rs57337103 G A G EBF1_EBF_1 18 0 + 0 0 . chr5 51181403 51181404 chr5:51181404:A:C rs78633052 A C A EBF1_EBF_1 17 0 + 0 0 . chr5 51181671 51181672 chr5:51181672:C:G rs4340876 C G G EBF1_EBF_1 7 1 + 7.171399653805796 8.152720234887152 AGTCCCACGGGCCT chr5 51275537 51275538 chr5:51275538:A:C rs10471516 A C C EBF1_EBF_1 33 0 + 0 0 . chr5 51280131 51280132 chr5:51280132:A:G rs12055073 A G G EBF1_EBF_1 31 0 + 0 0 . chr5 51390402 51390403 chr5:51390403:C:T rs146931845 C T C EBF1_EBF_1 13 1 + 4.981622802668881 5.826116917254399 AGCCCCCAGGGCGC chr5 51587872 51587873 chr5:51587873:T:C rs10461496 T C T EBF1_EBF_1 -3 0 - 0 0 . chr5 51838898 51838899 chr5:51838899:G:A rs6898424 G A G EBF1_EBF_1 31 0 + 0 0 . chr5 52064193 52064194 chr5:52064194:C:A rs10043521 C A C EBF1_EBF_1 31 0 - 0 0 . chr5 52094674 52094675 chr5:52094675:G:A rs57588166 G A g EBF1_EBF_1 -7 0 - 0 0 . chr5 52425095 52425096 chr5:52425096:A:G rs9647518 A G . EBF1_EBF_1 -16 0 + 0 0 . chr5 52470472 52470473 chr5:52470473:A:G rs13153984 A G A EBF1_EBF_1 -8 0 - 0 0 . chr5 52710101 52710102 chr5:52710102:C:A rs351936 C A C EBF1_EBF_1 14 0 + 0 0 . chr5 52731799 52731800 chr5:52731800:C:A rs10940268 C A C EBF1_EBF_1 10 1 - 5.580062364945456 1.3973101787181914 GTTCCCCAGAGTCT chr5 52740224 52740225 chr5:52740225:C:A chr5:52740225:C:A C A C EBF1_EBF_1 11 1 + 6.949409598649795 11.365371296502184 TTTCCCTAGGGCAA chr5 52799529 52799530 chr5:52799530:T:G chr5:52799530:T:G T G T EBF1_EBF_1 16 0 - 0 0 . chr5 52822771 52822772 chr5:52822772:A:T chr5:52822772:A:T A T A EBF1_EBF_1 22 0 + 0 0 . chr5 52864214 52864215 chr5:52864215:C:T rs2456198 C T T EBF1_EBF_1 22 0 + 0 0 . chr5 52888947 52888948 chr5:52888948:T:C rs7729490 T C C EBF1_EBF_1 25 0 + 0 0 . chr5 52944116 52944117 chr5:52944117:C:G rs1363191 C G C EBF1_EBF_1 28 0 - 0 0 . chr5 52944135 52944136 chr5:52944136:C:T rs1363190 C T C EBF1_EBF_1 9 1 - 5.208647553606944 2.5537082881593403 CATCCCCTCGGACT chr5 52944141 52944142 chr5:52944142:G:A rs13179969 G A G EBF1_EBF_1 3 1 - 5.208647553606944 -1.196751187946373 CATCCCCTCGGACT chr5 52977548 52977549 chr5:52977549:G:A rs246525 G A G EBF1_EBF_1 -1 0 - 0 0 . chr5 53099438 53099439 chr5:53099439:G:C rs77969780 G C C EBF1_EBF_1 13 1 - 4.8503613655088325 3.3622903441534175 GGCCCCCAGAGAGC chr5 53130680 53130681 chr5:53130681:C:A rs185995346 C A C EBF1_EBF_1 26 0 - 0 0 . chr5 53221667 53221668 chr5:53221668:C:T rs7724504 C T C EBF1_EBF_1 29 0 - 0 0 . chr5 53302435 53302436 chr5:53302436:G:A rs12515291 G A G EBF1_EBF_1 22 0 + 0 0 . chr5 53369900 53369901 chr5:53369901:A:C rs13170483 A C c EBF1_EBF_1 19 0 + 0 0 . chr5 53424530 53424531 chr5:53424531:C:T rs16881388 C T C EBF1_EBF_1 -4 0 + 0 0 . chr5 53438939 53438940 chr5:53438940:T:C rs62359311 T C C EBF1_EBF_1 21 0 - 0 0 . chr5 53503975 53503976 chr5:53503976:G:A rs72748123 G A G EBF1_EBF_1 22 0 + 0 0 . chr5 53834657 53834658 chr5:53834658:C:A rs4865789 C A C EBF1_EBF_1 3 1 + 8.352960452125348 1.4467864226595406 CCTCCCATGGGAGA chr5 53837260 53837261 chr5:53837261:A:C rs4865554 A C C EBF1_EBF_1 19 0 + 0 0 . chr5 53871286 53871287 chr5:53871287:T:C rs702606 T C T EBF1_EBF_1 -2 0 - 0 0 . chr5 53882819 53882820 chr5:53882820:A:G rs271262 A G A EBF1_EBF_1 25 0 - 0 0 . chr5 53937127 53937128 chr5:53937128:G:A rs111324462 G A G EBF1_EBF_1 1 1 + 4.40417497219005 4.143476140268747 CGTCCCCGGAGGCT chr5 53937132 53937133 chr5:53937133:C:T rs186300334 C T C EBF1_EBF_1 6 1 + 4.40417497219005 4.7054611010518075 CGTCCCCGGAGGCT chr5 53937141 53937142 chr5:53937142:G:T rs78872087 G T G EBF1_EBF_1 15 0 + 0 0 . chr5 54177027 54177028 chr5:54177028:G:C rs277336 G C G EBF1_EBF_1 22 0 + 0 0 . chr5 54194906 54194907 chr5:54194907:T:C rs1345815 T C C EBF1_EBF_1 23 0 + 0 0 . chr5 54291871 54291872 chr5:54291872:C:T rs7704911 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 54329778 54329779 chr5:54329779:T:C rs10052154 T C T EBF1_EBF_1 -17 0 + 0 0 . chr5 54398423 54398424 chr5:54398424:T:G rs116292070 T G T EBF1_EBF_1 -9 0 + 0 0 . chr5 54415849 54415850 chr5:54415850:G:A rs6450196 G A G EBF1_EBF_1 -9 0 + 0 0 . chr5 54460478 54460479 chr5:54460479:C:G rs2897743 C G C EBF1_EBF_1 4 1 + 7.0134513455099565 1.3876862443604123 AGTCCCCAGGAAAA chr5 54492641 54492642 chr5:54492642:A:G rs10075503 A G G EBF1_EBF_1 21 0 + 0 0 . chr5 54518221 54518222 chr5:54518222:T:C rs2548615 T C C EBF1_EBF_1 27 0 + 0 0 . chr5 54553177 54553178 chr5:54553178:G:C rs72750050 G C G EBF1_EBF_1 -14 0 + 0 0 . chr5 54562852 54562853 chr5:54562853:G:A rs6863320 G A g EBF1_EBF_1 -7 0 + 0 0 . chr5 54603539 54603540 chr5:54603540:C:T rs114920684 C T C EBF1_EBF_1 4 1 + 6.745669892163202 2.376282947218279 ATTCCCAGAGGAAG chr5 54611439 54611440 chr5:54611440:C:T rs78158874 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 54646239 54646240 chr5:54646240:A:C rs10061098 A C A EBF1_EBF_1 -6 0 + 0 0 . chr5 54649458 54649459 chr5:54649459:T:C rs10038425 T C C EBF1_EBF_1 -2 0 - 0 0 . chr5 54649472 54649473 chr5:54649473:C:T rs11750824 C T C EBF1_EBF_1 -16 0 - 0 0 . chr5 54664169 54664170 chr5:54664170:A:G rs79926764 A G A EBF1_EBF_1 16 0 + 0 0 . chr5 54694002 54694003 chr5:54694003:G:A rs4395600 G A G EBF1_EBF_1 28 0 - 0 0 . chr5 54706119 54706120 chr5:54706120:A:T rs34607327 A T A EBF1_EBF_1 4 1 + 5.0657214051999295 6.520894083708473 GATCACCTGGGACT chr5 54719680 54719681 chr5:54719681:T:G rs11746201 T G T EBF1_EBF_1 2 1 + 5.447697104967054 -1.3006285852334136 CTTCCCAGGGTAGT chr5 54719692 54719693 chr5:54719693:C:G rs4596337 C G C EBF1_EBF_1 14 0 + 0 0 . chr5 54733313 54733314 chr5:54733314:G:T rs977209 G T T EBF1_EBF_1 23 0 - 0 0 . chr5 54745546 54745547 chr5:54745547:C:T rs56020939 C T C EBF1_EBF_1 3 1 + 5.141756669154914 -1.2636420723984032 ATCCCCCTGGGCAG chr5 54794811 54794812 chr5:54794812:T:C rs114940191 T C T EBF1_EBF_1 17 0 - 0 0 . chr5 54845139 54845140 chr5:54845140:G:A rs72760143 G A G EBF1_EBF_1 8 1 + 4.445405790580803 0.2191157016945416 GGTCCCCCGAGAGC chr5 55026647 55026648 chr5:55026648:C:G rs1848502 C G C EBF1_EBF_1 -7 0 - 0 0 . chr5 55062288 55062289 chr5:55062289:G:T rs114133415 G T G EBF1_EBF_1 19 0 - 0 0 . chr5 55146693 55146694 chr5:55146694:T:A rs34132993 T A T EBF1_EBF_1 -13 0 + 0 0 . chr5 55173092 55173093 chr5:55173093:C:T rs336115 C T C EBF1_EBF_1 -19 0 + 0 0 . chr5 55354679 55354680 chr5:55354680:C:T rs60388690 C T C EBF1_EBF_1 10 1 - 4.582941860231051 -0.7350865990359142 TTTCCCAGGGGCAG chr5 55446831 55446832 chr5:55446832:G:C rs142461988 G C G EBF1_EBF_1 -19 0 - 0 0 . chr5 55481574 55481575 chr5:55481575:T:C rs992017 T C C EBF1_EBF_1 -19 0 - 0 0 . chr5 55538479 55538480 chr5:55538480:G:A rs71622184 G A G EBF1_EBF_1 6 1 + 4.602091355834615 6.768885787109093 ACCCCCGGGGGAGG chr5 55538479 55538480 chr5:55538480:G:C chr5:55538480:G:C G C G EBF1_EBF_1 6 1 + 4.602091355834615 6.407283419307815 ACCCCCGGGGGAGG chr5 55538491 55538492 chr5:55538492:C:T rs10041618 C T C EBF1_EBF_1 18 0 + 0 0 . chr5 55544505 55544506 chr5:55544506:G:C rs6881413 G C C EBF1_EBF_1 -16 0 + 0 0 . chr5 55564776 55564777 chr5:55564777:T:C rs6881224 T C C EBF1_EBF_1 -12 0 + 0 0 . chr5 55564817 55564818 chr5:55564818:T:G rs6881243 T G T EBF1_EBF_1 29 0 + 0 0 . chr5 55594530 55594531 chr5:55594531:C:T rs11960364 C T C EBF1_EBF_1 -2 0 - 0 0 . chr5 55599375 55599376 chr5:55599376:C:T rs13172161 C T C EBF1_EBF_1 29 0 + 0 0 . chr5 55691549 55691550 chr5:55691550:G:T rs16884459 G T T EBF1_EBF_1 -4 0 + 0 0 . chr5 55711957 55711958 chr5:55711958:T:C rs181489261 T C C EBF1_EBF_1 -6 0 + 0 0 . chr5 55712447 55712448 chr5:55712448:A:G rs769890603 A G A EBF1_EBF_1 28 0 + 0 0 . chr5 55928100 55928101 chr5:55928101:T:C rs13357543 T C T EBF1_EBF_1 -17 0 + 0 0 . chr5 55994672 55994673 chr5:55994673:C:G rs544600525 C G C EBF1_EBF_1 21 0 - 0 0 . chr5 55995409 55995410 chr5:55995410:G:A rs1173530294 G A G EBF1_EBF_1 8 1 + 8.558127858175249 4.331837769288987 TTCCCCGAGGGACT chr5 55995413 55995414 chr5:55995414:C:T rs569368852 C T C EBF1_EBF_1 12 1 + 8.558127858175249 7.531861287624399 TTCCCCGAGGGACT chr5 55995469 55995470 chr5:55995470:T:A rs190309376 T A T EBF1_EBF_1 9 1 - 5.177276180398109 0.9952260377569027 TTCCCCAAGAGGCT chr5 55995470 55995471 chr5:55995471:C:A rs11574764 C A C EBF1_EBF_1 8 1 - 5.177276180398109 -1.7141566085790865 TTCCCCAAGAGGCT chr5 56056215 56056216 chr5:56056216:C:T rs10041696 C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 56069919 56069920 chr5:56069920:T:C rs7711155 T C C EBF1_EBF_1 6 1 - 5.01456491261429 2.8477704813398153 TTTCCCAGGGGCTC chr5 56113036 56113037 chr5:56113037:G:A rs565708621 G A G EBF1_EBF_1 -4 0 - 0 0 . chr5 56116643 56116644 chr5:56116644:C:T rs60779428 C T C EBF1_EBF_1 -14 0 - 0 0 . chr5 56124085 56124086 chr5:56124086:C:T rs79188399 C T C EBF1_EBF_1 3 1 + 11.60044188903034 5.195043147477024 ATTCCCCAGAGAAT chr5 56157516 56157517 chr5:56157517:T:C rs191426176 T C T EBF1_EBF_1 -5 0 - 0 0 . chr5 56157850 56157851 chr5:56157851:C:A rs190700370 C A C EBF1_EBF_1 4 1 + 5.977615854228428 0.15305623077495933 AGTCCCGTGGGCCC chr5 56157875 56157876 chr5:56157876:T:C rs118157361 T C T EBF1_EBF_1 29 0 + 0 0 . chr5 56328003 56328004 chr5:56328004:C:A rs531665710 C A C EBF1_EBF_1 22 0 + 0 0 . chr5 56357245 56357246 chr5:56357246:G:A rs290755 G A A EBF1_EBF_1 29 0 + 0 0 . chr5 56359265 56359266 chr5:56359266:G:C rs12520751 G C G EBF1_EBF_1 -19 0 - 0 0 . chr5 56415492 56415493 chr5:56415493:G:T rs113101621 G T G EBF1_EBF_1 -10 0 + 0 0 . chr5 56448402 56448403 chr5:56448403:T:C rs30372 T C C EBF1_EBF_1 -4 0 - 0 0 . chr5 56465691 56465692 chr5:56465692:C:T rs66772486 C T C EBF1_EBF_1 -15 0 - 0 0 . chr5 56481568 56481569 chr5:56481569:C:G rs11747034 C G C EBF1_EBF_1 -8 0 + 0 0 . chr5 56488022 56488023 chr5:56488023:T:G rs67169617 T G G EBF1_EBF_1 -4 0 + 0 0 . chr5 56488052 56488053 chr5:56488053:C:G rs66857255 C G G EBF1_EBF_1 26 0 + 0 0 . chr5 56512450 56512451 chr5:56512451:C:T rs157507 C T C EBF1_EBF_1 -19 0 - 0 0 . chr5 56547370 56547371 chr5:56547371:A:T rs2441102 A T A EBF1_EBF_1 29 0 + 0 0 . chr5 56564953 56564954 chr5:56564954:A:G rs3936511 A G A EBF1_EBF_1 28 0 - 0 0 . chr5 56569723 56569724 chr5:56569724:C:T rs77215076 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 56587359 56587360 chr5:56587360:C:T rs13155854 C T C EBF1_EBF_1 -9 0 + 0 0 . chr5 56596369 56596370 chr5:56596370:A:C rs76705584 A C A EBF1_EBF_1 2 1 - 7.079742148639974 0.3314164584395069 GCTCCCTTGGTACT chr5 56616164 56616165 chr5:56616165:C:G rs4699966 C G C EBF1_EBF_1 33 0 - 0 0 . chr5 56621012 56621013 chr5:56621013:C:T rs61170230 C T C EBF1_EBF_1 10 1 - 8.999175615041478 3.6811471557745126 ATTCTCAAGGGACA chr5 56660185 56660186 chr5:56660186:G:A rs139247225 G A G EBF1_EBF_1 20 0 + 0 0 . chr5 56692950 56692951 chr5:56692951:T:C rs10039206 T C T EBF1_EBF_1 28 0 + 0 0 . chr5 56816446 56816447 chr5:56816447:C:G chr5:56816447:C:G C G C EBF1_EBF_1 11 1 + 4.630003278669685 6.153258132918063 TGTCCCCGGGGCAA chr5 56816766 56816767 chr5:56816767:G:A rs145021294 G A G EBF1_EBF_1 -18 0 + 0 0 . chr5 56951378 56951379 chr5:56951379:G:A rs1302502104 G A G EBF1_EBF_1 -9 0 - 0 0 . chr5 56996300 56996301 chr5:56996301:G:A rs57355175 G A G EBF1_EBF_1 -4 0 - 0 0 . chr5 57029552 57029553 chr5:57029553:G:A rs17732779 G A G EBF1_EBF_1 -6 0 + 0 0 . chr5 57085811 57085812 chr5:57085812:G:A rs75586071 G A G EBF1_EBF_1 10 1 + 9.204947787456003 3.8869193281890375 TGCCCCAAGGGAAC chr5 57173604 57173605 chr5:57173605:C:A rs958800919 C A C EBF1_EBF_1 -11 0 + 0 0 . chr5 57173613 57173614 chr5:57173614:G:C rs146700955 G C G EBF1_EBF_1 -2 0 + 0 0 . chr5 57173623 57173624 chr5:57173624:G:C rs562438889 G C G EBF1_EBF_1 8 1 + 3.882565172288699 -1.4360936886259863 GCTCCTCAGGGAGC chr5 57173625 57173626 chr5:57173626:G:A rs375267251 G A G EBF1_EBF_1 10 1 + 3.882565172288699 -1.4354632869782662 GCTCCTCAGGGAGC chr5 57173636 57173637 chr5:57173637:A:G rs1213696469 A G A EBF1_EBF_1 21 0 + 0 0 . chr5 57411120 57411121 chr5:57411121:G:A rs10940555 G A G EBF1_EBF_1 12 1 - 5.940539291982129 4.91427272143128 ATTCCCTTTGGACA chr5 57416640 57416641 chr5:57416641:A:T rs74440872 A T T EBF1_EBF_1 -1 0 - 0 0 . chr5 57423840 57423841 chr5:57423841:A:G rs2898012 A G G EBF1_EBF_1 12 1 + 5.895144441312489 4.4763270992130115 ACCCCCTTGGTAAA chr5 57434285 57434286 chr5:57434286:C:T rs146082585 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 57445688 57445689 chr5:57445689:G:A rs1038721831 G A G EBF1_EBF_1 1 1 + 7.131555181670461 6.87085634974916 GGCCCCCAGGGAGA chr5 57538538 57538539 chr5:57538539:G:A rs77817773 G A G EBF1_EBF_1 24 0 + 0 0 . chr5 57693272 57693273 chr5:57693273:G:A chr5:57693273:G:A G A G EBF1_EBF_1 11 1 + 3.768005734014876 6.660712577618888 ACTCACCAGGGGCC chr5 57832049 57832050 chr5:57832050:G:C rs1821205 G C G EBF1_EBF_1 31 0 + 0 0 . chr5 57879288 57879289 chr5:57879289:T:C rs117533041 T C T EBF1_EBF_1 -7 0 - 0 0 . chr5 57888164 57888165 chr5:57888165:G:A rs115342610 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 57933153 57933154 chr5:57933154:G:T rs6450448 G T T EBF1_EBF_1 -2 0 + 0 0 . chr5 58020889 58020890 chr5:58020890:T:C rs7731553 T C C EBF1_EBF_1 0 1 - 7.902632341378416 6.010348471813582 ACTCCCTGGGGCCT chr5 58022467 58022468 chr5:58022468:C:A rs1454920 C A C EBF1_EBF_1 -14 0 + 0 0 . chr5 58043177 58043178 chr5:58043178:C:T rs73100922 C T C EBF1_EBF_1 -16 0 - 0 0 . chr5 58063434 58063435 chr5:58063435:T:C rs561360731 T C T EBF1_EBF_1 31 0 + 0 0 . chr5 58081626 58081627 chr5:58081627:T:A rs77624916 T A T EBF1_EBF_1 -16 0 + 0 0 . chr5 58359126 58359127 chr5:58359127:C:T rs7718063 C T C EBF1_EBF_1 -18 0 - 0 0 . chr5 58395502 58395503 chr5:58395503:C:A rs3846534 C A C EBF1_EBF_1 33 0 + 0 0 . chr5 58400113 58400114 chr5:58400114:T:C rs1508903 T C T EBF1_EBF_1 -3 0 - 0 0 . chr5 58498513 58498514 chr5:58498514:C:T rs79640551 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 58515399 58515400 chr5:58515400:G:A chr5:58515400:G:A G A G EBF1_EBF_1 1 1 - 4.444020967405482 5.339454407804228 CCTCCCCGGGAACT chr5 58635568 58635569 chr5:58635569:T:G rs292986 T G G EBF1_EBF_1 -13 0 + 0 0 . chr5 58643965 58643966 chr5:58643966:A:G rs1876689 A G A EBF1_EBF_1 27 0 + 0 0 . chr5 58672272 58672273 chr5:58672273:C:T rs13178852 C T C EBF1_EBF_1 7 1 - 8.548399004239723 9.860857409528547 AACCCCAGGGGATC chr5 58677025 58677026 chr5:58677026:A:G rs158990 A G G EBF1_EBF_1 29 0 - 0 0 . chr5 58826187 58826188 chr5:58826188:T:C rs13354482 T C T EBF1_EBF_1 -6 0 - 0 0 . chr5 58835213 58835214 chr5:58835214:C:G rs10514872 C G C EBF1_EBF_1 21 0 + 0 0 . chr5 58929874 58929875 chr5:58929875:T:C rs2936195 T C c EBF1_EBF_1 -9 0 - 0 0 . chr5 59061567 59061568 chr5:59061568:C:G rs2936202 C G C EBF1_EBF_1 21 0 - 0 0 . chr5 59111669 59111670 chr5:59111670:A:G rs187809813 A G A EBF1_EBF_1 18 0 + 0 0 . chr5 59128427 59128428 chr5:59128428:A:C rs10036199 A C a EBF1_EBF_1 0 1 + 7.373606556726277 5.1183480730296775 ATTCACAAGGGAGT chr5 59133814 59133815 chr5:59133815:C:T rs997421 C T c EBF1_EBF_1 -4 0 + 0 0 . chr5 59164658 59164659 chr5:59164659:A:T rs72764085 A T A EBF1_EBF_1 33 0 + 0 0 . chr5 59169903 59169904 chr5:59169904:G:A rs35406293 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 59186092 59186093 chr5:59186093:T:A rs111671644 T A T EBF1_EBF_1 0 1 + 6.935989513120377 8.909045936086397 TTTCCCCAGGGTCA chr5 59227051 59227052 chr5:59227052:G:C rs149084 G C G EBF1_EBF_1 -5 0 + 0 0 . chr5 59279496 59279497 chr5:59279497:T:C rs27184 T C C EBF1_EBF_1 -5 0 + 0 0 . chr5 59292802 59292803 chr5:59292803:A:C rs27183 A C C EBF1_EBF_1 12 1 + 6.4234335918929375 6.3932521934716116 AGCCCCTGAGGAAT chr5 59464274 59464275 chr5:59464275:G:T rs151100631 G T G EBF1_EBF_1 29 0 - 0 0 . chr5 59479134 59479135 chr5:59479135:G:T rs12514658 G T G EBF1_EBF_1 32 0 - 0 0 . chr5 59479280 59479281 chr5:59479281:C:T rs73097356 C T T EBF1_EBF_1 -11 0 - 0 0 . chr5 59484134 59484135 chr5:59484135:C:T chr5:59484135:C:T C T C EBF1_EBF_1 28 0 - 0 0 . chr5 59592150 59592151 chr5:59592151:C:A rs73099646 C A C EBF1_EBF_1 4 1 + 5.800108321476007 -0.024451301977459483 ATCCCCCAGGAACA chr5 59592166 59592167 chr5:59592167:T:C rs139540129 T C T EBF1_EBF_1 20 0 + 0 0 . chr5 59632289 59632290 chr5:59632290:G:T rs112227589 G T G EBF1_EBF_1 22 0 + 0 0 . chr5 59710121 59710122 chr5:59710122:C:T rs149069695 C T C EBF1_EBF_1 -17 0 + 0 0 . chr5 59769205 59769206 chr5:59769206:C:T rs77448214 C T C EBF1_EBF_1 32 0 + 0 0 . chr5 59864742 59864743 chr5:59864743:C:T rs6893381 C T C EBF1_EBF_1 23 0 - 0 0 . chr5 59943912 59943913 chr5:59943913:T:C rs6897766 T C t EBF1_EBF_1 18 0 + 0 0 . chr5 60027660 60027661 chr5:60027661:G:A rs10068194 G A A EBF1_EBF_1 -3 0 + 0 0 . chr5 60042351 60042352 chr5:60042352:G:A rs13190681 G A G EBF1_EBF_1 30 0 + 0 0 . chr5 60077494 60077495 chr5:60077495:A:G rs113962813 A G A EBF1_EBF_1 14 0 - 0 0 . chr5 60485874 60485875 chr5:60485875:C:G rs11746901 C G C EBF1_EBF_1 18 0 + 0 0 . chr5 60631083 60631084 chr5:60631084:C:T rs286154 C T T EBF1_EBF_1 1 1 + 7.819813735467736 8.715247175866482 ACACCCTGGGGAAC chr5 60700839 60700840 chr5:60700840:G:A rs550272345 G A G EBF1_EBF_1 19 0 + 0 0 . chr5 60843258 60843259 chr5:60843259:C:T rs141958767 C T C EBF1_EBF_1 -8 0 + 0 0 . chr5 60995833 60995834 chr5:60995834:C:T rs290503 C T C EBF1_EBF_1 8 1 - 5.0752121735924325 0.8489220847061709 GAACCCCAGGGAGA chr5 61162435 61162436 chr5:61162436:C:A rs902242348 C A C EBF1_EBF_1 24 0 + 0 0 . chr5 61186867 61186868 chr5:61186868:G:A rs10052753 G A G EBF1_EBF_1 33 0 + 0 0 . chr5 61270887 61270888 chr5:61270888:T:C rs1051260214 T C T EBF1_EBF_1 -10 0 + 0 0 . chr5 61270915 61270916 chr5:61270916:G:T rs139553623 G T G EBF1_EBF_1 18 0 + 0 0 . chr5 61305327 61305328 chr5:61305328:T:C rs4513641 T C T EBF1_EBF_1 28 0 + 0 0 . chr5 61307868 61307869 chr5:61307869:A:G rs4235486 A G G EBF1_EBF_1 16 0 + 0 0 . chr5 61311245 61311246 chr5:61311246:G:A rs545257925 G A G EBF1_EBF_1 -3 0 + 0 0 . chr5 61328401 61328402 chr5:61328402:C:T rs555217901 C T C EBF1_EBF_1 31 0 - 0 0 . chr5 61331226 61331227 chr5:61331227:T:C rs570623734 T C T EBF1_EBF_1 33 0 - 0 0 . chr5 61331600 61331601 chr5:61331601:C:T rs529617749 C T C EBF1_EBF_1 -13 0 + 0 0 . chr5 61331601 61331602 chr5:61331602:C:T rs368646409 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 61331628 61331629 chr5:61331629:T:C rs190395572 T C T EBF1_EBF_1 15 0 + 0 0 . chr5 61331902 61331903 chr5:61331903:C:A rs1021510460 C A C EBF1_EBF_1 -14 0 + 0 0 . chr5 61331908 61331909 chr5:61331909:G:A chr5:61331909:G:A G A G EBF1_EBF_1 -8 0 + 0 0 . chr5 61331925 61331926 chr5:61331926:G:A rs1009676893 G A G EBF1_EBF_1 9 1 + 8.328018497228177 5.673079231780573 CCTCCCACGGGAAC chr5 61567540 61567541 chr5:61567541:G:T rs191099705 G T G EBF1_EBF_1 4 1 - 6.616908037409077 0.7923484139556098 ACCCCCAGGAGACA chr5 61567542 61567543 chr5:61567543:G:A rs7729672 G A G EBF1_EBF_1 2 1 - 6.616908037409077 8.505731448851227 ACCCCCAGGAGACA chr5 61625847 61625848 chr5:61625848:C:T rs115261195 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 61625852 61625853 chr5:61625853:G:A rs11951145 G A G EBF1_EBF_1 -7 0 + 0 0 . chr5 61706866 61706867 chr5:61706867:T:C rs1032750 T C C EBF1_EBF_1 -12 0 - 0 0 . chr5 61757295 61757296 chr5:61757296:T:C rs2077786 T C T EBF1_EBF_1 13 1 + 5.1414907325819 4.296996617996382 AGCCACAAGGGATT chr5 61824764 61824765 chr5:61824765:A:G rs4700445 A G G EBF1_EBF_1 31 0 - 0 0 . chr5 61845835 61845836 chr5:61845836:G:A rs11744750 G A G EBF1_EBF_1 -5 0 - 0 0 . chr5 61954133 61954134 chr5:61954134:C:T rs171923 C T T EBF1_EBF_1 5 1 + 6.665506951561024 1.343719736020355 AGTGCCCAGGGATT chr5 62042616 62042617 chr5:62042617:A:G rs1972650 A G G EBF1_EBF_1 32 0 + 0 0 . chr5 62306833 62306834 chr5:62306834:T:C rs4290970 T C C EBF1_EBF_1 -17 0 + 0 0 . chr5 62306856 62306857 chr5:62306857:C:A rs71617429 C A C EBF1_EBF_1 6 1 + 6.654986451358803 7.016588819160081 CCCCCCCGGGGACA chr5 62307343 62307344 chr5:62307344:G:A rs544159167 G A G EBF1_EBF_1 28 0 + 0 0 . chr5 62707732 62707733 chr5:62707733:T:C rs37763 T C T EBF1_EBF_1 28 0 + 0 0 . chr5 62742467 62742468 chr5:62742468:C:T rs13158621 C T C EBF1_EBF_1 -18 0 + 0 0 . chr5 62742497 62742498 chr5:62742498:C:T rs1494722 C T T EBF1_EBF_1 12 1 + 5.701056775605505 4.674790205054655 CTTCCCAGGGAACT chr5 62744132 62744133 chr5:62744133:A:G rs16891019 A G A EBF1_EBF_1 20 0 - 0 0 . chr5 62777762 62777763 chr5:62777763:T:C rs2642777 T C T EBF1_EBF_1 18 0 + 0 0 . chr5 62779073 62779074 chr5:62779074:C:T rs187617814 C T C EBF1_EBF_1 4 1 + 5.441435253553475 1.0720483086085515 GATCCCAAGGGCTC chr5 62794477 62794478 chr5:62794478:G:C rs2642740 G C G EBF1_EBF_1 -16 0 + 0 0 . chr5 62943780 62943781 chr5:62943781:T:C rs6889201 T C T EBF1_EBF_1 11 1 - 10.097724549889598 7.205017706285587 TATCCCCAGGGAAA chr5 62974924 62974925 chr5:62974925:G:A rs7445088 G A A EBF1_EBF_1 -10 0 - 0 0 . chr5 63125422 63125423 chr5:63125423:T:G rs1913562 T G G EBF1_EBF_1 -15 0 + 0 0 . chr5 63165340 63165341 chr5:63165341:T:A rs633555 T A T EBF1_EBF_1 20 0 - 0 0 . chr5 63213153 63213154 chr5:63213154:G:C rs346409 G C G EBF1_EBF_1 -10 0 + 0 0 . chr5 63398447 63398448 chr5:63398448:G:A rs148416749 G A G EBF1_EBF_1 10 1 + 7.721939854209245 2.403911394942278 CTCCCCATGGGATA chr5 63439883 63439884 chr5:63439884:A:C rs4602570 A C A EBF1_EBF_1 -9 0 - 0 0 . chr5 63458730 63458731 chr5:63458731:A:G rs115070433 A G A EBF1_EBF_1 7 1 - 8.365103533610045 6.547598787327423 CCTCCCCTGGGAGC chr5 63458736 63458737 chr5:63458737:G:C rs2080915 G C G EBF1_EBF_1 1 1 - 8.365103533610045 8.554875188179263 CCTCCCCTGGGAGC chr5 63473216 63473217 chr5:63473217:G:A rs673650 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 63536001 63536002 chr5:63536002:A:G rs1024981 A G A EBF1_EBF_1 -19 0 + 0 0 . chr5 63554288 63554289 chr5:63554289:C:T rs185337613 C T C EBF1_EBF_1 23 0 - 0 0 . chr5 63554297 63554298 chr5:63554298:T:C rs12519244 T C T EBF1_EBF_1 14 0 - 0 0 . chr5 63554329 63554330 chr5:63554330:C:T rs810224 C T T EBF1_EBF_1 -18 0 - 0 0 . chr5 63565075 63565076 chr5:63565076:C:A chr5:63565076:C:A C A C EBF1_EBF_1 21 0 + 0 0 . chr5 63566113 63566114 chr5:63566114:A:G rs1596820 A G A EBF1_EBF_1 -2 0 + 0 0 . chr5 63577289 63577290 chr5:63577290:A:C rs13166141 A C A EBF1_EBF_1 -18 0 + 0 0 . chr5 63690456 63690457 chr5:63690457:G:A rs10061826 G A G EBF1_EBF_1 -1 0 - 0 0 . chr5 63752910 63752911 chr5:63752911:A:G rs6449680 A G A EBF1_EBF_1 15 0 - 0 0 . chr5 63752913 63752914 chr5:63752914:A:T rs6449681 A T A EBF1_EBF_1 12 1 - 8.367884846669956 9.424332815642131 AATCCCAAAGGATT chr5 63801283 63801284 chr5:63801284:C:T rs1817397 C T T EBF1_EBF_1 -9 0 + 0 0 . chr5 63959221 63959222 chr5:63959222:C:T rs149059924 C T C EBF1_EBF_1 12 1 - 5.683824130375725 7.102641472475202 GTTCCCCAGGGCGC chr5 64444454 64444455 chr5:64444455:C:T rs271697 C T T EBF1_EBF_1 -15 0 - 0 0 . chr5 64768415 64768416 chr5:64768416:G:T rs3756740 G T G EBF1_EBF_1 10 1 + 5.572612716212128 1.3898605299848643 ATCCCCATGGGCGC chr5 64768417 64768418 chr5:64768418:G:A rs781409859 G A G EBF1_EBF_1 12 1 + 5.572612716212128 6.991430058311606 ATCCCCATGGGCGC chr5 65034652 65034653 chr5:65034653:G:C rs7714577 G C C EBF1_EBF_1 6 1 - 8.520191125348612 6.714999061875415 GGCCCCCAGGGACA chr5 65051352 65051353 chr5:65051353:T:C rs266593 T C C EBF1_EBF_1 19 0 + 0 0 . chr5 65051355 65051356 chr5:65051356:G:A rs142882744 G A G EBF1_EBF_1 22 0 + 0 0 . chr5 65062324 65062325 chr5:65062325:G:A rs266571 G A G EBF1_EBF_1 31 0 - 0 0 . chr5 65093151 65093152 chr5:65093152:T:C rs264766 T C T EBF1_EBF_1 24 0 + 0 0 . chr5 65125564 65125565 chr5:65125565:A:G rs11743096 A G A EBF1_EBF_1 30 0 + 0 0 . chr5 65157252 65157253 chr5:65157253:A:G rs182504239 A G A EBF1_EBF_1 -7 0 + 0 0 . chr5 65185105 65185106 chr5:65185106:C:T rs1862570 C T T EBF1_EBF_1 4 1 + 3.7442917650517735 -0.6250951798931494 CTTCCGCTGGGACC chr5 65338682 65338683 chr5:65338683:G:A rs72760545 G A G EBF1_EBF_1 -7 0 + 0 0 . chr5 65563422 65563423 chr5:65563423:T:G chr5:65563423:T:G T G T EBF1_EBF_1 0 1 + 7.126373528161723 7.207146081562913 TGTCCCAGGAGAAC chr5 65722589 65722590 chr5:65722590:G:A rs1328707661 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 65751721 65751722 chr5:65751722:C:T rs252623 C T C EBF1_EBF_1 8 1 - 5.567507931267999 1.341217842381738 ATTGCCTTGAGACT chr5 65801473 65801474 chr5:65801474:G:T rs66624003 G T G EBF1_EBF_1 -3 0 - 0 0 . chr5 65816900 65816901 chr5:65816901:T:G rs2561193 T G T EBF1_EBF_1 -5 0 + 0 0 . chr5 65817006 65817007 chr5:65817007:G:A rs191050687 G A G EBF1_EBF_1 -20 0 + 0 0 . chr5 66144135 66144136 chr5:66144136:G:C rs27046 G C G EBF1_EBF_1 8 1 + 3.410760849823201 -1.907898011091484 CAGCCCCAGGGACC chr5 66244130 66244131 chr5:66244131:G:A rs17197133 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 66246923 66246924 chr5:66246924:C:T rs253235 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 66257382 66257383 chr5:66257383:G:T rs12654745 G T G EBF1_EBF_1 3 1 - 10.465615659478098 3.559441630012293 ATCCCCCAGGGATC chr5 66285970 66285971 chr5:66285971:C:T rs74465580 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 66367040 66367041 chr5:66367041:C:T rs75913899 C T c EBF1_EBF_1 26 0 + 0 0 . chr5 66407145 66407146 chr5:66407146:T:C rs16895158 T C C EBF1_EBF_1 29 0 - 0 0 . chr5 66491132 66491133 chr5:66491133:A:T rs13168667 A T A EBF1_EBF_1 11 1 + 6.604084986967116 2.5061707308683916 GTTCCCCTAGGATT chr5 66580048 66580049 chr5:66580049:C:T rs67629466 C T T EBF1_EBF_1 3 1 + 6.929338467309566 0.5239397257562485 CTTCCCCAAGGAAC chr5 66596142 66596143 chr5:66596143:C:T rs188655541 C T c EBF1_EBF_1 -4 0 + 0 0 . chr5 66597439 66597440 chr5:66597440:G:A rs182719037 G A G EBF1_EBF_1 4 1 - 4.067248770102007 -0.3021381748429167 ACCCCCAAGGCACC chr5 66597551 66597552 chr5:66597552:C:T rs529105518 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 66610550 66610551 chr5:66610551:C:T rs62362269 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 66742332 66742333 chr5:66742333:G:C rs74497436 G C G EBF1_EBF_1 5 1 - 6.867774964977886 -0.037106187657281284 GAACCCCAGGGAAC chr5 66788847 66788848 chr5:66788848:G:A rs183763 G A G EBF1_EBF_1 10 1 + 5.788167452006613 0.47013899273964693 CCTCTCTAGGGACA chr5 66894216 66894217 chr5:66894217:G:A rs463589 G A A EBF1_EBF_1 -10 0 - 0 0 . chr5 66906204 66906205 chr5:66906205:C:T rs71626458 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 67005139 67005140 chr5:67005140:C:T rs139078017 C T C EBF1_EBF_1 12 1 + 5.758629422434562 4.732362851883712 CTTCCCAGGGGCCC chr5 67010746 67010747 chr5:67010747:A:G rs78157329 A G A EBF1_EBF_1 24 0 + 0 0 . chr5 67182226 67182227 chr5:67182227:T:C rs5744530 T C T EBF1_EBF_1 26 0 + 0 0 . chr5 67209524 67209525 chr5:67209525:G:A rs1488600699 G A G EBF1_EBF_1 13 1 - 6.096228714533177 6.940722829118695 ATCCTCCAGGGATC chr5 67215706 67215707 chr5:67215707:A:G rs1697139 A G G EBF1_EBF_1 16 0 - 0 0 . chr5 67395785 67395786 chr5:67395786:T:C rs1428608 T C C EBF1_EBF_1 25 0 - 0 0 . chr5 67552712 67552713 chr5:67552713:G:A rs6449878 G A G EBF1_EBF_1 31 0 - 0 0 . chr5 67583314 67583315 chr5:67583315:C:A rs115479742 C A C EBF1_EBF_1 20 0 - 0 0 . chr5 67610006 67610007 chr5:67610007:G:A rs7703239 G A A EBF1_EBF_1 11 1 + 7.25281639990679 10.1455232435108 CCTCCCATGGGGAC chr5 67617189 67617190 chr5:67617190:A:G rs4976097 A G A EBF1_EBF_1 26 0 - 0 0 . chr5 67617714 67617715 chr5:67617715:A:T rs72766864 A T A EBF1_EBF_1 14 0 + 0 0 . chr5 67632839 67632840 chr5:67632840:A:G rs66981608 A G A EBF1_EBF_1 -8 0 - 0 0 . chr5 67765931 67765932 chr5:67765932:C:G rs10072887 C G C EBF1_EBF_1 -7 0 - 0 0 . chr5 67780307 67780308 chr5:67780308:T:G rs567119019 T G G EBF1_EBF_1 -12 0 + 0 0 . chr5 67780324 67780325 chr5:67780325:C:G rs11960944 C G G EBF1_EBF_1 5 1 + 6.695243192401075 -0.20963796023409142 TTTCCCATGGGCTT chr5 67808505 67808506 chr5:67808506:T:C rs7378773 T C C EBF1_EBF_1 18 0 + 0 0 . chr5 67886967 67886968 chr5:67886968:G:A rs17319476 G A G EBF1_EBF_1 0 1 - 8.181129738893288 8.463331799623866 CGTCCCCTGGGAGA chr5 67964199 67964200 chr5:67964200:G:T rs187668801 G T G EBF1_EBF_1 0 1 + 10.580845250022822 10.500072696621633 GCTCCCAAGGGACA chr5 68037610 68037611 chr5:68037611:G:A rs281461 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 68041506 68041507 chr5:68041507:C:T rs572286633 C T C EBF1_EBF_1 7 1 + 7.535709862680797 9.35321460896342 TCCCCCACGGGACT chr5 68041508 68041509 chr5:68041509:G:A rs546091014 G A G EBF1_EBF_1 9 1 + 7.535709862680797 4.880770597233193 TCCCCCACGGGACT chr5 68159869 68159870 chr5:68159870:A:G rs61731565 A G G EBF1_EBF_1 2 1 - 5.004605222531322 3.115781811089172 AGTCCCGCGGGCCT chr5 68257998 68257999 chr5:68257999:C:T rs34303 C T C EBF1_EBF_1 10 1 - 11.509920546965576 6.19189208769861 ATCCCCAAGGGAAA chr5 68307160 68307161 chr5:68307161:T:C rs115173224 T C T EBF1_EBF_1 -2 0 + 0 0 . chr5 68490469 68490470 chr5:68490470:A:G rs79584405 A G A EBF1_EBF_1 7 1 - 9.711461555285304 7.893956809002681 CCTCCCTTGGGAAA chr5 68709901 68709902 chr5:68709902:G:A rs246979 G A G EBF1_EBF_1 9 1 + 5.3498114686137574 2.6948722031661543 CCCCCCATGGGAGG chr5 68726233 68726234 chr5:68726234:G:A rs12513767 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 68726250 68726251 chr5:68726251:C:T rs12513735 C T C EBF1_EBF_1 8 1 - 5.224206394603777 0.9979163057175162 CCTCCCAGGAGATA chr5 68865407 68865408 chr5:68865408:G:A rs146047112 G A G EBF1_EBF_1 -15 0 + 0 0 . chr5 68942361 68942362 chr5:68942362:G:A rs35271169 G A G EBF1_EBF_1 24 0 + 0 0 . chr5 68953986 68953987 chr5:68953987:C:T rs6898541 C T T EBF1_EBF_1 13 1 + 5.130153159436081 5.974647274021599 GCTCCCTTGGAAAC chr5 68995194 68995195 chr5:68995195:A:G rs4976058 A G A EBF1_EBF_1 26 0 - 0 0 . chr5 69136044 69136045 chr5:69136045:C:T rs11951414 C T c EBF1_EBF_1 23 0 + 0 0 . chr5 69189978 69189979 chr5:69189979:G:A rs79871157 G A G EBF1_EBF_1 33 0 + 0 0 . chr5 69493353 69493354 chr5:69493354:C:T rs115933288 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 70510355 70510356 chr5:70510356:G:A rs112443758 G A N EBF1_EBF_1 25 0 - 0 0 . chr5 71570851 71570852 chr5:71570852:G:A rs468010 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 71694131 71694132 chr5:71694132:G:A rs62363393 G A G EBF1_EBF_1 9 1 + 8.75607044148671 6.101131176039107 AGTCCCCAGGGGTC chr5 71744481 71744482 chr5:71744482:A:G rs3903314 A G G EBF1_EBF_1 -14 0 + 0 0 . chr5 71758007 71758008 chr5:71758008:G:A rs13178975 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 71860750 71860751 chr5:71860751:G:A rs16872598 G A G EBF1_EBF_1 8 1 + 5.593482450474875 1.3671923615886143 GTTCCCTAGAGCAT chr5 71883124 71883125 chr5:71883125:A:G rs73118421 A G G EBF1_EBF_1 -11 0 - 0 0 . chr5 71893982 71893983 chr5:71893983:A:G rs4703721 A G G EBF1_EBF_1 25 0 - 0 0 . chr5 71904842 71904843 chr5:71904843:G:A rs4602602 G A A EBF1_EBF_1 -17 0 + 0 0 . chr5 71939685 71939686 chr5:71939686:A:G rs73120464 A G A EBF1_EBF_1 23 0 - 0 0 . chr5 72018367 72018368 chr5:72018368:T:G rs1000743435 T G T EBF1_EBF_1 -18 0 + 0 0 . chr5 72034974 72034975 chr5:72034975:A:G rs1217762 A G G EBF1_EBF_1 -15 0 + 0 0 . chr5 72037673 72037674 chr5:72037674:C:T rs62361415 C T C EBF1_EBF_1 11 1 + 6.949409598649795 7.267457040403459 TTTCCCTAGGGCAA chr5 72065793 72065794 chr5:72065794:A:T chr5:72065794:A:T A T A EBF1_EBF_1 22 0 - 0 0 . chr5 72065804 72065805 chr5:72065805:G:T rs59549629 G T G EBF1_EBF_1 11 1 - 6.327842995107733 10.743804692960122 ATTCCCAAGAGCAA chr5 72070738 72070739 chr5:72070739:T:A rs1217777 T A A EBF1_EBF_1 11 1 - 6.574508388181714 2.476594132082989 TGCCCCCTGGGAGA chr5 72079511 72079512 chr5:72079512:G:A rs6865056 G A G EBF1_EBF_1 -2 0 + 0 0 . chr5 72079534 72079535 chr5:72079535:G:A rs6865065 G A G EBF1_EBF_1 21 0 + 0 0 . chr5 72079665 72079666 chr5:72079666:T:C rs62361434 T C T EBF1_EBF_1 -6 0 + 0 0 . chr5 72079686 72079687 chr5:72079687:C:T rs1217795 C T C EBF1_EBF_1 15 0 + 0 0 . chr5 72107722 72107723 chr5:72107723:G:A rs377729175 G A G EBF1_EBF_1 -6 0 + 0 0 . chr5 72108283 72108284 chr5:72108284:C:T rs888096148 C T C EBF1_EBF_1 -13 0 + 0 0 . chr5 72108929 72108930 chr5:72108930:G:T chr5:72108930:G:T G T G EBF1_EBF_1 4 1 - 5.539143338653212 -0.2854162848002544 CACCCCAGGAGAAT chr5 72166328 72166329 chr5:72166329:C:T rs113520612 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 72283074 72283075 chr5:72283075:G:A rs2047588 G A A EBF1_EBF_1 15 0 - 0 0 . chr5 72433393 72433394 chr5:72433394:G:A rs12054750 G A G EBF1_EBF_1 0 1 - 9.856268268089778 10.138470328820356 CCTCCCCAGGGACA chr5 72434635 72434636 chr5:72434636:G:T rs72761169 G T G EBF1_EBF_1 22 0 + 0 0 . chr5 72460842 72460843 chr5:72460843:C:T rs2278600 C T C EBF1_EBF_1 1 1 - 5.791789197586119 5.531090365664817 AGCCCCAGGAGAGC chr5 72478790 72478791 chr5:72478791:C:T rs187282412 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 72490475 72490476 chr5:72490476:C:A rs4703550 C A C EBF1_EBF_1 -2 0 + 0 0 . chr5 72518708 72518709 chr5:72518709:A:G rs891005 A G A EBF1_EBF_1 2 1 - 12.284418386338288 10.395594974896138 ATTCCCAAGGGAAG chr5 72601267 72601268 chr5:72601268:C:T rs142383058 C T C EBF1_EBF_1 6 1 + 6.044645851606966 6.345931980468724 ATTCCCCAGGAGAT chr5 72601276 72601277 chr5:72601277:C:T rs147511172 C T C EBF1_EBF_1 15 0 + 0 0 . chr5 72654425 72654426 chr5:72654426:C:T rs3010268 C T C EBF1_EBF_1 -13 0 + 0 0 . chr5 72705437 72705438 chr5:72705438:C:G rs2910661 C G G EBF1_EBF_1 -10 0 + 0 0 . chr5 72767805 72767806 chr5:72767806:G:T rs149510459 G T G EBF1_EBF_1 -11 0 + 0 0 . chr5 72848094 72848095 chr5:72848095:T:C rs150251756 T C T EBF1_EBF_1 -15 0 - 0 0 . chr5 72920822 72920823 chr5:72920823:T:G rs155630 T G T EBF1_EBF_1 12 1 - 5.934595255461421 5.904413857040095 AGTCCTAAGAGAAT chr5 72938613 72938614 chr5:72938614:A:G rs62360692 A G A EBF1_EBF_1 0 1 + 6.378281593096399 4.485997723531566 AATCCCATGAGGCA chr5 72938645 72938646 chr5:72938646:T:C rs62360693 T C T EBF1_EBF_1 32 0 + 0 0 . chr5 72955828 72955829 chr5:72955829:G:C rs187892717 G C G EBF1_EBF_1 10 1 + 5.7957629267054305 -1.0950394606240443 ATTCCTAAGAGAAC chr5 72955837 72955838 chr5:72955838:A:C chr5:72955838:A:C A C A EBF1_EBF_1 19 0 + 0 0 . chr5 73142266 73142267 chr5:73142267:G:C rs633309 G C C EBF1_EBF_1 18 0 - 0 0 . chr5 73151269 73151270 chr5:73151270:T:A rs1673144 T A A EBF1_EBF_1 -13 0 - 0 0 . chr5 73155481 73155482 chr5:73155482:G:A rs6881806 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 73189949 73189950 chr5:73189950:C:T rs565021273 C T C EBF1_EBF_1 9 1 - 4.931118045907633 2.276178780460028 TTTCCCCCGGTACC chr5 73190277 73190278 chr5:73190278:C:T rs74711531 C T T EBF1_EBF_1 8 1 - 5.57735561563146 1.3510655267451996 AATCCCCAGTGACC chr5 73213608 73213609 chr5:73213609:G:A rs116020333 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 73246399 73246400 chr5:73246400:C:T rs147784374 C T C EBF1_EBF_1 -20 0 + 0 0 . chr5 73250774 73250775 chr5:73250775:G:T rs4317301 G T T EBF1_EBF_1 5 1 - 4.8806658336866375 -2.0242153189485306 TCCCCCCTGGGGCA chr5 73258940 73258941 chr5:73258941:A:G rs34014701 A G G EBF1_EBF_1 -19 0 - 0 0 . chr5 73302367 73302368 chr5:73302368:T:G rs12651968 T G T EBF1_EBF_1 -17 0 + 0 0 . chr5 73303655 73303656 chr5:73303656:G:A rs138209316 G A G EBF1_EBF_1 17 0 + 0 0 . chr5 73304600 73304601 chr5:73304601:T:A rs77308898 T A T EBF1_EBF_1 -5 0 + 0 0 . chr5 73311369 73311370 chr5:73311370:A:T chr5:73311370:A:T A T A EBF1_EBF_1 7 1 + 5.4914704645836245 5.015196224496067 AACCTCAAGGGATC chr5 73338332 73338333 chr5:73338333:T:C rs2972199 T C C EBF1_EBF_1 2 1 + 5.079832885752198 3.191009474310047 GATCCCCAGGGCTC chr5 73381796 73381797 chr5:73381797:G:A rs190297017 G A G EBF1_EBF_1 -8 0 + 0 0 . chr5 73418344 73418345 chr5:73418345:T:C rs149294826 T C T EBF1_EBF_1 -2 0 + 0 0 . chr5 73489198 73489199 chr5:73489199:T:C rs16870162 T C T EBF1_EBF_1 15 0 + 0 0 . chr5 73545558 73545559 chr5:73545559:T:G rs819595 T G T EBF1_EBF_1 -18 0 + 0 0 . chr5 73615250 73615251 chr5:73615251:T:G rs2544648 T G G EBF1_EBF_1 -3 0 + 0 0 . chr5 73626526 73626527 chr5:73626527:G:C chr5:73626527:G:C G C G EBF1_EBF_1 -9 0 - 0 0 . chr5 73796493 73796494 chr5:73796494:T:C rs6887647 T C C EBF1_EBF_1 0 1 - 5.028197555576347 3.1359136860115124 AGCCTCCAGGGAGC chr5 73796495 73796496 chr5:73796496:A:G rs6883439 A G G EBF1_EBF_1 -2 0 - 0 0 . chr5 73865732 73865733 chr5:73865733:C:A rs2973559 C A C EBF1_EBF_1 25 0 + 0 0 . chr5 73893319 73893320 chr5:73893320:G:A rs2973529 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 73923128 73923129 chr5:73923129:C:T rs423333 C T C EBF1_EBF_1 7 1 + 9.06293090632167 10.880435652604293 TCTCCCCCGGGACT chr5 73946884 73946885 chr5:73946885:T:C rs143301703 T C T EBF1_EBF_1 -16 0 + 0 0 . chr5 73964612 73964613 chr5:73964613:C:A rs442894 C A C EBF1_EBF_1 10 1 - 10.459326917690875 6.27657473146361 TATCCCAAGGGAAA chr5 73971744 73971745 chr5:73971745:T:G rs686829 T G g EBF1_EBF_1 6 1 + 5.542864542709697 3.436386350374742 CACCCCTAGAGACA chr5 73998188 73998189 chr5:73998189:G:A rs76918831 G A G EBF1_EBF_1 17 0 + 0 0 . chr5 74020239 74020240 chr5:74020240:G:A rs60887093 G A G EBF1_EBF_1 5 1 - 6.658546134108883 1.3367589185682132 CCTCCCCAGGGCCT chr5 74026740 74026741 chr5:74026741:T:C rs78498850 T C T EBF1_EBF_1 -20 0 - 0 0 . chr5 74059805 74059806 chr5:74059806:A:G rs6868962 A G G EBF1_EBF_1 -17 0 + 0 0 . chr5 74085781 74085782 chr5:74085782:C:T rs17636369 C T C EBF1_EBF_1 17 0 + 0 0 . chr5 74145831 74145832 chr5:74145832:T:C rs72776356 T C C EBF1_EBF_1 -11 0 + 0 0 . chr5 74187095 74187096 chr5:74187096:G:T rs150505653 G T G EBF1_EBF_1 5 1 - 6.767565977034296 -0.13731517560087253 AAACCCTCGGGAAC chr5 74234059 74234060 chr5:74234060:T:C rs975625 T C T EBF1_EBF_1 14 0 - 0 0 . chr5 74251743 74251744 chr5:74251744:G:A rs11739429 G A G EBF1_EBF_1 -7 0 - 0 0 . chr5 74266452 74266453 chr5:74266453:A:G rs1460812 A G A EBF1_EBF_1 15 0 - 0 0 . chr5 74274437 74274438 chr5:74274438:C:T rs7709654 C T c EBF1_EBF_1 17 0 + 0 0 . chr5 74355378 74355379 chr5:74355379:C:T rs72760815 C T C EBF1_EBF_1 23 0 + 0 0 . chr5 74380432 74380433 chr5:74380433:G:C rs294994 G C g EBF1_EBF_1 25 0 + 0 0 . chr5 74394990 74394991 chr5:74394991:C:T rs149567 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 74409651 74409652 chr5:74409652:T:C rs17644520 T C T EBF1_EBF_1 22 0 + 0 0 . chr5 74413784 74413785 chr5:74413785:G:A rs10515179 G A G EBF1_EBF_1 12 1 - 5.465390492659176 4.439123922108326 GTTCCCATGAGTCT chr5 74426383 74426384 chr5:74426384:G:A rs294983 G A A EBF1_EBF_1 29 0 - 0 0 . chr5 74441764 74441765 chr5:74441765:C:T rs12520953 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 74441782 74441783 chr5:74441783:A:G rs457664 A G N EBF1_EBF_1 7 1 - 6.795941482034986 4.978436735752363 TCTCCCTTGGGCAT chr5 74507591 74507592 chr5:74507592:A:G rs737541 A G G EBF1_EBF_1 -2 0 + 0 0 . chr5 74507606 74507607 chr5:74507607:T:C rs16871925 T C T EBF1_EBF_1 13 1 + 5.525227524112869 4.680733409527351 GCTGCCATGGGAAT chr5 74519195 74519196 chr5:74519196:G:C rs150148113 G C G EBF1_EBF_1 29 0 + 0 0 . chr5 74552071 74552072 chr5:74552072:C:T rs298392 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 74552090 74552091 chr5:74552091:A:G rs76662990 A G A EBF1_EBF_1 17 0 + 0 0 . chr5 74610880 74610881 chr5:74610881:C:T rs300262 C T C EBF1_EBF_1 23 0 - 0 0 . chr5 74611278 74611279 chr5:74611279:T:A rs767015800 T A T EBF1_EBF_1 -1 0 - 0 0 . chr5 74626196 74626197 chr5:74626197:G:A rs533950093 G A G EBF1_EBF_1 -15 0 - 0 0 . chr5 74665878 74665879 chr5:74665879:C:T rs820855 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 74671075 74671076 chr5:74671076:G:A rs6453072 G A A EBF1_EBF_1 -11 0 + 0 0 . chr5 74740969 74740970 chr5:74740970:A:G rs1223214877 A G a EBF1_EBF_1 14 0 - 0 0 . chr5 74852402 74852403 chr5:74852403:G:A rs16872340 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 74993357 74993358 chr5:74993358:C:G rs6453105 C G G EBF1_EBF_1 -18 0 + 0 0 . chr5 74995126 74995127 chr5:74995127:G:C rs34123443 G C C EBF1_EBF_1 26 0 + 0 0 . chr5 75037217 75037218 chr5:75037218:T:C rs79201700 T C T EBF1_EBF_1 29 0 - 0 0 . chr5 75037223 75037224 chr5:75037224:G:A rs951794113 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 75037245 75037246 chr5:75037246:T:C rs550199873 T C T EBF1_EBF_1 1 1 - 5.0850781461183905 5.3457769780396935 CATCCCCTGGGCTT chr5 75511864 75511865 chr5:75511865:G:C rs55831232 G C G EBF1_EBF_1 24 0 - 0 0 . chr5 75511944 75511945 chr5:75511945:C:T rs10060056 C T C EBF1_EBF_1 4 1 + 6.531903405634306 2.16251646068938 GTCCCCTTGGGGCC chr5 75648725 75648726 chr5:75648726:C:G rs2112350 C G C EBF1_EBF_1 19 0 - 0 0 . chr5 75657035 75657036 chr5:75657036:C:A rs34351 C A C EBF1_EBF_1 31 0 + 0 0 . chr5 75660691 75660692 chr5:75660692:C:T rs253414 C T C EBF1_EBF_1 31 0 + 0 0 . chr5 75708349 75708350 chr5:75708350:G:A rs17563974 G A G EBF1_EBF_1 4 1 - 8.378964503771499 4.009577558826575 TTTCCCCAGAGACA chr5 75717643 75717644 chr5:75717644:C:A rs6897256 C A C EBF1_EBF_1 -10 0 - 0 0 . chr5 75859599 75859600 chr5:75859600:C:T rs62361826 C T C EBF1_EBF_1 -11 0 - 0 0 . chr5 75885969 75885970 chr5:75885970:C:G rs149784590 C G C EBF1_EBF_1 15 0 - 0 0 . chr5 76104226 76104227 chr5:76104227:G:C rs258613 G C a EBF1_EBF_1 11 1 - 5.272513270946874 6.795768125195252 CTTCCCGTGGGCCT chr5 76146249 76146250 chr5:76146250:A:G rs10052127 A G . EBF1_EBF_1 27 0 + 0 0 . chr5 76253681 76253682 chr5:76253682:C:T rs6891999 C T T EBF1_EBF_1 -16 0 + 0 0 . chr5 76256221 76256222 chr5:76256222:C:T rs10462537 C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 76275547 76275548 chr5:76275548:C:G rs78949806 C G C EBF1_EBF_1 15 0 - 0 0 . chr5 76280696 76280697 chr5:76280697:C:T rs4566771 C T C EBF1_EBF_1 -2 0 - 0 0 . chr5 76357288 76357289 chr5:76357289:G:A rs144727700 G A G EBF1_EBF_1 1 1 + 3.951015838354123 3.690317006432821 CGTCCCCTGTGACT chr5 76367952 76367953 chr5:76367953:T:C rs115690267 T C C EBF1_EBF_1 11 1 - 6.023202047049678 3.1304952034456663 ATTCCCCTAAGACC chr5 76367971 76367972 chr5:76367972:C:G rs79668711 C G C EBF1_EBF_1 -8 0 - 0 0 . chr5 76403181 76403182 chr5:76403182:T:G rs970023878 T G T EBF1_EBF_1 24 0 + 0 0 . chr5 76448687 76448688 chr5:76448688:C:A rs74589512 C A C EBF1_EBF_1 -17 0 + 0 0 . chr5 76458633 76458634 chr5:76458634:G:A rs13166671 G A A EBF1_EBF_1 26 0 - 0 0 . chr5 76501330 76501331 chr5:76501331:A:G rs115418489 A G A EBF1_EBF_1 -4 0 - 0 0 . chr5 76623476 76623477 chr5:76623477:A:G rs143464058 A G A EBF1_EBF_1 11 1 + 6.431613008014925 3.5389061644109145 TATCCCTGGAGAAA chr5 76625596 76625597 chr5:76625597:C:T rs3756521 C T C EBF1_EBF_1 0 1 + 4.551534478172036 4.833736538902614 CTTCCCCAGAGGTC chr5 76648957 76648958 chr5:76648958:G:A rs615951 G A G EBF1_EBF_1 9 1 + 6.441178122133164 3.7862388566855607 ATTCCCAGAGGAGA chr5 76654629 76654630 chr5:76654630:A:C rs458994 A C C EBF1_EBF_1 -16 0 - 0 0 . chr5 76692556 76692557 chr5:76692557:C:T rs147472380 C T c EBF1_EBF_1 -20 0 + 0 0 . chr5 76692608 76692609 chr5:76692609:A:C rs6884442 A C A EBF1_EBF_1 32 0 + 0 0 . chr5 76714880 76714881 chr5:76714881:C:T rs32934 C T C EBF1_EBF_1 -20 0 + 0 0 . chr5 76812954 76812955 chr5:76812955:G:C rs376327561 G C - EBF1_EBF_1 7 1 - 4.488413120855924 5.46973370193728 CACCCCCCGGGACG chr5 76830951 76830952 chr5:76830952:T:C rs2243056 T C T EBF1_EBF_1 12 1 + 5.432843783877303 6.4591103544281525 ATCCCCCTGGTATA chr5 76850274 76850275 chr5:76850275:T:C rs188810528 T C T EBF1_EBF_1 7 1 - 4.287201823092551 2.974743417803725 AGCCCCAAGGCAAC chr5 76853828 76853829 chr5:76853829:G:T rs3886184 G T T EBF1_EBF_1 9 1 + 5.802093175715279 -1.0348962323735296 AATCCCCAGGGTTG chr5 77100622 77100623 chr5:77100623:T:G rs13178961 T G T EBF1_EBF_1 13 1 - 6.553131340346873 6.926876789632849 GCTCCCTGGAGACA chr5 77120913 77120914 chr5:77120914:T:C rs34041856 T C T EBF1_EBF_1 18 0 + 0 0 . chr5 77144193 77144194 chr5:77144194:C:G rs11959841 C G G EBF1_EBF_1 32 0 - 0 0 . chr5 77155060 77155061 chr5:77155061:C:T rs9293710 C T c EBF1_EBF_1 8 1 - 6.689003274185155 2.4627131852988957 ATTCCCACGGGCAA chr5 77231633 77231634 chr5:77231634:C:G rs576509607 C G C EBF1_EBF_1 14 0 - 0 0 . chr5 77280185 77280186 chr5:77280186:A:G rs251426 A G G EBF1_EBF_1 12 1 + 6.018269638199567 4.59945229610009 ATTCCCAAGGTCAT chr5 77327121 77327122 chr5:77327122:T:C rs978961165 T C T EBF1_EBF_1 32 0 - 0 0 . chr5 77366921 77366922 chr5:77366922:A:T rs17671405 A T A EBF1_EBF_1 0 1 - 7.488562534459875 9.461618957425896 TGCCCCAGGGGACA chr5 77366941 77366942 chr5:77366942:A:C rs62364317 A C A EBF1_EBF_1 -20 0 - 0 0 . chr5 77368661 77368662 chr5:77368662:C:T rs11741983 C T C EBF1_EBF_1 18 0 + 0 0 . chr5 77374885 77374886 chr5:77374886:C:T rs180771293 C T C EBF1_EBF_1 12 1 + 8.411372384589965 7.385105814039115 ATCCCCTAAGGACT chr5 77388678 77388679 chr5:77388679:C:T rs335617 C T C EBF1_EBF_1 29 0 - 0 0 . chr5 77424921 77424922 chr5:77424922:C:T rs9293716 C T T EBF1_EBF_1 4 1 + 4.2100311945117275 -0.15935575043319522 GGCCCCAAGCGAAC chr5 77533131 77533132 chr5:77533132:A:G rs1123168 A G a EBF1_EBF_1 -8 0 - 0 0 . chr5 77540229 77540230 chr5:77540230:A:G rs10070168 A G A EBF1_EBF_1 -16 0 - 0 0 . chr5 77558460 77558461 chr5:77558461:G:T rs10062815 G T G EBF1_EBF_1 -20 0 + 0 0 . chr5 77645660 77645661 chr5:77645661:G:A rs542255078 G A g EBF1_EBF_1 13 1 - 4.800285800034293 5.644779914619811 GCCCCCTGGGGGCC chr5 77645688 77645689 chr5:77645689:G:T rs55842524 G T g EBF1_EBF_1 -15 0 - 0 0 . chr5 77671620 77671621 chr5:77671621:G:A rs1363443 G A A EBF1_EBF_1 8 1 + 7.691773522111874 3.4654834332256135 AGTGCCCAGGGACT chr5 77874838 77874839 chr5:77874839:G:A rs41397245 G A G EBF1_EBF_1 18 0 + 0 0 . chr5 77877050 77877051 chr5:77877051:A:G rs10042899 A G G EBF1_EBF_1 32 0 + 0 0 . chr5 77879886 77879887 chr5:77879887:A:T rs939779283 A T A EBF1_EBF_1 11 1 + 5.752781326366155 1.6548670702674302 GCCCCCATGAGATT chr5 77985453 77985454 chr5:77985454:A:G rs355411 A G G EBF1_EBF_1 -17 0 - 0 0 . chr5 78057342 78057343 chr5:78057343:C:T rs252778 C T T EBF1_EBF_1 18 0 - 0 0 . chr5 78222202 78222203 chr5:78222203:G:C rs6453375 G C G EBF1_EBF_1 5 1 - 8.235341763205794 1.3304606105706256 GATCCCCTGAGACT chr5 78289764 78289765 chr5:78289765:T:G rs4388194 T G G EBF1_EBF_1 14 0 - 0 0 . chr5 78387665 78387666 chr5:78387666:T:C rs4703756 T C T EBF1_EBF_1 -18 0 - 0 0 . chr5 78496011 78496012 chr5:78496012:T:C rs144375037 T C T EBF1_EBF_1 -5 0 + 0 0 . chr5 78504012 78504013 chr5:78504013:C:T rs6453397 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 78504830 78504831 chr5:78504831:C:G rs536881448 C G C EBF1_EBF_1 11 1 - 5.028454224249834 3.5051993700014554 ATTGCCCTGGGGCT chr5 78505259 78505260 chr5:78505260:G:A rs876606 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 78509413 78509414 chr5:78509414:C:G rs1025345962 C G C EBF1_EBF_1 24 0 - 0 0 . chr5 78509421 78509422 chr5:78509422:A:G rs6453398 A G A EBF1_EBF_1 16 0 - 0 0 . chr5 78510635 78510636 chr5:78510636:G:A rs11744497 G A G EBF1_EBF_1 -11 0 - 0 0 . chr5 78510641 78510642 chr5:78510642:G:A rs55841721 G A G EBF1_EBF_1 -17 0 - 0 0 . chr5 78518977 78518978 chr5:78518978:T:C rs7713690 T C C EBF1_EBF_1 -16 0 + 0 0 . chr5 78519232 78519233 chr5:78519233:T:C rs17804233 T C T EBF1_EBF_1 25 0 - 0 0 . chr5 78547947 78547948 chr5:78547948:C:T rs766669599 C T c EBF1_EBF_1 -7 0 + 0 0 . chr5 78548052 78548053 chr5:78548053:C:T rs6886783 C T C EBF1_EBF_1 -14 0 - 0 0 . chr5 78586176 78586177 chr5:78586177:T:C rs111356022 T C T EBF1_EBF_1 -17 0 - 0 0 . chr5 78635405 78635406 chr5:78635406:T:C rs10068307 T C C EBF1_EBF_1 19 0 + 0 0 . chr5 78648105 78648106 chr5:78648106:C:A rs1459383723 C A C EBF1_EBF_1 23 0 + 0 0 . chr5 78746391 78746392 chr5:78746392:A:C rs16875859 A C C EBF1_EBF_1 -17 0 - 0 0 . chr5 78766586 78766587 chr5:78766587:A:G rs2029905 A G A EBF1_EBF_1 29 0 - 0 0 . chr5 78855237 78855238 chr5:78855238:C:T rs751508 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 78859579 78859580 chr5:78859580:A:G rs3114493 A G A EBF1_EBF_1 -9 0 - 0 0 . chr5 78859582 78859583 chr5:78859583:C:T rs62377553 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 78900188 78900189 chr5:78900189:G:A rs142901054 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 78984482 78984483 chr5:78984483:C:G rs516908 C G C EBF1_EBF_1 -18 0 + 0 0 . chr5 79025285 79025286 chr5:79025286:A:G rs2431332 A G A EBF1_EBF_1 7 1 + 6.5723717509688795 5.259913345680053 ACTCCCAAAGGGCT chr5 79236264 79236265 chr5:79236265:A:C rs3733892 A C A EBF1_EBF_1 -19 0 + 0 0 . chr5 79236284 79236285 chr5:79236285:A:C chr5:79236285:A:C A C A EBF1_EBF_1 1 1 + 4.534266692869908 4.605193870221992 GACCCCGCGGGACC chr5 79349640 79349641 chr5:79349641:C:T rs6453437 C T T EBF1_EBF_1 29 0 + 0 0 . chr5 79401640 79401641 chr5:79401641:G:C rs2404149 G C G EBF1_EBF_1 -13 0 + 0 0 . chr5 79474527 79474528 chr5:79474528:G:C rs113528304 G C G EBF1_EBF_1 27 0 + 0 0 . chr5 79509058 79509059 chr5:79509059:G:A rs60142017 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 79514428 79514429 chr5:79514429:T:G rs560095627 T G C EBF1_EBF_1 13 1 + 4.83475788072801 2.5021927447870773 CTCCCCTAGAGGCT chr5 79560250 79560251 chr5:79560251:A:T rs12522021 A T A EBF1_EBF_1 2 1 - 9.552816825504127 5.868349237905249 ATTCCCCTAGGAAT chr5 79570528 79570529 chr5:79570529:A:G rs6453459 A G - EBF1_EBF_1 18 0 - 0 0 . chr5 79616556 79616557 chr5:79616557:A:G rs60818258 A G A EBF1_EBF_1 -15 0 + 0 0 . chr5 79706064 79706065 chr5:79706065:G:A rs57412371 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 79842915 79842916 chr5:79842916:C:T rs10069298 C T T EBF1_EBF_1 -4 0 + 0 0 . chr5 79844064 79844065 chr5:79844065:T:A rs7705008 T A A EBF1_EBF_1 -4 0 + 0 0 . chr5 79879006 79879007 chr5:79879007:T:G rs77190514 T G T EBF1_EBF_1 0 1 - 7.7733569143420755 5.518098430645475 AATCCCATGGTAAA chr5 80033856 80033857 chr5:80033857:C:T rs192786743 C T C EBF1_EBF_1 3 1 + 6.044645851606966 -0.36075288994634946 ATTCCCCAGGAGAT chr5 80058904 80058905 chr5:80058905:C:T rs434409 C T C EBF1_EBF_1 7 1 - 3.9002723333473086 5.212730738636134 GGCCCCCGGAGATC chr5 80072568 80072569 chr5:80072569:A:G rs12110039 A G A EBF1_EBF_1 25 0 - 0 0 . chr5 80074423 80074424 chr5:80074424:G:T rs13167730 G T G EBF1_EBF_1 29 0 - 0 0 . chr5 80076583 80076584 chr5:80076584:C:T rs384941 C T - EBF1_EBF_1 16 0 + 0 0 . chr5 80083572 80083573 chr5:80083573:G:A rs17885253 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 80085972 80085973 chr5:80085973:T:C rs2247450 T C C EBF1_EBF_1 -14 0 + 0 0 . chr5 80102885 80102886 chr5:80102886:C:T rs6865426 C T C EBF1_EBF_1 -19 0 - 0 0 . chr5 80105933 80105934 chr5:80105934:G:A rs60907315 G A G EBF1_EBF_1 6 1 - 5.36501635362583 5.666302482487588 AGTCCCCAGAGGGA chr5 80109723 80109724 chr5:80109724:T:A rs189744489 T A T EBF1_EBF_1 2 1 + 6.613344445489024 2.928876857890146 ACTCTCCTGGGATC chr5 80109724 80109725 chr5:80109725:C:G rs182316490 C G C EBF1_EBF_1 3 1 + 6.613344445489024 0.7855799973738073 ACTCTCCTGGGATC chr5 80127169 80127170 chr5:80127170:C:T rs11950944 C T C EBF1_EBF_1 -15 0 - 0 0 . chr5 80143489 80143490 chr5:80143490:T:C rs13362035 T C T EBF1_EBF_1 25 0 + 0 0 . chr5 80192344 80192345 chr5:80192345:C:T rs180888954 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 80192369 80192370 chr5:80192370:C:T rs4704627 C T T EBF1_EBF_1 23 0 + 0 0 . chr5 80196223 80196224 chr5:80196224:A:T rs10043379 A T A EBF1_EBF_1 31 0 - 0 0 . chr5 80198328 80198329 chr5:80198329:C:T rs79700015 C T C EBF1_EBF_1 10 1 - 7.832035313991252 2.514006854724286 TTTCCCAGGAGAAC chr5 80252454 80252455 chr5:80252455:C:T rs16877662 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 80254513 80254514 chr5:80254514:G:A rs1357952811 G A G EBF1_EBF_1 -8 0 - 0 0 . chr5 80267469 80267470 chr5:80267470:C:T rs114494864 C T c EBF1_EBF_1 6 1 + 8.830986011894804 9.132272140756562 ACACCCCAGGGAAC chr5 80292859 80292860 chr5:80292860:G:T rs10070958 G T G EBF1_EBF_1 20 0 + 0 0 . chr5 80300122 80300123 chr5:80300123:G:A rs11741016 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 80439412 80439413 chr5:80439413:A:G rs3095851 A G A EBF1_EBF_1 22 0 + 0 0 . chr5 80481738 80481739 chr5:80481739:C:T rs150759958 C T C EBF1_EBF_1 8 1 - 8.5098542528266 4.283564163940338 TTTCCCTAGAGAGT chr5 80569292 80569293 chr5:80569293:G:A rs62366869 G A g EBF1_EBF_1 -16 0 + 0 0 . chr5 80655460 80655461 chr5:80655461:T:A rs1650696 T A A EBF1_EBF_1 14 0 + 0 0 . chr5 80655460 80655461 chr5:80655461:T:C chr5:80655461:T:C T C A EBF1_EBF_1 14 0 + 0 0 . chr5 80755052 80755053 chr5:80755053:C:T rs1041721047 C T C EBF1_EBF_1 11 1 - 8.110873811005176 11.003580654609186 CATCCCCAGGGGCT chr5 80787811 80787812 chr5:80787812:G:A rs33010 G A A EBF1_EBF_1 -19 0 - 0 0 . chr5 80865988 80865989 chr5:80865989:A:T rs6151923 A T A EBF1_EBF_1 -6 0 + 0 0 . chr5 80885790 80885791 chr5:80885791:G:T chr5:80885791:G:T G T G EBF1_EBF_1 -2 0 - 0 0 . chr5 80904324 80904325 chr5:80904325:A:G rs4704689 A G G EBF1_EBF_1 16 0 - 0 0 . chr5 80959485 80959486 chr5:80959486:G:A rs59989282 G A G EBF1_EBF_1 28 0 + 0 0 . chr5 81051817 81051818 chr5:81051818:C:A chr5:81051818:C:A C A C EBF1_EBF_1 12 1 - 6.8587593271332645 7.221128700260566 ATACCCAAGAGAGT chr5 81148677 81148678 chr5:81148678:G:T rs144541834 G T G EBF1_EBF_1 -9 0 - 0 0 . chr5 81195083 81195084 chr5:81195084:C:T rs530658691 C T C EBF1_EBF_1 10 1 - 4.615183846262319 -0.702844613004648 GCACCCAAGAGACC chr5 81274274 81274275 chr5:81274275:A:G rs113430081 A G G EBF1_EBF_1 -14 0 + 0 0 . chr5 81290503 81290504 chr5:81290504:A:G rs149435575 A G A EBF1_EBF_1 8 1 + 8.342391843853282 12.56868193273954 AGTCCCAGAGGACT chr5 81336730 81336731 chr5:81336731:A:G rs77882483 A G A EBF1_EBF_1 -6 0 + 0 0 . chr5 81369310 81369311 chr5:81369311:G:C rs4703519 G C G EBF1_EBF_1 26 0 + 0 0 . chr5 81427873 81427874 chr5:81427874:T:A rs35657180 T A T EBF1_EBF_1 26 0 - 0 0 . chr5 81428752 81428753 chr5:81428753:G:A rs3764985 G A G EBF1_EBF_1 -14 0 + 0 0 . chr5 81751852 81751853 chr5:81751853:C:G rs554145459 C G C EBF1_EBF_1 -19 0 + 0 0 . chr5 81760817 81760818 chr5:81760818:A:C rs4703842 A C A EBF1_EBF_1 -18 0 + 0 0 . chr5 81772654 81772655 chr5:81772655:G:A rs445410 G A A EBF1_EBF_1 4 1 - 6.723581292170161 2.3541943472252376 CTTCCCTAGAGGCT chr5 81850774 81850775 chr5:81850775:T:C chr5:81850775:T:C T C T EBF1_EBF_1 -10 0 + 0 0 . chr5 81850806 81850807 chr5:81850807:G:C rs7700882 G C G EBF1_EBF_1 22 0 + 0 0 . chr5 81852878 81852879 chr5:81852879:C:T rs1501790 C T T EBF1_EBF_1 -18 0 + 0 0 . chr5 81852899 81852900 chr5:81852900:G:T rs72774933 G T G EBF1_EBF_1 3 1 + 4.791777834242657 4.214143540804556 AGCGCCTGGGGACT chr5 81951561 81951562 chr5:81951562:G:A rs1542596 G A G EBF1_EBF_1 0 1 - 5.26627933472835 5.548481395458928 CTTCCCTTAGGAAG chr5 82358521 82358522 chr5:82358522:A:G rs186506 A G G EBF1_EBF_1 17 0 + 0 0 . chr5 82388564 82388565 chr5:82388565:C:T rs73766510 C T C EBF1_EBF_1 18 0 + 0 0 . chr5 82406291 82406292 chr5:82406292:A:G chr5:82406292:A:G A G A EBF1_EBF_1 15 0 - 0 0 . chr5 82413343 82413344 chr5:82413344:C:T rs114666157 C T C EBF1_EBF_1 -18 0 - 0 0 . chr5 82426586 82426587 chr5:82426587:A:G rs12055291 A G A EBF1_EBF_1 -6 0 - 0 0 . chr5 82642439 82642440 chr5:82642440:G:A rs11957544 G A G EBF1_EBF_1 15 0 + 0 0 . chr5 82670442 82670443 chr5:82670443:G:A rs74445094 G A G EBF1_EBF_1 -1 0 + 0 0 . chr5 82711696 82711697 chr5:82711697:G:A rs355287 G A G EBF1_EBF_1 32 0 + 0 0 . chr5 82714938 82714939 chr5:82714939:C:T rs62371922 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 82873549 82873550 chr5:82873550:C:T rs62371750 C T C EBF1_EBF_1 -4 0 - 0 0 . chr5 82909403 82909404 chr5:82909404:C:G rs1566892 C G C EBF1_EBF_1 15 0 + 0 0 . chr5 82944233 82944234 chr5:82944234:C:T rs407319 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 82944234 82944235 chr5:82944235:A:G rs405131 A G a EBF1_EBF_1 14 0 - 0 0 . chr5 82986466 82986467 chr5:82986467:A:G rs6881558 A G A EBF1_EBF_1 22 0 - 0 0 . chr5 82991484 82991485 chr5:82991485:T:C rs11959656 T C G EBF1_EBF_1 -5 0 + 0 0 . chr5 83096184 83096185 chr5:83096185:G:A chr5:83096185:G:A G A G EBF1_EBF_1 -19 0 + 0 0 . chr5 83126599 83126600 chr5:83126600:A:G rs7718278 A G A EBF1_EBF_1 -9 0 - 0 0 . chr5 83306981 83306982 chr5:83306982:A:C chr5:83306982:A:C A C A EBF1_EBF_1 -2 0 - 0 0 . chr5 83321758 83321759 chr5:83321759:A:G rs28360312 A G - EBF1_EBF_1 -19 0 + 0 0 . chr5 83386951 83386952 chr5:83386952:G:C rs16900383 G C G EBF1_EBF_1 9 1 + 7.208898736556897 2.1332095902114325 ATTCCTCTGGGACA chr5 83441984 83441985 chr5:83441985:T:A rs13161552 T A T EBF1_EBF_1 18 0 + 0 0 . chr5 83473377 83473378 chr5:83473378:G:T rs74678720 G T G EBF1_EBF_1 -15 0 - 0 0 . chr5 83517446 83517447 chr5:83517447:T:C rs6869744 T C T EBF1_EBF_1 29 0 - 0 0 . chr5 83564665 83564666 chr5:83564666:C:T rs67827860 C T C EBF1_EBF_1 -20 0 - 0 0 . chr5 83957310 83957311 chr5:83957311:C:T rs78812825 C T C EBF1_EBF_1 -16 0 + 0 0 . chr5 84383630 84383631 chr5:84383631:G:C rs116711213 G C G EBF1_EBF_1 27 0 - 0 0 . chr5 84383674 84383675 chr5:84383675:T:G chr5:84383675:T:G T G T EBF1_EBF_1 -17 0 - 0 0 . chr5 84695659 84695660 chr5:84695660:T:C rs78892374 T C T EBF1_EBF_1 -11 0 - 0 0 . chr5 85182445 85182446 chr5:85182446:C:T rs35343179 C T C EBF1_EBF_1 0 1 + 7.145906405553372 7.42810846628395 CTTCCCAGGAGACA chr5 85281775 85281776 chr5:85281776:C:T rs13171989 C T A EBF1_EBF_1 6 1 + 10.125024040804215 10.426310169665971 GCTCCCCGGGGACT chr5 85415868 85415869 chr5:85415869:C:G rs386757 C G C EBF1_EBF_1 3 1 + 8.024415050660664 2.1966506025454486 CTTCCCCTGAGAAC chr5 85415869 85415870 chr5:85415870:C:T rs73767912 C T C EBF1_EBF_1 4 1 + 8.024415050660664 3.6550281057157408 CTTCCCCTGAGAAC chr5 85587856 85587857 chr5:85587857:G:A rs4329048 G A G EBF1_EBF_1 6 1 - 4.236463799102099 4.537749927963857 ATCTCCCTGGGACA chr5 85596787 85596788 chr5:85596788:G:A rs62359164 G A G EBF1_EBF_1 4 1 - 9.693836172204529 5.3244492272596045 ACTCCCTGGAGAAT chr5 85843673 85843674 chr5:85843674:C:T rs140411800 C T C EBF1_EBF_1 31 0 - 0 0 . chr5 85918995 85918996 chr5:85918996:C:T rs10076535 C T C EBF1_EBF_1 -9 0 + 0 0 . chr5 85930847 85930848 chr5:85930848:A:G rs2974064 A G A EBF1_EBF_1 -5 0 + 0 0 . chr5 86337968 86337969 chr5:86337969:C:T rs62373432 C T C EBF1_EBF_1 -16 0 + 0 0 . chr5 86461251 86461252 chr5:86461252:G:A rs62374952 G A A EBF1_EBF_1 28 0 + 0 0 . chr5 86865382 86865383 chr5:86865383:G:A rs17285801 G A G EBF1_EBF_1 -14 0 - 0 0 . chr5 86881955 86881956 chr5:86881956:A:G rs2471042 A G G EBF1_EBF_1 31 0 + 0 0 . chr5 87121901 87121902 chr5:87121902:G:A rs72781723 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 87149146 87149147 chr5:87149147:C:T rs2624216 C T C EBF1_EBF_1 4 1 + 5.357567100567598 0.9881801556226757 CTTCCTCTGGGAAC chr5 87171233 87171234 chr5:87171234:G:A rs116713572 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 87231605 87231606 chr5:87231606:T:C rs76615717 T C T EBF1_EBF_1 0 1 - 6.6383086158932265 4.746024746328393 AGTCCCCAAAGACT chr5 87267604 87267605 chr5:87267605:C:G rs1005000306 C G C EBF1_EBF_1 -3 0 + 0 0 . chr5 87267622 87267623 chr5:87267623:G:A rs561231534 G A G EBF1_EBF_1 15 0 + 0 0 . chr5 87297902 87297903 chr5:87297903:T:C rs79713291 T C T EBF1_EBF_1 15 0 - 0 0 . chr5 87567161 87567162 chr5:87567162:T:C rs34548889 T C T EBF1_EBF_1 0 1 - 4.236463799102099 2.344179929537265 ATCTCCCTGGGACA chr5 87885915 87885916 chr5:87885916:T:C rs10514294 T C T EBF1_EBF_1 -4 0 + 0 0 . chr5 87950299 87950300 chr5:87950300:A:G rs62368610 A G A EBF1_EBF_1 6 1 + 6.0004993257989 3.8337048945244265 CTTCCCAAGGGTGC chr5 87980455 87980456 chr5:87980456:G:A rs3910026 G A A EBF1_EBF_1 30 0 + 0 0 . chr5 88061410 88061411 chr5:88061411:G:T rs11959677 G T G EBF1_EBF_1 -1 0 + 0 0 . chr5 88145672 88145673 chr5:88145673:A:C rs113286366 A C A EBF1_EBF_1 13 1 - 8.969950530362564 6.637385394421631 CACCCCTTGGGAAT chr5 88173063 88173064 chr5:88173064:G:A rs566581650 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 88666479 88666480 chr5:88666480:C:A rs2304608 C A A EBF1_EBF_1 4 1 + 6.395507394712097 0.5709477712586298 CCTCCCAAAGGAAC chr5 88685095 88685096 chr5:88685096:G:C rs141686412 G C G EBF1_EBF_1 28 0 - 0 0 . chr5 88884151 88884152 chr5:88884152:C:T rs946060102 C T C EBF1_EBF_1 16 0 - 0 0 . chr5 88948876 88948877 chr5:88948877:C:T rs113526533 C T C EBF1_EBF_1 10 1 - 9.491800355847223 4.173771896580258 AGCCCCAGGGGAAA chr5 89770194 89770195 chr5:89770195:G:A rs142951022 G A G EBF1_EBF_1 -16 0 - 0 0 . chr5 89801499 89801500 chr5:89801500:C:T rs1093816 C T T EBF1_EBF_1 3 1 + 4.758704645579199 -1.646694095974119 TCCCCCAAAGGACC chr5 89964239 89964240 chr5:89964240:T:C rs6861634 T C T EBF1_EBF_1 31 0 + 0 0 . chr5 90161811 90161812 chr5:90161812:G:A rs62380351 G A G EBF1_EBF_1 10 1 + 6.520322490750675 1.2022940314837092 TTCCCCCAGAGAAA chr5 90339236 90339237 chr5:90339237:G:A rs971708 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 90369152 90369153 chr5:90369153:G:A rs2935535 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 90378135 90378136 chr5:90378136:T:C rs35476510 T C T EBF1_EBF_1 -7 0 + 0 0 . chr5 90382029 90382030 chr5:90382030:C:A rs2366619 C A A EBF1_EBF_1 -4 0 + 0 0 . chr5 90529833 90529834 chr5:90529834:T:C rs1673378 T C T EBF1_EBF_1 -2 0 + 0 0 . chr5 90547465 90547466 chr5:90547466:A:G rs73176018 A G A EBF1_EBF_1 31 0 + 0 0 . chr5 90558972 90558973 chr5:90558973:C:T rs7706392 C T C EBF1_EBF_1 16 0 + 0 0 . chr5 90558978 90558979 chr5:90558979:A:G rs41311623 A G A EBF1_EBF_1 22 0 + 0 0 . chr5 90765214 90765215 chr5:90765215:C:G rs73177426 C G C EBF1_EBF_1 4 1 + 6.959698352411552 1.3339332512620083 TGTCCCTAGAGAGC chr5 90794894 90794895 chr5:90794895:C:G rs11745546 C G C EBF1_EBF_1 -1 0 + 0 0 . chr5 90915687 90915688 chr5:90915688:C:T rs10078496 C T C EBF1_EBF_1 -14 0 - 0 0 . chr5 90933712 90933713 chr5:90933713:C:A rs11744005 C A c EBF1_EBF_1 -3 0 - 0 0 . chr5 90933724 90933725 chr5:90933725:A:G rs11750515 A G a EBF1_EBF_1 -15 0 - 0 0 . chr5 91038663 91038664 chr5:91038664:A:G rs147177658 A G A EBF1_EBF_1 -3 0 - 0 0 . chr5 91103524 91103525 chr5:91103525:C:T rs143089817 C T C EBF1_EBF_1 3 1 + 7.251879699750322 0.8464809581970054 GATCCCTAGGGCCT chr5 91244674 91244675 chr5:91244675:G:T rs551847270 G T G EBF1_EBF_1 14 0 - 0 0 . chr5 91244704 91244705 chr5:91244705:T:G rs12514092 T G T EBF1_EBF_1 -16 0 - 0 0 . chr5 91360805 91360806 chr5:91360806:T:C rs113749425 T C C EBF1_EBF_1 -1 0 - 0 0 . chr5 91505051 91505052 chr5:91505052:G:A rs6876075 G A G EBF1_EBF_1 30 0 + 0 0 . chr5 91803216 91803217 chr5:91803217:C:G rs2860387 C G C EBF1_EBF_1 -20 0 - 0 0 . chr5 91830789 91830790 chr5:91830790:C:T rs886145149 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 92179984 92179985 chr5:92179985:G:A rs10942663 G A A EBF1_EBF_1 -17 0 - 0 0 . chr5 92201520 92201521 chr5:92201521:A:G rs766675002 A G A EBF1_EBF_1 -1 0 - 0 0 . chr5 92459399 92459400 chr5:92459400:T:C rs34132514 T C C EBF1_EBF_1 -7 0 + 0 0 . chr5 92546312 92546313 chr5:92546313:C:G rs142973405 C G C EBF1_EBF_1 -1 0 + 0 0 . chr5 92676546 92676547 chr5:92676547:T:A rs972729 T A T EBF1_EBF_1 -12 0 - 0 0 . chr5 92704513 92704514 chr5:92704514:C:T rs10041674 C T C EBF1_EBF_1 3 1 + 5.151095857015764 -1.2543028845375517 TGTCCCAGAGGACA chr5 92716258 92716259 chr5:92716259:G:C rs2431368 G C C EBF1_EBF_1 -6 0 + 0 0 . chr5 92716297 92716298 chr5:92716298:T:A rs7732515 T A T EBF1_EBF_1 33 0 + 0 0 . chr5 93055040 93055041 chr5:93055041:G:A rs3906772 G A - EBF1_EBF_1 10 1 + 6.170000399838268 0.8519719405713024 ATTCCCTTGAGGCG chr5 93055042 93055043 chr5:93055043:C:G rs2644786 C G - EBF1_EBF_1 12 1 + 6.170000399838268 4.781364456160117 ATTCCCTTGAGGCG chr5 93150916 93150917 chr5:93150917:T:C rs114243455 T C T EBF1_EBF_1 18 0 + 0 0 . chr5 93192372 93192373 chr5:93192373:G:A rs77162217 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 93306252 93306253 chr5:93306253:T:A rs56412809 T A T EBF1_EBF_1 13 1 - 4.901007963507028 6.119247527378522 TCTCCCTGAGGACA chr5 93306280 93306281 chr5:93306281:A:G rs56317964 A G G EBF1_EBF_1 -15 0 - 0 0 . chr5 93570978 93570979 chr5:93570979:A:C rs17370695 A C A EBF1_EBF_1 7 1 - 5.372492472357583 4.536308307156315 GCTCCCTTGCGAAC chr5 93573402 93573403 chr5:93573403:C:T rs985907574 C T C EBF1_EBF_1 18 0 + 0 0 . chr5 93578990 93578991 chr5:93578991:A:G rs149171918 A G A EBF1_EBF_1 15 0 - 0 0 . chr5 93610617 93610618 chr5:93610618:A:C rs58237393 A C A EBF1_EBF_1 -3 0 - 0 0 . chr5 93886471 93886472 chr5:93886472:A:G rs896729 A G A EBF1_EBF_1 -10 0 - 0 0 . chr5 93887977 93887978 chr5:93887978:G:A chr5:93887978:G:A G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 94111242 94111243 chr5:94111243:G:C chr5:94111243:G:C G C G EBF1_EBF_1 -5 0 - 0 0 . chr5 94111249 94111250 chr5:94111250:G:T rs142993582 G T G EBF1_EBF_1 -12 0 - 0 0 . chr5 94111346 94111347 chr5:94111347:C:G rs914536832 C G C EBF1_EBF_1 31 0 - 0 0 . chr5 94494294 94494295 chr5:94494295:C:T rs186369051 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 94732691 94732692 chr5:94732692:A:G rs67577452 A G A EBF1_EBF_1 -5 0 + 0 0 . chr5 94746927 94746928 chr5:94746928:G:A rs138714549 G A G EBF1_EBF_1 3 1 - 8.19466190022076 1.7892631586674457 ATTCCCAAGAGGCC chr5 94832103 94832104 chr5:94832104:C:T rs36014084 C T C EBF1_EBF_1 11 1 + 8.359985693669158 8.67803313542282 AATCCCAAGGGCCC chr5 94832118 94832119 chr5:94832119:G:A rs35448590 G A G EBF1_EBF_1 26 0 + 0 0 . chr5 94981263 94981264 chr5:94981264:A:C rs1437123 A C A EBF1_EBF_1 -1 0 + 0 0 . chr5 94995693 94995694 chr5:94995694:A:G rs7715499 A G G EBF1_EBF_1 21 0 + 0 0 . chr5 95049248 95049249 chr5:95049249:G:A rs2881646 G A A EBF1_EBF_1 -20 0 + 0 0 . chr5 95090000 95090001 chr5:95090001:C:A rs72779432 C A C EBF1_EBF_1 11 1 + 6.096254080253943 10.51221577810633 TCTCCCCAGGGCCC chr5 95285112 95285113 chr5:95285113:C:G rs114620208 C G C EBF1_EBF_1 -6 0 + 0 0 . chr5 95285146 95285147 chr5:95285147:T:C rs375371592 T C T EBF1_EBF_1 28 0 + 0 0 . chr5 95285322 95285323 chr5:95285323:C:G chr5:95285323:C:G C G C EBF1_EBF_1 21 0 - 0 0 . chr5 95391174 95391175 chr5:95391175:C:T rs2560266 C T C EBF1_EBF_1 -8 0 + 0 0 . chr5 95391348 95391349 chr5:95391349:C:T rs13360706 C T C EBF1_EBF_1 9 1 - 5.626753876512924 2.971814611065322 CTTCCCAAGGGTGA chr5 95394635 95394636 chr5:95394636:G:T rs41121 G T T EBF1_EBF_1 7 1 - 5.746069197113745 8.039848183483926 TTCCCCTCGAGAAT chr5 95554660 95554661 chr5:95554661:G:C rs55839939 G C G EBF1_EBF_1 16 0 - 0 0 . chr5 95686829 95686830 chr5:95686830:G:T rs7737798 G T G EBF1_EBF_1 -8 0 + 0 0 . chr5 95710220 95710221 chr5:95710221:G:T rs255378 G T G EBF1_EBF_1 2 1 - 5.001621261713465 3.2059770855567384 TGCCCCTAGAGAAG chr5 95808826 95808827 chr5:95808827:C:T rs534629579 C T C EBF1_EBF_1 3 1 + 6.079607991610643 -0.3257907499426753 GGTCCCCAGAGGCT chr5 95822196 95822197 chr5:95822197:T:C rs28926196 T C T EBF1_EBF_1 28 0 + 0 0 . chr5 95827588 95827589 chr5:95827589:G:T rs61289286 G T G EBF1_EBF_1 15 0 + 0 0 . chr5 95831886 95831887 chr5:95831887:T:C rs77275900 T C C EBF1_EBF_1 2 1 + 8.145859037565764 6.257035626123612 GGTCCCAAGAGAAA chr5 95832065 95832066 chr5:95832066:A:G rs78839634 A G A EBF1_EBF_1 -2 0 + 0 0 . chr5 95876577 95876578 chr5:95876578:A:T rs154459 A T A EBF1_EBF_1 -8 0 + 0 0 . chr5 95889334 95889335 chr5:95889335:C:A rs57949227 C A C EBF1_EBF_1 -7 0 + 0 0 . chr5 95893131 95893132 chr5:95893132:A:G rs3777208 A G A EBF1_EBF_1 -8 0 - 0 0 . chr5 95918825 95918826 chr5:95918826:C:T rs3777187 C T C EBF1_EBF_1 0 1 + 6.122361313431426 6.404563374162004 CGTCCCATGGTACC chr5 95938927 95938928 chr5:95938928:T:C rs12055262 T C C EBF1_EBF_1 -2 0 - 0 0 . chr5 95960869 95960870 chr5:95960870:C:A rs570771413 C A C EBF1_EBF_1 -10 0 - 0 0 . chr5 96156814 96156815 chr5:96156815:T:C rs4869267 T C T EBF1_EBF_1 0 1 + 5.2374830197807665 4.955280959050187 TTCCCCCAGGGGGC chr5 96200268 96200269 chr5:96200269:C:T rs6895664 C T C EBF1_EBF_1 8 1 - 9.637979108493553 5.411689019607295 ATTCCCCTGGGGCA chr5 96338680 96338681 chr5:96338681:G:A rs73772342 G A G EBF1_EBF_1 13 1 - 5.050410293637376 5.894904408222894 CTTCCCAGGGGTTC chr5 96348836 96348837 chr5:96348837:C:T rs1874929 C T T EBF1_EBF_1 8 1 - 8.171686884692985 3.9453967958067246 ATTCCCCAGGTATC chr5 96361340 96361341 chr5:96361341:G:C rs62364599 G C G EBF1_EBF_1 -6 0 - 0 0 . chr5 96404383 96404384 chr5:96404384:G:A rs114973351 G A G EBF1_EBF_1 -9 0 + 0 0 . chr5 96431958 96431959 chr5:96431959:A:C chr5:96431959:A:C A C A EBF1_EBF_1 28 0 + 0 0 . chr5 96432215 96432216 chr5:96432216:T:C rs3792747 T C T EBF1_EBF_1 6 1 + 7.6951933658290415 7.3939072369672845 GCTCCCTAGAGAGT chr5 96432825 96432826 chr5:96432826:G:A rs725522 G A G EBF1_EBF_1 9 1 + 9.30606277195 6.6511235065024 AGTCCCCTGGGGCC chr5 96434250 96434251 chr5:96434251:T:G rs1427831328 T G T EBF1_EBF_1 30 0 + 0 0 . chr5 96483960 96483961 chr5:96483961:G:A rs142656720 G A G EBF1_EBF_1 -3 0 + 0 0 . chr5 96483970 96483971 chr5:96483971:T:C rs269852 T C C EBF1_EBF_1 7 1 + 5.463129176436605 3.6456244301539806 CTTCCCATGAGGCC chr5 96503565 96503566 chr5:96503566:C:T rs156005 C T C EBF1_EBF_1 8 1 - 11.35684391815821 7.130553829271949 AATCCCACGGGAAT chr5 96530214 96530215 chr5:96530215:C:T rs56188323 C T C EBF1_EBF_1 20 0 - 0 0 . chr5 96614691 96614692 chr5:96614692:C:T rs187514183 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 96615606 96615607 chr5:96615607:G:C rs1993457 G C C EBF1_EBF_1 -1 0 - 0 0 . chr5 96618810 96618811 chr5:96618811:G:C rs183928580 G C G EBF1_EBF_1 6 1 + 7.475337862745498 9.280529926218696 GCTCCCGGGGGACC chr5 96638751 96638752 chr5:96638752:C:T rs78125821 C T C EBF1_EBF_1 5 1 + 4.377079830548128 -0.9447073849925425 CCTCCCGTGGGCCT chr5 96703648 96703649 chr5:96703649:G:A rs116104761 G A G EBF1_EBF_1 -14 0 + 0 0 . chr5 96768777 96768778 chr5:96768778:G:A rs17086665 G A G EBF1_EBF_1 31 0 - 0 0 . chr5 96807903 96807904 chr5:96807904:C:T rs748333258 C T C EBF1_EBF_1 -16 0 + 0 0 . chr5 96870061 96870062 chr5:96870062:T:G rs6866020 T G G EBF1_EBF_1 2 1 + 10.11425334858111 3.365927658380645 ATTCCCCAGGGGCA chr5 96904515 96904516 chr5:96904516:T:C rs2548529 T C T EBF1_EBF_1 11 1 - 7.90289376405931 5.010186920455299 AGACCCACGGGACT chr5 96935155 96935156 chr5:96935156:A:G rs3822687 A G G EBF1_EBF_1 -1 0 + 0 0 . chr5 96935485 96935486 chr5:96935486:A:G rs1026262312 A G A EBF1_EBF_1 11 1 + 9.886449666511105 6.993742822907094 CCTCCCCAGGGAAA chr5 96935505 96935506 chr5:96935506:A:G rs3806944 A G G EBF1_EBF_1 31 0 + 0 0 . chr5 97062378 97062379 chr5:97062379:C:A rs77607300 C A C EBF1_EBF_1 9 1 - 5.485271512002131 -1.3517178960866774 CGTCCCTTGGGCAA chr5 97136492 97136493 chr5:97136493:T:C rs316178 T C C EBF1_EBF_1 11 1 - 6.356986892038516 3.464280048434505 ACTCCCACAGGAAC chr5 97245728 97245729 chr5:97245729:C:G rs73777791 C G C EBF1_EBF_1 21 0 - 0 0 . chr5 97245729 97245730 chr5:97245730:G:C rs7705103 G C G EBF1_EBF_1 20 0 - 0 0 . chr5 97311734 97311735 chr5:97311735:A:G rs2643483 A G G EBF1_EBF_1 -17 0 - 0 0 . chr5 97421107 97421108 chr5:97421108:A:T rs9314206 A T A EBF1_EBF_1 11 1 + 9.653043835370637 5.555129579271912 AATCCCAGGAGACT chr5 97471231 97471232 chr5:97471232:T:G rs1825532 T G G EBF1_EBF_1 -4 0 + 0 0 . chr5 97532023 97532024 chr5:97532024:A:G rs2930821 A G A EBF1_EBF_1 25 0 + 0 0 . chr5 97542075 97542076 chr5:97542076:C:T rs80140074 C T C EBF1_EBF_1 -4 0 + 0 0 . chr5 97542089 97542090 chr5:97542090:G:A rs111529634 G A G EBF1_EBF_1 10 1 + 3.539857284195115 -1.7781711750718512 ACTGCCGGGGGACC chr5 97547990 97547991 chr5:97547991:G:A rs12153708 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 97855029 97855030 chr5:97855030:G:T rs61166915 G T T EBF1_EBF_1 30 0 - 0 0 . chr5 97855862 97855863 chr5:97855863:G:C rs4419614 G C G EBF1_EBF_1 32 0 + 0 0 . chr5 97924342 97924343 chr5:97924343:C:A rs1423319 C A C EBF1_EBF_1 8 1 - 9.191106280628388 2.2996734916511956 AATCCCCAGGGTAT chr5 98164138 98164139 chr5:98164139:A:G rs139662127 A G A EBF1_EBF_1 29 0 + 0 0 . chr5 98205660 98205661 chr5:98205661:G:A rs62366810 G A G EBF1_EBF_1 28 0 + 0 0 . chr5 98227340 98227341 chr5:98227341:C:T rs114329192 C T C EBF1_EBF_1 3 1 + 8.830986011894804 2.425587270341487 ACACCCCAGGGAAC chr5 98315078 98315079 chr5:98315079:A:C rs112064581 A C A EBF1_EBF_1 30 0 - 0 0 . chr5 98339456 98339457 chr5:98339457:C:T rs61740530 C T C EBF1_EBF_1 28 0 + 0 0 . chr5 98401042 98401043 chr5:98401043:G:C rs11954823 G C G EBF1_EBF_1 10 1 + 5.7642924108048135 -1.1265099765246616 ATTCCCTATGGATC chr5 98474358 98474359 chr5:98474359:C:A rs4577723 C A C EBF1_EBF_1 17 0 + 0 0 . chr5 98490293 98490294 chr5:98490294:C:A rs143397396 C A C EBF1_EBF_1 -10 0 - 0 0 . chr5 98634943 98634944 chr5:98634944:T:C rs1421634 T C T EBF1_EBF_1 28 0 + 0 0 . chr5 98659329 98659330 chr5:98659330:G:A rs456509 G A G EBF1_EBF_1 19 0 + 0 0 . chr5 98661612 98661613 chr5:98661613:C:T rs57791823 C T C EBF1_EBF_1 -14 0 + 0 0 . chr5 98700916 98700917 chr5:98700917:C:T rs1605714 C T C EBF1_EBF_1 -19 0 + 0 0 . chr5 98700962 98700963 chr5:98700963:C:G rs2547974 C G C EBF1_EBF_1 27 0 + 0 0 . chr5 98742534 98742535 chr5:98742535:C:G rs6888898 C G G EBF1_EBF_1 28 0 - 0 0 . chr5 98769678 98769679 chr5:98769679:G:A rs1196729077 G A G EBF1_EBF_1 -9 0 - 0 0 . chr5 98928706 98928707 chr5:98928707:G:C rs186396776 G C G EBF1_EBF_1 -20 0 + 0 0 . chr5 98928707 98928708 chr5:98928708:T:C rs190797416 T C T EBF1_EBF_1 -19 0 + 0 0 . chr5 98928708 98928709 chr5:98928709:C:T rs183722106 C T C EBF1_EBF_1 -18 0 + 0 0 . chr5 98997234 98997235 chr5:98997235:T:C rs6866990 T C T EBF1_EBF_1 -17 0 + 0 0 . chr5 99005815 99005816 chr5:99005816:G:A rs60347076 G A g EBF1_EBF_1 7 1 + 10.305865843218148 11.618324248506973 ATTCCCCGGGGAGA chr5 99038149 99038150 chr5:99038150:C:A rs542427390 C A C EBF1_EBF_1 20 0 + 0 0 . chr5 99143762 99143763 chr5:99143763:C:T rs7727116 C T C EBF1_EBF_1 -19 0 + 0 0 . chr5 99272710 99272711 chr5:99272711:C:T rs76685250 C T C EBF1_EBF_1 27 0 + 0 0 . chr5 100028309 100028310 chr5:100028310:G:C rs10041735 G C G EBF1_EBF_1 5 1 - 8.091401505404162 1.1865203527689934 TTACCCCTGGGACT chr5 100028326 100028327 chr5:100028327:G:A rs62388133 G A G EBF1_EBF_1 -12 0 - 0 0 . chr5 100052277 100052278 chr5:100052278:G:A rs944400032 G A - EBF1_EBF_1 4 1 - 4.208799980273909 -0.1605869646710149 ATCCCCCTGTGAAC chr5 100108663 100108664 chr5:100108664:C:G rs10039902 C G C EBF1_EBF_1 11 1 - 4.322792438420305 2.7995375841719268 AGTGCCCTGGGGCT chr5 100250045 100250046 chr5:100250046:G:A rs149127427 G A g EBF1_EBF_1 5 1 - 10.11425334858111 4.792466133040444 ATTCCCCAGGGGCA chr5 100535991 100535992 chr5:100535992:C:T rs185049199 C T C EBF1_EBF_1 4 1 + 4.743514902802578 0.3741279578576537 ACCCCCGTGGGCCT chr5 100536007 100536008 chr5:100536008:C:T rs115404031 C T C EBF1_EBF_1 20 0 + 0 0 . chr5 100615689 100615690 chr5:100615690:A:G rs423129 A G A EBF1_EBF_1 13 1 + 8.07695674286706 6.9626311707976205 ATTCCTAAGGGAAA chr5 100616767 100616768 chr5:100616768:G:A rs2456176 G A G EBF1_EBF_1 10 1 + 5.288294168263871 -0.029734291003093938 AGCCCCCAGGGTTA chr5 100683948 100683949 chr5:100683949:A:G rs189848565 A G A EBF1_EBF_1 15 0 - 0 0 . chr5 100913637 100913638 chr5:100913638:T:A rs17782250 T A A EBF1_EBF_1 12 1 - 6.282413429763374 5.2259654607911985 ATTCCCCAGAAAAT chr5 101008536 101008537 chr5:101008537:A:T rs10079398 A T A EBF1_EBF_1 -9 0 + 0 0 . chr5 101022320 101022321 chr5:101022321:G:A rs75089614 G A G EBF1_EBF_1 -15 0 - 0 0 . chr5 101047514 101047515 chr5:101047515:G:T rs76891138 G T G EBF1_EBF_1 -15 0 - 0 0 . chr5 101121764 101121765 chr5:101121765:A:C rs113299213 A C A EBF1_EBF_1 0 1 + 5.656381062711576 3.401122579014975 ATACCCTGGAGACA chr5 101239049 101239050 chr5:101239050:C:T rs6891030 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 101239067 101239068 chr5:101239068:C:G chr5:101239068:C:G C G C EBF1_EBF_1 1 1 - 5.417962158259899 5.228190503690681 AGCCCCCCGGGGTT chr5 101347171 101347172 chr5:101347172:C:T rs78090989 C T T EBF1_EBF_1 0 1 + 6.976593575862547 7.258795636593125 CCTCCCCAGGGTCT chr5 101425702 101425703 chr5:101425703:C:A rs11955266 C A C EBF1_EBF_1 10 1 - 6.358878924918804 2.1761267386915395 TTTCCCAGGGGTCC chr5 101529254 101529255 chr5:101529255:C:T rs350543 C T C EBF1_EBF_1 24 0 - 0 0 . chr5 101529281 101529282 chr5:101529282:A:G rs350542 A G G EBF1_EBF_1 -3 0 - 0 0 . chr5 101567318 101567319 chr5:101567319:T:C rs6874019 T C T EBF1_EBF_1 32 0 + 0 0 . chr5 101608716 101608717 chr5:101608717:G:C rs7720566 G C G EBF1_EBF_1 24 0 - 0 0 . chr5 101682362 101682363 chr5:101682363:T:A rs72770514 T A T EBF1_EBF_1 18 0 + 0 0 . chr5 101762099 101762100 chr5:101762100:G:A rs7731069 G A A EBF1_EBF_1 27 0 + 0 0 . chr5 101882569 101882570 chr5:101882570:A:G rs9327692 A G G EBF1_EBF_1 0 1 - 4.991605646470961 4.709403585740384 TGTCCCAGGGGCAA chr5 101942625 101942626 chr5:101942626:G:A rs1001623921 G A G EBF1_EBF_1 2 1 - 8.209590170455476 10.098413581897626 CTCCCCAAGGGAGC chr5 101995994 101995995 chr5:101995995:G:T rs4515271 G T T EBF1_EBF_1 -13 0 + 0 0 . chr5 102036715 102036716 chr5:102036716:C:T rs4342300 C T C EBF1_EBF_1 8 1 - 5.046053697792394 0.8197636089061331 TCTGCCAAGGGACC chr5 102242874 102242875 chr5:102242875:G:A rs10038686 G A A EBF1_EBF_1 6 1 - 3.410139063554902 3.711425192416658 ACCCCCCGGGGCAG chr5 102295225 102295226 chr5:102295226:T:A rs841921 T A T EBF1_EBF_1 -10 0 + 0 0 . chr5 102346581 102346582 chr5:102346582:G:C rs1839925 G C G EBF1_EBF_1 9 1 + 5.552859319174159 0.4771701728286954 AGTGCCAGGGGAAA chr5 102421164 102421165 chr5:102421165:T:C rs2167586 T C t EBF1_EBF_1 -18 0 - 0 0 . chr5 102537846 102537847 chr5:102537847:T:C rs58631732 T C C EBF1_EBF_1 -5 0 + 0 0 . chr5 102659765 102659766 chr5:102659766:T:C rs1505416 T C C EBF1_EBF_1 -6 0 + 0 0 . chr5 102738358 102738359 chr5:102738359:C:T rs2432168 C T C EBF1_EBF_1 18 0 + 0 0 . chr5 102749564 102749565 chr5:102749565:T:A rs2458659 T A T EBF1_EBF_1 22 0 - 0 0 . chr5 102754989 102754990 chr5:102754990:C:G rs572961450 C G C EBF1_EBF_1 28 0 - 0 0 . chr5 102754990 102754991 chr5:102754991:G:A rs540236845 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 102755108 102755109 chr5:102755109:G:T rs564274583 G T G EBF1_EBF_1 29 0 - 0 0 . chr5 102755683 102755684 chr5:102755684:C:T rs32670 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 102756114 102756115 chr5:102756115:G:A rs76596203 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 102756115 102756116 chr5:102756116:G:A rs144437740 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 102756148 102756149 chr5:102756149:G:A rs78407202 G A G EBF1_EBF_1 -8 0 - 0 0 . chr5 103057407 103057408 chr5:103057408:A:T rs12109860 A T A EBF1_EBF_1 15 0 + 0 0 . chr5 103120141 103120142 chr5:103120142:C:A rs113295156 C A C EBF1_EBF_1 30 0 - 0 0 . chr5 103259333 103259334 chr5:103259334:G:T rs774781142 G T g EBF1_EBF_1 0 1 - 3.7656886273521386 6.0209471110487405 CCTCCCCAGCGAGC chr5 103259351 103259352 chr5:103259352:C:T rs193032746 C T c EBF1_EBF_1 -18 0 - 0 0 . chr5 103297656 103297657 chr5:103297657:G:A rs365975 G A A EBF1_EBF_1 2 1 - 7.114262606583659 9.003086018025806 AACCCCTTGGGGAA chr5 103413740 103413741 chr5:103413741:T:G rs141261408 T G T EBF1_EBF_1 14 0 - 0 0 . chr5 103425492 103425493 chr5:103425493:A:G rs3857357 A G A EBF1_EBF_1 17 0 + 0 0 . chr5 103441791 103441792 chr5:103441792:A:G rs147078165 A G A EBF1_EBF_1 -5 0 - 0 0 . chr5 103488665 103488666 chr5:103488666:A:G rs291849 A G A EBF1_EBF_1 23 0 - 0 0 . chr5 103505986 103505987 chr5:103505987:T:C rs291870 T C C EBF1_EBF_1 25 0 + 0 0 . chr5 103562333 103562334 chr5:103562334:A:C rs1422041 A C A EBF1_EBF_1 -8 0 - 0 0 . chr5 103563218 103563219 chr5:103563219:A:G rs367888351 A G a EBF1_EBF_1 -6 0 + 0 0 . chr5 103664091 103664092 chr5:103664092:G:A rs1288875 G A G EBF1_EBF_1 8 1 + 7.966416129426901 3.7401260405406385 CCTCCCCCGGGAAC chr5 103724255 103724256 chr5:103724256:A:G rs7727199 A G A EBF1_EBF_1 25 0 + 0 0 . chr5 104171829 104171830 chr5:104171830:A:C rs10515360 A C A EBF1_EBF_1 32 0 - 0 0 . chr5 104171853 104171854 chr5:104171854:C:T rs36006312 C T C EBF1_EBF_1 8 1 - 8.670264802739796 4.443974713853535 ATCCCCTGGAGACT chr5 104187149 104187150 chr5:104187150:T:C rs2403359 T C T EBF1_EBF_1 -10 0 - 0 0 . chr5 104362407 104362408 chr5:104362408:G:T rs74862183 G T G EBF1_EBF_1 19 0 + 0 0 . chr5 104362408 104362409 chr5:104362409:A:T rs77056050 A T A EBF1_EBF_1 20 0 + 0 0 . chr5 104401906 104401907 chr5:104401907:A:G rs2963209 A G A EBF1_EBF_1 28 0 + 0 0 . chr5 104498578 104498579 chr5:104498579:A:G rs75372595 A G A EBF1_EBF_1 -2 0 + 0 0 . chr5 104788437 104788438 chr5:104788438:C:A rs36124344 C A C EBF1_EBF_1 1 1 + 6.910309334077052 6.839382156724968 ACCCCCATGGGCCT chr5 104952088 104952089 chr5:104952089:T:C rs13183639 T C t EBF1_EBF_1 18 0 + 0 0 . chr5 105121099 105121100 chr5:105121100:A:T rs7723581 A T T EBF1_EBF_1 -7 0 + 0 0 . chr5 105392402 105392403 chr5:105392403:C:T rs112264697 C T C EBF1_EBF_1 4 1 + 3.855921154440768 -0.5134657905041555 AGCCCCCAGGGCTG chr5 105532160 105532161 chr5:105532161:T:C rs13185979 T C T EBF1_EBF_1 -16 0 + 0 0 . chr5 105582259 105582260 chr5:105582260:C:A rs1593032 C A A EBF1_EBF_1 -3 0 - 0 0 . chr5 105582657 105582658 chr5:105582658:C:T rs79018744 C T C EBF1_EBF_1 6 1 + 5.076819393076844 5.378105521938601 AATCCCCTTGGAAC chr5 105619892 105619893 chr5:105619893:C:T rs56057326 C T C EBF1_EBF_1 -8 0 - 0 0 . chr5 105623110 105623111 chr5:105623111:G:C rs13177590 G C G EBF1_EBF_1 9 1 + 5.232524070986965 0.15683492464150084 AATCCCAGGGTGCT chr5 105690124 105690125 chr5:105690125:T:C rs34111207 T C C EBF1_EBF_1 -5 0 + 0 0 . chr5 105745880 105745881 chr5:105745881:T:A rs13153013 T A T EBF1_EBF_1 -6 0 - 0 0 . chr5 105868252 105868253 chr5:105868253:G:A rs72779372 G A G EBF1_EBF_1 15 0 + 0 0 . chr5 105892160 105892161 chr5:105892161:A:T rs72779386 A T A EBF1_EBF_1 -15 0 + 0 0 . chr5 105939242 105939243 chr5:105939243:A:G rs1404169 A G A EBF1_EBF_1 2 1 - 8.158002119050462 6.26917870760831 GGTCCCCAGAGAAC chr5 106436930 106436931 chr5:106436931:C:T rs11951214 C T . EBF1_EBF_1 24 0 + 0 0 . chr5 106495677 106495678 chr5:106495678:A:G rs4334870 A G A EBF1_EBF_1 -16 0 + 0 0 . chr5 106578732 106578733 chr5:106578733:A:G rs72788024 A G A EBF1_EBF_1 -12 0 - 0 0 . chr5 106656781 106656782 chr5:106656782:C:A rs11242599 C A C EBF1_EBF_1 -18 0 - 0 0 . chr5 106849768 106849769 chr5:106849769:C:T rs295047 C T T EBF1_EBF_1 -6 0 - 0 0 . chr5 106914911 106914912 chr5:106914912:G:A rs191831128 G A G EBF1_EBF_1 28 0 - 0 0 . chr5 106979070 106979071 chr5:106979071:C:G rs144466441 C G c EBF1_EBF_1 3 1 + 8.292644213185827 2.4648797650706102 CCTCCCTTGGGAGA chr5 107024180 107024181 chr5:107024181:T:C rs11749538 T C T EBF1_EBF_1 16 0 - 0 0 . chr5 107024199 107024200 chr5:107024200:T:C rs28452951 T C T EBF1_EBF_1 -3 0 - 0 0 . chr5 107090878 107090879 chr5:107090879:T:G rs74944897 T G T EBF1_EBF_1 7 1 + 6.035781271941801 5.1995971067405335 ACTCCCTTAGGGCT chr5 107090888 107090889 chr5:107090889:A:T rs6884885 A T T EBF1_EBF_1 17 0 + 0 0 . chr5 107094523 107094524 chr5:107094524:G:A rs6885021 G A A EBF1_EBF_1 4 1 - 4.259646849305395 -0.10974009563952973 ACTCCCCAGTGAGC chr5 107365593 107365594 chr5:107365594:G:A rs6884177 G A A EBF1_EBF_1 -4 0 - 0 0 . chr5 107666319 107666320 chr5:107666320:A:G rs26735 A G A EBF1_EBF_1 -10 0 - 0 0 . chr5 107670252 107670253 chr5:107670253:T:C rs570940344 T C T EBF1_EBF_1 23 0 - 0 0 . chr5 107671565 107671566 chr5:107671566:C:G rs376704281 C G C EBF1_EBF_1 -9 0 - 0 0 . chr5 107673310 107673311 chr5:107673311:A:G rs77813573 A G A EBF1_EBF_1 8 1 + 6.4787183597453355 10.705008448631595 ACTCCCCAAAGAAT chr5 107673614 107673615 chr5:107673615:G:A rs529483032 G A G EBF1_EBF_1 28 0 - 0 0 . chr5 107673616 107673617 chr5:107673617:T:G chr5:107673617:T:G T G T EBF1_EBF_1 26 0 - 0 0 . chr5 107673674 107673675 chr5:107673675:G:A rs140172065 G A G EBF1_EBF_1 7 1 + 7.542522876229149 8.854981281517974 ATCCCCAGGAGAAA chr5 107676256 107676257 chr5:107676257:A:G rs6596744 A G A EBF1_EBF_1 1 1 - 8.325958155918276 7.4305247155195335 ATTCCCTGGAGAGC chr5 107705648 107705649 chr5:107705649:T:A rs6891467 T A A EBF1_EBF_1 -8 0 - 0 0 . chr5 107767180 107767181 chr5:107767181:G:A rs152473 G A G EBF1_EBF_1 33 0 + 0 0 . chr5 107794717 107794718 chr5:107794718:G:A rs6871240 G A G EBF1_EBF_1 11 1 - 7.469746077038529 7.787793518792193 ATTCCCAGGGGCGT chr5 107856508 107856509 chr5:107856509:T:A rs13155942 T A T EBF1_EBF_1 -2 0 - 0 0 . chr5 107861718 107861719 chr5:107861719:G:A rs61749621 G A G EBF1_EBF_1 -16 0 - 0 0 . chr5 107876153 107876154 chr5:107876154:G:C rs983630647 G C G EBF1_EBF_1 30 0 + 0 0 . chr5 107918238 107918239 chr5:107918239:C:T rs183387014 C T C EBF1_EBF_1 -13 0 - 0 0 . chr5 108121652 108121653 chr5:108121653:A:G rs185935 A G G EBF1_EBF_1 2 1 - 5.36787859092535 3.4790551794832005 GATCCCTGGAGACG chr5 108350331 108350332 chr5:108350332:G:A rs6594299 G A A EBF1_EBF_1 -8 0 - 0 0 . chr5 108380564 108380565 chr5:108380565:C:G rs910880456 C G C EBF1_EBF_1 0 1 + 7.573564613751135 7.936539227882903 CTCCCCTTGGGACG chr5 108459642 108459643 chr5:108459643:C:T rs114325578 C T C EBF1_EBF_1 -14 0 + 0 0 . chr5 108472935 108472936 chr5:108472936:T:G rs10038570 T G T EBF1_EBF_1 32 0 - 0 0 . chr5 108472939 108472940 chr5:108472940:G:C rs2112304 G C G EBF1_EBF_1 28 0 - 0 0 . chr5 108488148 108488149 chr5:108488149:G:A rs17389511 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 108521254 108521255 chr5:108521255:G:A rs73215827 G A A EBF1_EBF_1 -10 0 - 0 0 . chr5 108538326 108538327 chr5:108538327:G:A rs187197556 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 108654151 108654152 chr5:108654152:A:G rs948919977 A G A EBF1_EBF_1 -16 0 - 0 0 . chr5 108787469 108787470 chr5:108787470:C:G rs2081127 C G G EBF1_EBF_1 -17 0 - 0 0 . chr5 108788634 108788635 chr5:108788635:G:A rs10477428 G A g EBF1_EBF_1 28 0 - 0 0 . chr5 108820916 108820917 chr5:108820917:G:C rs9326749 G C G EBF1_EBF_1 15 0 + 0 0 . chr5 108894589 108894590 chr5:108894590:A:G rs10058035 A G A EBF1_EBF_1 -6 0 + 0 0 . chr5 108998151 108998152 chr5:108998152:C:G rs7719229 C G C EBF1_EBF_1 -13 0 - 0 0 . chr5 109022243 109022244 chr5:109022244:A:C rs73780406 A C A EBF1_EBF_1 2 1 - 7.92177433181512 1.1734486416146517 CTTCCCTTGAGACA chr5 109054111 109054112 chr5:109054112:C:T rs73213520 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 109168354 109168355 chr5:109168355:A:G rs72798574 A G G EBF1_EBF_1 29 0 + 0 0 . chr5 109231519 109231520 chr5:109231520:G:A rs62376831 G A G EBF1_EBF_1 28 0 + 0 0 . chr5 109242137 109242138 chr5:109242138:T:G rs10039717 T G G EBF1_EBF_1 27 0 + 0 0 . chr5 109244187 109244188 chr5:109244188:T:C rs1968228 T C T EBF1_EBF_1 6 1 + 7.83170516402633 7.530419035164574 AATCCCTGGGGCCT chr5 109250859 109250860 chr5:109250860:C:T rs12519019 C T C EBF1_EBF_1 -9 0 + 0 0 . chr5 109255577 109255578 chr5:109255578:T:C rs1363212 T C t EBF1_EBF_1 28 0 - 0 0 . chr5 109282350 109282351 chr5:109282351:G:A rs7735608 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 109329475 109329476 chr5:109329476:G:A rs78581599 G A G EBF1_EBF_1 19 0 + 0 0 . chr5 109346068 109346069 chr5:109346069:A:G rs438173 A G A EBF1_EBF_1 16 0 + 0 0 . chr5 109347381 109347382 chr5:109347382:A:T rs527707295 A T A EBF1_EBF_1 22 0 + 0 0 . chr5 109347546 109347547 chr5:109347547:G:C rs413387 G C G EBF1_EBF_1 25 0 - 0 0 . chr5 109374997 109374998 chr5:109374998:A:G rs7720036 A G G EBF1_EBF_1 12 1 + 6.887674125413192 5.468856783313715 ATTCCCCTTGGAAT chr5 109388447 109388448 chr5:109388448:T:C rs3853631 T C T EBF1_EBF_1 29 0 + 0 0 . chr5 109388448 109388449 chr5:109388449:C:T rs3853632 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 109509018 109509019 chr5:109509019:G:A rs11747622 G A G EBF1_EBF_1 1 1 - 10.198552810122711 11.093986250521455 ACTCCCCTGAGACT chr5 109689598 109689599 chr5:109689599:C:T rs114390733 C T C EBF1_EBF_1 -4 0 - 0 0 . chr5 109691304 109691305 chr5:109691305:C:A rs778061186 C A C EBF1_EBF_1 19 0 - 0 0 . chr5 109813240 109813241 chr5:109813241:G:C rs1111213 G C G EBF1_EBF_1 13 1 - 6.397713391628493 4.909642370273078 TATCCCCAGAGAGC chr5 109856333 109856334 chr5:109856334:C:G rs4406176 C G G EBF1_EBF_1 -9 0 - 0 0 . chr5 109865638 109865639 chr5:109865639:G:A rs138905487 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 109865649 109865650 chr5:109865650:G:T rs149422923 G T G EBF1_EBF_1 15 0 - 0 0 . chr5 109875224 109875225 chr5:109875225:A:C rs7711982 A C A EBF1_EBF_1 -14 0 - 0 0 . chr5 109944538 109944539 chr5:109944539:C:T rs17639064 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 109996936 109996937 chr5:109996937:G:A rs770978595 G A G EBF1_EBF_1 -17 0 + 0 0 . chr5 110031976 110031977 chr5:110031977:G:A rs75866737 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 110072778 110072779 chr5:110072779:C:T rs77442541 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 110072784 110072785 chr5:110072785:C:T rs12054775 C T C EBF1_EBF_1 4 1 + 6.424200573810515 2.054813628865591 ACCCCCTAGGAACT chr5 110077369 110077370 chr5:110077370:A:G rs62377115 A G A EBF1_EBF_1 22 0 + 0 0 . chr5 110139216 110139217 chr5:110139217:T:C rs74805896 T C T EBF1_EBF_1 1 1 + 8.431475992246535 7.536042551847791 TTCCCCTAGGGAGC chr5 110288956 110288957 chr5:110288957:G:C rs7712521 G C C EBF1_EBF_1 -12 0 - 0 0 . chr5 110342865 110342866 chr5:110342866:C:T rs141528681 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 110376687 110376688 chr5:110376688:G:A rs879867325 G A G EBF1_EBF_1 10 1 + 5.072278154269062 -0.24575030499790335 GCTCCCAGAGGAAA chr5 110469801 110469802 chr5:110469802:T:C rs313603 T C T EBF1_EBF_1 25 0 - 0 0 . chr5 110537026 110537027 chr5:110537027:G:A rs535493009 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 110703390 110703391 chr5:110703391:C:G rs4391218 C G C EBF1_EBF_1 4 1 + 5.302771425665421 -0.3229936754841228 ACTGCCTGGGGAAA chr5 110769152 110769153 chr5:110769153:G:A rs193436 G A A EBF1_EBF_1 31 0 - 0 0 . chr5 110769181 110769182 chr5:110769182:A:T rs111944218 A T A EBF1_EBF_1 2 1 - 5.137908967781666 1.4534413801827897 CATCCCTCGAGACA chr5 110797205 110797206 chr5:110797206:T:G rs173436 T G G EBF1_EBF_1 -9 0 + 0 0 . chr5 111072974 111072975 chr5:111072975:G:A rs11466740 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 111073230 111073231 chr5:111073231:G:C rs190304866 G C G EBF1_EBF_1 -18 0 + 0 0 . chr5 111122717 111122718 chr5:111122718:G:A rs6889889 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 111144110 111144111 chr5:111144111:G:A rs9326824 G A G EBF1_EBF_1 -12 0 - 0 0 . chr5 111224892 111224893 chr5:111224893:G:A rs62376846 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 111237184 111237185 chr5:111237185:T:G rs17521010 T G T EBF1_EBF_1 6 1 - 4.924299540214962 4.562697172413685 AACCCCATGGGTGC chr5 111254859 111254860 chr5:111254860:T:C rs149076606 T C T EBF1_EBF_1 13 1 - 12.331479804776922 11.217154232707482 AGTCCCCAGGGAAA chr5 111316270 111316271 chr5:111316271:A:G rs80016777 A G A EBF1_EBF_1 -16 0 - 0 0 . chr5 111463407 111463408 chr5:111463408:T:G rs173681 T G G EBF1_EBF_1 17 0 + 0 0 . chr5 111512169 111512170 chr5:111512170:G:T rs57794102 G T g EBF1_EBF_1 -6 0 + 0 0 . chr5 111512183 111512184 chr5:111512184:G:C rs147486711 G C G EBF1_EBF_1 8 1 + 3.836929411484967 -1.4817294494297186 CCTCCCAGGGGCTC chr5 111550866 111550867 chr5:111550867:C:T rs143117442 C T C EBF1_EBF_1 21 0 - 0 0 . chr5 111550882 111550883 chr5:111550883:G:C rs186725615 G C G EBF1_EBF_1 5 1 - 6.119453986826074 -0.7854271658090946 GCTCCCCAGGAACT chr5 111550894 111550895 chr5:111550895:A:C rs79964527 A C A EBF1_EBF_1 -7 0 - 0 0 . chr5 111550894 111550895 chr5:111550895:A:G chr5:111550895:A:G A G A EBF1_EBF_1 -7 0 - 0 0 . chr5 111641659 111641660 chr5:111641660:C:T rs11241133 C T C EBF1_EBF_1 -18 0 + 0 0 . chr5 111681207 111681208 chr5:111681208:T:C rs7702562 T C T EBF1_EBF_1 1 1 + 6.017588565685002 5.122155125286257 ATTCCAATGGGAAA chr5 111681212 111681213 chr5:111681213:A:G rs7720124 A G A EBF1_EBF_1 6 1 + 6.017588565685002 3.8507941344105268 ATTCCAATGGGAAA chr5 111681239 111681240 chr5:111681240:T:G rs72663311 T G T EBF1_EBF_1 33 0 + 0 0 . chr5 111686165 111686166 chr5:111686166:A:G rs17133596 A G A EBF1_EBF_1 16 0 - 0 0 . chr5 111696395 111696396 chr5:111696396:A:T rs26038 A T A EBF1_EBF_1 -6 0 + 0 0 . chr5 111758282 111758283 chr5:111758283:G:A rs140594578 G A G EBF1_EBF_1 -12 0 + 0 0 . chr5 111758290 111758291 chr5:111758291:A:T chr5:111758291:A:T A T A EBF1_EBF_1 -4 0 + 0 0 . chr5 111772639 111772640 chr5:111772640:C:T rs186459037 C T C EBF1_EBF_1 3 1 + 4.907550016271994 -1.4978487252813233 CCTCCCCCGAGACA chr5 111830404 111830405 chr5:111830405:G:C rs1027828205 G C G EBF1_EBF_1 -14 0 + 0 0 . chr5 111830419 111830420 chr5:111830420:G:C rs58553664 G C G EBF1_EBF_1 1 1 + 5.265510266960963 5.075738612391746 AGCCCCAGAGGAAA chr5 111936998 111936999 chr5:111936999:C:T rs624694 C T T EBF1_EBF_1 30 0 + 0 0 . chr5 111966264 111966265 chr5:111966265:G:T rs11241146 G T G EBF1_EBF_1 32 0 - 0 0 . chr5 111984309 111984310 chr5:111984310:A:G rs75459491 A G A EBF1_EBF_1 -6 0 - 0 0 . chr5 112003705 112003706 chr5:112003706:A:G rs26561 A G A EBF1_EBF_1 23 0 - 0 0 . chr5 112128375 112128376 chr5:112128376:G:C rs77809321 G C G EBF1_EBF_1 -9 0 + 0 0 . chr5 112160900 112160901 chr5:112160901:G:T rs17134162 G T G EBF1_EBF_1 19 0 - 0 0 . chr5 112282581 112282582 chr5:112282582:T:C rs57189754 T C T EBF1_EBF_1 -16 0 + 0 0 . chr5 112420292 112420293 chr5:112420293:C:A rs181869449 C A C EBF1_EBF_1 -11 0 + 0 0 . chr5 112420319 112420320 chr5:112420320:G:A rs551836619 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 112539464 112539465 chr5:112539465:C:T rs10055787 C T T EBF1_EBF_1 -14 0 + 0 0 . chr5 112584928 112584929 chr5:112584929:G:A rs10066425 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 112628744 112628745 chr5:112628745:G:C rs4705633 G C C EBF1_EBF_1 29 0 - 0 0 . chr5 112708362 112708363 chr5:112708363:C:G rs183966578 C G C EBF1_EBF_1 5 1 + 4.4643085527705955 -2.4405725998645744 AGTCCCCAGGAGCC chr5 112823864 112823865 chr5:112823865:A:G rs2545159 A G C EBF1_EBF_1 27 0 - 0 0 . chr5 112883442 112883443 chr5:112883443:G:A rs818797 G A A EBF1_EBF_1 5 1 - 4.640409177188814 -0.6813780383518557 TATCCCTGGGGCCA chr5 112916368 112916369 chr5:112916369:C:T rs149192 C T c EBF1_EBF_1 -6 0 + 0 0 . chr5 113038928 113038929 chr5:113038929:G:T rs74629655 G T G EBF1_EBF_1 33 0 - 0 0 . chr5 113058805 113058806 chr5:113058806:G:C rs4705763 G C G EBF1_EBF_1 32 0 - 0 0 . chr5 113058829 113058830 chr5:113058830:T:C rs55955995 T C T EBF1_EBF_1 8 1 - 5.953060044331214 10.179350133217474 ACTCCCACAGGACA chr5 113058837 113058838 chr5:113058838:T:G rs4705764 T G G EBF1_EBF_1 0 1 - 5.953060044331214 3.6978015606346135 ACTCCCACAGGACA chr5 113059435 113059436 chr5:113059436:T:G rs139963735 T G T EBF1_EBF_1 2 1 + 7.302350562640738 0.5540248724402699 TCTCCCAAGGGCCT chr5 113060476 113060477 chr5:113060477:G:A rs3922410 G A G EBF1_EBF_1 13 1 - 3.884482829150456 4.728976943735975 CACCCCCAGGGCAC chr5 113081665 113081666 chr5:113081666:T:C rs4235789 T C C EBF1_EBF_1 -6 0 - 0 0 . chr5 113114480 113114481 chr5:113114481:C:T rs13183472 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 113214202 113214203 chr5:113214203:C:T rs58879623 C T C EBF1_EBF_1 -8 0 + 0 0 . chr5 113214220 113214221 chr5:113214221:T:C rs60185446 T C T EBF1_EBF_1 10 1 + 6.6734664861377855 3.965416285035575 ATTCCCCTGGTGCT chr5 113266864 113266865 chr5:113266865:G:T rs17135519 G T T EBF1_EBF_1 4 1 - 5.087026811965991 -0.7375328114874757 GTTCCCTTGAGCCT chr5 113381820 113381821 chr5:113381821:A:G rs13354840 A G A EBF1_EBF_1 17 0 + 0 0 . chr5 113381848 113381849 chr5:113381849:C:A rs7731231 C A C EBF1_EBF_1 4 1 + 3.9963215927499225 -1.8282380307035448 AACCCCAAGGCACC chr5 113447649 113447650 chr5:113447650:G:T rs466564 G T g EBF1_EBF_1 23 0 + 0 0 . chr5 113450495 113450496 chr5:113450496:C:A rs79406636 C A c EBF1_EBF_1 -2 0 + 0 0 . chr5 113489726 113489727 chr5:113489727:G:A rs3846722 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 113730870 113730871 chr5:113730871:G:C rs4705579 G C C EBF1_EBF_1 -4 0 + 0 0 . chr5 113730879 113730880 chr5:113730880:C:A rs4705580 C A C EBF1_EBF_1 5 1 + 5.00570508137108 -1.8991760712640895 TCCCCCTAGGGGTC chr5 114008183 114008184 chr5:114008184:G:A rs71577480 G A G EBF1_EBF_1 4 1 - 6.616908037409077 2.247521092464153 ACCCCCAGGAGACA chr5 114243787 114243788 chr5:114243788:C:T rs4505938 C T C EBF1_EBF_1 27 0 + 0 0 . chr5 114259260 114259261 chr5:114259261:C:T rs72799607 C T C EBF1_EBF_1 18 0 + 0 0 . chr5 114296633 114296634 chr5:114296634:G:A rs143940886 G A G EBF1_EBF_1 -7 0 - 0 0 . chr5 114378543 114378544 chr5:114378544:G:A rs4705503 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 114418910 114418911 chr5:114418911:G:C rs188764782 G C G EBF1_EBF_1 -12 0 - 0 0 . chr5 114449179 114449180 chr5:114449180:T:C rs67647257 T C T EBF1_EBF_1 -9 0 + 0 0 . chr5 114450717 114450718 chr5:114450718:A:G rs17136613 A G A EBF1_EBF_1 19 0 - 0 0 . chr5 114458961 114458962 chr5:114458962:C:G rs12153101 C G c EBF1_EBF_1 31 0 - 0 0 . chr5 114497319 114497320 chr5:114497320:G:T rs1895776 G T g EBF1_EBF_1 25 0 + 0 0 . chr5 114624615 114624616 chr5:114624616:G:A rs72803682 G A G EBF1_EBF_1 -1 0 + 0 0 . chr5 114625995 114625996 chr5:114625996:T:A rs1425547 T A A EBF1_EBF_1 -6 0 + 0 0 . chr5 114829663 114829664 chr5:114829664:C:A rs6594839 C A A EBF1_EBF_1 -4 0 - 0 0 . chr5 114873989 114873990 chr5:114873990:G:T rs79252135 G T G EBF1_EBF_1 3 1 - 5.399728747936351 -1.506445281529456 TTTCCCCTGAGAGG chr5 114876598 114876599 chr5:114876599:C:T rs6864813 C T C EBF1_EBF_1 4 1 + 4.924050155839824 0.5546632108948996 AGCCCCCAGGAAGT chr5 114981848 114981849 chr5:114981849:A:G rs1453025 A G A EBF1_EBF_1 7 1 - 7.221179111814048 5.403674365531424 GTTCCCATGGTAAC chr5 115169851 115169852 chr5:115169852:G:T chr5:115169852:G:T G T G EBF1_EBF_1 14 0 - 0 0 . chr5 115169854 115169855 chr5:115169855:T:C rs537463220 T C T EBF1_EBF_1 11 1 - 5.53616115738918 2.6434543137851687 ATTCCCGGGGTAGT chr5 115329701 115329702 chr5:115329702:A:G rs2964564 A G A EBF1_EBF_1 15 0 + 0 0 . chr5 115343154 115343155 chr5:115343155:G:A rs2925179 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 115349758 115349759 chr5:115349759:G:A rs269504 G A A EBF1_EBF_1 -6 0 - 0 0 . chr5 115351944 115351945 chr5:115351945:G:A rs1455845 G A G EBF1_EBF_1 8 1 + 7.756444414098803 3.53015432521254 TTCCCCCAGGGAGA chr5 115389552 115389553 chr5:115389553:T:C rs13158926 T C T EBF1_EBF_1 -12 0 - 0 0 . chr5 115406813 115406814 chr5:115406814:G:T rs35517227 G T G EBF1_EBF_1 20 0 - 0 0 . chr5 115477773 115477774 chr5:115477774:T:G rs2255735 T G G EBF1_EBF_1 17 0 + 0 0 . chr5 115545075 115545076 chr5:115545076:G:C rs6868540 G C G EBF1_EBF_1 -5 0 + 0 0 . chr5 115583599 115583600 chr5:115583600:G:A rs554493008 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 115649335 115649336 chr5:115649336:A:T rs11743277 A T a EBF1_EBF_1 1 1 + 5.966089154625463 6.932449772376294 AATCCTAAGGGACG chr5 115735810 115735811 chr5:115735811:G:C rs12651799 G C c EBF1_EBF_1 28 0 + 0 0 . chr5 115749974 115749975 chr5:115749975:C:T rs115779529 C T c EBF1_EBF_1 24 0 - 0 0 . chr5 115750186 115750187 chr5:115750187:T:G rs442756 T G g EBF1_EBF_1 -11 0 - 0 0 . chr5 115815758 115815759 chr5:115815759:C:T rs562372589 C T C EBF1_EBF_1 13 1 + 8.728078147741812 9.57257226232733 GCTCCCCTGGGAGC chr5 115816073 115816074 chr5:115816074:C:G rs113044746 C G C EBF1_EBF_1 18 0 + 0 0 . chr5 115841638 115841639 chr5:115841639:G:C rs141970307 G C G EBF1_EBF_1 -15 0 - 0 0 . chr5 115841902 115841903 chr5:115841903:A:G rs573530420 A G A EBF1_EBF_1 20 0 + 0 0 . chr5 115934728 115934729 chr5:115934729:C:T rs6873890 C T T EBF1_EBF_1 -18 0 + 0 0 . chr5 115934734 115934735 chr5:115934735:A:G rs6873752 A G G EBF1_EBF_1 -12 0 + 0 0 . chr5 115948413 115948414 chr5:115948414:G:T rs2115036 G T G EBF1_EBF_1 -12 0 + 0 0 . chr5 115948414 115948415 chr5:115948415:T:C rs1030466 T C T EBF1_EBF_1 -11 0 + 0 0 . chr5 115965293 115965294 chr5:115965294:G:A rs10068638 G A G EBF1_EBF_1 31 0 + 0 0 . chr5 116089331 116089332 chr5:116089332:A:G rs7733992 A G G EBF1_EBF_1 33 0 + 0 0 . chr5 116113414 116113415 chr5:116113415:C:T rs77863323 C T C EBF1_EBF_1 10 1 - 6.653492475203431 1.3354640159364648 ATTCCCAAAGGGAC chr5 116289562 116289563 chr5:116289563:C:A rs76292674 C A C EBF1_EBF_1 33 0 - 0 0 . chr5 116304905 116304906 chr5:116304906:C:G rs17139457 C G C EBF1_EBF_1 5 1 + 6.859413935254419 -0.045467217380749325 AGTCCCTTGGTAAG chr5 116358703 116358704 chr5:116358704:C:A rs76652211 C A C EBF1_EBF_1 -7 0 + 0 0 . chr5 116358712 116358713 chr5:116358713:C:G rs28031 C G G EBF1_EBF_1 2 1 + 4.745370298222114 -0.11413198053620177 CACCTCCAGGGACT chr5 116361338 116361339 chr5:116361339:G:C rs1529443 G C G EBF1_EBF_1 -12 0 - 0 0 . chr5 116378554 116378555 chr5:116378555:G:A rs10066891 G A G EBF1_EBF_1 12 1 - 5.411086047532825 4.384819476981975 CTTCCCCAAGGACG chr5 116442608 116442609 chr5:116442609:C:T rs1167528112 C T C EBF1_EBF_1 5 1 + 5.068784852516803 -0.25300236302386775 AACCTCTAGGGAGC chr5 116442635 116442636 chr5:116442636:G:C rs73781084 G C T EBF1_EBF_1 32 0 + 0 0 . chr5 116486695 116486696 chr5:116486696:G:A rs2059874 G A G EBF1_EBF_1 10 1 + 8.286865979226436 2.96883751995947 AACCCCCTGGGAGA chr5 116493276 116493277 chr5:116493277:T:C rs74985891 T C T EBF1_EBF_1 -1 0 - 0 0 . chr5 116496359 116496360 chr5:116496360:G:A rs41099 G A A EBF1_EBF_1 33 0 - 0 0 . chr5 116528881 116528882 chr5:116528882:G:A rs153645 G A A EBF1_EBF_1 -12 0 - 0 0 . chr5 116549762 116549763 chr5:116549763:C:T rs73256746 C T C EBF1_EBF_1 24 0 + 0 0 . chr5 116561828 116561829 chr5:116561829:A:C rs141380646 A C A EBF1_EBF_1 12 1 - 7.6113066766893525 7.248937303562051 ATTCTCCAGGGATA chr5 116577205 116577206 chr5:116577206:G:A rs150337557 G A G EBF1_EBF_1 26 0 + 0 0 . chr5 116577508 116577509 chr5:116577509:G:C rs11953558 G C C EBF1_EBF_1 17 0 - 0 0 . chr5 116605866 116605867 chr5:116605867:A:G rs6865713 A G A EBF1_EBF_1 31 0 + 0 0 . chr5 116625473 116625474 chr5:116625474:G:T rs58362346 G T G EBF1_EBF_1 3 1 - 9.717127836269773 2.8109538068039686 AATCCCTAGAGAAA chr5 116659078 116659079 chr5:116659079:C:A rs1948429 C A A EBF1_EBF_1 8 1 - 8.627125529428397 1.7356927404512024 GATCCCATGAGAAT chr5 116737682 116737683 chr5:116737683:C:T rs150600334 C T C EBF1_EBF_1 8 1 - 5.677555256103142 1.4512651672168806 CCTCCCTGGGGCAT chr5 116771714 116771715 chr5:116771715:G:A rs201993349 G A G EBF1_EBF_1 -11 0 + 0 0 . chr5 116844779 116844780 chr5:116844780:C:T rs62374470 C T C EBF1_EBF_1 -11 0 + 0 0 . chr5 116938673 116938674 chr5:116938674:C:A rs73782972 C A c EBF1_EBF_1 32 0 + 0 0 . chr5 116939831 116939832 chr5:116939832:G:A rs62374553 G A G EBF1_EBF_1 19 0 + 0 0 . chr5 116940384 116940385 chr5:116940385:G:A rs112690513 G A G EBF1_EBF_1 -7 0 - 0 0 . chr5 116946757 116946758 chr5:116946758:C:T rs59419128 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 116958908 116958909 chr5:116958909:A:C rs10037847 A C c EBF1_EBF_1 7 1 + 4.112442919682775 1.8186639333125936 CTCCCCCAGGGTTC chr5 117088074 117088075 chr5:117088075:T:C rs7716590 T C T EBF1_EBF_1 13 1 + 4.463841697397831 3.6193475828123125 AACACCCAGGGACT chr5 117096203 117096204 chr5:117096204:T:C rs73260813 T C C EBF1_EBF_1 -13 0 + 0 0 . chr5 117097185 117097186 chr5:117097186:G:A rs79372533 G A g EBF1_EBF_1 -15 0 - 0 0 . chr5 117100501 117100502 chr5:117100502:G:A rs11241414 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 117205679 117205680 chr5:117205680:A:G rs78783317 A G A EBF1_EBF_1 -5 0 + 0 0 . chr5 117343986 117343987 chr5:117343987:G:A rs7708255 G A G EBF1_EBF_1 4 1 - 4.877738446118453 0.5083515011735298 TTTCCCACGGGCTT chr5 117379934 117379935 chr5:117379935:G:A rs2560616 G A G EBF1_EBF_1 1 1 - 4.235571107418989 5.131004547817734 CCTGCCTGGGGACT chr5 117455743 117455744 chr5:117455744:C:T chr5:117455744:C:T C T C EBF1_EBF_1 8 1 - 8.324746699661143 4.098456610774882 TGCCCCATGGGACA chr5 117639509 117639510 chr5:117639510:G:C rs374104 G C C EBF1_EBF_1 14 0 - 0 0 . chr5 117911805 117911806 chr5:117911806:G:T rs7724996 G T g EBF1_EBF_1 21 0 + 0 0 . chr5 118180241 118180242 chr5:118180242:A:G rs10044303 A G G EBF1_EBF_1 -17 0 + 0 0 . chr5 118180274 118180275 chr5:118180275:T:G rs36055594 T G T EBF1_EBF_1 16 0 + 0 0 . chr5 118214270 118214271 chr5:118214271:C:T rs34206135 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 118342802 118342803 chr5:118342803:A:G rs6595120 A G G EBF1_EBF_1 1 1 - 9.859004495869058 8.963571055470315 ATCCCCTTGGGAAG chr5 118447666 118447667 chr5:118447667:G:C rs10037696 G C G EBF1_EBF_1 30 0 + 0 0 . chr5 118506809 118506810 chr5:118506810:G:A rs116807414 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 118553843 118553844 chr5:118553844:T:C rs34725144 T C t EBF1_EBF_1 -9 0 - 0 0 . chr5 118565846 118565847 chr5:118565847:C:A rs10519556 C A A EBF1_EBF_1 -7 0 - 0 0 . chr5 118596540 118596541 chr5:118596541:G:A rs139744437 G A G EBF1_EBF_1 27 0 + 0 0 . chr5 118693445 118693446 chr5:118693446:C:A rs10056759 C A C EBF1_EBF_1 15 0 - 0 0 . chr5 118762609 118762610 chr5:118762610:G:C rs73249197 G C G EBF1_EBF_1 3 1 - 6.844104370492338 1.0163399223771215 CTTCCCCAGGGGGC chr5 118776243 118776244 chr5:118776244:C:T rs77040519 C T T EBF1_EBF_1 12 1 + 6.41548140564645 5.389214835095601 CCTCCCCTGGTACT chr5 118856868 118856869 chr5:118856869:C:T rs7726905 C T T EBF1_EBF_1 10 1 - 5.429154781115778 0.111126321848812 AGTCCCTTGAGCCC chr5 119049995 119049996 chr5:119049996:T:C rs299222 T C t EBF1_EBF_1 1 1 + 6.108704827369291 5.213271386970547 CTTCCCTAGGAACC chr5 119071781 119071782 chr5:119071782:A:G rs34274210 A G A EBF1_EBF_1 -12 0 - 0 0 . chr5 119285485 119285486 chr5:119285486:C:T rs76107653 C T C EBF1_EBF_1 -8 0 + 0 0 . chr5 119301595 119301596 chr5:119301596:C:A rs62375091 C A C EBF1_EBF_1 32 0 - 0 0 . chr5 119308289 119308290 chr5:119308290:C:T rs60474651 C T C EBF1_EBF_1 4 1 + 7.171517432488634 2.802130487543711 TTTCCCAAGGGCTT chr5 119315563 119315564 chr5:119315564:G:C rs942598763 G C G EBF1_EBF_1 10 1 + 9.6723136924413 2.7815113051118248 GCTCCCAGGGGAAC chr5 119336362 119336363 chr5:119336363:A:G rs17145194 A G G EBF1_EBF_1 -11 0 - 0 0 . chr5 119344331 119344332 chr5:119344332:C:A rs250299 C A A EBF1_EBF_1 33 0 - 0 0 . chr5 119344351 119344352 chr5:119344352:G:A rs250298 G A A EBF1_EBF_1 13 1 - 4.969381086240131 5.813875200825649 CTCCCCATGGTAAC chr5 119356136 119356137 chr5:119356137:C:T rs3797342 C T C EBF1_EBF_1 10 1 - 4.75480556626557 -0.5632228930013958 GCCCCCAGGAGAAA chr5 119412181 119412182 chr5:119412182:G:C rs540913343 G C G EBF1_EBF_1 -1 0 - 0 0 . chr5 119517437 119517438 chr5:119517438:C:A rs57990091 C A c EBF1_EBF_1 -7 0 - 0 0 . chr5 119517447 119517448 chr5:119517448:C:T rs154596 C T c EBF1_EBF_1 -17 0 - 0 0 . chr5 119678775 119678776 chr5:119678776:T:C rs7717177 T C T EBF1_EBF_1 24 0 - 0 0 . chr5 119678806 119678807 chr5:119678807:C:T rs77397809 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 119727763 119727764 chr5:119727764:C:G rs12188776 C G C EBF1_EBF_1 6 1 + 4.799904121088058 2.994712057614862 CCCCCCCAGGGCAT chr5 119752879 119752880 chr5:119752880:C:G rs7726686 C G C EBF1_EBF_1 -16 0 + 0 0 . chr5 119770050 119770051 chr5:119770051:C:T rs2217281 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 119789312 119789313 chr5:119789313:C:G rs114448312 C G C EBF1_EBF_1 -19 0 + 0 0 . chr5 120095375 120095376 chr5:120095376:C:T rs10066059 C T T EBF1_EBF_1 -9 0 - 0 0 . chr5 120122830 120122831 chr5:120122831:C:T rs77558498 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 120182072 120182073 chr5:120182073:C:T rs115241583 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 120293949 120293950 chr5:120293950:G:C rs12521979 G C G EBF1_EBF_1 29 0 - 0 0 . chr5 120293971 120293972 chr5:120293972:G:A rs950942167 G A G EBF1_EBF_1 7 1 - 7.333606479694137 9.151111225976761 TTTCCCCCGAGAAT chr5 120293983 120293984 chr5:120293984:A:C rs12516817 A C A EBF1_EBF_1 -5 0 - 0 0 . chr5 120621376 120621377 chr5:120621377:C:T rs17428689 C T C EBF1_EBF_1 5 1 + 5.041175422743883 -0.2806117927967871 ATTCCCCTGTGATC chr5 120732574 120732575 chr5:120732575:A:G rs56100418 A G A EBF1_EBF_1 -8 0 + 0 0 . chr5 120798861 120798862 chr5:120798862:A:G rs4476748 A G G EBF1_EBF_1 32 0 + 0 0 . chr5 120961268 120961269 chr5:120961269:G:C rs1422613 G C C EBF1_EBF_1 32 0 - 0 0 . chr5 120988710 120988711 chr5:120988711:T:G rs2973166 T G T EBF1_EBF_1 11 1 - 7.482681723892883 3.066720026040494 ACTCCCCTGGTAAA chr5 121064367 121064368 chr5:121064368:A:G rs7700358 A G G EBF1_EBF_1 24 0 + 0 0 . chr5 121074549 121074550 chr5:121074550:A:G rs2011648 A G a EBF1_EBF_1 -4 0 - 0 0 . chr5 121131357 121131358 chr5:121131358:G:A rs13177865 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 121172016 121172017 chr5:121172017:G:A rs78235179 G A g EBF1_EBF_1 21 0 + 0 0 . chr5 121229827 121229828 chr5:121229828:C:T rs919289 C T T EBF1_EBF_1 23 0 - 0 0 . chr5 121694032 121694033 chr5:121694033:C:T rs7722211 C T C EBF1_EBF_1 13 1 + 9.820111935924684 10.664606050510203 TTCCCCTAGGGACC chr5 121694913 121694914 chr5:121694914:A:G rs7727347 A G G EBF1_EBF_1 -15 0 + 0 0 . chr5 121758905 121758906 chr5:121758906:C:T rs79393529 C T C EBF1_EBF_1 6 1 - 6.531258275506006 8.698052706780482 GCTCCCGTGAGAAT chr5 121820033 121820034 chr5:121820034:G:A rs148585432 G A G EBF1_EBF_1 10 1 + 7.883119498348284 2.5650910390813175 AATCCCCTGAGATA chr5 122014424 122014425 chr5:122014425:G:A rs78618428 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 122036028 122036029 chr5:122036029:G:A rs183129868 G A G EBF1_EBF_1 17 0 + 0 0 . chr5 122036040 122036041 chr5:122036041:A:T rs77390623 A T A EBF1_EBF_1 29 0 + 0 0 . chr5 122258858 122258859 chr5:122258859:T:C rs2059049 T C C EBF1_EBF_1 -4 0 + 0 0 . chr5 122342334 122342335 chr5:122342335:C:T rs60633938 C T C EBF1_EBF_1 24 0 - 0 0 . chr5 122429802 122429803 chr5:122429803:C:T rs17149175 C T C EBF1_EBF_1 -20 0 - 0 0 . chr5 122584894 122584895 chr5:122584895:C:G rs146132884 C G C EBF1_EBF_1 9 1 - 6.033360386695911 0.9576712403504475 TTTCCCTCAGGACT chr5 122680874 122680875 chr5:122680875:G:A rs115128650 G A G EBF1_EBF_1 14 0 + 0 0 . chr5 122751414 122751415 chr5:122751415:T:C rs114824277 T C T EBF1_EBF_1 -15 0 + 0 0 . chr5 122760407 122760408 chr5:122760408:A:G rs4836231 A G G EBF1_EBF_1 6 1 + 6.18235589004628 4.0155614587718045 TATCCCAGGGTAAT chr5 122845887 122845888 chr5:122845888:T:C rs530290777 T C T EBF1_EBF_1 -13 0 + 0 0 . chr5 122850029 122850030 chr5:122850030:A:G rs1230864381 A G A EBF1_EBF_1 15 0 + 0 0 . chr5 122864850 122864851 chr5:122864851:A:G rs756686032 A G A EBF1_EBF_1 26 0 - 0 0 . chr5 123012852 123012853 chr5:123012853:C:T rs1871180 C T C EBF1_EBF_1 16 0 - 0 0 . chr5 123028413 123028414 chr5:123028414:G:T rs34675653 G T G EBF1_EBF_1 -9 0 + 0 0 . chr5 123036336 123036337 chr5:123036337:G:A rs769148368 G A G EBF1_EBF_1 28 0 + 0 0 . chr5 123036338 123036339 chr5:123036339:C:T rs78974877 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 123099931 123099932 chr5:123099932:G:A rs1008058 G A G EBF1_EBF_1 26 0 + 0 0 . chr5 123124636 123124637 chr5:123124637:G:A rs2287696 G A G EBF1_EBF_1 -13 0 + 0 0 . chr5 123153400 123153401 chr5:123153401:G:A rs335140 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 123157424 123157425 chr5:123157425:A:T rs1685201 A T T EBF1_EBF_1 -4 0 - 0 0 . chr5 123164099 123164100 chr5:123164100:A:G rs142279859 A G A EBF1_EBF_1 1 1 - 7.756466074082932 6.861032633684185 CTTCCCCTGGGGCC chr5 123226935 123226936 chr5:123226936:G:T rs116726308 G T G EBF1_EBF_1 1 1 - 5.678328284173505 5.6074011068214205 ACCCTCTTGGGACA chr5 123236043 123236044 chr5:123236044:G:A rs553636973 G A g EBF1_EBF_1 20 0 - 0 0 . chr5 123335370 123335371 chr5:123335371:A:G rs2407655 A G G EBF1_EBF_1 -20 0 - 0 0 . chr5 123697331 123697332 chr5:123697332:G:A rs766286563 G A G EBF1_EBF_1 17 0 - 0 0 . chr5 123708125 123708126 chr5:123708126:G:A rs78477431 G A G EBF1_EBF_1 -13 0 + 0 0 . chr5 123807272 123807273 chr5:123807273:G:A rs76527952 G A G EBF1_EBF_1 3 1 - 6.599280709097519 0.19388196754420178 CTCCCCCCGGGAAA chr5 123840934 123840935 chr5:123840935:C:T rs67474671 C T C EBF1_EBF_1 2 1 + 5.7765367267989305 7.6653601382410805 TTCCCCTAGAGAGC chr5 123840944 123840945 chr5:123840945:G:A rs58599593 G A A EBF1_EBF_1 12 1 + 5.7765367267989305 7.195354068898408 TTCCCCTAGAGAGC chr5 123948470 123948471 chr5:123948471:G:A rs11744151 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 124055798 124055799 chr5:124055799:C:T rs72804212 C T C EBF1_EBF_1 -17 0 - 0 0 . chr5 124103864 124103865 chr5:124103865:C:G chr5:124103865:C:G C G C EBF1_EBF_1 22 0 + 0 0 . chr5 124176960 124176961 chr5:124176961:A:G rs778936471 A G A EBF1_EBF_1 -1 0 + 0 0 . chr5 124193413 124193414 chr5:124193414:C:G rs10455041 C G C EBF1_EBF_1 33 0 + 0 0 . chr5 124232517 124232518 chr5:124232518:A:G rs35316328 A G G EBF1_EBF_1 2 1 - 4.639705485805729 2.750882074363579 TTTCCCCCCGGACT chr5 124397538 124397539 chr5:124397539:T:C rs117952527 T C T EBF1_EBF_1 17 0 + 0 0 . chr5 124402498 124402499 chr5:124402499:T:A rs10061017 T A T EBF1_EBF_1 -14 0 + 0 0 . chr5 124492096 124492097 chr5:124492097:G:C rs80268324 G C C EBF1_EBF_1 -3 0 - 0 0 . chr5 124584237 124584238 chr5:124584238:C:T rs11743981 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 124602597 124602598 chr5:124602598:T:A rs60216829 T A A EBF1_EBF_1 -16 0 - 0 0 . chr5 124618272 124618273 chr5:124618273:T:C rs4336359 T C C EBF1_EBF_1 -10 0 + 0 0 . chr5 124628630 124628631 chr5:124628631:G:T rs9686334 G T G EBF1_EBF_1 9 1 + 4.380842170116061 -2.4561472379727474 AGCCCCTAGGAAGC chr5 124628634 124628635 chr5:124628635:C:G rs62372877 C G C EBF1_EBF_1 13 1 + 4.380842170116061 2.8927711487606462 AGCCCCTAGGAAGC chr5 124655278 124655279 chr5:124655279:C:T rs10040793 C T C EBF1_EBF_1 29 0 - 0 0 . chr5 124658161 124658162 chr5:124658162:G:A rs77541983 G A G EBF1_EBF_1 1 1 + 4.94609619628869 4.685397364367388 AGTCCCCTGAGCTT chr5 124677335 124677336 chr5:124677336:G:T rs66494365 G T G EBF1_EBF_1 16 0 - 0 0 . chr5 124685683 124685684 chr5:124685684:C:G rs12656496 C G C EBF1_EBF_1 -17 0 - 0 0 . chr5 124725084 124725085 chr5:124725085:C:T rs73298946 C T C EBF1_EBF_1 23 0 - 0 0 . chr5 124737666 124737667 chr5:124737667:T:A rs530022697 T A T EBF1_EBF_1 -11 0 + 0 0 . chr5 124744688 124744689 chr5:124744689:T:A rs61749626 T A T EBF1_EBF_1 26 0 - 0 0 . chr5 124747058 124747059 chr5:124747059:G:T chr5:124747059:G:T G T G EBF1_EBF_1 33 0 - 0 0 . chr5 124772688 124772689 chr5:124772689:G:A rs62370820 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 124825364 124825365 chr5:124825365:T:C rs6893891 T C C EBF1_EBF_1 2 1 + 5.422942199897634 3.5341187884554834 ACTCCCATGAGGTA chr5 124851271 124851272 chr5:124851272:T:G rs17152110 T G G EBF1_EBF_1 29 0 + 0 0 . chr5 124858775 124858776 chr5:124858776:T:C rs13153428 T C C EBF1_EBF_1 -4 0 + 0 0 . chr5 124880937 124880938 chr5:124880938:G:T rs10463783 G T G EBF1_EBF_1 -6 0 - 0 0 . chr5 124881568 124881569 chr5:124881569:A:G rs1078675 A G a EBF1_EBF_1 -18 0 - 0 0 . chr5 124892676 124892677 chr5:124892677:C:A rs80255900 C A C EBF1_EBF_1 3 1 + 4.037994834873459 -2.868179194592349 CTCCCCACGGGGCA chr5 124912823 124912824 chr5:124912824:G:A rs115270333 G A G EBF1_EBF_1 5 1 - 5.697314721619311 0.3755275060786414 CTTCCCTAGAGGTT chr5 124921456 124921457 chr5:124921457:G:A rs17448948 G A g EBF1_EBF_1 -4 0 + 0 0 . chr5 124933117 124933118 chr5:124933118:A:C rs1029438068 A C A EBF1_EBF_1 12 1 + 9.072732965396696 9.04255156697537 TTCCCCCTGGGAAC chr5 124935982 124935983 chr5:124935983:C:G rs115549280 C G C EBF1_EBF_1 -10 0 + 0 0 . chr5 124963303 124963304 chr5:124963304:C:A rs6595565 C A C EBF1_EBF_1 3 1 + 5.170472588635273 -1.7357014408305351 GCTCCCAGGGGTCA chr5 124966437 124966438 chr5:124966438:C:T rs13361786 C T C EBF1_EBF_1 25 0 + 0 0 . chr5 125007218 125007219 chr5:125007219:G:T rs73782570 G T G EBF1_EBF_1 15 0 + 0 0 . chr5 125007222 125007223 chr5:125007223:A:G rs6862525 A G G EBF1_EBF_1 19 0 + 0 0 . chr5 125007224 125007225 chr5:125007225:C:T rs4472259 C T C EBF1_EBF_1 21 0 + 0 0 . chr5 125134936 125134937 chr5:125134937:C:T rs148067324 C T C EBF1_EBF_1 21 0 + 0 0 . chr5 125148574 125148575 chr5:125148575:G:A rs10071094 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 125154341 125154342 chr5:125154342:C:A rs75356424 C A C EBF1_EBF_1 -16 0 - 0 0 . chr5 125161186 125161187 chr5:125161187:C:T rs72790714 C T C EBF1_EBF_1 -14 0 + 0 0 . chr5 125195073 125195074 chr5:125195074:T:C rs2119002 T C C EBF1_EBF_1 29 0 + 0 0 . chr5 125275649 125275650 chr5:125275650:G:A rs73784958 G A G EBF1_EBF_1 -8 0 - 0 0 . chr5 125505216 125505217 chr5:125505217:A:C rs6880987 A C A EBF1_EBF_1 7 1 + 5.8943129431229595 3.600533956752777 TGTCCCAAGAGATG chr5 125639918 125639919 chr5:125639919:C:T rs12109341 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 125654704 125654705 chr5:125654705:A:G rs13356127 A G A EBF1_EBF_1 8 1 - 6.8301172929032825 8.402891220965792 ACTCCCAATGGAAT chr5 125873806 125873807 chr5:125873807:A:T rs10044084 A T A EBF1_EBF_1 24 0 + 0 0 . chr5 126027381 126027382 chr5:126027382:T:C rs1175308 T C t EBF1_EBF_1 -6 0 - 0 0 . chr5 126061733 126061734 chr5:126061734:G:A rs6866196 G A A EBF1_EBF_1 16 0 - 0 0 . chr5 126127533 126127534 chr5:126127534:C:T rs558735242 C T C EBF1_EBF_1 5 1 + 8.577129599542845 3.255342384002177 AGTCCCCAGGGTCC chr5 126189483 126189484 chr5:126189484:T:G rs80106128 T G T EBF1_EBF_1 14 0 - 0 0 . chr5 126189517 126189518 chr5:126189518:C:A rs2162702 C A A EBF1_EBF_1 -20 0 - 0 0 . chr5 126195953 126195954 chr5:126195954:A:G rs7356716 A G G EBF1_EBF_1 19 0 - 0 0 . chr5 126267366 126267367 chr5:126267367:A:G rs1366106 A G A EBF1_EBF_1 13 1 - 5.282694021046484 4.438199906460966 TTCCCCAAGGGCTT chr5 126274290 126274291 chr5:126274291:T:C rs7724690 T C C EBF1_EBF_1 0 1 - 7.297358123284487 5.405074253719655 ATTCCCTTGAGTCT chr5 126374053 126374054 chr5:126374054:C:A rs185739902 C A C EBF1_EBF_1 -8 0 + 0 0 . chr5 126480046 126480047 chr5:126480047:G:A rs35259163 G A G EBF1_EBF_1 13 1 - 7.477599321899175 8.322093436484694 ATTCCCGAGGGTCC chr5 126507431 126507432 chr5:126507432:T:C rs1552242 T C C EBF1_EBF_1 -10 0 + 0 0 . chr5 126601135 126601136 chr5:126601136:A:T rs114048346 A T A EBF1_EBF_1 -16 0 - 0 0 . chr5 126601137 126601138 chr5:126601138:G:A rs71586102 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 126707363 126707364 chr5:126707364:A:G rs34525685 A G A EBF1_EBF_1 -3 0 + 0 0 . chr5 126724759 126724760 chr5:126724760:G:C rs73331354 G C G EBF1_EBF_1 10 1 + 6.7547807039978585 -0.1360216833316158 ATTCTCCTGAGAAT chr5 126744312 126744313 chr5:126744313:G:A rs7722835 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 126769873 126769874 chr5:126769874:G:C rs13176550 G C G EBF1_EBF_1 2 1 - 9.023839051235296 4.164336772476977 AGCCCCCAGGGAGA chr5 126778392 126778393 chr5:126778393:C:T rs4075655 C T C EBF1_EBF_1 29 0 - 0 0 . chr5 126896063 126896064 chr5:126896064:C:T rs530637058 C T c EBF1_EBF_1 -4 0 - 0 0 . chr5 126928286 126928287 chr5:126928287:A:C rs1440301816 A C A EBF1_EBF_1 11 1 + 9.06924855270642 4.653286854854031 AGTCCCAGGAGACC chr5 126982486 126982487 chr5:126982487:C:T rs80127843 C T C EBF1_EBF_1 23 0 - 0 0 . chr5 126983647 126983648 chr5:126983648:T:C rs116771298 T C T EBF1_EBF_1 23 0 - 0 0 . chr5 127019485 127019486 chr5:127019486:G:C rs138770010 G C G EBF1_EBF_1 17 0 - 0 0 . chr5 127019642 127019643 chr5:127019643:T:C rs7736693 T C C EBF1_EBF_1 20 0 - 0 0 . chr5 127041593 127041594 chr5:127041594:C:T rs57394214 C T C EBF1_EBF_1 20 0 - 0 0 . chr5 127097403 127097404 chr5:127097404:A:C rs562947773 A C A EBF1_EBF_1 23 0 + 0 0 . chr5 127290454 127290455 chr5:127290455:G:A rs192624249 G A G EBF1_EBF_1 23 0 + 0 0 . chr5 127355476 127355477 chr5:127355477:T:G rs73344966 T G G EBF1_EBF_1 -16 0 + 0 0 . chr5 127401857 127401858 chr5:127401858:C:A rs12234066 C A A EBF1_EBF_1 -18 0 + 0 0 . chr5 127425235 127425236 chr5:127425236:A:G rs17164957 A G G EBF1_EBF_1 29 0 - 0 0 . chr5 127536486 127536487 chr5:127536487:G:A rs402967 G A A EBF1_EBF_1 26 0 + 0 0 . chr5 127660688 127660689 chr5:127660689:C:T rs711366 C T C EBF1_EBF_1 2 1 + 5.837619971064475 7.726443382506626 TTCCCCCAGAGATC chr5 127838956 127838957 chr5:127838957:G:A rs907581741 G A G EBF1_EBF_1 31 0 + 0 0 . chr5 127841371 127841372 chr5:127841372:C:T rs245199 C T T EBF1_EBF_1 -17 0 - 0 0 . chr5 127942107 127942108 chr5:127942108:A:G rs935004290 A G A EBF1_EBF_1 -17 0 - 0 0 . chr5 128259656 128259657 chr5:128259657:C:T rs200345491 C T C EBF1_EBF_1 -17 0 + 0 0 . chr5 128430776 128430777 chr5:128430777:G:A rs113342537 G A G EBF1_EBF_1 22 0 + 0 0 . chr5 128441913 128441914 chr5:128441914:T:C rs10067405 T C T EBF1_EBF_1 -2 0 + 0 0 . chr5 128455897 128455898 chr5:128455898:C:T rs565177711 C T C EBF1_EBF_1 20 0 - 0 0 . chr5 128455911 128455912 chr5:128455912:C:T rs75357500 C T C EBF1_EBF_1 6 1 - 5.430585591849688 7.597380023124164 ACCCCCGGGGGGCT chr5 128456337 128456338 chr5:128456338:G:A rs331067 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 128470161 128470162 chr5:128470162:G:A rs753891450 G A G EBF1_EBF_1 -9 0 + 0 0 . chr5 128537152 128537153 chr5:128537153:C:A rs1246794070 C A C EBF1_EBF_1 21 0 + 0 0 . chr5 128537708 128537709 chr5:128537709:G:C rs943056772 G C G EBF1_EBF_1 -9 0 + 0 0 . chr5 128537911 128537912 chr5:128537912:T:C rs7732639 T C C EBF1_EBF_1 23 0 + 0 0 . chr5 128565520 128565521 chr5:128565521:A:G rs150589924 A G A EBF1_EBF_1 1 1 + 6.423107238425254 6.683806070346556 AATCACTGGGGACT chr5 128566883 128566884 chr5:128566884:G:A rs13186921 G A G EBF1_EBF_1 5 1 - 5.30493481121433 -0.01685240432633954 TCTCCCTGAGGAAC chr5 128572221 128572222 chr5:128572222:T:C rs13167932 T C C EBF1_EBF_1 22 0 - 0 0 . chr5 128783193 128783194 chr5:128783194:G:A rs17790915 G A G EBF1_EBF_1 -10 0 + 0 0 . chr5 128783230 128783231 chr5:128783231:T:C rs72787271 T C T EBF1_EBF_1 27 0 + 0 0 . chr5 128928168 128928169 chr5:128928169:C:T rs2577493 C T C EBF1_EBF_1 12 1 + 10.626831126623077 9.600564556072229 AGTCCCCAGGGGCT chr5 128962315 128962316 chr5:128962316:A:G rs2526240 A G A EBF1_EBF_1 -2 0 - 0 0 . chr5 128965084 128965085 chr5:128965085:C:G rs571813941 C G C EBF1_EBF_1 5 1 + 7.253507801950219 0.3486266493150502 CCCCCCACGGGACT chr5 129063855 129063856 chr5:129063856:A:T rs144083157 A T A EBF1_EBF_1 30 0 + 0 0 . chr5 129099364 129099365 chr5:129099365:G:A rs6895880 G A g EBF1_EBF_1 12 1 - 10.365413576474811 9.339147005923962 ATCCCCAAGGGACG chr5 129120543 129120544 chr5:129120544:G:T rs72783129 G T G EBF1_EBF_1 18 0 + 0 0 . chr5 129358160 129358161 chr5:129358161:C:T rs62401311 C T T EBF1_EBF_1 32 0 - 0 0 . chr5 129358196 129358197 chr5:129358197:A:G rs62401312 A G A EBF1_EBF_1 -4 0 - 0 0 . chr5 129460134 129460135 chr5:129460135:G:C rs115377852 G C G EBF1_EBF_1 16 0 + 0 0 . chr5 129460149 129460150 chr5:129460150:G:A rs114867732 G A G EBF1_EBF_1 31 0 + 0 0 . chr5 129461564 129461565 chr5:129461565:G:C rs147557427 G C G EBF1_EBF_1 24 0 + 0 0 . chr5 129461631 129461632 chr5:129461632:G:A rs141998078 G A G EBF1_EBF_1 13 1 - 3.529991772900604 4.374485887486123 GCCCCCGTGGGGCC chr5 129461646 129461647 chr5:129461647:G:T rs771063066 G T G EBF1_EBF_1 -2 0 - 0 0 . chr5 129508780 129508781 chr5:129508781:C:T rs73242271 C T C EBF1_EBF_1 15 0 + 0 0 . chr5 129601659 129601660 chr5:129601660:T:G rs116197906 T G T EBF1_EBF_1 31 0 - 0 0 . chr5 129906374 129906375 chr5:129906375:A:G rs26417 A G A EBF1_EBF_1 -20 0 + 0 0 . chr5 130141930 130141931 chr5:130141931:C:G rs184417211 C G C EBF1_EBF_1 14 0 + 0 0 . chr5 130216517 130216518 chr5:130216518:T:A rs2418535 T A A EBF1_EBF_1 21 0 + 0 0 . chr5 130277412 130277413 chr5:130277413:G:A rs180718666 G A G EBF1_EBF_1 10 1 + 3.8464779333469727 -1.4715505259199932 GGTCCCCAGAGGGC chr5 130289225 130289226 chr5:130289226:G:A rs13179136 G A C EBF1_EBF_1 -20 0 + 0 0 . chr5 130337422 130337423 chr5:130337423:C:T rs116078325 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 130386287 130386288 chr5:130386288:C:G rs138568901 C G C EBF1_EBF_1 -14 0 + 0 0 . chr5 130476498 130476499 chr5:130476499:G:A rs72791954 G A G EBF1_EBF_1 26 0 + 0 0 . chr5 130924085 130924086 chr5:130924086:T:C rs2419756 T C C EBF1_EBF_1 -11 0 - 0 0 . chr5 130983133 130983134 chr5:130983134:T:A rs143695976 T A T EBF1_EBF_1 -2 0 + 0 0 . chr5 131107772 131107773 chr5:131107773:A:T rs17621717 A T A EBF1_EBF_1 1 1 + 6.385570310143794 7.351930927894625 TATCCCAAGAGAGA chr5 131164566 131164567 chr5:131164567:G:C rs146017264 G C G EBF1_EBF_1 -18 0 + 0 0 . chr5 131165499 131165500 chr5:131165500:A:C chr5:131165500:A:C A C A EBF1_EBF_1 -18 0 - 0 0 . chr5 131263426 131263427 chr5:131263427:T:A rs184667080 T A T EBF1_EBF_1 -12 0 + 0 0 . chr5 131263883 131263884 chr5:131263884:A:C rs2420152 A C A EBF1_EBF_1 30 0 + 0 0 . chr5 131264216 131264217 chr5:131264217:C:T rs181822697 C T C EBF1_EBF_1 16 0 - 0 0 . chr5 131395429 131395430 chr5:131395430:A:G rs6897237 A G A EBF1_EBF_1 -5 0 - 0 0 . chr5 131397094 131397095 chr5:131397095:A:C rs553205403 A C A EBF1_EBF_1 28 0 - 0 0 . chr5 131608761 131608762 chr5:131608762:A:G rs1291655 A G G EBF1_EBF_1 27 0 + 0 0 . chr5 131635296 131635297 chr5:131635297:G:A rs1482044577 G A G EBF1_EBF_1 3 1 - 7.3501805629015315 0.9447818213482154 ACTCCCTTGGGCGT chr5 131635311 131635312 chr5:131635312:G:A rs117173751 G A G EBF1_EBF_1 -12 0 - 0 0 . chr5 131654889 131654890 chr5:131654890:G:T rs113735927 G T G EBF1_EBF_1 -13 0 - 0 0 . chr5 131796985 131796986 chr5:131796986:C:T rs570528552 C T C EBF1_EBF_1 -7 0 + 0 0 . chr5 131796988 131796989 chr5:131796989:C:T rs990687651 C T C EBF1_EBF_1 -4 0 + 0 0 . chr5 131797015 131797016 chr5:131797016:A:T rs1042199048 A T A EBF1_EBF_1 23 0 + 0 0 . chr5 131884120 131884121 chr5:131884121:C:T rs73788789 C T C EBF1_EBF_1 -8 0 - 0 0 . chr5 131994360 131994361 chr5:131994361:C:G rs253944 C G G EBF1_EBF_1 7 1 - 4.053816863293258 3.072496282211901 ACTCCCCGAGGGCC chr5 131995058 131995059 chr5:131995059:C:T rs56162149 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 132005699 132005700 chr5:132005700:G:A rs140154700 G A A EBF1_EBF_1 5 1 - 7.186420177688945 1.8646329621482751 TCCCCCGTGGGACT chr5 132011342 132011343 chr5:132011343:G:A rs1298313040 G A G EBF1_EBF_1 31 0 - 0 0 . chr5 132011379 132011380 chr5:132011380:G:T rs76936241 G T G EBF1_EBF_1 -6 0 - 0 0 . chr5 132012159 132012160 chr5:132012160:C:T rs139502999 C T C EBF1_EBF_1 22 0 + 0 0 . chr5 132013296 132013297 chr5:132013297:C:T rs184833431 C T C EBF1_EBF_1 4 1 + 8.069901628976472 3.7005146840315506 AGACCCAGGGGAAC chr5 132013705 132013706 chr5:132013706:G:A rs76178005 G A G EBF1_EBF_1 18 0 + 0 0 . chr5 132013711 132013712 chr5:132013712:A:T rs146514741 A T A EBF1_EBF_1 24 0 + 0 0 . chr5 132047271 132047272 chr5:132047272:C:T rs77544389 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 132050070 132050071 chr5:132050071:A:G rs75888910 A G A EBF1_EBF_1 -14 0 + 0 0 . chr5 132075444 132075445 chr5:132075445:C:T rs25881 C T C EBF1_EBF_1 -13 0 + 0 0 . chr5 132075579 132075580 chr5:132075580:G:A rs2069629 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 132076238 132076239 chr5:132076239:G:A rs25883 G A A EBF1_EBF_1 17 0 + 0 0 . chr5 132086000 132086001 chr5:132086001:T:C rs31476 T C C EBF1_EBF_1 19 0 - 0 0 . chr5 132091467 132091468 chr5:132091468:A:G rs152125 A G A EBF1_EBF_1 -16 0 + 0 0 . chr5 132094634 132094635 chr5:132094635:C:G rs116719727 C G C EBF1_EBF_1 8 1 - 8.185612757974923 2.866953897060236 GCCCCCTTGGGAAA chr5 132094642 132094643 chr5:132094643:C:T rs80257108 C T c EBF1_EBF_1 0 1 - 8.185612757974923 10.077896627539754 GCCCCCTTGGGAAA chr5 132094643 132094644 chr5:132094644:G:A rs60240305 G A G EBF1_EBF_1 -1 0 - 0 0 . chr5 132095639 132095640 chr5:132095640:G:A rs116058990 G A G EBF1_EBF_1 -8 0 + 0 0 . chr5 132101267 132101268 chr5:132101268:A:G rs27437 A G A EBF1_EBF_1 20 0 - 0 0 . chr5 132117282 132117283 chr5:132117283:C:A rs74646756 C A C EBF1_EBF_1 -3 0 + 0 0 . chr5 132217971 132217972 chr5:132217972:A:G rs154485 A G G EBF1_EBF_1 33 0 + 0 0 . chr5 132227980 132227981 chr5:132227981:G:A rs185536049 G A g EBF1_EBF_1 -17 0 + 0 0 . chr5 132227989 132227990 chr5:132227990:G:A rs246108 G A g EBF1_EBF_1 -8 0 + 0 0 . chr5 132228210 132228211 chr5:132228211:C:T rs56033107 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 132233887 132233888 chr5:132233888:C:T rs565088038 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 132245917 132245918 chr5:132245918:G:A rs28472559 G A G EBF1_EBF_1 10 1 + 4.7994269338780216 -0.5186015253889454 GATCCCTTGAGGCC chr5 132247598 132247599 chr5:132247599:G:A rs112300250 G A G EBF1_EBF_1 3 1 - 4.680087881404044 -1.7253108601492728 GCTCCCAAAGGGCT chr5 132254563 132254564 chr5:132254564:C:T rs7727038 C T C EBF1_EBF_1 16 0 + 0 0 . chr5 132275604 132275605 chr5:132275605:G:C rs162893 G C C EBF1_EBF_1 -2 0 + 0 0 . chr5 132287664 132287665 chr5:132287665:A:G rs7705189 A G A EBF1_EBF_1 -17 0 + 0 0 . chr5 132293471 132293472 chr5:132293472:A:G rs162887 A G G EBF1_EBF_1 31 0 - 0 0 . chr5 132295980 132295981 chr5:132295981:T:G rs59302116 T G G EBF1_EBF_1 33 0 - 0 0 . chr5 132342800 132342801 chr5:132342801:T:C rs146529942 T C T EBF1_EBF_1 15 0 - 0 0 . chr5 132374509 132374510 chr5:132374510:G:A rs581968 G A G EBF1_EBF_1 18 0 + 0 0 . chr5 132439805 132439806 chr5:132439806:T:C rs538779347 T C T EBF1_EBF_1 20 0 - 0 0 . chr5 132445988 132445989 chr5:132445989:C:G rs1012794 C G G EBF1_EBF_1 12 1 + 9.919578197149661 8.530942253471512 TTTCCCCAGGGACG chr5 132459543 132459544 chr5:132459544:C:G rs565599894 C G C EBF1_EBF_1 -10 0 - 0 0 . chr5 132461110 132461111 chr5:132461111:A:T rs2706395 A T T EBF1_EBF_1 33 0 + 0 0 . chr5 132463013 132463014 chr5:132463014:G:A rs13169718 G A G EBF1_EBF_1 31 0 - 0 0 . chr5 132463036 132463037 chr5:132463037:C:T rs60068475 C T C EBF1_EBF_1 8 1 - 5.581535843225175 1.355245754338914 TCTCCCACGAGAAA chr5 132463046 132463047 chr5:132463047:G:A rs183857375 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 132464266 132464267 chr5:132464267:C:T rs11748326 C T C EBF1_EBF_1 -13 0 + 0 0 . chr5 132464268 132464269 chr5:132464269:T:C rs2706336 T C C EBF1_EBF_1 -11 0 + 0 0 . chr5 132476273 132476274 chr5:132476274:G:A rs147092946 G A G EBF1_EBF_1 -1 0 - 0 0 . chr5 132480394 132480395 chr5:132480395:T:C rs3846732 T C C EBF1_EBF_1 19 0 - 0 0 . chr5 132491372 132491373 chr5:132491373:A:G rs2549006 A G G EBF1_EBF_1 -6 0 - 0 0 . chr5 132495896 132495897 chr5:132495897:C:T rs150338858 C T C EBF1_EBF_1 -8 0 + 0 0 . chr5 132495936 132495937 chr5:132495937:C:A rs571141850 C A C EBF1_EBF_1 32 0 + 0 0 . chr5 132497899 132497900 chr5:132497900:T:G chr5:132497900:T:G T G T EBF1_EBF_1 -7 0 + 0 0 . chr5 132497906 132497907 chr5:132497907:C:T rs736801 C T C EBF1_EBF_1 0 1 + 5.632279563558034 5.914481624288611 CCTCCCCAGGGCTT chr5 132557286 132557287 chr5:132557287:G:A rs4526098 G A G EBF1_EBF_1 19 0 - 0 0 . chr5 132663267 132663268 chr5:132663268:C:T chr5:132663268:C:T C T C EBF1_EBF_1 -20 0 + 0 0 . chr5 132663478 132663479 chr5:132663479:T:A rs2243297 T A T EBF1_EBF_1 14 0 + 0 0 . chr5 132663690 132663691 chr5:132663691:C:T rs2243202 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 132679139 132679140 chr5:132679140:G:A rs2243274 G A A EBF1_EBF_1 30 0 - 0 0 . chr5 132688123 132688124 chr5:132688124:C:T rs114760605 C T C EBF1_EBF_1 23 0 + 0 0 . chr5 132737173 132737174 chr5:132737174:C:T rs1451773979 C T C EBF1_EBF_1 6 1 - 4.984913392858903 7.151707824133378 CATCCCGTGGGGCC chr5 132753286 132753287 chr5:132753287:G:C rs76476505 G C G EBF1_EBF_1 22 0 - 0 0 . chr5 132761358 132761359 chr5:132761359:G:T rs736478 G T G EBF1_EBF_1 -20 0 + 0 0 . chr5 132766183 132766184 chr5:132766184:G:C rs76306016 G C G EBF1_EBF_1 18 0 + 0 0 . chr5 132767402 132767403 chr5:132767403:T:C rs62385314 T C T EBF1_EBF_1 14 0 - 0 0 . chr5 132775237 132775238 chr5:132775238:A:C rs30524 A C C EBF1_EBF_1 -19 0 - 0 0 . chr5 132777949 132777950 chr5:132777950:A:C chr5:132777950:A:C A C A EBF1_EBF_1 -8 0 + 0 0 . chr5 132813900 132813901 chr5:132813901:G:A rs114865630 G A G EBF1_EBF_1 13 1 - 2.693807607699336 3.5383017222848547 GCCCCCGGGGGGCC chr5 132825585 132825586 chr5:132825586:G:A rs759373194 G A G EBF1_EBF_1 22 0 - 0 0 . chr5 132825601 132825602 chr5:132825602:G:A rs2292030 G A G EBF1_EBF_1 6 1 - 3.3502831375858166 3.6515692664475745 GGCCCCCGGCGACT chr5 132830703 132830704 chr5:132830704:G:A rs536693978 G A G EBF1_EBF_1 3 1 - 4.206093368236582 -2.199305373316736 CCTCCCCTGAGGCC chr5 132843007 132843008 chr5:132843008:G:A rs11742040 G A G EBF1_EBF_1 8 1 + 9.228726730803949 5.002436641917689 ATCCCCAAGAGAAC chr5 132866059 132866060 chr5:132866060:G:A rs76546692 G A G EBF1_EBF_1 3 1 + 4.2958873463585086 3.217477765007918 CCTGCCAGGGGACT chr5 132866081 132866082 chr5:132866082:T:A rs75061517 T A T EBF1_EBF_1 25 0 + 0 0 . chr5 132992529 132992530 chr5:132992530:A:G chr5:132992530:A:G A G A EBF1_EBF_1 33 0 + 0 0 . chr5 133079876 133079877 chr5:133079877:A:G rs6596102 A G G EBF1_EBF_1 18 0 + 0 0 . chr5 133113360 133113361 chr5:133113361:G:A rs73790209 G A G EBF1_EBF_1 -14 0 + 0 0 . chr5 133118704 133118705 chr5:133118705:C:T rs67346274 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 133118747 133118748 chr5:133118748:C:G rs10070396 C G C EBF1_EBF_1 -17 0 - 0 0 . chr5 133121790 133121791 chr5:133121791:A:G rs10050413 A G A EBF1_EBF_1 -5 0 - 0 0 . chr5 133230303 133230304 chr5:133230304:G:T rs13360360 G T G EBF1_EBF_1 32 0 - 0 0 . chr5 133251351 133251352 chr5:133251352:C:T rs148238719 C T C EBF1_EBF_1 27 0 + 0 0 . chr5 133285709 133285710 chr5:133285710:C:T rs114242357 C T C EBF1_EBF_1 4 1 - 6.315365270830178 6.116570748526255 ACTCGCCAGGGAGT chr5 133304046 133304047 chr5:133304047:G:C rs12517807 G C G EBF1_EBF_1 27 0 + 0 0 . chr5 133311813 133311814 chr5:133311814:A:G rs10053556 A G A EBF1_EBF_1 18 0 + 0 0 . chr5 133321490 133321491 chr5:133321491:T:C rs114618403 T C T EBF1_EBF_1 -12 0 - 0 0 . chr5 133324855 133324856 chr5:133324856:G:A rs12515029 G A G EBF1_EBF_1 13 1 - 4.508056229600436 5.352550344185954 GCCCCCCAAGGAAC chr5 133345887 133345888 chr5:133345888:G:A rs7714483 G A G EBF1_EBF_1 -6 0 + 0 0 . chr5 133347242 133347243 chr5:133347243:C:T rs60923563 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 133356016 133356017 chr5:133356017:T:A rs10054564 T A A EBF1_EBF_1 24 0 - 0 0 . chr5 133358218 133358219 chr5:133358219:G:A rs78080038 G A G EBF1_EBF_1 15 0 + 0 0 . chr5 133364153 133364154 chr5:133364154:A:G rs17166642 A G A EBF1_EBF_1 16 0 - 0 0 . chr5 133367413 133367414 chr5:133367414:A:C chr5:133367414:A:C A C A EBF1_EBF_1 21 0 + 0 0 . chr5 133368778 133368779 chr5:133368779:T:C rs56387289 T C T EBF1_EBF_1 28 0 + 0 0 . chr5 133377060 133377061 chr5:133377061:G:T rs13161739 G T G EBF1_EBF_1 22 0 - 0 0 . chr5 133377101 133377102 chr5:133377102:T:G rs13181704 T G T EBF1_EBF_1 -19 0 - 0 0 . chr5 133387485 133387486 chr5:133387486:T:C rs17685157 T C T EBF1_EBF_1 32 0 - 0 0 . chr5 133392333 133392334 chr5:133392334:T:G rs153198 T G G EBF1_EBF_1 14 0 + 0 0 . chr5 133402553 133402554 chr5:133402554:G:C rs25739 G C C EBF1_EBF_1 -3 0 + 0 0 . chr5 133407721 133407722 chr5:133407722:C:T rs9327650 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 133422660 133422661 chr5:133422661:T:C rs250850 T C C EBF1_EBF_1 -1 0 - 0 0 . chr5 133462333 133462334 chr5:133462334:T:G rs57959709 T G T EBF1_EBF_1 13 1 + 6.009368584605651 3.6768034486647183 ACACCCTGGAGAAT chr5 133510714 133510715 chr5:133510715:C:T rs461491 C T C EBF1_EBF_1 24 0 - 0 0 . chr5 133529046 133529047 chr5:133529047:C:T rs823980 C T T EBF1_EBF_1 2 1 + 7.232949519320982 9.121772930763132 ATCCCCAGGGTAAT chr5 133553154 133553155 chr5:133553155:C:A chr5:133553155:C:A C A C EBF1_EBF_1 21 0 + 0 0 . chr5 133611737 133611738 chr5:133611738:A:G rs558275034 A G A EBF1_EBF_1 11 1 - 5.715074496386949 5.397027054633285 CTTCCCCGGGGTCC chr5 133635255 133635256 chr5:133635256:A:G rs74409707 A G A EBF1_EBF_1 7 1 + 5.036249219344554 3.7237908140557288 ACTCCACAGGGAGT chr5 133664461 133664462 chr5:133664462:G:C rs7727131 G C G EBF1_EBF_1 8 1 + 7.00372120983064 1.6850623489159533 TTCCCCAAGGGAGG chr5 133675811 133675812 chr5:133675812:T:C rs17699116 T C T EBF1_EBF_1 20 0 - 0 0 . chr5 133684620 133684621 chr5:133684621:T:C rs41473644 T C T EBF1_EBF_1 21 0 - 0 0 . chr5 133685181 133685182 chr5:133685182:C:A rs6861676 C A C EBF1_EBF_1 9 1 - 3.961513085925395 -2.875476322163414 AGCCCCAGGGGCAG chr5 133685755 133685756 chr5:133685756:G:C rs4958143 G C G EBF1_EBF_1 30 0 - 0 0 . chr5 133700945 133700946 chr5:133700946:G:A rs4958147 G A G EBF1_EBF_1 9 1 + 4.338999274904232 1.684060009456628 TGCCCCCACGGACT chr5 133732020 133732021 chr5:133732021:C:T rs56245190 C T C EBF1_EBF_1 9 1 - 5.839311955761038 3.184372690313433 AGTCACTGGGGACC chr5 133749418 133749419 chr5:133749419:A:G rs62373533 A G A EBF1_EBF_1 -4 0 + 0 0 . chr5 133753665 133753666 chr5:133753666:G:T rs17167004 G T G EBF1_EBF_1 16 0 + 0 0 . chr5 133765565 133765566 chr5:133765566:G:T rs6891832 G T G EBF1_EBF_1 3 1 - 4.60355864566464 -2.3026153838011676 GATCCCCTGGGCTC chr5 133792041 133792042 chr5:133792042:C:T rs11242183 C T C EBF1_EBF_1 -10 0 + 0 0 . chr5 133818499 133818500 chr5:133818500:C:T rs1025120653 C T C EBF1_EBF_1 -17 0 - 0 0 . chr5 133821958 133821959 chr5:133821959:A:G rs17646319 A G A EBF1_EBF_1 27 0 - 0 0 . chr5 133842507 133842508 chr5:133842508:C:A rs2431561 C A C EBF1_EBF_1 10 1 - 6.76576008361366 2.5830078973863966 TCTCCCTTGGGCCT chr5 133847418 133847419 chr5:133847419:G:A rs11749832 G A G EBF1_EBF_1 24 0 + 0 0 . chr5 133847419 133847420 chr5:133847420:C:T rs1681130 C T C EBF1_EBF_1 25 0 + 0 0 . chr5 133847627 133847628 chr5:133847628:C:G rs1630640 C G G EBF1_EBF_1 17 0 + 0 0 . chr5 133850632 133850633 chr5:133850633:G:C rs7715285 G C C EBF1_EBF_1 -12 0 - 0 0 . chr5 133874221 133874222 chr5:133874222:T:C rs184378780 T C T EBF1_EBF_1 0 1 - 5.401103592567559 3.5088197230027234 ACTCCTCAGGGAGA chr5 133880954 133880955 chr5:133880955:G:C rs3892655 G C C EBF1_EBF_1 20 0 + 0 0 . chr5 133881674 133881675 chr5:133881675:G:A rs116558037 G A G EBF1_EBF_1 22 0 + 0 0 . chr5 133884879 133884880 chr5:133884880:A:G rs10736848 A G G EBF1_EBF_1 -14 0 + 0 0 . chr5 133884914 133884915 chr5:133884915:A:G rs141332532 A G A EBF1_EBF_1 21 0 + 0 0 . chr5 133893901 133893902 chr5:133893902:T:C rs2431551 T C T EBF1_EBF_1 -20 0 - 0 0 . chr5 133905235 133905236 chr5:133905236:A:G rs1618181 A G G EBF1_EBF_1 15 0 + 0 0 . chr5 133934940 133934941 chr5:133934941:G:A rs139559536 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 133944687 133944688 chr5:133944688:C:A rs34938344 C A C EBF1_EBF_1 8 1 - 8.63592951818195 1.744496729204755 TCTCCCCTGGGATA chr5 134003969 134003970 chr5:134003970:C:A rs545285969 C A C EBF1_EBF_1 -8 0 + 0 0 . chr5 134003999 134004000 chr5:134004000:C:T rs1481335332 C T C EBF1_EBF_1 22 0 + 0 0 . chr5 134004564 134004565 chr5:134004565:C:A rs1045928478 C A - EBF1_EBF_1 -13 0 + 0 0 . chr5 134086448 134086449 chr5:134086449:T:C rs77464762 T C T EBF1_EBF_1 14 0 + 0 0 . chr5 134095041 134095042 chr5:134095042:A:G rs112195076 A G A EBF1_EBF_1 1 1 - 9.000273644972479 8.104840204573733 TTCCCCTTGGGAAA chr5 134097817 134097818 chr5:134097818:C:T rs77450449 C T C EBF1_EBF_1 12 1 + 4.026493825782352 3.000227255231502 TTTCCACTGGGACC chr5 134111751 134111752 chr5:134111752:A:G rs2432265 A G A EBF1_EBF_1 -7 0 + 0 0 . chr5 134115097 134115098 chr5:134115098:C:T rs187491297 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 134115137 134115138 chr5:134115138:G:A rs757624060 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 134115991 134115992 chr5:134115992:C:A rs5742913 C A C EBF1_EBF_1 3 1 + 4.96006150474703 -1.9461125247187778 CCCCCCTCGGGAGC chr5 134123247 134123248 chr5:134123248:C:T rs28394209 C T C EBF1_EBF_1 25 0 + 0 0 . chr5 134124423 134124424 chr5:134124424:C:G rs28727387 C G G EBF1_EBF_1 17 0 - 0 0 . chr5 134127640 134127641 chr5:134127641:G:A rs73790165 G A A EBF1_EBF_1 6 1 + 5.998362012238777 8.165156443513252 TCCCCCGTGGGAAA chr5 134128005 134128006 chr5:134128006:A:G rs17167281 A G G EBF1_EBF_1 27 0 + 0 0 . chr5 134137991 134137992 chr5:134137992:C:A rs73790177 C A C EBF1_EBF_1 -18 0 + 0 0 . chr5 134138005 134138006 chr5:134138006:T:C rs249610 T C C EBF1_EBF_1 -4 0 + 0 0 . chr5 134139235 134139236 chr5:134139236:A:G rs115623173 A G A EBF1_EBF_1 7 1 + 11.992069697792948 10.679611292504124 ATTCCCCAGGGAGC chr5 134140577 134140578 chr5:134140578:G:A rs575987084 G A G EBF1_EBF_1 13 1 - 6.279785972742019 7.124280087327537 CTTCTCCTGGGACC chr5 134143829 134143830 chr5:134143830:C:A rs17167298 C A C EBF1_EBF_1 4 1 + 6.4363407573083835 0.6117811338549178 AGTCCCAGGGAAAC chr5 134147512 134147513 chr5:134147513:G:A rs192016780 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 134151135 134151136 chr5:134151136:A:G rs10075637 A G G EBF1_EBF_1 -19 0 + 0 0 . chr5 134151142 134151143 chr5:134151143:T:C rs3867428 T C C EBF1_EBF_1 -12 0 + 0 0 . chr5 134224753 134224754 chr5:134224754:T:C rs2284318 T C T EBF1_EBF_1 30 0 + 0 0 . chr5 134262894 134262895 chr5:134262895:C:T rs34516024 C T C EBF1_EBF_1 -13 0 + 0 0 . chr5 134449106 134449107 chr5:134449107:C:T rs12516971 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 134461699 134461700 chr5:134461700:T:C rs10039745 T C C EBF1_EBF_1 18 0 + 0 0 . chr5 134462382 134462383 chr5:134462383:T:C rs537234815 T C T EBF1_EBF_1 26 0 - 0 0 . chr5 134466226 134466227 chr5:134466227:C:T rs140956851 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 134466305 134466306 chr5:134466306:G:A rs188957790 G A G EBF1_EBF_1 -5 0 - 0 0 . chr5 134503568 134503569 chr5:134503569:G:A rs145772102 G A G EBF1_EBF_1 3 1 - 6.738478620844994 0.33307987929167554 AGCCCCCTGGGCCT chr5 134503579 134503580 chr5:134503580:C:T rs34989027 C T C EBF1_EBF_1 -8 0 - 0 0 . chr5 134515907 134515908 chr5:134515908:G:A rs6891492 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 134516037 134516038 chr5:134516038:C:T rs537994651 C T C EBF1_EBF_1 -10 0 - 0 0 . chr5 134525381 134525382 chr5:134525382:G:A rs565248817 G A G EBF1_EBF_1 4 1 - 6.43833352668068 2.068946581735755 ACTCCCCATGGACT chr5 134525468 134525469 chr5:134525469:G:C rs1399452405 G C G EBF1_EBF_1 28 0 + 0 0 . chr5 134528981 134528982 chr5:134528982:C:T rs116794348 C T C EBF1_EBF_1 -1 0 + 0 0 . chr5 134555591 134555592 chr5:134555592:C:T rs2084007 C T C EBF1_EBF_1 3 1 + 6.1805115274906175 -0.22488721406270007 GATCCCAAGAGGCT chr5 134567559 134567560 chr5:134567560:T:C rs329315 T C T EBF1_EBF_1 32 0 + 0 0 . chr5 134572670 134572671 chr5:134572671:G:A rs329320 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 134572687 134572688 chr5:134572688:G:C rs329321 G C G EBF1_EBF_1 -3 0 - 0 0 . chr5 134577223 134577224 chr5:134577224:C:T rs140711410 C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 134579786 134579787 chr5:134579787:A:G rs72798693 A G A EBF1_EBF_1 14 0 + 0 0 . chr5 134584515 134584516 chr5:134584516:G:T rs77750312 G T G EBF1_EBF_1 13 1 - 6.76371403110417 6.389968581818194 GCACCCTTGGGAAC chr5 134594626 134594627 chr5:134594627:C:T rs79072035 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 134738652 134738653 chr5:134738653:G:A rs141336392 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 134851464 134851465 chr5:134851465:G:A rs540034461 G A G EBF1_EBF_1 24 0 + 0 0 . chr5 134875324 134875325 chr5:134875325:G:T rs187449756 G T G EBF1_EBF_1 31 0 - 0 0 . chr5 134875335 134875336 chr5:134875336:T:G chr5:134875336:T:G T G T EBF1_EBF_1 20 0 - 0 0 . chr5 134887902 134887903 chr5:134887903:A:G rs3733897 A G A EBF1_EBF_1 -11 0 - 0 0 . chr5 135019647 135019648 chr5:135019648:G:A rs115543701 G A G EBF1_EBF_1 23 0 + 0 0 . chr5 135019944 135019945 chr5:135019945:C:T rs114391617 C T C EBF1_EBF_1 5 1 + 5.413400352261985 0.09161313672131477 CTCCCCGAGGGGAT chr5 135030509 135030510 chr5:135030510:A:G rs3805663 A G G EBF1_EBF_1 -1 0 + 0 0 . chr5 135034570 135034571 chr5:135034571:C:T rs185587117 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 135047131 135047132 chr5:135047132:C:G rs72800402 C G G EBF1_EBF_1 30 0 - 0 0 . chr5 135047139 135047140 chr5:135047140:T:C rs254554 T C T EBF1_EBF_1 22 0 - 0 0 . chr5 135062961 135062962 chr5:135062962:C:A rs57003085 C A A EBF1_EBF_1 -12 0 - 0 0 . chr5 135064700 135064701 chr5:135064701:G:A rs10057449 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 135105766 135105767 chr5:135105767:A:G rs254562 A G A EBF1_EBF_1 13 1 + 5.909055194088944 4.7947296220195055 AACCCCTTGGGTAA chr5 135134130 135134131 chr5:135134131:C:T rs115129865 C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 135134132 135134133 chr5:135134133:C:T rs571099054 C T C EBF1_EBF_1 -11 0 - 0 0 . chr5 135134137 135134138 chr5:135134138:C:G rs115934611 C G C EBF1_EBF_1 -16 0 - 0 0 . chr5 135164377 135164378 chr5:135164378:C:T rs115968818 C T c EBF1_EBF_1 12 1 + 6.4443052735862505 5.418038703035401 TGTCCCATGAGACG chr5 135177186 135177187 chr5:135177187:A:C rs181285332 A C A EBF1_EBF_1 12 1 + 4.3183846206343075 4.288203222212982 GCCCCCCAGGGCAC chr5 135178876 135178877 chr5:135178877:C:T rs9885502 C T C EBF1_EBF_1 -14 0 + 0 0 . chr5 135179037 135179038 chr5:135179038:C:T rs553438 C T T EBF1_EBF_1 29 0 - 0 0 . chr5 135179047 135179048 chr5:135179048:C:G rs6869146 C G G EBF1_EBF_1 19 0 - 0 0 . chr5 135210286 135210287 chr5:135210287:C:G rs10066399 C G G EBF1_EBF_1 19 0 - 0 0 . chr5 135211549 135211550 chr5:135211550:T:C rs987363194 T C T EBF1_EBF_1 13 1 - 6.472358786945685 5.358033214876246 TCTCCCTGGAGACA chr5 135214138 135214139 chr5:135214139:C:T rs116777849 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 135215038 135215039 chr5:135215039:C:G rs10076673 C G C EBF1_EBF_1 29 0 + 0 0 . chr5 135236045 135236046 chr5:135236046:G:A rs76993656 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 135239398 135239399 chr5:135239399:C:T rs76322451 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 135245770 135245771 chr5:135245771:G:A rs12659621 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 135245777 135245778 chr5:135245778:T:A rs116616277 T A T EBF1_EBF_1 20 0 - 0 0 . chr5 135245785 135245786 chr5:135245786:T:C rs1237234537 T C T EBF1_EBF_1 12 1 - 5.3260270570736665 3.907209714974189 ACACCCCTGAGAAA chr5 135249782 135249783 chr5:135249783:T:C rs631970 T C T EBF1_EBF_1 -17 0 + 0 0 . chr5 135253037 135253038 chr5:135253038:G:A rs62383171 G A C EBF1_EBF_1 -2 0 - 0 0 . chr5 135280884 135280885 chr5:135280885:G:C rs3853685 G C G EBF1_EBF_1 -2 0 + 0 0 . chr5 135296983 135296984 chr5:135296984:G:A rs2652084 G A A EBF1_EBF_1 15 0 + 0 0 . chr5 135325159 135325160 chr5:135325160:C:T rs112711537 C T C EBF1_EBF_1 -8 0 + 0 0 . chr5 135325165 135325166 chr5:135325166:G:T rs73292634 G T T EBF1_EBF_1 -2 0 + 0 0 . chr5 135341573 135341574 chr5:135341574:C:T rs884001 C T T EBF1_EBF_1 0 1 - 6.890687618088545 8.782971487653379 GTTCCCCAGGGCTT chr5 135399408 135399409 chr5:135399409:G:A rs17168253 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 135399408 135399409 chr5:135399409:G:C chr5:135399409:G:C G C G EBF1_EBF_1 32 0 - 0 0 . chr5 135399424 135399425 chr5:135399425:C:T rs1309249329 C T C EBF1_EBF_1 16 0 - 0 0 . chr5 135399438 135399439 chr5:135399439:A:T rs116314353 A T A EBF1_EBF_1 2 1 - 4.635667261271446 0.9511996736725685 CGTCCCCGGGGTCA chr5 135399450 135399451 chr5:135399451:C:G chr5:135399451:C:G C G C EBF1_EBF_1 -10 0 - 0 0 . chr5 135399734 135399735 chr5:135399735:C:G rs115654562 C G C EBF1_EBF_1 30 0 - 0 0 . chr5 135433056 135433057 chr5:135433057:T:C rs6596220 T C T EBF1_EBF_1 7 1 - 4.994751067476044 3.6822926621872183 TCTCCCCAGAGGAC chr5 135433999 135434000 chr5:135434000:C:T rs60310687 C T C EBF1_EBF_1 0 1 - 3.8863239285624043 5.778607798127238 GCTCCCCAGGAAGC chr5 135436255 135436256 chr5:135436256:C:T rs543154733 C T C EBF1_EBF_1 31 0 - 0 0 . chr5 135441529 135441530 chr5:135441530:T:C rs892850568 T C T EBF1_EBF_1 16 0 - 0 0 . chr5 135448315 135448316 chr5:135448316:A:G rs552195238 A G A EBF1_EBF_1 27 0 + 0 0 . chr5 135450938 135450939 chr5:135450939:C:T rs72787111 C T C EBF1_EBF_1 4 1 + 5.520530499177852 1.1511435542329282 AATCCCCCAGGACA chr5 135452146 135452147 chr5:135452147:T:A rs6870814 T A T EBF1_EBF_1 -6 0 - 0 0 . chr5 135457126 135457127 chr5:135457127:T:C rs10042926 T C C EBF1_EBF_1 28 0 - 0 0 . chr5 135459105 135459106 chr5:135459106:G:A rs188131183 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 135459106 135459107 chr5:135459107:C:G rs192902921 C G C EBF1_EBF_1 26 0 - 0 0 . chr5 135459123 135459124 chr5:135459124:C:A rs143801233 C A C EBF1_EBF_1 9 1 - 4.069033572345518 -2.767955835743292 CCTCCCATGGCACT chr5 135459308 135459309 chr5:135459309:A:G rs115629095 A G A EBF1_EBF_1 1 1 + 6.192869600489829 6.453568432411131 AACCCCAGAGGACT chr5 135461633 135461634 chr5:135461634:T:A rs251561 T A A EBF1_EBF_1 -1 0 - 0 0 . chr5 135465747 135465748 chr5:135465748:G:T rs251567 G T G EBF1_EBF_1 19 0 + 0 0 . chr5 135466358 135466359 chr5:135466359:C:T rs1315901545 C T C EBF1_EBF_1 6 1 + 4.466149392838412 4.76743552170017 ACTCCCCGGGAAGC chr5 135466382 135466383 chr5:135466383:T:C rs3853111 T C C EBF1_EBF_1 30 0 + 0 0 . chr5 135466939 135466940 chr5:135466940:A:C rs251568 A C A EBF1_EBF_1 -20 0 + 0 0 . chr5 135467475 135467476 chr5:135467476:C:T rs17168406 C T C EBF1_EBF_1 7 1 - 3.922655471736298 5.235113877025125 GGTCCCCGGAGGCC chr5 135488857 135488858 chr5:135488858:G:A rs76860778 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 135489431 135489432 chr5:135489432:G:A rs17597227 G A G EBF1_EBF_1 17 0 - 0 0 . chr5 135490216 135490217 chr5:135490217:C:T rs12187270 C T C EBF1_EBF_1 -10 0 + 0 0 . chr5 135494230 135494231 chr5:135494231:G:A rs17168474 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 135497048 135497049 chr5:135497049:C:T rs191152970 C T C EBF1_EBF_1 -11 0 - 0 0 . chr5 135499364 135499365 chr5:135499365:T:C rs6871211 T C C EBF1_EBF_1 -6 0 + 0 0 . chr5 135543531 135543532 chr5:135543532:C:G rs147271858 C G C EBF1_EBF_1 3 1 + 7.577696266511233 1.7499318183960173 GCCCCCAAGGGACG chr5 135550794 135550795 chr5:135550795:G:A rs245123 G A g EBF1_EBF_1 16 0 + 0 0 . chr5 135570286 135570287 chr5:135570287:C:T rs973729494 C T C EBF1_EBF_1 -11 0 + 0 0 . chr5 135571861 135571862 chr5:135571862:G:A rs2547 G A G EBF1_EBF_1 15 0 - 0 0 . chr5 135595102 135595103 chr5:135595103:G:T rs62366003 G T G EBF1_EBF_1 5 1 - 4.2565582374644 -2.6483229151707697 CTCCACCAGGGACT chr5 135602311 135602312 chr5:135602312:G:T rs56088050 G T G EBF1_EBF_1 10 1 + 6.3380546676217415 2.155302481394477 TGCCCCAAGAGATT chr5 135603567 135603568 chr5:135603568:G:C rs1406868310 G C G EBF1_EBF_1 32 0 - 0 0 . chr5 135603570 135603571 chr5:135603571:G:A rs4434374 G A G EBF1_EBF_1 29 0 - 0 0 . chr5 135629549 135629550 chr5:135629550:G:A rs183169127 G A G EBF1_EBF_1 24 0 + 0 0 . chr5 135648291 135648292 chr5:135648292:C:T rs55718950 C T C EBF1_EBF_1 27 0 - 0 0 . chr5 135662362 135662363 chr5:135662363:A:C rs1002573195 A C A EBF1_EBF_1 -9 0 + 0 0 . chr5 135662555 135662556 chr5:135662556:A:G rs6877267 A G G EBF1_EBF_1 -13 0 + 0 0 . chr5 135669798 135669799 chr5:135669799:C:T rs2214274 C T C EBF1_EBF_1 10 1 - 7.583122591777211 2.2650941325102445 ATTGCCCAGGGAAC chr5 135671647 135671648 chr5:135671648:C:T rs188701643 C T c EBF1_EBF_1 8 1 - 5.00124996539867 0.7749598765124084 CCTCCCTCGGGGAA chr5 135714312 135714313 chr5:135714313:G:A rs143969624 G A G EBF1_EBF_1 32 0 + 0 0 . chr5 135729113 135729114 chr5:135729114:G:A rs75063291 G A G EBF1_EBF_1 -1 0 - 0 0 . chr5 135737038 135737039 chr5:135737039:A:G rs4639217 A G a EBF1_EBF_1 21 0 - 0 0 . chr5 135785308 135785309 chr5:135785309:G:A rs11242282 G A - EBF1_EBF_1 18 0 - 0 0 . chr5 135788485 135788486 chr5:135788486:T:A rs11957909 T A a EBF1_EBF_1 14 0 - 0 0 . chr5 135821563 135821564 chr5:135821564:C:T rs4518388 C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 135828543 135828544 chr5:135828544:G:A rs4976480 G A G EBF1_EBF_1 -19 0 + 0 0 . chr5 135844019 135844020 chr5:135844020:T:C rs148393508 T C T EBF1_EBF_1 -8 0 + 0 0 . chr5 135858533 135858534 chr5:135858534:A:G rs12523450 A G A EBF1_EBF_1 29 0 - 0 0 . chr5 135861948 135861949 chr5:135861949:G:A rs17169232 G A G EBF1_EBF_1 5 1 - 6.162816784706281 0.8410295691656119 GGCCCCAGGAGAAT chr5 135869413 135869414 chr5:135869414:G:A rs55758400 G A G EBF1_EBF_1 10 1 + 5.236826997572537 -0.08120146169442838 ACTCCGCAGGGAAA chr5 135878562 135878563 chr5:135878563:C:T rs7733539 C T C EBF1_EBF_1 21 0 + 0 0 . chr5 135884372 135884373 chr5:135884373:A:C rs73287289 A C C EBF1_EBF_1 25 0 - 0 0 . chr5 135909682 135909683 chr5:135909683:A:G rs28491808 A G A EBF1_EBF_1 2 1 + 5.726325313904136 2.662467211302544 ACACCCCAGGGGTT chr5 135972157 135972158 chr5:135972158:A:G rs2526164 A G A EBF1_EBF_1 7 1 + 5.7111517462401595 4.3986933409513345 AGTCCCTATGGACA chr5 135993913 135993914 chr5:135993914:A:G rs115506742 A G A EBF1_EBF_1 13 1 - 5.5176076912717535 4.6731135766862355 CCTCCCATGGGCTT chr5 136012686 136012687 chr5:136012687:C:T rs2106491 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 136026883 136026884 chr5:136026884:C:G rs4976459 C G C EBF1_EBF_1 31 0 - 0 0 . chr5 136028185 136028186 chr5:136028186:A:G rs2282790 A G G EBF1_EBF_1 33 0 + 0 0 . chr5 136039352 136039353 chr5:136039353:T:C rs11738979 T C C EBF1_EBF_1 19 0 - 0 0 . chr5 136042799 136042800 chr5:136042800:C:T rs1410328999 C T C EBF1_EBF_1 4 1 + 6.960518646176858 2.5911317012319355 ACCCCCTGGAGAAC chr5 136049279 136049280 chr5:136049280:G:A rs73789485 G A G EBF1_EBF_1 1 1 - 4.860602038973812 5.756035479372558 GCCCCCAGGGGGCC chr5 136059252 136059253 chr5:136059253:C:G rs78118538 C G C EBF1_EBF_1 6 1 + 5.5337978977186 3.7286058342454025 TTTCCCCAGGGCAG chr5 136061328 136061329 chr5:136061329:T:C rs2302038 T C C EBF1_EBF_1 -6 0 + 0 0 . chr5 136075591 136075592 chr5:136075592:C:T rs11749522 C T C EBF1_EBF_1 8 1 - 7.349428592773654 3.1231385038873927 GCCCCCTGGGGAAA chr5 136076505 136076506 chr5:136076506:G:A rs10079215 G A A EBF1_EBF_1 19 0 + 0 0 . chr5 136089066 136089067 chr5:136089067:A:C rs13186426 A C C EBF1_EBF_1 13 1 + 8.644402647900563 9.018148097186538 CATCCCATGGGATA chr5 136108234 136108235 chr5:136108235:T:C rs61031632 T C T EBF1_EBF_1 20 0 - 0 0 . chr5 136204556 136204557 chr5:136204557:C:T rs6866088 C T T EBF1_EBF_1 13 1 + 3.7217490354163623 4.566243150001881 ACCCCACAGGGAAC chr5 136243715 136243716 chr5:136243716:A:T rs11955187 A T T EBF1_EBF_1 -8 0 - 0 0 . chr5 136246370 136246371 chr5:136246371:A:C chr5:136246371:A:C A C A EBF1_EBF_1 7 1 + 6.527713003956779 4.2339340175865985 CTTCCCCAGGGCTT chr5 136252058 136252059 chr5:136252059:C:T rs59268520 C T C EBF1_EBF_1 12 1 + 6.229275079693062 5.2030085091422125 AGACCCAGGAGACT chr5 136269661 136269662 chr5:136269662:T:C rs2546651 T C C EBF1_EBF_1 -16 0 + 0 0 . chr5 136279557 136279558 chr5:136279558:G:A rs921469749 G A G EBF1_EBF_1 19 0 - 0 0 . chr5 136359298 136359299 chr5:136359299:A:C chr5:136359299:A:C A C A EBF1_EBF_1 22 0 + 0 0 . chr5 136525984 136525985 chr5:136525985:A:G rs73284372 A G A EBF1_EBF_1 23 0 + 0 0 . chr5 136599258 136599259 chr5:136599259:T:C chr5:136599259:T:C T C T EBF1_EBF_1 13 1 + 8.06913368452225 7.224639569936731 ATCCCCATGGTAAT chr5 136605863 136605864 chr5:136605864:A:C rs6863600 A C C EBF1_EBF_1 30 0 - 0 0 . chr5 136630871 136630872 chr5:136630872:G:C rs12522755 G C G EBF1_EBF_1 -2 0 - 0 0 . chr5 136665209 136665210 chr5:136665210:C:T rs10075728 C T C EBF1_EBF_1 -11 0 + 0 0 . chr5 136665232 136665233 chr5:136665233:A:G rs35067488 A G A EBF1_EBF_1 12 1 + 6.205819971426822 4.787002629327344 CTTCCCCTGGAAAT chr5 136665247 136665248 chr5:136665248:C:T rs10075735 C T C EBF1_EBF_1 27 0 + 0 0 . chr5 136821062 136821063 chr5:136821063:G:T rs12656228 G T G EBF1_EBF_1 29 0 + 0 0 . chr5 136989101 136989102 chr5:136989102:G:A rs17521226 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 137070538 137070539 chr5:137070539:C:T rs58117681 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 137079054 137079055 chr5:137079055:A:G rs9327770 A G A EBF1_EBF_1 14 0 - 0 0 . chr5 137088789 137088790 chr5:137088790:A:G rs2348192 A G G EBF1_EBF_1 7 1 - 7.143518845702178 5.326014099419554 ACCCCCATGGTACT chr5 137122419 137122420 chr5:137122420:G:A rs6890599 G A A EBF1_EBF_1 28 0 + 0 0 . chr5 137124951 137124952 chr5:137124952:A:G rs916980 A G A EBF1_EBF_1 24 0 - 0 0 . chr5 137146599 137146600 chr5:137146600:A:G rs6886267 A G A EBF1_EBF_1 -18 0 + 0 0 . chr5 137155272 137155273 chr5:137155273:T:G rs1011425322 T G T EBF1_EBF_1 -4 0 + 0 0 . chr5 137156160 137156161 chr5:137156161:T:C rs11747661 T C T EBF1_EBF_1 -9 0 - 0 0 . chr5 137174857 137174858 chr5:137174858:G:C rs17777250 G C G EBF1_EBF_1 9 1 + 6.37935764340563 1.3036684970601664 GAACCCATGGGAAA chr5 137187258 137187259 chr5:137187259:C:G rs62376275 C G C EBF1_EBF_1 -15 0 + 0 0 . chr5 137187260 137187261 chr5:137187261:G:C rs62376276 G C G EBF1_EBF_1 -13 0 + 0 0 . chr5 137207533 137207534 chr5:137207534:C:A rs880040 C A C EBF1_EBF_1 10 1 - 5.523762914937246 1.3410107287099804 CAACCCAGGGGACC chr5 137288092 137288093 chr5:137288093:T:C rs2905558 T C T EBF1_EBF_1 -1 0 + 0 0 . chr5 137288106 137288107 chr5:137288107:T:C rs79147742 T C T EBF1_EBF_1 13 1 + 4.948136903584867 4.103642788999349 GGTCCCAAGAGCAT chr5 137311414 137311415 chr5:137311415:A:C rs986359 A C A EBF1_EBF_1 -6 0 - 0 0 . chr5 137318467 137318468 chr5:137318468:T:G rs75693473 T G T EBF1_EBF_1 32 0 - 0 0 . chr5 137477666 137477667 chr5:137477667:C:T rs71589367 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 137477667 137477668 chr5:137477668:G:A rs56134525 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 137497592 137497593 chr5:137497593:T:A rs7730850 T A T EBF1_EBF_1 2 1 + 4.762133964165538 1.0776663765666623 CATCCCTGGGGCAC chr5 137499009 137499010 chr5:137499010:G:T rs923806339 G T G EBF1_EBF_1 1 1 + 4.020866985156474 4.726528770986002 GGTCCCCGGCGACA chr5 137506988 137506989 chr5:137506989:T:C rs1569221 T C C EBF1_EBF_1 12 1 - 5.613129367739294 4.1943120256398165 ATTCCCCAAGGCAT chr5 137615567 137615568 chr5:137615568:C:T rs183765377 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 137616136 137616137 chr5:137616137:T:G rs4835797 T G G EBF1_EBF_1 17 0 - 0 0 . chr5 137634263 137634264 chr5:137634264:C:T rs2301709 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 137639431 137639432 chr5:137639432:C:T rs2074347 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 137752986 137752987 chr5:137752987:T:C rs115800104 T C T EBF1_EBF_1 -9 0 + 0 0 . chr5 138033403 138033404 chr5:138033404:C:T rs112702845 C T c EBF1_EBF_1 6 1 + 3.0893389331040466 3.3906250619658045 GGCCCCCAGGGCGC chr5 138091078 138091079 chr5:138091079:C:T rs12520886 C T C EBF1_EBF_1 31 0 + 0 0 . chr5 138159871 138159872 chr5:138159872:T:C rs1037608190 T C T EBF1_EBF_1 7 1 + 4.405048612316761 2.587543866034137 TCTCCCATGTGACT chr5 138179703 138179704 chr5:138179704:G:C rs201784256 G C G EBF1_EBF_1 -9 0 - 0 0 . chr5 138179810 138179811 chr5:138179811:C:T rs150704301 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 138231278 138231279 chr5:138231279:C:G rs10051533 C G C EBF1_EBF_1 -11 0 + 0 0 . chr5 138231300 138231301 chr5:138231301:C:T chr5:138231301:C:T C T C EBF1_EBF_1 11 1 + 6.5559982831970744 6.874045724950737 ATTCCCAGGGGCAG chr5 138244144 138244145 chr5:138244145:C:A rs28727439 C A C EBF1_EBF_1 27 0 + 0 0 . chr5 138339616 138339617 chr5:138339617:C:T rs17171802 C T C EBF1_EBF_1 -18 0 - 0 0 . chr5 138352520 138352521 chr5:138352521:C:A rs73792443 C A C EBF1_EBF_1 10 1 - 5.628289789550636 1.4455376033233711 TCTCCCCGGGGCCT chr5 138353059 138353060 chr5:138353060:G:C chr5:138353060:G:C G C G EBF1_EBF_1 17 0 + 0 0 . chr5 138425193 138425194 chr5:138425194:T:C rs115994579 T C T EBF1_EBF_1 1 1 + 5.547353569442225 4.6519201290434795 TTTCCCCAGGGTGA chr5 138425210 138425211 chr5:138425211:G:C rs78589002 G C C EBF1_EBF_1 18 0 + 0 0 . chr5 138430069 138430070 chr5:138430070:T:C rs2269948 T C C EBF1_EBF_1 -7 0 - 0 0 . chr5 138439391 138439392 chr5:138439392:C:T rs1859466 C T C EBF1_EBF_1 27 0 + 0 0 . chr5 138452917 138452918 chr5:138452918:A:G rs740076 A G G EBF1_EBF_1 -13 0 + 0 0 . chr5 138458986 138458987 chr5:138458987:G:C rs757650 G C C EBF1_EBF_1 1 1 - 5.809063783640236 5.998835438209453 TCTCCCCAGAGGCT chr5 138459387 138459388 chr5:138459388:C:A rs740075 C A C EBF1_EBF_1 -20 0 - 0 0 . chr5 138492502 138492503 chr5:138492503:T:C rs991456213 T C T EBF1_EBF_1 -11 0 + 0 0 . chr5 138575878 138575879 chr5:138575879:G:A rs41294546 G A G EBF1_EBF_1 4 1 - 3.79407148632719 -0.5753154586177317 TCGCCCCAGGGAAC chr5 138575900 138575901 chr5:138575901:C:G rs41294544 C G C EBF1_EBF_1 -18 0 - 0 0 . chr5 138657019 138657020 chr5:138657020:G:A rs882740 G A G EBF1_EBF_1 24 0 - 0 0 . chr5 138657793 138657794 chr5:138657794:T:A rs2003393 T A A EBF1_EBF_1 -7 0 - 0 0 . chr5 138696774 138696775 chr5:138696775:C:T rs13357397 C T T EBF1_EBF_1 -4 0 - 0 0 . chr5 138819332 138819333 chr5:138819333:C:T rs116802170 C T C EBF1_EBF_1 -17 0 - 0 0 . chr5 138819555 138819556 chr5:138819556:G:A rs6873425 G A G EBF1_EBF_1 29 0 + 0 0 . chr5 138928368 138928369 chr5:138928369:C:T rs2016720 C T T EBF1_EBF_1 -13 0 - 0 0 . chr5 138944830 138944831 chr5:138944831:A:G chr5:138944831:A:G A G A EBF1_EBF_1 -17 0 - 0 0 . chr5 139097069 139097070 chr5:139097070:G:C rs13177503 G C g EBF1_EBF_1 14 0 + 0 0 . chr5 139147875 139147876 chr5:139147876:C:T rs13354264 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 139147892 139147893 chr5:139147893:G:A rs1269803961 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 139147965 139147966 chr5:139147966:C:T rs115993937 C T C EBF1_EBF_1 -14 0 - 0 0 . chr5 139182123 139182124 chr5:139182124:G:A rs562606377 G A G EBF1_EBF_1 -12 0 - 0 0 . chr5 139198516 139198517 chr5:139198517:G:A rs6877315 G A G EBF1_EBF_1 19 0 - 0 0 . chr5 139225967 139225968 chr5:139225968:T:C rs10900860 T C C EBF1_EBF_1 -7 0 + 0 0 . chr5 139292549 139292550 chr5:139292550:C:G rs140057995 C G C EBF1_EBF_1 -10 0 + 0 0 . chr5 139342082 139342083 chr5:139342083:C:T rs146336608 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 139342097 139342098 chr5:139342098:G:A rs1282319370 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 139378784 139378785 chr5:139378785:G:A rs11950646 G A G EBF1_EBF_1 -10 0 - 0 0 . chr5 139389806 139389807 chr5:139389807:A:G rs114473756 A G A EBF1_EBF_1 2 1 - 6.315523658932111 4.426700247489961 CATCCCAGGGGGCC chr5 139392566 139392567 chr5:139392567:G:A rs202094107 G A G EBF1_EBF_1 13 1 - 6.997665207550826 7.842159322136344 ACTCCCGCGGGAGC chr5 139393564 139393565 chr5:139393565:G:A rs151107743 G A G EBF1_EBF_1 -1 0 + 0 0 . chr5 139402769 139402770 chr5:139402770:G:A rs536791754 G A G EBF1_EBF_1 26 0 + 0 0 . chr5 139416480 139416481 chr5:139416481:T:C rs552676753 T C T EBF1_EBF_1 7 1 + 5.502271714559273 3.6847669682766497 AGCCCCATGAGATG chr5 139439762 139439763 chr5:139439763:G:C rs537813352 G C g EBF1_EBF_1 23 0 + 0 0 . chr5 139468676 139468677 chr5:139468677:A:G rs6868775 A G G EBF1_EBF_1 7 1 + 4.819303087920618 3.506844682631793 CTCCCCCAGAGAGA chr5 139492930 139492931 chr5:139492931:G:C rs142831094 G C G EBF1_EBF_1 -8 0 + 0 0 . chr5 139513184 139513185 chr5:139513185:A:G rs141207413 A G A EBF1_EBF_1 -9 0 + 0 0 . chr5 139638481 139638482 chr5:139638482:G:C chr5:139638482:G:C G C G EBF1_EBF_1 8 1 + 5.35321091225907 0.034552051344383106 TCCCCCTAGGGCCT chr5 139669193 139669194 chr5:139669194:G:C rs139133301 G C G EBF1_EBF_1 -11 0 - 0 0 . chr5 139679090 139679091 chr5:139679091:C:T rs553415853 C T C EBF1_EBF_1 10 1 - 7.463339725493403 2.145311266226437 ACCCTCAAGGGAAT chr5 139690812 139690813 chr5:139690813:G:C rs71579590 G C G EBF1_EBF_1 23 0 + 0 0 . chr5 139698999 139699000 chr5:139699000:C:T rs1407207747 C T C EBF1_EBF_1 0 1 + 4.056797214173654 4.338999274904232 CGCCCCCACGGACT chr5 139711184 139711185 chr5:139711185:A:C rs917464835 A C A EBF1_EBF_1 17 0 + 0 0 . chr5 139725377 139725378 chr5:139725378:G:A rs76706704 G A G EBF1_EBF_1 7 1 + 3.9374898032189805 5.2499482085078055 CCTCACCGGGGACT chr5 139740752 139740753 chr5:139740753:T:C rs356471 T C C EBF1_EBF_1 30 0 - 0 0 . chr5 139745436 139745437 chr5:139745437:G:C rs148853397 G C G EBF1_EBF_1 14 0 - 0 0 . chr5 139764402 139764403 chr5:139764403:C:G rs931436180 C G C EBF1_EBF_1 8 1 - 7.148635864153743 1.8299770032390577 ACTCCCAGGGGCAC chr5 139764453 139764454 chr5:139764454:C:A rs573566807 C A C EBF1_EBF_1 10 1 - 6.323051832386539 2.140299646159274 AGACCCCCGGGACA chr5 139776264 139776265 chr5:139776265:C:A rs2098661 C A C EBF1_EBF_1 11 1 + 6.106346933684194 10.522308631536585 AGTCCCAAGGGCTG chr5 139798421 139798422 chr5:139798422:A:G rs72794890 A G G EBF1_EBF_1 30 0 - 0 0 . chr5 139803221 139803222 chr5:139803222:C:G rs60870216 C G C EBF1_EBF_1 18 0 - 0 0 . chr5 139803244 139803245 chr5:139803245:G:C rs17118436 G C C EBF1_EBF_1 -5 0 - 0 0 . chr5 139820682 139820683 chr5:139820683:T:C rs72794895 T C C EBF1_EBF_1 -8 0 + 0 0 . chr5 139821671 139821672 chr5:139821672:G:A rs7700717 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 139826407 139826408 chr5:139826408:T:C rs73269466 T C T EBF1_EBF_1 6 1 + 5.781182189194832 5.479896060333075 CTTCCCTTGAGATG chr5 139847407 139847408 chr5:139847408:T:C rs12519544 T C T EBF1_EBF_1 11 1 + 3.693172402434067 3.3751249606804024 CCTCCCAGGGGTCG chr5 139862985 139862986 chr5:139862986:G:A rs732489 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 139864115 139864116 chr5:139864116:C:T rs530792550 C T C EBF1_EBF_1 5 1 + 5.106012552566995 -0.2157746629736752 CCTCCCTGGAGAAG chr5 139881418 139881419 chr5:139881419:C:T rs146983452 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 139881419 139881420 chr5:139881420:G:A rs73271418 G A G EBF1_EBF_1 -2 0 + 0 0 . chr5 139903720 139903721 chr5:139903721:C:G rs149619517 C G G EBF1_EBF_1 6 1 + 6.299826512509581 4.494634449036384 AGTTCCCAGGGAAC chr5 139916235 139916236 chr5:139916236:G:A rs6895139 G A G EBF1_EBF_1 -13 0 - 0 0 . chr5 139923755 139923756 chr5:139923756:T:C rs17118539 T C T EBF1_EBF_1 -14 0 + 0 0 . chr5 139948406 139948407 chr5:139948407:T:C rs2436589 T C C EBF1_EBF_1 33 0 + 0 0 . chr5 140007345 140007346 chr5:140007346:T:G rs572043605 T G T EBF1_EBF_1 0 1 - 7.2272898120886735 4.972031328392075 ATCCCCTGGGGTCT chr5 140108254 140108255 chr5:140108255:A:C rs896680667 A C A EBF1_EBF_1 32 0 + 0 0 . chr5 140109028 140109029 chr5:140109029:T:G rs936098334 T G T EBF1_EBF_1 22 0 + 0 0 . chr5 140112479 140112480 chr5:140112480:G:C rs875575 G C C EBF1_EBF_1 -19 0 - 0 0 . chr5 140151432 140151433 chr5:140151433:C:T rs183869 C T T EBF1_EBF_1 30 0 - 0 0 . chr5 140154268 140154269 chr5:140154269:A:T rs115658283 A T A EBF1_EBF_1 33 0 - 0 0 . chr5 140182585 140182586 chr5:140182586:T:A chr5:140182586:T:A T A T EBF1_EBF_1 11 1 - 8.676529428576771 4.578615172478045 TTTCCCAGGAGAAT chr5 140302676 140302677 chr5:140302677:G:T rs11744366 G T G EBF1_EBF_1 25 0 - 0 0 . chr5 140342402 140342403 chr5:140342403:T:C rs4150209 T C T EBF1_EBF_1 0 1 + 6.293924887823957 6.011722827093379 TGTCCCCAAGGATT chr5 140351922 140351923 chr5:140351923:A:C rs368925159 A C A EBF1_EBF_1 12 1 + 6.142925603744444 6.112744205323118 GCCCCCTAGGGGAC chr5 140564392 140564393 chr5:140564393:G:C rs1055225233 G C g EBF1_EBF_1 27 0 - 0 0 . chr5 140594195 140594196 chr5:140594196:C:T rs73269505 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 140630683 140630684 chr5:140630684:G:A rs11574651 G A G EBF1_EBF_1 12 1 - 7.068493884661383 6.042227314110534 GTCCCCAAGGGGCC chr5 140639483 140639484 chr5:140639484:G:A rs376009276 G A G EBF1_EBF_1 -1 0 - 0 0 . chr5 140725949 140725950 chr5:140725950:G:A rs114589127 G A g EBF1_EBF_1 21 0 - 0 0 . chr5 140848331 140848332 chr5:140848332:C:A chr5:140848332:C:A C A C EBF1_EBF_1 -18 0 + 0 0 . chr5 140966193 140966194 chr5:140966194:G:C chr5:140966194:G:C G C G EBF1_EBF_1 -19 0 - 0 0 . chr5 141177735 141177736 chr5:141177736:A:C rs367575569 A C - EBF1_EBF_1 30 0 + 0 0 . chr5 141234465 141234466 chr5:141234466:G:A rs2907309 G A g EBF1_EBF_1 16 0 + 0 0 . chr5 141246034 141246035 chr5:141246035:C:T rs141032928 C T c EBF1_EBF_1 -7 0 - 0 0 . chr5 141360211 141360212 chr5:141360212:C:G rs17097231 C G c EBF1_EBF_1 5 1 + 4.733735098214202 -2.1711460544209666 GTTCCCCGGGGCTC chr5 141525056 141525057 chr5:141525057:T:C rs577480523 T C T EBF1_EBF_1 18 0 - 0 0 . chr5 141558367 141558368 chr5:141558368:T:C rs10044763 T C T EBF1_EBF_1 -9 0 + 0 0 . chr5 141590498 141590499 chr5:141590499:G:T rs149491122 G T G EBF1_EBF_1 5 1 - 5.819620635025827 -1.085260517609341 TATGCCAAGGGACT chr5 141619746 141619747 chr5:141619747:A:G rs73794945 A G A EBF1_EBF_1 -10 0 - 0 0 . chr5 141619753 141619754 chr5:141619754:C:T rs73794946 C T C EBF1_EBF_1 -17 0 - 0 0 . chr5 141636937 141636938 chr5:141636938:A:T chr5:141636938:A:T A T A EBF1_EBF_1 -2 0 + 0 0 . chr5 141636963 141636964 chr5:141636964:G:T chr5:141636964:G:T G T G EBF1_EBF_1 24 0 + 0 0 . chr5 141644165 141644166 chr5:141644166:G:A rs467478 G A G EBF1_EBF_1 29 0 - 0 0 . chr5 141655806 141655807 chr5:141655807:G:A rs7715559 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 141671668 141671669 chr5:141671669:C:T rs12514851 C T C EBF1_EBF_1 20 0 + 0 0 . chr5 141682621 141682622 chr5:141682622:C:A rs35442270 C A C EBF1_EBF_1 13 1 + 7.106101930597886 6.73235648131191 ATCCCCCAGGGCAC chr5 141683270 141683271 chr5:141683271:T:C chr5:141683271:T:C T C T EBF1_EBF_1 13 1 - 4.727781359349352 3.6134557872799133 CTTCCCCTGAGGCA chr5 141692085 141692086 chr5:141692086:C:G rs35689156 C G C EBF1_EBF_1 -3 0 - 0 0 . chr5 141692476 141692477 chr5:141692477:T:C rs17287030 T C T EBF1_EBF_1 7 1 + 6.457840633736073 4.64033588745345 TTTCCCCTGGAACT chr5 141693515 141693516 chr5:141693516:C:T rs74914017 C T C EBF1_EBF_1 4 1 + 4.51319530976951 0.14380836482458692 CTTCCCCAGGGTTG chr5 141701876 141701877 chr5:141701877:C:T rs115481690 C T T EBF1_EBF_1 16 0 + 0 0 . chr5 141708121 141708122 chr5:141708122:T:C rs17097481 T C T EBF1_EBF_1 -20 0 - 0 0 . chr5 141715365 141715366 chr5:141715366:A:G rs248620 A G A EBF1_EBF_1 17 0 + 0 0 . chr5 141732604 141732605 chr5:141732605:G:A rs10071102 G A G EBF1_EBF_1 -5 0 - 0 0 . chr5 141747663 141747664 chr5:141747664:C:T rs12518743 C T C EBF1_EBF_1 -5 0 + 0 0 . chr5 141757729 141757730 chr5:141757730:C:G rs42757 C G C EBF1_EBF_1 9 1 - 4.334777975120447 -0.7409111712250163 GCCCTCCAGGGACC chr5 141758889 141758890 chr5:141758890:T:G rs116490745 T G T EBF1_EBF_1 14 0 + 0 0 . chr5 141763926 141763927 chr5:141763927:C:T rs115919669 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 141778279 141778280 chr5:141778280:G:A rs77849398 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 141827019 141827020 chr5:141827020:G:T rs13162537 G T G EBF1_EBF_1 -5 0 - 0 0 . chr5 141836490 141836491 chr5:141836491:C:T rs146407697 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 141836501 141836502 chr5:141836502:T:C rs437810 T C T EBF1_EBF_1 7 1 - 5.238888551289718 3.9264301460008912 AGTCCCAAAGGCCT chr5 141842933 141842934 chr5:141842934:G:A rs1047215944 G A G EBF1_EBF_1 24 0 - 0 0 . chr5 141842968 141842969 chr5:141842969:C:T rs72794079 C T C EBF1_EBF_1 -11 0 - 0 0 . chr5 141851213 141851214 chr5:141851214:C:G rs34668177 C G C EBF1_EBF_1 -16 0 + 0 0 . chr5 141869397 141869398 chr5:141869398:T:G rs12515587 T G G EBF1_EBF_1 29 0 + 0 0 . chr5 141923700 141923701 chr5:141923701:C:A chr5:141923701:C:A C A C EBF1_EBF_1 14 0 + 0 0 . chr5 141923888 141923889 chr5:141923889:A:G rs941005251 A G A EBF1_EBF_1 7 1 - 5.925885391913017 4.108380645630393 CAACCCTTGGGACA chr5 141951388 141951389 chr5:141951389:C:T rs35153199 C T C EBF1_EBF_1 13 1 + 9.279157679888206 10.123651794473725 CCTCCCAGGGGACC chr5 141958063 141958064 chr5:141958064:C:T rs3747724 C T C EBF1_EBF_1 -9 0 + 0 0 . chr5 142003462 142003463 chr5:142003463:A:G rs252113 A G G EBF1_EBF_1 7 1 - 8.00103464317207 6.183529896889446 AACCCCCTGGGGCT chr5 142012891 142012892 chr5:142012892:G:C rs2232193 G C G EBF1_EBF_1 -5 0 + 0 0 . chr5 142013215 142013216 chr5:142013216:T:C rs56751554 T C T EBF1_EBF_1 13 1 - 10.248052034312382 9.133726462242944 CCTCCCAAGGGAAA chr5 142013228 142013229 chr5:142013229:G:T rs17524593 G T G EBF1_EBF_1 0 1 - 10.248052034312382 12.503310518008982 CCTCCCAAGGGAAA chr5 142013241 142013242 chr5:142013242:T:C rs59563421 T C C EBF1_EBF_1 -13 0 - 0 0 . chr5 142033195 142033196 chr5:142033196:G:A rs34954154 G A G EBF1_EBF_1 4 1 - 5.518979937602242 1.1495929926573185 GCTCCCCTGGGCTT chr5 142061600 142061601 chr5:142061601:G:A rs148691503 G A G EBF1_EBF_1 -11 0 - 0 0 . chr5 142061604 142061605 chr5:142061605:A:G rs252149 A G A EBF1_EBF_1 -15 0 - 0 0 . chr5 142079246 142079247 chr5:142079247:C:T rs141095265 C T C EBF1_EBF_1 -2 0 - 0 0 . chr5 142108793 142108794 chr5:142108794:G:T rs3761758 G T G EBF1_EBF_1 22 0 + 0 0 . chr5 142108798 142108799 chr5:142108799:G:C rs3761759 G C G EBF1_EBF_1 27 0 + 0 0 . chr5 142175143 142175144 chr5:142175144:T:G rs57874779 T G T EBF1_EBF_1 14 0 - 0 0 . chr5 142180190 142180191 chr5:142180191:A:C rs766611092 A C A EBF1_EBF_1 -16 0 - 0 0 . chr5 142204995 142204996 chr5:142204996:A:C rs249648 A C C EBF1_EBF_1 -4 0 - 0 0 . chr5 142207041 142207042 chr5:142207042:C:A rs7737702 C A C EBF1_EBF_1 -16 0 + 0 0 . chr5 142223896 142223897 chr5:142223897:G:C rs6893820 G C G EBF1_EBF_1 29 0 + 0 0 . chr5 142233902 142233903 chr5:142233903:A:G rs1860991 A G G EBF1_EBF_1 -16 0 - 0 0 . chr5 142246068 142246069 chr5:142246069:A:G rs4912632 A G G EBF1_EBF_1 11 1 - 5.037184452474707 4.7191370107210435 GCTCCCCAGGGTAG chr5 142249338 142249339 chr5:142249339:G:A rs113803662 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 142277753 142277754 chr5:142277754:G:C rs10066764 G C G EBF1_EBF_1 16 0 - 0 0 . chr5 142280448 142280449 chr5:142280449:T:C rs4302619 T C C EBF1_EBF_1 23 0 - 0 0 . chr5 142280807 142280808 chr5:142280808:C:T rs779572447 C T C EBF1_EBF_1 14 0 + 0 0 . chr5 142282138 142282139 chr5:142282139:C:T rs186826210 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 142295649 142295650 chr5:142295650:G:A rs116619580 G A G EBF1_EBF_1 9 1 + 7.353306752342189 4.698367486894584 CCTCCCCAGGGAGG chr5 142321294 142321295 chr5:142321295:C:T rs72803905 C T C EBF1_EBF_1 10 1 - 8.62452408039309 3.3064956211261265 CTTCCCAAGGGGAC chr5 142325410 142325411 chr5:142325411:C:A rs375918836 C A C EBF1_EBF_1 20 0 - 0 0 . chr5 142325425 142325426 chr5:142325426:G:T rs183302845 G T G EBF1_EBF_1 5 1 - 5.147014193998765 -1.757866958636403 ACCCCCCAGCGACA chr5 142325436 142325437 chr5:142325437:A:G rs58139496 A G G EBF1_EBF_1 -6 0 - 0 0 . chr5 142326688 142326689 chr5:142326689:A:G rs144729242 A G A EBF1_EBF_1 -8 0 + 0 0 . chr5 142357234 142357235 chr5:142357235:C:T rs530678008 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 142393337 142393338 chr5:142393338:A:C rs254426 A C A EBF1_EBF_1 20 0 + 0 0 . chr5 142394456 142394457 chr5:142394457:G:A rs10038325 G A G EBF1_EBF_1 32 0 + 0 0 . chr5 142398920 142398921 chr5:142398921:A:C rs13354093 A C C EBF1_EBF_1 12 1 + 9.072732965396696 9.04255156697537 TTCCCCCTGGGAAC chr5 142400042 142400043 chr5:142400043:C:T rs149414706 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 142406113 142406114 chr5:142406114:G:A rs146162072 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 142412261 142412262 chr5:142412262:G:A rs58644 G A g EBF1_EBF_1 30 0 + 0 0 . chr5 142427212 142427213 chr5:142427213:A:G rs10058463 A G A EBF1_EBF_1 -5 0 + 0 0 . chr5 142432492 142432493 chr5:142432493:G:A rs183579634 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 142469784 142469785 chr5:142469785:A:T rs58496276 A T A EBF1_EBF_1 -8 0 + 0 0 . chr5 142485947 142485948 chr5:142485948:T:C rs4632826 T C T EBF1_EBF_1 17 0 - 0 0 . chr5 142507877 142507878 chr5:142507878:G:A rs6866118 G A G EBF1_EBF_1 33 0 + 0 0 . chr5 142545681 142545682 chr5:142545682:T:G rs150344787 T G T EBF1_EBF_1 -2 0 + 0 0 . chr5 142551928 142551929 chr5:142551929:T:C rs552774569 T C T EBF1_EBF_1 24 0 - 0 0 . chr5 142580515 142580516 chr5:142580516:A:G rs187679262 A G A EBF1_EBF_1 31 0 - 0 0 . chr5 142593935 142593936 chr5:142593936:T:C rs34000 T C C EBF1_EBF_1 14 0 - 0 0 . chr5 142600999 142601000 chr5:142601000:G:A rs114326281 G A G EBF1_EBF_1 3 1 - 6.477129771009206 0.07173102945589066 ATTCCCAATGGACA chr5 142604661 142604662 chr5:142604662:G:A rs67125523 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 142640872 142640873 chr5:142640873:A:G rs12523052 A G A EBF1_EBF_1 19 0 + 0 0 . chr5 142675275 142675276 chr5:142675276:C:T rs188801920 C T C EBF1_EBF_1 13 1 - 4.530728813965823 5.6450543860352616 GCTCCCCTGGGTCG chr5 142685028 142685029 chr5:142685029:C:T rs17223156 C T T EBF1_EBF_1 0 1 + 6.801605464636746 7.083807525367324 CCTCCCTTGGGTCT chr5 142685052 142685053 chr5:142685053:C:T rs10078821 C T G EBF1_EBF_1 24 0 + 0 0 . chr5 142686176 142686177 chr5:142686177:C:T rs1209872820 C T C EBF1_EBF_1 5 1 + 5.27884323240349 -0.042943983137180106 CGTCCCTAGGGCTC chr5 142707150 142707151 chr5:142707151:G:A rs142691123 G A G EBF1_EBF_1 31 0 - 0 0 . chr5 142770921 142770922 chr5:142770922:G:A rs138018413 G A G EBF1_EBF_1 -6 0 + 0 0 . chr5 142809327 142809328 chr5:142809328:A:T rs452120 A T A EBF1_EBF_1 -3 0 + 0 0 . chr5 142823489 142823490 chr5:142823490:C:T rs35300 C T C EBF1_EBF_1 8 1 - 5.59199981085688 1.3657097219706198 AGTCCCAAGAGCCA chr5 142848331 142848332 chr5:142848332:C:T rs458834 C T C EBF1_EBF_1 -5 0 + 0 0 . chr5 142872241 142872242 chr5:142872242:G:A rs6896092 G A A EBF1_EBF_1 7 1 + 4.831533180559672 6.143991585848498 AACCTCAGGGGACA chr5 142881420 142881421 chr5:142881421:C:T rs140496809 C T C EBF1_EBF_1 1 1 - 5.0395660424548225 4.77886721053352 CGCCCCGGGGGACA chr5 142907504 142907505 chr5:142907505:C:T rs528222030 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 142909975 142909976 chr5:142909976:C:T rs830532 C T T EBF1_EBF_1 15 0 + 0 0 . chr5 142934506 142934507 chr5:142934507:T:G rs74413307 T G T EBF1_EBF_1 28 0 - 0 0 . chr5 143004605 143004606 chr5:143004606:G:A rs728464 G A A EBF1_EBF_1 25 0 - 0 0 . chr5 143019519 143019520 chr5:143019520:T:C rs548412725 T C T EBF1_EBF_1 15 0 + 0 0 . chr5 143023103 143023104 chr5:143023104:T:C rs12187598 T C C EBF1_EBF_1 23 0 + 0 0 . chr5 143093770 143093771 chr5:143093771:G:A rs56809185 G A G EBF1_EBF_1 -9 0 - 0 0 . chr5 143093993 143093994 chr5:143093994:A:G rs6898278 A G A EBF1_EBF_1 6 1 + 6.208487103050157 4.0416926717756825 CCCCCCATGGGGAT chr5 143094006 143094007 chr5:143094007:C:A rs17707332 C A A EBF1_EBF_1 19 0 + 0 0 . chr5 143108862 143108863 chr5:143108863:C:T rs13185496 C T C EBF1_EBF_1 13 1 - 6.31777266822191 7.432098240291349 CTTCCCAAGAGATG chr5 143114802 143114803 chr5:143114803:C:T rs740574 C T C EBF1_EBF_1 -4 0 - 0 0 . chr5 143131011 143131012 chr5:143131012:C:T rs3776293 C T C EBF1_EBF_1 33 0 - 0 0 . chr5 143213412 143213413 chr5:143213413:A:C rs7705435 A C A EBF1_EBF_1 17 0 - 0 0 . chr5 143214160 143214161 chr5:143214161:T:C rs76487206 T C T EBF1_EBF_1 -10 0 + 0 0 . chr5 143221769 143221770 chr5:143221770:G:T rs144793233 G T G EBF1_EBF_1 -7 0 + 0 0 . chr5 143229586 143229587 chr5:143229587:G:A rs569425666 G A G EBF1_EBF_1 28 0 - 0 0 . chr5 143233319 143233320 chr5:143233320:C:T rs113431505 C T C EBF1_EBF_1 7 1 - 4.432286160594092 5.744744565882918 AGTCCCCGGGGCTG chr5 143236817 143236818 chr5:143236818:C:G rs57570824 C G C EBF1_EBF_1 29 0 - 0 0 . chr5 143373235 143373236 chr5:143373236:A:C rs890495278 A C A EBF1_EBF_1 -7 0 - 0 0 . chr5 143399709 143399710 chr5:143399710:G:A rs745857266 G A G EBF1_EBF_1 10 1 + 8.56221496725988 3.2441865079929144 AGTCCCCAGAGAAG chr5 143403955 143403956 chr5:143403956:A:G rs10482605 A G a EBF1_EBF_1 14 0 + 0 0 . chr5 143404992 143404993 chr5:143404993:C:T rs61759003 C T C EBF1_EBF_1 20 0 - 0 0 . chr5 143405801 143405802 chr5:143405802:T:C rs562616962 T C T EBF1_EBF_1 13 1 - 4.862079903075635 3.7477543310061963 TGTGCCAAGGGACA chr5 143405812 143405813 chr5:143405813:A:T rs141658709 A T A EBF1_EBF_1 2 1 - 4.862079903075635 1.177612315476758 TGTGCCAAGGGACA chr5 143445904 143445905 chr5:143445905:T:C rs564260615 T C T EBF1_EBF_1 -12 0 - 0 0 . chr5 143495662 143495663 chr5:143495663:C:T rs143800720 C T C EBF1_EBF_1 27 0 - 0 0 . chr5 143499411 143499412 chr5:143499412:C:A rs73797758 C A C EBF1_EBF_1 33 0 - 0 0 . chr5 143516135 143516136 chr5:143516136:G:A rs116812441 G A G EBF1_EBF_1 9 1 + 4.804054194731668 2.1491149292840634 TTTCCATAGGGACC chr5 143516276 143516277 chr5:143516277:C:A rs181961107 C A C EBF1_EBF_1 30 0 - 0 0 . chr5 143516423 143516424 chr5:143516424:T:C rs17342202 T C T EBF1_EBF_1 -7 0 + 0 0 . chr5 143528234 143528235 chr5:143528235:T:G rs55948015 T G G EBF1_EBF_1 -3 0 + 0 0 . chr5 143537166 143537167 chr5:143537167:T:G rs17402447 T G T EBF1_EBF_1 14 0 + 0 0 . chr5 143596599 143596600 chr5:143596600:A:T rs12189392 A T A EBF1_EBF_1 -8 0 + 0 0 . chr5 143599617 143599618 chr5:143599618:G:A rs153516 G A G EBF1_EBF_1 0 1 + 5.0657214051999295 6.958005274764763 GATCACCTGGGACT chr5 143605618 143605619 chr5:143605619:G:A rs546888250 G A G EBF1_EBF_1 -8 0 + 0 0 . chr5 143713811 143713812 chr5:143713812:C:T rs17100697 C T C EBF1_EBF_1 20 0 + 0 0 . chr5 143792759 143792760 chr5:143792760:G:A rs539750299 G A G EBF1_EBF_1 10 1 + 5.432994335918411 0.11496587665144482 CTTCCCTTGAGGAC chr5 143801069 143801070 chr5:143801070:A:G rs325269 A G G EBF1_EBF_1 30 0 - 0 0 . chr5 143837393 143837394 chr5:143837394:T:C rs115401972 T C T EBF1_EBF_1 19 0 + 0 0 . chr5 144204529 144204530 chr5:144204530:C:G rs6887762 C G G EBF1_EBF_1 30 0 - 0 0 . chr5 144204557 144204558 chr5:144204558:A:G rs6868358 A G A EBF1_EBF_1 2 1 - 5.003224197194658 3.114400785752509 CATCCCAAGAGGAC chr5 144295400 144295401 chr5:144295401:T:G rs1559129 T G G EBF1_EBF_1 22 0 + 0 0 . chr5 144304720 144304721 chr5:144304721:G:A rs12653538 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 144310934 144310935 chr5:144310935:A:C rs10051700 A C A EBF1_EBF_1 24 0 - 0 0 . chr5 144321420 144321421 chr5:144321421:T:G rs10515539 T G G EBF1_EBF_1 -17 0 - 0 0 . chr5 144327887 144327888 chr5:144327888:T:G rs138444719 T G T EBF1_EBF_1 23 0 - 0 0 . chr5 144353132 144353133 chr5:144353133:G:T rs7727029 G T G EBF1_EBF_1 0 1 + 8.957317296103529 8.876544742702341 GTTCCCAAGGGGCC chr5 144369210 144369211 chr5:144369211:A:T rs74504093 A T A EBF1_EBF_1 1 1 - 6.896935204599172 5.930574586848342 GTTGCCAAGGGAAT chr5 144369212 144369213 chr5:144369213:C:T rs75002030 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 144594724 144594725 chr5:144594725:C:G rs376638152 C G C EBF1_EBF_1 20 0 - 0 0 . chr5 144697834 144697835 chr5:144697835:A:G rs10044928 A G G EBF1_EBF_1 29 0 - 0 0 . chr5 144712264 144712265 chr5:144712265:A:G rs12656004 A G A EBF1_EBF_1 -19 0 + 0 0 . chr5 144731520 144731521 chr5:144731521:G:C rs4912678 G C C EBF1_EBF_1 -19 0 - 0 0 . chr5 144757367 144757368 chr5:144757368:A:C rs461599 A C A EBF1_EBF_1 -18 0 + 0 0 . chr5 144903661 144903662 chr5:144903662:A:G rs765570732 A G A EBF1_EBF_1 -16 0 - 0 0 . chr5 144971206 144971207 chr5:144971207:G:C rs116550027 G C G EBF1_EBF_1 25 0 + 0 0 . chr5 145115831 145115832 chr5:145115832:C:T rs12109366 C T C EBF1_EBF_1 -19 0 + 0 0 . chr5 145199224 145199225 chr5:145199225:A:G rs13179777 A G A EBF1_EBF_1 -8 0 + 0 0 . chr5 145220687 145220688 chr5:145220688:A:T rs74527939 A T A EBF1_EBF_1 7 1 - 7.616584340537902 8.092858580625458 ATTCCCCTGGAAAC chr5 145239374 145239375 chr5:145239375:T:C rs17096539 T C C EBF1_EBF_1 25 0 - 0 0 . chr5 145484275 145484276 chr5:145484276:C:T rs114427618 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 145495850 145495851 chr5:145495851:C:T rs13165469 C T C EBF1_EBF_1 6 1 + 8.624744689319485 8.926030818181241 ATTCCCCTGGGTTT chr5 145495854 145495855 chr5:145495855:G:C rs13164331 G C G EBF1_EBF_1 10 1 + 8.624744689319485 1.7339423019900113 ATTCCCCTGGGTTT chr5 145520990 145520991 chr5:145520991:C:T rs562160112 C T C EBF1_EBF_1 -10 0 + 0 0 . chr5 145524237 145524238 chr5:145524238:G:A rs67284491 G A G EBF1_EBF_1 -11 0 + 0 0 . chr5 145787287 145787288 chr5:145787288:A:G rs78177231 A G A EBF1_EBF_1 -15 0 + 0 0 . chr5 145825916 145825917 chr5:145825917:T:G rs340046 T G T EBF1_EBF_1 -18 0 + 0 0 . chr5 145836041 145836042 chr5:145836042:G:A rs540704729 G A G EBF1_EBF_1 0 1 - 4.774858093040846 5.057060153771423 CCTCCCTGCGGAAT chr5 145946126 145946127 chr5:145946127:C:T rs17104068 C T T EBF1_EBF_1 20 0 + 0 0 . chr5 146005941 146005942 chr5:146005942:A:G rs12520083 A G A EBF1_EBF_1 -12 0 - 0 0 . chr5 146017021 146017022 chr5:146017022:G:A rs168712 G A G EBF1_EBF_1 9 1 + 6.594405116638561 3.939465851190957 AACCCCAAGGGGTA chr5 146029537 146029538 chr5:146029538:G:T rs11743638 G T G EBF1_EBF_1 -2 0 + 0 0 . chr5 146031663 146031664 chr5:146031664:C:T rs11739231 C T C EBF1_EBF_1 33 0 - 0 0 . chr5 146058743 146058744 chr5:146058744:G:A rs565866867 G A G EBF1_EBF_1 -19 0 - 0 0 . chr5 146184028 146184029 chr5:146184029:G:A rs13154735 G A G EBF1_EBF_1 28 0 - 0 0 . chr5 146184053 146184054 chr5:146184054:G:A rs34405762 G A A EBF1_EBF_1 3 1 - 6.06338424992541 -0.34201449162790754 TTTCCCTTGAGATG chr5 146365488 146365489 chr5:146365489:G:A rs568313461 G A G EBF1_EBF_1 8 1 + 5.626243737041145 1.3999536481548842 GCACCCCGGGGAAC chr5 146448321 146448322 chr5:146448322:A:G rs531677571 A G A EBF1_EBF_1 -11 0 + 0 0 . chr5 146560667 146560668 chr5:146560668:C:T rs112361993 C T C EBF1_EBF_1 -9 0 - 0 0 . chr5 146580839 146580840 chr5:146580840:G:A rs60317913 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 146645526 146645527 chr5:146645527:T:C rs1838336 T C C EBF1_EBF_1 1 1 + 4.3054831288735915 3.4100496884748464 GTTCCCCTGGAAGC chr5 146653541 146653542 chr5:146653542:G:A rs1644575 G A G EBF1_EBF_1 9 1 + 4.523180405129863 1.868241139682259 GACCCCAAGGGTCA chr5 146700021 146700022 chr5:146700022:C:T rs73320030 C T C EBF1_EBF_1 5 1 + 8.538557092839591 3.216769877298921 AACCCCGAGGGATT chr5 146825169 146825170 chr5:146825170:C:T rs17105402 C T T EBF1_EBF_1 -12 0 - 0 0 . chr5 146878607 146878608 chr5:146878608:G:T rs115018751 G T G EBF1_EBF_1 16 0 - 0 0 . chr5 146889153 146889154 chr5:146889154:C:T rs319194 C T C EBF1_EBF_1 3 1 + 6.607823717203431 0.2024249756501137 AATCACAAGGGAAA chr5 147072814 147072815 chr5:147072815:A:G rs73310648 A G A EBF1_EBF_1 27 0 + 0 0 . chr5 147243318 147243319 chr5:147243319:A:G rs62380968 A G A EBF1_EBF_1 9 1 + 6.47204803616532 9.126987301612923 TGTCCCTTGAGATA chr5 147248224 147248225 chr5:147248225:C:G rs1124213 C G C EBF1_EBF_1 -14 0 - 0 0 . chr5 147256240 147256241 chr5:147256241:G:T rs9687779 G T G EBF1_EBF_1 33 0 - 0 0 . chr5 147257592 147257593 chr5:147257593:A:G rs11167981 A G G EBF1_EBF_1 -10 0 - 0 0 . chr5 147340791 147340792 chr5:147340792:C:T rs11955126 C T c EBF1_EBF_1 -20 0 + 0 0 . chr5 147359607 147359608 chr5:147359608:C:T rs72833306 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 147396286 147396287 chr5:147396287:G:T rs2288803 G T G EBF1_EBF_1 3 1 + 5.665902584157244 5.088268290719143 CTTGCCCTGGGACT chr5 147404914 147404915 chr5:147404915:T:C rs10043932 T C T EBF1_EBF_1 18 0 - 0 0 . chr5 147407161 147407162 chr5:147407162:T:G rs79561316 T G T EBF1_EBF_1 -4 0 + 0 0 . chr5 147417632 147417633 chr5:147417633:G:A rs3805545 G A A EBF1_EBF_1 -15 0 + 0 0 . chr5 147453133 147453134 chr5:147453134:G:A rs148227691 G A G EBF1_EBF_1 9 1 + 7.19772886202038 4.542789596572776 TACCCCTGGGGAAA chr5 147453458 147453459 chr5:147453459:A:G rs58633172 A G G EBF1_EBF_1 21 0 + 0 0 . chr5 147453470 147453471 chr5:147453471:G:C rs544288704 G C G EBF1_EBF_1 33 0 + 0 0 . chr5 147530651 147530652 chr5:147530652:G:A rs11949718 G A G EBF1_EBF_1 5 1 - 7.05542644138113 1.7336392258404603 AATCCCAGAGGATT chr5 147553156 147553157 chr5:147553157:T:C rs76054194 T C T EBF1_EBF_1 18 0 - 0 0 . chr5 147712272 147712273 chr5:147712273:T:G rs6895105 T G T EBF1_EBF_1 6 1 - 5.563792319118592 5.202189951317314 ACTCCCATGTGAAC chr5 147875331 147875332 chr5:147875332:G:T rs74501783 G T G EBF1_EBF_1 4 1 - 5.5655427878834764 -0.25901683556999344 GACCCCTTGGGGCC chr5 147956933 147956934 chr5:147956934:A:G rs72829024 A G A EBF1_EBF_1 12 1 + 5.125537681528198 3.70672033942872 CTTCCCACGGGTAC chr5 148016937 148016938 chr5:148016938:G:T rs11958263 G T G EBF1_EBF_1 19 0 + 0 0 . chr5 148034177 148034178 chr5:148034178:T:G rs7714069 T G G EBF1_EBF_1 -8 0 + 0 0 . chr5 148121352 148121353 chr5:148121353:C:T rs6580524 C T T EBF1_EBF_1 17 0 - 0 0 . chr5 148186401 148186402 chr5:148186402:T:C rs74993913 T C T EBF1_EBF_1 -4 0 - 0 0 . chr5 148195642 148195643 chr5:148195643:A:G rs2017896 A G G EBF1_EBF_1 20 0 - 0 0 . chr5 148195661 148195662 chr5:148195662:G:T rs1812484 G T T EBF1_EBF_1 1 1 - 5.5574305683002265 5.48650339094814 ACTCCCTTGAGTCC chr5 148314775 148314776 chr5:148314776:C:T rs6875252 C T C EBF1_EBF_1 -10 0 - 0 0 . chr5 148352220 148352221 chr5:148352221:T:C rs6870350 T C C EBF1_EBF_1 -12 0 + 0 0 . chr5 148352228 148352229 chr5:148352229:A:G rs6866106 A G A EBF1_EBF_1 -4 0 + 0 0 . chr5 148373043 148373044 chr5:148373044:C:T rs767249123 C T C EBF1_EBF_1 -8 0 - 0 0 . chr5 148384187 148384188 chr5:148384188:T:C rs2112761 T C C EBF1_EBF_1 23 0 + 0 0 . chr5 148453007 148453008 chr5:148453008:G:C rs7727320 G C C EBF1_EBF_1 33 0 - 0 0 . chr5 148697286 148697287 chr5:148697287:T:C rs7729785 T C C EBF1_EBF_1 2 1 + 4.809464754118725 2.920641342676574 AGTCCAGAGGGACT chr5 148746816 148746817 chr5:148746817:A:C rs7737439 A C C EBF1_EBF_1 -10 0 + 0 0 . chr5 148788986 148788987 chr5:148788987:G:A rs72824932 G A G EBF1_EBF_1 -12 0 + 0 0 . chr5 148806079 148806080 chr5:148806080:G:A rs77172658 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 148826464 148826465 chr5:148826465:C:T rs11959427 C T C EBF1_EBF_1 4 1 + 5.722535518330181 1.3531485733852588 AGCCCCAGGAGAAG chr5 148834665 148834666 chr5:148834666:C:A rs12652757 C A C EBF1_EBF_1 -13 0 + 0 0 . chr5 148843658 148843659 chr5:148843659:G:C rs6897873 G C G EBF1_EBF_1 4 1 - 8.740566871572776 3.1148017704232323 TTTCCCAAGAGACA chr5 148911962 148911963 chr5:148911963:A:G rs60161674 A G G EBF1_EBF_1 24 0 + 0 0 . chr5 148968527 148968528 chr5:148968528:A:G rs774115499 A G A EBF1_EBF_1 -18 0 + 0 0 . chr5 148979965 148979966 chr5:148979966:G:C rs6872172 G C C EBF1_EBF_1 -10 0 - 0 0 . chr5 148980034 148980035 chr5:148980035:T:C rs10477400 T C C EBF1_EBF_1 -1 0 - 0 0 . chr5 149001574 149001575 chr5:149001575:C:T rs1019927 C T T EBF1_EBF_1 -3 0 - 0 0 . chr5 149019916 149019917 chr5:149019917:T:C rs147647659 T C T EBF1_EBF_1 16 0 + 0 0 . chr5 149036292 149036293 chr5:149036293:A:C rs6861404 A C C EBF1_EBF_1 -10 0 + 0 0 . chr5 149036302 149036303 chr5:149036303:A:G rs77950929 A G A EBF1_EBF_1 0 1 + 5.238888551289718 3.346604681724884 AGTCCCAAAGGCCT chr5 149062660 149062661 chr5:149062661:C:T rs1003508311 C T C EBF1_EBF_1 -3 0 - 0 0 . chr5 149062991 149062992 chr5:149062992:G:A rs149762843 G A G EBF1_EBF_1 13 1 - 8.770450596345517 9.614944710931036 CATCCCCAGGGAGC chr5 149088841 149088842 chr5:149088842:C:T rs144459174 C T C EBF1_EBF_1 -4 0 + 0 0 . chr5 149093658 149093659 chr5:149093659:G:C rs6879243 G C G EBF1_EBF_1 27 0 - 0 0 . chr5 149121404 149121405 chr5:149121405:A:C rs10447231 A C A EBF1_EBF_1 1 1 + 9.514349335851051 9.585276513203135 AACCCCTGGGGACC chr5 149142152 149142153 chr5:149142153:G:A rs201667701 G A G EBF1_EBF_1 24 0 + 0 0 . chr5 149176104 149176105 chr5:149176105:G:A rs10477411 G A G EBF1_EBF_1 15 0 + 0 0 . chr5 149200488 149200489 chr5:149200489:C:T rs41291949 C T C EBF1_EBF_1 -16 0 + 0 0 . chr5 149200496 149200497 chr5:149200497:T:C rs41291951 T C T EBF1_EBF_1 -8 0 + 0 0 . chr5 149201499 149201500 chr5:149201500:G:T rs10463419 G T T EBF1_EBF_1 17 0 + 0 0 . chr5 149205659 149205660 chr5:149205660:T:C rs77821657 T C T EBF1_EBF_1 13 1 + 5.82134539938379 4.976851284798272 TACCCCAGGAGAAT chr5 149216811 149216812 chr5:149216812:G:T rs2963495 G T G EBF1_EBF_1 17 0 - 0 0 . chr5 149240887 149240888 chr5:149240888:C:T rs45498700 C T C EBF1_EBF_1 1 1 - 4.5941584381033795 4.333459606182077 GGTCCCTCAGGAAC chr5 149285752 149285753 chr5:149285753:C:T rs10477414 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 149299737 149299738 chr5:149299738:C:G rs171286 C G C EBF1_EBF_1 -1 0 + 0 0 . chr5 149304481 149304482 chr5:149304482:T:C rs168465 T C C EBF1_EBF_1 -8 0 + 0 0 . chr5 149345898 149345899 chr5:149345899:C:T rs192646776 C T C EBF1_EBF_1 23 0 + 0 0 . chr5 149377639 149377640 chr5:149377640:C:T rs353268 C T C EBF1_EBF_1 9 1 + 5.36406731133665 3.6027670495933046 TCTCCCTAGCGACA chr5 149378463 149378464 chr5:149378464:G:T rs2227447 G T G EBF1_EBF_1 31 0 + 0 0 . chr5 149401149 149401150 chr5:149401150:T:C rs10515627 T C T EBF1_EBF_1 -16 0 + 0 0 . chr5 149407905 149407906 chr5:149407906:G:A rs368510 G A G EBF1_EBF_1 5 1 - 5.279015738637874 -0.04277147690279448 GCTCCCACAGGACT chr5 149410907 149410908 chr5:149410908:C:G rs140976932 C G C EBF1_EBF_1 10 1 - 4.203468533542742 -2.6873338537867335 CCTCCCAGGCGACC chr5 149414686 149414687 chr5:149414687:G:A rs79055993 G A G EBF1_EBF_1 7 1 + 10.206144765038767 11.51860317032759 GTTCCCCGGGGAAC chr5 149420803 149420804 chr5:149420804:C:T rs575130097 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 149420809 149420810 chr5:149420810:C:A rs542613427 C A C EBF1_EBF_1 3 1 + 6.163903664962502 -0.7422703645033046 ACACCCAAGAGAAA chr5 149421537 149421538 chr5:149421538:C:T rs353299 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 149440150 149440151 chr5:149440151:T:C rs403084 T C T EBF1_EBF_1 -5 0 - 0 0 . chr5 149441333 149441334 chr5:149441334:G:A rs9325142 G A G EBF1_EBF_1 10 1 + 4.852634982412485 -0.465393476854481 GCTCCCAAGCGATC chr5 149448914 149448915 chr5:149448915:T:C rs353241 T C C EBF1_EBF_1 8 1 - 7.9905178436043816 12.216807932490642 AGTCCCATAGGAAA chr5 149456147 149456148 chr5:149456148:G:C chr5:149456148:G:C G C G EBF1_EBF_1 9 1 + 5.006454767848904 -0.06923437849655989 TGTCCTCAGGGACA chr5 149579059 149579060 chr5:149579060:A:G rs77796084 A G A EBF1_EBF_1 18 0 - 0 0 . chr5 149579089 149579090 chr5:149579090:C:T rs6861618 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 149618684 149618685 chr5:149618685:G:A rs28469375 G A G EBF1_EBF_1 25 0 + 0 0 . chr5 149618686 149618687 chr5:149618687:G:A rs28406004 G A G EBF1_EBF_1 27 0 + 0 0 . chr5 149630614 149630615 chr5:149630615:C:G rs11958474 C G C EBF1_EBF_1 7 1 + 5.977336860596953 6.958657441678308 AGCCCCACGGGAGG chr5 149638772 149638773 chr5:149638773:G:A rs80345683 G A G EBF1_EBF_1 15 0 - 0 0 . chr5 149640456 149640457 chr5:149640457:T:C rs13356972 T C C EBF1_EBF_1 23 0 + 0 0 . chr5 149641422 149641423 chr5:149641423:G:A rs17724303 G A G EBF1_EBF_1 14 0 + 0 0 . chr5 149652018 149652019 chr5:149652019:T:C rs10074889 T C T EBF1_EBF_1 -15 0 + 0 0 . chr5 149656797 149656798 chr5:149656798:T:G rs150208046 T G T EBF1_EBF_1 -19 0 + 0 0 . chr5 149656847 149656848 chr5:149656848:G:A rs28448725 G A G EBF1_EBF_1 31 0 + 0 0 . chr5 149657277 149657278 chr5:149657278:C:T rs55876877 C T C EBF1_EBF_1 -4 0 + 0 0 . chr5 149677941 149677942 chr5:149677942:G:A rs563646556 G A G EBF1_EBF_1 12 1 - 3.9801209635770425 2.9538543930261927 AGCCCCCAGGAACG chr5 149723080 149723081 chr5:149723081:G:T rs73277969 G T G EBF1_EBF_1 2 1 - 5.930771147415821 4.135126971259094 CACCCCAGGGGAGC chr5 149723096 149723097 chr5:149723097:G:A rs10515631 G A G EBF1_EBF_1 -14 0 - 0 0 . chr5 149730903 149730904 chr5:149730904:G:A rs574015841 G A G EBF1_EBF_1 22 0 + 0 0 . chr5 149731017 149731018 chr5:149731018:G:A rs116599461 G A G EBF1_EBF_1 31 0 - 0 0 . chr5 149731018 149731019 chr5:149731019:G:A rs536442891 G A G EBF1_EBF_1 30 0 - 0 0 . chr5 149731065 149731066 chr5:149731066:G:A rs75536988 G A G EBF1_EBF_1 -17 0 - 0 0 . chr5 149759797 149759798 chr5:149759798:C:T rs34893624 C T C EBF1_EBF_1 -5 0 + 0 0 . chr5 149765065 149765066 chr5:149765066:T:C rs13190198 T C C EBF1_EBF_1 19 0 - 0 0 . chr5 149837810 149837811 chr5:149837811:C:G rs149898525 C G G EBF1_EBF_1 -10 0 - 0 0 . chr5 149838001 149838002 chr5:149838002:G:A rs572396852 G A G EBF1_EBF_1 -10 0 + 0 0 . chr5 149838446 149838447 chr5:149838447:G:A rs58560614 G A G EBF1_EBF_1 7 1 - 4.803636532940792 6.621141279223415 CTACCCCCGGGAAA chr5 149874633 149874634 chr5:149874634:C:T rs78786472 C T C EBF1_EBF_1 0 1 + 4.214403317620833 4.49660537835141 CCTCCCCGGAGGCT chr5 149874649 149874650 chr5:149874650:G:A rs9324632 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 149893797 149893798 chr5:149893798:C:T rs77680928 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 149943169 149943170 chr5:149943170:G:T rs4705100 G T G EBF1_EBF_1 -11 0 + 0 0 . chr5 150034731 150034732 chr5:150034732:T:C rs79352004 T C T EBF1_EBF_1 -20 0 + 0 0 . chr5 150038848 150038849 chr5:150038849:A:G rs10062812 A G A EBF1_EBF_1 2 1 - 6.879070950620814 4.990247539178663 CCTCCCATGGGGAA chr5 150060481 150060482 chr5:150060482:C:T rs12516676 C T C EBF1_EBF_1 27 0 - 0 0 . chr5 150061080 150061081 chr5:150061081:C:T rs170037 C T C EBF1_EBF_1 -13 0 - 0 0 . chr5 150065691 150065692 chr5:150065692:C:T rs542936175 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 150080779 150080780 chr5:150080780:G:A rs17652007 G A G EBF1_EBF_1 -16 0 + 0 0 . chr5 150107885 150107886 chr5:150107886:C:T rs187381023 C T C EBF1_EBF_1 10 1 - 5.912280285537184 0.5942518262702186 TGTCCCCAGGGCCA chr5 150107912 150107913 chr5:150107913:A:G rs891950 A G G EBF1_EBF_1 -17 0 - 0 0 . chr5 150111532 150111533 chr5:150111533:G:C rs17725718 G C G EBF1_EBF_1 27 0 - 0 0 . chr5 150112443 150112444 chr5:150112444:T:C rs6579773 T C C EBF1_EBF_1 11 1 - 10.007637198668252 7.11493035506424 TTTCCCCAGGGATA chr5 150114184 150114185 chr5:150114185:C:G rs57883901 C G C EBF1_EBF_1 25 0 + 0 0 . chr5 150115486 150115487 chr5:150115487:T:C rs246387 T C C EBF1_EBF_1 33 0 - 0 0 . chr5 150117354 150117355 chr5:150117355:C:A rs147860781 C A C EBF1_EBF_1 -2 0 - 0 0 . chr5 150118226 150118227 chr5:150118227:C:T rs1432877 C T C EBF1_EBF_1 7 1 - 4.877368922870281 6.189827328159107 GCCCCCCGGGGATG chr5 150130726 150130727 chr5:150130727:A:C rs113779369 A C A EBF1_EBF_1 7 1 - 4.236274766657908 3.4000906014566405 CCTCCCCTGAGGAC chr5 150147290 150147291 chr5:150147291:G:A rs17614956 G A G EBF1_EBF_1 26 0 + 0 0 . chr5 150163971 150163972 chr5:150163972:C:T rs1323566080 C T C EBF1_EBF_1 -1 0 + 0 0 . chr5 150178476 150178477 chr5:150178477:G:C rs58884648 G C G EBF1_EBF_1 7 1 + 5.897461751190552 4.916141170109197 CGTCCCAGGGGCCT chr5 150188631 150188632 chr5:150188632:C:G rs73279820 C G C EBF1_EBF_1 -15 0 + 0 0 . chr5 150190622 150190623 chr5:150190623:C:A rs76418785 C A C EBF1_EBF_1 3 1 + 4.326868319945717 -2.5793057095200895 AGTCCCCGGAGGCG chr5 150192544 150192545 chr5:150192545:T:A rs114440409 T A T EBF1_EBF_1 26 0 - 0 0 . chr5 150201430 150201431 chr5:150201431:A:G rs41287116 A G A EBF1_EBF_1 16 0 - 0 0 . chr5 150210948 150210949 chr5:150210949:G:A rs112802588 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 150216395 150216396 chr5:150216396:G:A rs6891286 G A A EBF1_EBF_1 24 0 - 0 0 . chr5 150216428 150216429 chr5:150216429:G:T rs887346 G T G EBF1_EBF_1 -9 0 - 0 0 . chr5 150216698 150216699 chr5:150216699:G:A rs2342284 G A G EBF1_EBF_1 22 0 - 0 0 . chr5 150222262 150222263 chr5:150222263:T:C rs957709 T C T EBF1_EBF_1 -4 0 + 0 0 . chr5 150222799 150222800 chr5:150222800:T:A rs17712679 T A A EBF1_EBF_1 16 0 - 0 0 . chr5 150231008 150231009 chr5:150231009:C:G rs76544335 C G C EBF1_EBF_1 17 0 - 0 0 . chr5 150231025 150231026 chr5:150231026:G:A rs149864150 G A G EBF1_EBF_1 0 1 - 6.55559301802359 6.8377950787541675 CTTCCCCAAGGAAA chr5 150236752 150236753 chr5:150236753:C:T rs34112392 C T C EBF1_EBF_1 8 1 - 4.141095520380194 -0.08519456850606744 GACCCCAGGGGCAT chr5 150290192 150290193 chr5:150290193:G:A rs873592 G A G EBF1_EBF_1 13 1 + 3.976660505454359 5.090986077523798 GGTCCCCAGGAACG chr5 150294651 150294652 chr5:150294652:C:T rs72805915 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 150310086 150310087 chr5:150310087:A:G rs142496215 A G A EBF1_EBF_1 19 0 + 0 0 . chr5 150317283 150317284 chr5:150317284:T:C rs77381408 T C c EBF1_EBF_1 17 0 - 0 0 . chr5 150317320 150317321 chr5:150317321:G:C rs13185389 G C G EBF1_EBF_1 -20 0 - 0 0 . chr5 150358262 150358263 chr5:150358263:G:A rs565007474 G A G EBF1_EBF_1 1 1 - 4.769987574172492 5.665421014571238 TCCCCCGAGGGGCT chr5 150399799 150399800 chr5:150399800:C:T rs55984000 C T C EBF1_EBF_1 -1 0 + 0 0 . chr5 150431359 150431360 chr5:150431360:G:A rs12520101 G A G EBF1_EBF_1 -14 0 - 0 0 . chr5 150449283 150449284 chr5:150449284:T:C rs1344248879 T C T EBF1_EBF_1 19 0 + 0 0 . chr5 150461205 150461206 chr5:150461206:G:A rs144368451 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 150461553 150461554 chr5:150461554:T:C rs74468818 T C T EBF1_EBF_1 31 0 - 0 0 . chr5 150461562 150461563 chr5:150461563:C:G rs2748229 C G G EBF1_EBF_1 22 0 - 0 0 . chr5 150464378 150464379 chr5:150464379:C:T rs6579790 C T T EBF1_EBF_1 4 1 + 4.7892011412269175 0.4198141962819934 ATCCCCCTGAGCCT chr5 150466094 150466095 chr5:150466095:C:A rs79042080 C A C EBF1_EBF_1 -8 0 + 0 0 . chr5 150466095 150466096 chr5:150466096:G:C rs12514700 G C C EBF1_EBF_1 -7 0 + 0 0 . chr5 150475418 150475419 chr5:150475419:C:T rs2343812 C T c EBF1_EBF_1 19 0 + 0 0 . chr5 150477896 150477897 chr5:150477897:C:T rs3846703 C T C EBF1_EBF_1 -4 0 - 0 0 . chr5 150515584 150515585 chr5:150515585:C:T rs57539378 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 150517856 150517857 chr5:150517857:C:T rs1017947866 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 150557757 150557758 chr5:150557758:G:A rs557896878 G A G EBF1_EBF_1 -11 0 + 0 0 . chr5 150582191 150582192 chr5:150582192:C:T chr5:150582192:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr5 150583534 150583535 chr5:150583535:G:T rs11167506 G T g EBF1_EBF_1 28 0 - 0 0 . chr5 150585309 150585310 chr5:150585310:A:G rs78052799 A G A EBF1_EBF_1 28 0 - 0 0 . chr5 150588024 150588025 chr5:150588025:A:G rs6579794 A G G EBF1_EBF_1 20 0 - 0 0 . chr5 150595981 150595982 chr5:150595982:G:A rs6868610 G A A EBF1_EBF_1 22 0 + 0 0 . chr5 150598306 150598307 chr5:150598307:C:T rs114296568 C T C EBF1_EBF_1 -17 0 + 0 0 . chr5 150616121 150616122 chr5:150616122:T:G rs60003708 T G G EBF1_EBF_1 11 1 - 5.322455282655152 0.9064935848027633 AAGCCCCAGGGAAA chr5 150616652 150616653 chr5:150616653:T:G rs40310 T G T EBF1_EBF_1 31 0 + 0 0 . chr5 150618565 150618566 chr5:150618566:G:A rs6579797 G A A EBF1_EBF_1 -16 0 + 0 0 . chr5 150629599 150629600 chr5:150629600:C:T rs141367155 C T C EBF1_EBF_1 9 1 - 4.308903126784761 1.653963861337158 TGTCCCAGGGGCTC chr5 150637492 150637493 chr5:150637493:G:A rs112598078 G A G EBF1_EBF_1 6 1 - 4.270778141927675 4.572064270789432 ACTCCTCAGGGGCC chr5 150637506 150637507 chr5:150637507:C:T rs542361472 C T C EBF1_EBF_1 -8 0 - 0 0 . chr5 150658502 150658503 chr5:150658503:G:A rs28197 G A A EBF1_EBF_1 13 1 - 7.330018029506537 8.174512144092056 CCCCCCTGGGGACC chr5 150677060 150677061 chr5:150677061:T:C rs73276196 T C T EBF1_EBF_1 -1 0 - 0 0 . chr5 150710392 150710393 chr5:150710393:G:C rs899946493 G C G EBF1_EBF_1 25 0 + 0 0 . chr5 150738852 150738853 chr5:150738853:A:G rs12657872 A G A EBF1_EBF_1 -3 0 + 0 0 . chr5 150846667 150846668 chr5:150846668:A:G rs34156253 A G G EBF1_EBF_1 -16 0 + 0 0 . chr5 150846681 150846682 chr5:150846682:G:A chr5:150846682:G:A G A G EBF1_EBF_1 -2 0 + 0 0 . chr5 150900999 150901000 chr5:150901000:A:G rs1277603 A G g EBF1_EBF_1 14 0 - 0 0 . chr5 151000358 151000359 chr5:151000359:C:G rs1600159 C G C EBF1_EBF_1 7 1 - 5.513818177753794 4.532497596672438 AGTCCCGGGAGAGC chr5 151012912 151012913 chr5:151012913:T:C rs79760241 T C T EBF1_EBF_1 14 0 - 0 0 . chr5 151012929 151012930 chr5:151012930:G:A rs13357936 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 151059977 151059978 chr5:151059978:G:A rs3805431 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 151076830 151076831 chr5:151076831:G:A rs7731150 G A G EBF1_EBF_1 -16 0 - 0 0 . chr5 151081040 151081041 chr5:151081041:G:A rs192767837 G A G EBF1_EBF_1 -17 0 + 0 0 . chr5 151106847 151106848 chr5:151106848:T:G rs4958440 T G G EBF1_EBF_1 7 1 + 4.762755936935802 3.926571771734534 TGTCACATGGGACC chr5 151123687 151123688 chr5:151123688:T:C rs3792777 T C T EBF1_EBF_1 6 1 - 6.83126416911871 4.664469737844235 ACTCCCAGGAGGCT chr5 151123693 151123694 chr5:151123694:T:C rs3792776 T C T EBF1_EBF_1 0 1 - 6.83126416911871 4.938980299553877 ACTCCCAGGAGGCT chr5 151137325 151137326 chr5:151137326:G:C rs2303034 G C G EBF1_EBF_1 4 1 - 5.011179004466552 -0.6145860966829917 TACCCCCAGGGCAT chr5 151165058 151165059 chr5:151165059:T:C rs371586 T C T EBF1_EBF_1 -10 0 + 0 0 . chr5 151179440 151179441 chr5:151179441:C:A rs181907050 C A C EBF1_EBF_1 -17 0 - 0 0 . chr5 151182846 151182847 chr5:151182847:T:C rs4958903 T C C EBF1_EBF_1 30 0 + 0 0 . chr5 151183678 151183679 chr5:151183679:C:T rs140091951 C T C EBF1_EBF_1 3 1 + 5.402746943280569 -1.0026517982727472 ATTCCCCCAGGAAG chr5 151184888 151184889 chr5:151184889:C:T rs72790194 C T C EBF1_EBF_1 22 0 + 0 0 . chr5 151196904 151196905 chr5:151196905:G:C rs549609129 G C G EBF1_EBF_1 32 0 + 0 0 . chr5 151214350 151214351 chr5:151214351:C:G rs113833830 C G C EBF1_EBF_1 -6 0 - 0 0 . chr5 151214360 151214361 chr5:151214361:C:T rs924346432 C T C EBF1_EBF_1 -16 0 - 0 0 . chr5 151214361 151214362 chr5:151214362:G:C rs548236892 G C G EBF1_EBF_1 -17 0 - 0 0 . chr5 151214799 151214800 chr5:151214800:C:G rs13156736 C G G EBF1_EBF_1 0 1 - 7.088029376686384 6.725054762554617 GCTCCCCTGAGACA chr5 151214808 151214809 chr5:151214809:A:G chr5:151214809:A:G A G A EBF1_EBF_1 -9 0 - 0 0 . chr5 151218344 151218345 chr5:151218345:C:G rs3097797 C G C EBF1_EBF_1 18 0 - 0 0 . chr5 151218819 151218820 chr5:151218820:C:T rs153470 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 151223000 151223001 chr5:151223001:G:A rs72792139 G A G EBF1_EBF_1 1 1 - 5.793464989990778 6.688898430389524 TCCCCCTTGAGACC chr5 151223161 151223162 chr5:151223162:G:A rs73796638 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 151223551 151223552 chr5:151223552:G:A rs73278265 G A G EBF1_EBF_1 7 1 + 4.557974970421157 5.8704333757099825 AACCCCCGGGGGGA chr5 151232283 151232284 chr5:151232284:G:A rs153453 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 151232314 151232315 chr5:151232315:T:C rs152263 T C C EBF1_EBF_1 -13 0 - 0 0 . chr5 151253603 151253604 chr5:151253604:T:A rs76463159 T A T EBF1_EBF_1 17 0 + 0 0 . chr5 151257045 151257046 chr5:151257046:A:T rs555244207 A T A EBF1_EBF_1 -3 0 - 0 0 . chr5 151409670 151409671 chr5:151409671:T:C rs730526 T C T EBF1_EBF_1 -9 0 + 0 0 . chr5 151414761 151414762 chr5:151414762:A:G rs17740556 A G A EBF1_EBF_1 15 0 - 0 0 . chr5 151463603 151463604 chr5:151463604:C:G rs72798317 C G . EBF1_EBF_1 8 1 - 4.971334296607695 -0.34732456430699143 AGTCCCAGGAGTCC chr5 151488767 151488768 chr5:151488768:G:A rs165368 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 151505231 151505232 chr5:151505232:C:T rs165342 C T C EBF1_EBF_1 13 1 - 5.488734129566268 6.603059701635707 AGTCCCCGGGGCAG chr5 151512171 151512172 chr5:151512172:A:G rs2304028 A G G EBF1_EBF_1 6 1 + 4.600577892848394 2.4337834615739182 TGCCCCATGGGTCC chr5 151525923 151525924 chr5:151525924:C:T rs36092108 C T C EBF1_EBF_1 -11 0 - 0 0 . chr5 151528179 151528180 chr5:151528180:C:T rs2304026 C T C EBF1_EBF_1 10 1 - 7.062756458200105 1.744727998933139 ACTCCCAGGGGTCA chr5 151535383 151535384 chr5:151535384:T:G rs12659298 T G G EBF1_EBF_1 13 1 + 7.030932622835517 4.698367486894584 CCTCCCCAGAGAGT chr5 151566137 151566138 chr5:151566138:G:A rs10085060 G A G EBF1_EBF_1 -19 0 - 0 0 . chr5 151571291 151571292 chr5:151571292:C:A rs10060613 C A C EBF1_EBF_1 -13 0 + 0 0 . chr5 151571308 151571309 chr5:151571309:C:G rs10060662 C G C EBF1_EBF_1 4 1 + 10.543559929214748 4.917794828065204 AACCCCAAGGGAAA chr5 151571337 151571338 chr5:151571338:C:G rs141388214 C G C EBF1_EBF_1 33 0 + 0 0 . chr5 151585236 151585237 chr5:151585237:C:G rs7736160 C G G EBF1_EBF_1 26 0 - 0 0 . chr5 151594347 151594348 chr5:151594348:A:G rs17112081 A G A EBF1_EBF_1 -6 0 - 0 0 . chr5 151601697 151601698 chr5:151601698:T:C rs753458 T C C EBF1_EBF_1 7 1 + 7.211176768312815 5.393672022030191 AATCCCCTGGTAGT chr5 151620595 151620596 chr5:151620596:C:T rs17741337 C T C EBF1_EBF_1 6 1 - 8.899816385158399 11.066610816432872 ACTCCCGAGAGAAT chr5 151649044 151649045 chr5:151649045:G:A rs4392607 G A A EBF1_EBF_1 20 0 - 0 0 . chr5 151672975 151672976 chr5:151672976:C:G rs2304050 C G G EBF1_EBF_1 -19 0 - 0 0 . chr5 151681278 151681279 chr5:151681279:G:A rs142530038 G A G EBF1_EBF_1 -13 0 + 0 0 . chr5 151687294 151687295 chr5:151687295:C:G rs555179492 C G C EBF1_EBF_1 18 0 + 0 0 . chr5 151689516 151689517 chr5:151689517:C:T rs115362461 C T C EBF1_EBF_1 12 1 + 5.054018438223678 4.027751867672828 ACCCCCAAGGGCCG chr5 151703230 151703231 chr5:151703231:A:G rs891948 A G G EBF1_EBF_1 9 1 + 6.753762690591487 9.408701956039089 CTTCCCTAGAGGAT chr5 151703245 151703246 chr5:151703246:T:C rs1031823366 T C T EBF1_EBF_1 24 0 + 0 0 . chr5 151717566 151717567 chr5:151717567:C:A rs982689 C A C EBF1_EBF_1 -9 0 + 0 0 . chr5 151729934 151729935 chr5:151729935:C:T rs146552617 C T C EBF1_EBF_1 29 0 + 0 0 . chr5 151741204 151741205 chr5:151741205:C:G rs11956353 C G C EBF1_EBF_1 16 0 + 0 0 . chr5 151770559 151770560 chr5:151770560:G:A rs369069548 G A G EBF1_EBF_1 4 1 - 4.157370092060877 -0.21201685288404654 GTCCCCCAGGGCTC chr5 151772811 151772812 chr5:151772812:C:T rs568640217 C T C EBF1_EBF_1 31 0 - 0 0 . chr5 151772844 151772845 chr5:151772845:C:T rs11953528 C T C EBF1_EBF_1 -2 0 - 0 0 . chr5 151772862 151772863 chr5:151772863:A:C chr5:151772863:A:C A C A EBF1_EBF_1 -20 0 - 0 0 . chr5 151816068 151816069 chr5:151816069:T:C rs140122548 T C C EBF1_EBF_1 1 1 + 7.094705270204955 6.199271829806209 ATTGCCATGGGAAA chr5 151825514 151825515 chr5:151825515:C:T chr5:151825515:C:T C T C EBF1_EBF_1 15 0 + 0 0 . chr5 151863973 151863974 chr5:151863974:C:T rs7712779 C T T EBF1_EBF_1 22 0 - 0 0 . chr5 151896288 151896289 chr5:151896289:C:T rs75345128 C T T EBF1_EBF_1 0 1 - 4.237990088470868 6.130273958035701 GACCCCCTGGGGTC chr5 151896307 151896308 chr5:151896308:C:T rs114718638 C T C EBF1_EBF_1 -20 0 - 0 0 . chr5 151935235 151935236 chr5:151935236:T:C rs4958498 T C C EBF1_EBF_1 -5 0 + 0 0 . chr5 151950836 151950837 chr5:151950837:C:T rs4958287 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 151963912 151963913 chr5:151963913:A:G rs141786366 A G A EBF1_EBF_1 2 1 - 5.816157480943093 3.927334069500943 ATTCCCCAAGTACT chr5 152039275 152039276 chr5:152039276:G:C rs11743674 G C G EBF1_EBF_1 26 0 - 0 0 . chr5 152124800 152124801 chr5:152124801:C:T chr5:152124801:C:T C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 152214506 152214507 chr5:152214507:G:C rs114111755 G C G EBF1_EBF_1 28 0 + 0 0 . chr5 152221129 152221130 chr5:152221130:T:C rs6579922 T C T EBF1_EBF_1 33 0 - 0 0 . chr5 152324487 152324488 chr5:152324488:T:C rs7726438 T C C EBF1_EBF_1 21 0 - 0 0 . chr5 152411232 152411233 chr5:152411233:A:T rs34482736 A T A EBF1_EBF_1 6 1 + 6.639310955352552 6.578994716413033 ATTCCCAAAGGAGG chr5 152411238 152411239 chr5:152411239:G:C rs55687380 G C G EBF1_EBF_1 12 1 + 6.639310955352552 8.027946899030702 ATTCCCAAAGGAGG chr5 152437083 152437084 chr5:152437084:T:C rs113559777 T C C EBF1_EBF_1 25 0 + 0 0 . chr5 152464289 152464290 chr5:152464290:A:G rs12660058 A G A EBF1_EBF_1 1 1 - 6.1994501389315815 5.304016698532838 ATTCCCCAGATAAA chr5 152472223 152472224 chr5:152472224:A:G rs72793476 A G A EBF1_EBF_1 -20 0 + 0 0 . chr5 152472256 152472257 chr5:152472257:C:G rs75684842 C G C EBF1_EBF_1 13 1 + 6.186438508249999 4.698367486894584 CCTCCCCAGAGAGC chr5 152638782 152638783 chr5:152638783:T:C rs4398624 T C C EBF1_EBF_1 15 0 - 0 0 . chr5 152672617 152672618 chr5:152672618:T:C rs529156410 T C T EBF1_EBF_1 -15 0 + 0 0 . chr5 152676301 152676302 chr5:152676302:G:T rs72799113 G T G EBF1_EBF_1 10 1 + 5.879417250837655 1.6966650646103898 CCTCCCCAGGGGAG chr5 152925905 152925906 chr5:152925906:C:A rs75046331 C A C EBF1_EBF_1 17 0 - 0 0 . chr5 153068676 153068677 chr5:153068677:G:C rs17576736 G C G EBF1_EBF_1 14 0 + 0 0 . chr5 153090028 153090029 chr5:153090029:C:T rs12186592 C T T EBF1_EBF_1 16 0 - 0 0 . chr5 153117042 153117043 chr5:153117043:A:G rs17114042 A G A EBF1_EBF_1 -19 0 - 0 0 . chr5 153207810 153207811 chr5:153207811:T:C rs9968737 T C C EBF1_EBF_1 -6 0 + 0 0 . chr5 153207848 153207849 chr5:153207849:T:C rs9968739 T C T EBF1_EBF_1 32 0 + 0 0 . chr5 153211565 153211566 chr5:153211566:A:G rs17581550 A G G EBF1_EBF_1 6 1 + 4.826736942029748 2.6599425107552728 AACCCAAAGGGACT chr5 153437002 153437003 chr5:153437003:A:C rs72799302 A C A EBF1_EBF_1 12 1 + 6.2839077608785585 6.253726362457233 ATTCCTGAGGGAAC chr5 153438050 153438051 chr5:153438051:A:C rs1600143 A C A EBF1_EBF_1 24 0 + 0 0 . chr5 153497205 153497206 chr5:153497206:G:A rs138491803 G A G EBF1_EBF_1 10 1 + 5.385325893828404 0.06729743456143784 AATGCCTTGGGATC chr5 153553241 153553242 chr5:153553242:A:G rs17518292 A G A EBF1_EBF_1 -9 0 - 0 0 . chr5 153636889 153636890 chr5:153636890:G:A rs11741455 G A G EBF1_EBF_1 6 1 - 6.483731205395875 6.785017334257632 CATCCCCTGAGAGT chr5 153636890 153636891 chr5:153636891:G:A rs11741456 G A G EBF1_EBF_1 5 1 - 6.483731205395875 1.1619439898552053 CATCCCCTGAGAGT chr5 153645383 153645384 chr5:153645384:T:C rs2963953 T C C EBF1_EBF_1 -10 0 - 0 0 . chr5 153670742 153670743 chr5:153670743:C:A rs9324754 C A A EBF1_EBF_1 6 1 + 10.206144765038767 10.567747132840044 GTTCCCCGGGGAAC chr5 153701016 153701017 chr5:153701017:G:T rs7726544 G T t EBF1_EBF_1 24 0 - 0 0 . chr5 153777109 153777110 chr5:153777110:T:C rs2926849 T C C EBF1_EBF_1 -16 0 - 0 0 . chr5 153781329 153781330 chr5:153781330:G:A rs1461230 G A G EBF1_EBF_1 9 1 + 14.140709282191663 11.485770016744059 ATTCCCATGGGAAT chr5 153960703 153960704 chr5:153960704:C:T rs79486828 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 153988604 153988605 chr5:153988605:T:G rs453034 T G T EBF1_EBF_1 -11 0 + 0 0 . chr5 154114902 154114903 chr5:154114903:G:T rs815622 G T G EBF1_EBF_1 10 1 + 6.186438508249999 2.0036863220227343 CCTCCCCAGAGAGC chr5 154141799 154141800 chr5:154141800:G:C rs577774998 G C G EBF1_EBF_1 -16 0 + 0 0 . chr5 154166311 154166312 chr5:154166312:G:A rs1366218 G A g EBF1_EBF_1 14 0 + 0 0 . chr5 154167247 154167248 chr5:154167248:G:C rs10044136 G C G EBF1_EBF_1 28 0 - 0 0 . chr5 154190281 154190282 chr5:154190282:A:G rs1448602705 A G A EBF1_EBF_1 23 0 - 0 0 . chr5 154190312 154190313 chr5:154190313:G:C rs10038661 G C C EBF1_EBF_1 -8 0 - 0 0 . chr5 154199173 154199174 chr5:154199174:C:T chr5:154199174:C:T C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 154200329 154200330 chr5:154200330:G:A rs115276185 G A G EBF1_EBF_1 5 1 - 5.259933552456038 -0.06185366308463186 ACTCACAAGGGAGA chr5 154205845 154205846 chr5:154205846:C:T rs4958712 C T C EBF1_EBF_1 2 1 + 4.83475788072801 6.723581292170161 CTCCCCTAGAGGCT chr5 154218363 154218364 chr5:154218364:G:A rs4958715 G A A EBF1_EBF_1 30 0 + 0 0 . chr5 154220439 154220440 chr5:154220440:G:A rs12516730 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 154243251 154243252 chr5:154243252:C:T rs2122896 C T c EBF1_EBF_1 7 1 + 8.267702258288656 10.085207004571279 CCTCCCTCGGGAAC chr5 154243260 154243261 chr5:154243261:G:A rs73802964 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 154262564 154262565 chr5:154262565:A:C rs2443523 A C C EBF1_EBF_1 20 0 + 0 0 . chr5 154292855 154292856 chr5:154292856:C:T rs79271542 C T C EBF1_EBF_1 -11 0 - 0 0 . chr5 154332331 154332332 chr5:154332332:G:A rs6580073 G A G EBF1_EBF_1 -14 0 + 0 0 . chr5 154446166 154446167 chr5:154446167:G:A rs116123251 G A g EBF1_EBF_1 12 1 + 3.7639767161413444 5.182794058240822 CACCCCGGGGGAGC chr5 154478241 154478242 chr5:154478242:G:A rs115396154 G A A EBF1_EBF_1 10 1 + 4.915277484042167 -0.40275097522479864 ACTCCCCTGCGGAT chr5 154505454 154505455 chr5:154505455:C:T rs7733449 C T C EBF1_EBF_1 -10 0 - 0 0 . chr5 154508430 154508431 chr5:154508431:G:T rs374418 G T G EBF1_EBF_1 2 1 - 3.739629558442849 1.9439853822861226 CACCCCATGGGCCC chr5 154517489 154517490 chr5:154517490:G:C rs72795481 G C G EBF1_EBF_1 -14 0 + 0 0 . chr5 154518639 154518640 chr5:154518640:G:C rs76488850 G C G EBF1_EBF_1 26 0 + 0 0 . chr5 154521153 154521154 chr5:154521154:C:T rs187179185 C T C EBF1_EBF_1 -19 0 - 0 0 . chr5 154526500 154526501 chr5:154526501:T:C rs7733550 T C T EBF1_EBF_1 -6 0 - 0 0 . chr5 154532675 154532676 chr5:154532676:G:A rs982050 G A G EBF1_EBF_1 -18 0 - 0 0 . chr5 154538332 154538333 chr5:154538333:A:G rs13171854 A G G EBF1_EBF_1 33 0 + 0 0 . chr5 154682624 154682625 chr5:154682625:C:G chr5:154682625:C:G C G C EBF1_EBF_1 -3 0 + 0 0 . chr5 154692698 154692699 chr5:154692699:C:T rs79253380 C T C EBF1_EBF_1 25 0 + 0 0 . chr5 154835291 154835292 chr5:154835292:A:G rs2434217 A G G EBF1_EBF_1 -9 0 + 0 0 . chr5 154835321 154835322 chr5:154835322:A:C chr5:154835322:A:C A C A EBF1_EBF_1 21 0 + 0 0 . chr5 155044838 155044839 chr5:155044839:G:A rs287121 G A A EBF1_EBF_1 1 1 - 4.484103793304376 5.379537233703121 ACTCCAAAGGGAAG chr5 155067395 155067396 chr5:155067396:C:A rs444984 C A C EBF1_EBF_1 29 0 - 0 0 . chr5 155403274 155403275 chr5:155403275:G:A rs59102759 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 155414796 155414797 chr5:155414797:A:G rs4958802 A G G EBF1_EBF_1 -9 0 + 0 0 . chr5 155451950 155451951 chr5:155451951:A:G rs267004 A G G EBF1_EBF_1 -20 0 + 0 0 . chr5 155633522 155633523 chr5:155633523:T:G rs7726393 T G G EBF1_EBF_1 -12 0 + 0 0 . chr5 155952665 155952666 chr5:155952666:A:G rs140562711 A G A EBF1_EBF_1 7 1 - 5.379942754793798 3.562438008511174 AGCCCTATGGGAAC chr5 155979015 155979016 chr5:155979016:C:T rs73810382 C T C EBF1_EBF_1 12 1 - 6.686372373791186 8.105189715890663 AGTCCCCAAGGAGA chr5 156169350 156169351 chr5:156169351:A:G rs4704984 A G A EBF1_EBF_1 30 0 - 0 0 . chr5 156363992 156363993 chr5:156363993:T:C rs4068396 T C T EBF1_EBF_1 -14 0 - 0 0 . chr5 156451306 156451307 chr5:156451307:G:A rs57683078 G A G EBF1_EBF_1 -3 0 + 0 0 . chr5 156544311 156544312 chr5:156544312:C:T rs17053613 C T C EBF1_EBF_1 -15 0 - 0 0 . chr5 156687056 156687057 chr5:156687057:C:T rs7723731 C T C EBF1_EBF_1 -18 0 + 0 0 . chr5 156687077 156687078 chr5:156687078:C:G rs157668 C G C EBF1_EBF_1 3 1 + 7.5988652371091145 1.771100788993899 AATCTCCTGGGAAC chr5 156765266 156765267 chr5:156765267:C:A rs117879283 C A C EBF1_EBF_1 24 0 - 0 0 . chr5 156984195 156984196 chr5:156984196:C:A rs7736899 C A A EBF1_EBF_1 17 0 + 0 0 . chr5 157037200 157037201 chr5:157037201:T:G rs4704837 T G G EBF1_EBF_1 27 0 - 0 0 . chr5 157151214 157151215 chr5:157151215:G:A rs7733396 G A G EBF1_EBF_1 0 1 - 5.564555251771136 5.846757312501714 CCACCCTGGGGAAC chr5 157199325 157199326 chr5:157199326:C:T rs17054347 C T C EBF1_EBF_1 9 1 - 6.982743647024693 4.3278043815770895 CTACCCATGGGAAA chr5 157200529 157200530 chr5:157200530:G:A rs72807052 G A A EBF1_EBF_1 7 1 + 9.28399038434138 10.596448789630204 ACCCCCCGGGGACC chr5 157200531 157200532 chr5:157200532:G:T rs72807053 G T G EBF1_EBF_1 9 1 + 9.28399038434138 2.4470009762525695 ACCCCCCGGGGACC chr5 157266627 157266628 chr5:157266628:G:A chr5:157266628:G:A G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 157268621 157268622 chr5:157268622:G:A rs114989548 G A G EBF1_EBF_1 -3 0 + 0 0 . chr5 157285186 157285187 chr5:157285187:C:T rs2288069 C T T EBF1_EBF_1 17 0 - 0 0 . chr5 157295417 157295418 chr5:157295418:C:T rs17054431 C T C EBF1_EBF_1 15 0 - 0 0 . chr5 157308986 157308987 chr5:157308987:C:G rs396898 C G G EBF1_EBF_1 14 0 - 0 0 . chr5 157328342 157328343 chr5:157328343:T:C rs557677914 T C C EBF1_EBF_1 -7 0 - 0 0 . chr5 157328646 157328647 chr5:157328647:A:T rs187695080 A T A EBF1_EBF_1 26 0 - 0 0 . chr5 157328666 157328667 chr5:157328667:C:T rs146146435 C T C EBF1_EBF_1 6 1 - 6.369118415998078 8.535912847272554 ACTCCCGGGAGAAA chr5 157335980 157335981 chr5:157335981:C:T rs6876571 C T T EBF1_EBF_1 -20 0 + 0 0 . chr5 157342659 157342660 chr5:157342660:C:T rs7715719 C T T EBF1_EBF_1 28 0 - 0 0 . chr5 157342676 157342677 chr5:157342677:A:G rs62390098 A G A EBF1_EBF_1 11 1 - 8.268643486937068 7.950596045183404 ATCCCCCAGGGTAT chr5 157359558 157359559 chr5:157359559:G:T rs7711035 G T T EBF1_EBF_1 25 0 - 0 0 . chr5 157364608 157364609 chr5:157364609:G:A rs6555970 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 157388017 157388018 chr5:157388018:G:A rs61179265 G A G EBF1_EBF_1 -15 0 - 0 0 . chr5 157389994 157389995 chr5:157389995:G:A rs1862384 G A G EBF1_EBF_1 -6 0 - 0 0 . chr5 157459961 157459962 chr5:157459962:C:A rs941660124 C A C EBF1_EBF_1 -12 0 - 0 0 . chr5 157460452 157460453 chr5:157460453:G:C rs12332192 G C G EBF1_EBF_1 1 1 - 5.351183714944247 5.5409553695134655 GCCCCCCTGGGAGG chr5 157460464 157460465 chr5:157460465:G:A rs77243217 G A G EBF1_EBF_1 -11 0 - 0 0 . chr5 157494823 157494824 chr5:157494824:T:C rs536016962 T C T EBF1_EBF_1 -16 0 + 0 0 . chr5 157535467 157535468 chr5:157535468:A:T rs74349188 A T A EBF1_EBF_1 -19 0 + 0 0 . chr5 157535472 157535473 chr5:157535473:G:A rs58862980 G A G EBF1_EBF_1 -14 0 + 0 0 . chr5 157535490 157535491 chr5:157535491:C:T rs11746606 C T C EBF1_EBF_1 4 1 + 6.839382156724968 2.469995211780045 AACCCCATGGGCCT chr5 157541596 157541597 chr5:157541597:G:A rs10067579 G A g EBF1_EBF_1 32 0 - 0 0 . chr5 157546948 157546949 chr5:157546949:A:G rs7725400 A G A EBF1_EBF_1 20 0 + 0 0 . chr5 157557762 157557763 chr5:157557763:C:G rs11134815 C G G EBF1_EBF_1 6 1 - 5.405120452128738 7.210312515601935 AGACCCGAGAGAAT chr5 157562218 157562219 chr5:157562219:T:C rs527412802 T C T EBF1_EBF_1 -12 0 + 0 0 . chr5 157562233 157562234 chr5:157562234:C:T rs547593483 C T C EBF1_EBF_1 3 1 + 9.22585291117814 2.820454169624823 GTCCCCCAGGGACA chr5 157574726 157574727 chr5:157574727:T:G rs6890282 T G G EBF1_EBF_1 -2 0 + 0 0 . chr5 157576141 157576142 chr5:157576142:C:T rs76429490 C T C EBF1_EBF_1 -9 0 + 0 0 . chr5 157603808 157603809 chr5:157603809:G:A rs376930985 G A G EBF1_EBF_1 17 0 - 0 0 . chr5 157609237 157609238 chr5:157609238:G:A rs17054760 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 157670962 157670963 chr5:157670963:T:C rs77052340 T C T EBF1_EBF_1 32 0 - 0 0 . chr5 157672151 157672152 chr5:157672152:T:C rs7703135 T C C EBF1_EBF_1 12 1 - 4.538898196094878 3.1200808539954 TCCCCCTAGGGCAC chr5 157690109 157690110 chr5:157690110:G:A rs62387715 G A G EBF1_EBF_1 -10 0 - 0 0 . chr5 157690386 157690387 chr5:157690387:C:T rs139468433 C T C EBF1_EBF_1 -16 0 - 0 0 . chr5 157691272 157691273 chr5:157691273:T:C rs73815359 T C T EBF1_EBF_1 31 0 - 0 0 . chr5 157699079 157699080 chr5:157699080:G:C rs2418852 G C g EBF1_EBF_1 27 0 - 0 0 . chr5 157743443 157743444 chr5:157743444:C:T rs116133463 C T C EBF1_EBF_1 -1 0 + 0 0 . chr5 157763802 157763803 chr5:157763803:T:C rs10077928 T C c EBF1_EBF_1 -7 0 + 0 0 . chr5 157766123 157766124 chr5:157766124:A:G rs66903909 A G A EBF1_EBF_1 7 1 + 7.04644809777929 5.733989692490464 GGTCCCAAGGGTCC chr5 157859011 157859012 chr5:157859012:C:T rs28372831 C T C EBF1_EBF_1 3 1 + 5.274329470592836 -1.131069270960482 GCTCCCCACGGACC chr5 157859024 157859025 chr5:157859025:G:A rs949154823 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 157861420 157861421 chr5:157861421:G:A rs1145603 G A A EBF1_EBF_1 -15 0 + 0 0 . chr5 157871674 157871675 chr5:157871675:C:T rs10054868 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 157871685 157871686 chr5:157871686:T:C rs7735412 T C T EBF1_EBF_1 7 1 - 5.595839365659513 4.283380960370687 CTTCCCAAGAGGAA chr5 157871687 157871688 chr5:157871688:G:A rs572319624 G A G EBF1_EBF_1 5 1 - 5.595839365659513 0.27405215011884304 CTTCCCAAGAGGAA chr5 157918873 157918874 chr5:157918874:G:A rs775814782 G A G EBF1_EBF_1 6 1 - 5.782117161089183 6.083403289950941 TACCCCCGGGGAAG chr5 157956370 157956371 chr5:157956371:A:G rs35527096 A G G EBF1_EBF_1 28 0 + 0 0 . chr5 158028385 158028386 chr5:158028386:A:G rs35408399 A G A EBF1_EBF_1 -10 0 + 0 0 . chr5 158055466 158055467 chr5:158055467:G:T rs74652789 G T G EBF1_EBF_1 -1 0 + 0 0 . chr5 158156009 158156010 chr5:158156010:G:A rs115553777 G A G EBF1_EBF_1 29 0 + 0 0 . chr5 158300440 158300441 chr5:158300441:G:C rs66970588 G C G EBF1_EBF_1 -16 0 - 0 0 . chr5 158325993 158325994 chr5:158325994:A:T rs185859384 A T A EBF1_EBF_1 26 0 - 0 0 . chr5 158462553 158462554 chr5:158462554:A:G rs73309216 A G A EBF1_EBF_1 -1 0 + 0 0 . chr5 158470465 158470466 chr5:158470466:C:T rs72804590 C T C EBF1_EBF_1 4 1 + 5.315315693443763 0.9459287484988389 TCCCCCCAGGTAAT chr5 158483343 158483344 chr5:158483344:G:A rs147937175 G A G EBF1_EBF_1 -7 0 + 0 0 . chr5 158505670 158505671 chr5:158505671:G:C rs2964499 G C T EBF1_EBF_1 3 1 - 6.531020896726466 0.7032564486112507 ATCCCCACGAGACA chr5 158505814 158505815 chr5:158505815:T:C rs2963450 T C C EBF1_EBF_1 32 0 + 0 0 . chr5 158546217 158546218 chr5:158546218:A:G rs76051606 A G A EBF1_EBF_1 -15 0 - 0 0 . chr5 158643981 158643982 chr5:158643982:A:G rs2913385 A G G EBF1_EBF_1 -1 0 + 0 0 . chr5 158644008 158644009 chr5:158644009:C:T rs173420 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 158684648 158684649 chr5:158684649:G:A rs6869403 G A A EBF1_EBF_1 25 0 + 0 0 . chr5 158695743 158695744 chr5:158695744:C:G rs887765540 C G C EBF1_EBF_1 -10 0 + 0 0 . chr5 158726895 158726896 chr5:158726896:A:G rs547646806 A G A EBF1_EBF_1 -3 0 - 0 0 . chr5 158756288 158756289 chr5:158756289:T:C rs11959219 T C T EBF1_EBF_1 -14 0 + 0 0 . chr5 158848592 158848593 chr5:158848593:T:A rs2033448 T A A EBF1_EBF_1 -1 0 - 0 0 . chr5 158877231 158877232 chr5:158877232:C:G rs542562058 C G C EBF1_EBF_1 28 0 - 0 0 . chr5 158942463 158942464 chr5:158942464:A:C rs4921269 A C A EBF1_EBF_1 16 0 + 0 0 . chr5 158962468 158962469 chr5:158962469:T:C rs34825578 T C T EBF1_EBF_1 -20 0 + 0 0 . chr5 158962489 158962490 chr5:158962490:C:T rs13188498 C T T EBF1_EBF_1 1 1 + 5.70614847770302 6.601581918101764 ACTCCCTAGGAAGA chr5 159012898 159012899 chr5:159012899:A:G rs55700843 A G G EBF1_EBF_1 23 0 - 0 0 . chr5 159012903 159012904 chr5:159012904:C:A rs55816233 C A C EBF1_EBF_1 18 0 - 0 0 . chr5 159095693 159095694 chr5:159095694:G:T rs141435103 G T G EBF1_EBF_1 -10 0 - 0 0 . chr5 159100278 159100279 chr5:159100279:T:C rs146755484 T C T EBF1_EBF_1 31 0 - 0 0 . chr5 159104618 159104619 chr5:159104619:T:G rs6859808 T G T EBF1_EBF_1 18 0 - 0 0 . chr5 159319298 159319299 chr5:159319299:G:A rs2421047 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 159341356 159341357 chr5:159341357:A:G rs4921483 A G G EBF1_EBF_1 0 1 + 7.928774565559115 6.036490695994281 ACTCCCCAGGTACA chr5 159377919 159377920 chr5:159377920:G:T rs4379175 G T G EBF1_EBF_1 -15 0 + 0 0 . chr5 159400760 159400761 chr5:159400761:C:A rs56167332 C A C EBF1_EBF_1 12 1 + 5.835638449445249 5.865819847866575 ACACCCTAGGGGCA chr5 159404402 159404403 chr5:159404403:G:A rs59321132 G A G EBF1_EBF_1 -11 0 - 0 0 . chr5 159405710 159405711 chr5:159405711:C:T rs191486321 C T C EBF1_EBF_1 25 0 + 0 0 . chr5 159417031 159417032 chr5:159417032:T:G rs9632436 T G G EBF1_EBF_1 -3 0 - 0 0 . chr5 159440435 159440436 chr5:159440436:C:T rs754488973 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 159440515 159440516 chr5:159440516:C:A rs12519114 C A C EBF1_EBF_1 32 0 - 0 0 . chr5 159444812 159444813 chr5:159444813:T:G rs7721176 T G G EBF1_EBF_1 14 0 + 0 0 . chr5 159454488 159454489 chr5:159454489:C:A rs6882695 C A C EBF1_EBF_1 -18 0 - 0 0 . chr5 159458616 159458617 chr5:159458617:G:A rs143918782 G A G EBF1_EBF_1 13 1 - 4.053170004796314 4.897664119381832 ACCCCGAAGGGACC chr5 159460026 159460027 chr5:159460027:T:G rs190906927 T G T EBF1_EBF_1 -12 0 - 0 0 . chr5 159460963 159460964 chr5:159460964:T:C rs11744957 T C T EBF1_EBF_1 -15 0 - 0 0 . chr5 159505177 159505178 chr5:159505178:C:A rs2421049 C A C EBF1_EBF_1 4 1 + 5.614570451119787 -0.20998917233367864 GTTCCCCAGGGCAG chr5 159525522 159525523 chr5:159525523:T:C rs139397553 T C T EBF1_EBF_1 17 0 - 0 0 . chr5 159536667 159536668 chr5:159536668:A:G rs12153258 A G A EBF1_EBF_1 -8 0 + 0 0 . chr5 159741972 159741973 chr5:159741973:T:C chr5:159741973:T:C T C T EBF1_EBF_1 25 0 - 0 0 . chr5 159752921 159752922 chr5:159752922:C:G rs11750544 C G C EBF1_EBF_1 -7 0 + 0 0 . chr5 159753086 159753087 chr5:159753087:T:C rs140291212 T C T EBF1_EBF_1 27 0 - 0 0 . chr5 159879508 159879509 chr5:159879509:C:G rs114439299 C G C EBF1_EBF_1 -19 0 - 0 0 . chr5 159913236 159913237 chr5:159913237:T:G rs533465058 T G T EBF1_EBF_1 18 0 - 0 0 . chr5 159965534 159965535 chr5:159965535:C:T rs34080879 C T T EBF1_EBF_1 -10 0 - 0 0 . chr5 159975687 159975688 chr5:159975688:C:A rs10214093 C A C EBF1_EBF_1 2 1 + 5.701380420746459 3.9057362445897335 ATCCCCTAGAGGGT chr5 160021619 160021620 chr5:160021620:C:G rs76027989 C G C EBF1_EBF_1 -4 0 + 0 0 . chr5 160021958 160021959 chr5:160021959:T:A rs80244178 T A T EBF1_EBF_1 13 1 + 6.172630806086622 4.954391242215128 GATCCCTGGGTACT chr5 160119327 160119328 chr5:160119328:C:A rs192065583 C A C EBF1_EBF_1 -9 0 + 0 0 . chr5 160164947 160164948 chr5:160164948:T:G rs879578099 T G T EBF1_EBF_1 11 1 - 7.388210347967808 2.972248650115419 ATTCCCCAGTGACT chr5 160169380 160169381 chr5:160169381:C:T rs116512869 C T C EBF1_EBF_1 33 0 - 0 0 . chr5 160169408 160169409 chr5:160169409:G:A rs7729570 G A A EBF1_EBF_1 5 1 - 6.545546129776877 1.223758914236207 CCACCCCAGGGACC chr5 160169501 160169502 chr5:160169502:C:T rs7734683 C T C EBF1_EBF_1 5 1 + 6.565577751121317 1.2437905355806473 AATCCCGAGAGAGC chr5 160175974 160175975 chr5:160175975:C:G rs73820225 C G C EBF1_EBF_1 -5 0 - 0 0 . chr5 160175985 160175986 chr5:160175986:C:G rs2546966 C G C EBF1_EBF_1 -16 0 - 0 0 . chr5 160188599 160188600 chr5:160188600:G:T chr5:160188600:G:T G T G EBF1_EBF_1 29 0 + 0 0 . chr5 160188850 160188851 chr5:160188851:C:T rs10052088 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 160197188 160197189 chr5:160197189:G:A rs13171021 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 160198169 160198170 chr5:160198170:C:T rs62379584 C T C EBF1_EBF_1 1 1 - 4.966786268387524 4.706087436466222 GGTCCCCAGGGCTA chr5 160199784 160199785 chr5:160199785:T:C rs11957969 T C C EBF1_EBF_1 11 1 - 4.560932978167153 1.6682261345631415 ACTCCCTAGTGAGC chr5 160199813 160199814 chr5:160199814:C:T rs6896192 C T T EBF1_EBF_1 -18 0 - 0 0 . chr5 160221281 160221282 chr5:160221282:G:A rs12657454 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 160262815 160262816 chr5:160262816:C:T chr5:160262816:C:T C T C EBF1_EBF_1 24 0 - 0 0 . chr5 160280985 160280986 chr5:160280986:T:C rs59603436 T C T EBF1_EBF_1 7 1 + 10.66721123462357 8.849706488340948 CTTCCCATGGGAAA chr5 160287608 160287609 chr5:160287609:G:C rs141784579 G C G EBF1_EBF_1 8 1 + 4.183705444631798 -1.1349534162828885 CCTCCCCAGGCACT chr5 160289419 160289420 chr5:160289420:T:C rs1422981 T C c EBF1_EBF_1 9 1 - 4.97864163225211 7.633580897699714 AGTCCCGAGAGGAA chr5 160289441 160289442 chr5:160289442:C:G rs73308692 C G c EBF1_EBF_1 -13 0 - 0 0 . chr5 160289784 160289785 chr5:160289785:T:C rs11953349 T C c EBF1_EBF_1 -20 0 + 0 0 . chr5 160295866 160295867 chr5:160295867:G:A rs1862437 G A g EBF1_EBF_1 23 0 - 0 0 . chr5 160330340 160330341 chr5:160330341:G:C rs185448182 G C G EBF1_EBF_1 8 1 + 6.123474155674493 0.8048152947598068 ATTCCCCAGGTGTT chr5 160355442 160355443 chr5:160355443:T:C rs71603662 T C T EBF1_EBF_1 26 0 - 0 0 . chr5 160358409 160358410 chr5:160358410:G:A rs72814353 G A G EBF1_EBF_1 -9 0 + 0 0 . chr5 160462433 160462434 chr5:160462434:T:C rs62390332 T C T EBF1_EBF_1 6 1 + 6.06338424992541 5.762098121063652 TTTCCCTTGAGATG chr5 160510207 160510208 chr5:160510208:A:G rs7737335 A G A EBF1_EBF_1 7 1 + 5.7816714198230095 4.4692130145341835 AGTCCCAAAAGACA chr5 160511895 160511896 chr5:160511896:G:A rs11742500 G A G EBF1_EBF_1 17 0 + 0 0 . chr5 160539916 160539917 chr5:160539917:A:G rs6897982 A G A EBF1_EBF_1 19 0 - 0 0 . chr5 160548855 160548856 chr5:160548856:G:C rs9313851 G C C EBF1_EBF_1 -10 0 + 0 0 . chr5 160652220 160652221 chr5:160652221:C:T rs7714059 C T T EBF1_EBF_1 -18 0 + 0 0 . chr5 160654313 160654314 chr5:160654314:C:T rs10045624 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 160685951 160685952 chr5:160685952:C:A rs181138181 C A A EBF1_EBF_1 4 1 + 6.385938442267045 0.5613788188135779 CCCCCCTTGAGAAT chr5 160701987 160701988 chr5:160701988:C:T rs75592248 C T C EBF1_EBF_1 0 1 - 4.616174176081128 6.508458045645961 GTTCCCAGGAGGCA chr5 160786848 160786849 chr5:160786849:T:C rs11135123 T C T EBF1_EBF_1 30 0 - 0 0 . chr5 161305865 161305866 chr5:161305866:T:C rs171677 T C T EBF1_EBF_1 13 1 - 5.801896476101106 4.687570904031667 AATCCCAGGGAAAA chr5 161548965 161548966 chr5:161548966:G:C rs746346420 G C G EBF1_EBF_1 28 0 - 0 0 . chr5 161629864 161629865 chr5:161629865:C:T rs72815592 C T C EBF1_EBF_1 -8 0 - 0 0 . chr5 161630760 161630761 chr5:161630761:C:T rs13167584 C T C EBF1_EBF_1 17 0 - 0 0 . chr5 161630764 161630765 chr5:161630765:A:T rs13187061 A T A EBF1_EBF_1 13 1 - 5.548913067094841 4.3306735032233465 TCCCCCCAGGGGTT chr5 162608083 162608084 chr5:162608084:C:T rs17562642 C T T EBF1_EBF_1 0 1 + 5.8035633746310005 6.085765435361578 CGCCCCTAGAGACA chr5 162705247 162705248 chr5:162705248:G:A rs699110 G A G EBF1_EBF_1 0 1 + 5.067689804267499 6.959973673832334 GATCCCAAGGGCTA chr5 162935532 162935533 chr5:162935533:T:G rs1583937 T G G EBF1_EBF_1 11 1 - 10.123651794473725 5.707690096621335 CCTCCCAGGGGACT chr5 163094626 163094627 chr5:163094627:G:A rs10061779 G A G EBF1_EBF_1 -17 0 - 0 0 . chr5 163563616 163563617 chr5:163563617:A:G rs297946 A G A EBF1_EBF_1 25 0 + 0 0 . chr5 163567609 163567610 chr5:163567610:C:T rs294595 C T C EBF1_EBF_1 -8 0 - 0 0 . chr5 163619946 163619947 chr5:163619947:G:A rs159202 G A A EBF1_EBF_1 28 0 + 0 0 . chr5 163636996 163636997 chr5:163636997:A:G rs10066036 A G G EBF1_EBF_1 -12 0 + 0 0 . chr5 163637005 163637006 chr5:163637006:G:A rs10072788 G A A EBF1_EBF_1 -3 0 + 0 0 . chr5 163706158 163706159 chr5:163706159:G:A rs636512 G A A EBF1_EBF_1 -10 0 - 0 0 . chr5 163708396 163708397 chr5:163708397:T:A rs176800 T A T EBF1_EBF_1 33 0 - 0 0 . chr5 163708441 163708442 chr5:163708442:G:A rs35715094 G A G EBF1_EBF_1 -12 0 - 0 0 . chr5 163708444 163708445 chr5:163708445:T:C rs605823 T C T EBF1_EBF_1 -15 0 - 0 0 . chr5 163760154 163760155 chr5:163760155:G:C rs1873173 G C G EBF1_EBF_1 -8 0 - 0 0 . chr5 163895999 163896000 chr5:163896000:G:A rs75165046 G A G EBF1_EBF_1 5 1 - 6.874164432076139 1.5523772165354692 AGTCCCTGGGGCCA chr5 163915121 163915122 chr5:163915122:A:T rs114974753 A T A EBF1_EBF_1 -17 0 - 0 0 . chr5 163915716 163915717 chr5:163915717:T:C rs13171485 T C C EBF1_EBF_1 -14 0 + 0 0 . chr5 163979147 163979148 chr5:163979148:G:C rs11135297 G C G EBF1_EBF_1 20 0 - 0 0 . chr5 163979179 163979180 chr5:163979180:A:G rs11135298 A G G EBF1_EBF_1 -12 0 - 0 0 . chr5 163979187 163979188 chr5:163979188:C:T rs11135299 C T C EBF1_EBF_1 -20 0 - 0 0 . chr5 164090667 164090668 chr5:164090668:C:T rs10462943 C T C EBF1_EBF_1 15 0 + 0 0 . chr5 164327227 164327228 chr5:164327228:G:A rs59831753 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 164327407 164327408 chr5:164327408:T:C rs183937830 T C T EBF1_EBF_1 -8 0 + 0 0 . chr5 164335961 164335962 chr5:164335962:A:T rs6556743 A T T EBF1_EBF_1 19 0 + 0 0 . chr5 164337205 164337206 chr5:164337206:G:C rs114396753 G C G EBF1_EBF_1 25 0 - 0 0 . chr5 164450740 164450741 chr5:164450741:C:T rs7379440 C T T EBF1_EBF_1 26 0 - 0 0 . chr5 164497645 164497646 chr5:164497646:T:A rs6866123 T A T EBF1_EBF_1 -14 0 - 0 0 . chr5 164500078 164500079 chr5:164500079:A:T rs874573 A T A EBF1_EBF_1 11 1 + 5.301513823090907 1.2035995669921817 AGCCCCTGGGAACT chr5 164976185 164976186 chr5:164976186:C:T rs55909845 C T C EBF1_EBF_1 1 1 + 6.856257720974507 7.751691161373251 TCTCCCATGGGCAT chr5 165072997 165072998 chr5:165072998:C:G rs1816042 C G C EBF1_EBF_1 -5 0 + 0 0 . chr5 165074912 165074913 chr5:165074913:C:T rs10072886 C T C EBF1_EBF_1 -4 0 + 0 0 . chr5 165209338 165209339 chr5:165209339:G:A chr5:165209339:G:A G A G EBF1_EBF_1 -16 0 + 0 0 . chr5 165209370 165209371 chr5:165209371:G:A rs73344197 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 165269852 165269853 chr5:165269853:C:A rs17063630 C A A EBF1_EBF_1 16 0 + 0 0 . chr5 165284606 165284607 chr5:165284607:G:C rs6860354 G C G EBF1_EBF_1 14 0 - 0 0 . chr5 165668733 165668734 chr5:165668734:T:C rs28590307 T C T EBF1_EBF_1 28 0 - 0 0 . chr5 165693568 165693569 chr5:165693569:T:G rs13153951 T G T EBF1_EBF_1 23 0 + 0 0 . chr5 165727301 165727302 chr5:165727302:T:C rs34195005 T C T EBF1_EBF_1 15 0 + 0 0 . chr5 166038382 166038383 chr5:166038383:A:G rs537281766 A G A EBF1_EBF_1 21 0 - 0 0 . chr5 166320830 166320831 chr5:166320831:A:G rs7705956 A G A EBF1_EBF_1 11 1 + 6.113998609303844 3.221291765699833 GATCCCCAAGGATT chr5 166329821 166329822 chr5:166329822:A:G rs1799557 A G A EBF1_EBF_1 -15 0 + 0 0 . chr5 166356277 166356278 chr5:166356278:C:T rs72809715 C T T EBF1_EBF_1 31 0 - 0 0 . chr5 166379409 166379410 chr5:166379410:C:T rs2084619 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 166724527 166724528 chr5:166724528:A:C chr5:166724528:A:C A C A EBF1_EBF_1 13 1 + 5.320373623265767 5.694119072551743 GTTCCCCTGGAACA chr5 166784659 166784660 chr5:166784660:G:A rs60591473 G A g EBF1_EBF_1 33 0 - 0 0 . chr5 166810359 166810360 chr5:166810360:C:T rs536737748 C T C EBF1_EBF_1 -13 0 - 0 0 . chr5 166883165 166883166 chr5:166883166:G:A rs9313353 G A A EBF1_EBF_1 -10 0 + 0 0 . chr5 167007259 167007260 chr5:167007260:T:C rs13157443 T C T EBF1_EBF_1 -10 0 + 0 0 . chr5 167038002 167038003 chr5:167038003:A:G rs2937582 A G G EBF1_EBF_1 -16 0 + 0 0 . chr5 167207222 167207223 chr5:167207223:C:T rs143396301 C T C EBF1_EBF_1 20 0 + 0 0 . chr5 167294188 167294189 chr5:167294189:C:A rs10475839 C A A EBF1_EBF_1 4 1 + 7.816610869902548 1.9920512464490818 AATCCCCAGGGCTT chr5 167348278 167348279 chr5:167348279:T:C rs10077097 T C c EBF1_EBF_1 27 0 + 0 0 . chr5 167538704 167538705 chr5:167538705:A:T rs2112626 A T A EBF1_EBF_1 21 0 + 0 0 . chr5 167558218 167558219 chr5:167558219:G:T rs4868800 G T G EBF1_EBF_1 24 0 - 0 0 . chr5 167711054 167711055 chr5:167711055:G:A rs7732135 G A G EBF1_EBF_1 25 0 + 0 0 . chr5 167716766 167716767 chr5:167716767:C:T rs78454557 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 167716810 167716811 chr5:167716811:T:G rs191043834 T G T EBF1_EBF_1 32 0 + 0 0 . chr5 167796798 167796799 chr5:167796799:G:C rs56000978 G C G EBF1_EBF_1 -5 0 - 0 0 . chr5 167850700 167850701 chr5:167850701:G:A rs2909796 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 167887846 167887847 chr5:167887847:C:A rs11134479 C A C EBF1_EBF_1 27 0 - 0 0 . chr5 167889506 167889507 chr5:167889507:C:T rs10866615 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 167916303 167916304 chr5:167916304:T:C rs7708105 T C C EBF1_EBF_1 16 0 - 0 0 . chr5 167921846 167921847 chr5:167921847:G:A rs1421977 G A G EBF1_EBF_1 4 1 - 5.174026204997442 0.8046392600525181 ATTCCCACAGGGCT chr5 167949786 167949787 chr5:167949787:A:G rs75089024 A G A EBF1_EBF_1 16 0 - 0 0 . chr5 167949789 167949790 chr5:167949790:T:C rs32407 T C T EBF1_EBF_1 13 1 - 5.141233585152289 4.02690801308285 TGACCCCTGGGATA chr5 168048001 168048002 chr5:168048002:G:A rs186950146 G A G EBF1_EBF_1 5 1 - 7.353306752342189 2.031519536801518 CCTCCCCAGGGAGG chr5 168061858 168061859 chr5:168061859:G:A rs7705199 G A A EBF1_EBF_1 15 0 - 0 0 . chr5 168165780 168165781 chr5:168165781:G:C rs201170608 G C G EBF1_EBF_1 9 1 + 4.7294457968174335 -0.34624334952803015 CCTCCCAAGGAAGT chr5 168229431 168229432 chr5:168229432:A:C rs78764189 A C A EBF1_EBF_1 -5 0 - 0 0 . chr5 168240278 168240279 chr5:168240279:C:T rs74387103 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 168272320 168272321 chr5:168272321:G:C rs17632655 G C G EBF1_EBF_1 -5 0 - 0 0 . chr5 168286726 168286727 chr5:168286727:C:G rs781121093 C G C EBF1_EBF_1 -3 0 + 0 0 . chr5 168295840 168295841 chr5:168295841:G:T chr5:168295841:G:T G T G EBF1_EBF_1 0 1 - 4.78887726862409 7.044135752320689 CTTCCCCTGGGTGA chr5 168296009 168296010 chr5:168296010:G:A rs115553588 G A G EBF1_EBF_1 -12 0 - 0 0 . chr5 168296250 168296251 chr5:168296251:C:A rs13159035 C A C EBF1_EBF_1 5 1 + 5.099363261743939 -1.8055178908912295 AACCACCTGGGAAT chr5 168315265 168315266 chr5:168315266:A:G rs62385619 A G A EBF1_EBF_1 20 0 + 0 0 . chr5 168316551 168316552 chr5:168316552:C:T rs73381452 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 168331123 168331124 chr5:168331124:G:T rs72822628 G T G EBF1_EBF_1 2 1 - 9.023839051235296 7.228194875078569 AGCCCCCAGGGAGA chr5 168358010 168358011 chr5:168358011:T:G chr5:168358011:T:G T G T EBF1_EBF_1 7 1 - 6.935499999604893 4.641721013234712 ATTCCCAAGTGAAC chr5 168358198 168358199 chr5:168358199:G:T rs998864 G T G EBF1_EBF_1 20 0 + 0 0 . chr5 168366113 168366114 chr5:168366114:T:C rs11134506 T C C EBF1_EBF_1 7 1 - 5.126064015782669 3.813605610493844 GTCCCCCAGGAACT chr5 168366120 168366121 chr5:168366121:C:T rs183678920 C T G EBF1_EBF_1 0 1 - 5.126064015782669 7.018347885347501 GTCCCCCAGGAACT chr5 168368998 168368999 chr5:168368999:G:A rs76913767 G A G EBF1_EBF_1 17 0 - 0 0 . chr5 168389515 168389516 chr5:168389516:A:G rs7737462 A G G EBF1_EBF_1 29 0 - 0 0 . chr5 168409777 168409778 chr5:168409778:C:T rs2303000 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 168409803 168409804 chr5:168409804:G:A rs73392739 G A G EBF1_EBF_1 6 1 - 3.4812667454073054 3.7825528742690633 AGCCCCCGGTGACT chr5 168418300 168418301 chr5:168418301:C:T rs113709008 C T C EBF1_EBF_1 26 0 + 0 0 . chr5 168418342 168418343 chr5:168418343:C:G rs62384098 C G C EBF1_EBF_1 -13 0 + 0 0 . chr5 168420652 168420653 chr5:168420653:A:T rs10866628 A T T EBF1_EBF_1 11 1 + 7.805401600853471 3.7074873447547447 CTTCCCCCGGGATC chr5 168426268 168426269 chr5:168426269:T:C rs9686714 T C T EBF1_EBF_1 14 0 - 0 0 . chr5 168459865 168459866 chr5:168459866:A:C rs2337211 A C C EBF1_EBF_1 31 0 - 0 0 . chr5 168476954 168476955 chr5:168476955:G:C rs10063575 G C C EBF1_EBF_1 33 0 + 0 0 . chr5 168579977 168579978 chr5:168579978:C:T rs1012838595 C T C EBF1_EBF_1 4 1 + 6.315152981103548 1.945766036158624 TTCCCCTTGAGACA chr5 168579978 168579979 chr5:168579979:C:T rs35289770 C T C EBF1_EBF_1 5 1 + 6.315152981103548 0.9933657655628778 TTCCCCTTGAGACA chr5 168658529 168658530 chr5:168658530:A:G rs181783754 A G A EBF1_EBF_1 23 0 + 0 0 . chr5 168671325 168671326 chr5:168671326:C:T rs148891683 C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 168672403 168672404 chr5:168672404:C:G rs1864953 C G C EBF1_EBF_1 2 1 + 7.725775634026042 2.866273355267725 AACCCCTTGAGAAC chr5 168672426 168672427 chr5:168672427:G:A rs12655287 G A G EBF1_EBF_1 25 0 + 0 0 . chr5 168679539 168679540 chr5:168679540:G:T rs56287015 G T G EBF1_EBF_1 26 0 - 0 0 . chr5 168679547 168679548 chr5:168679548:C:T rs10068291 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 168699418 168699419 chr5:168699419:T:C rs79430759 T C C EBF1_EBF_1 23 0 + 0 0 . chr5 168712718 168712719 chr5:168712719:A:G rs1048200086 A G A EBF1_EBF_1 -13 0 - 0 0 . chr5 168748318 168748319 chr5:168748319:G:T rs17634681 G T G EBF1_EBF_1 18 0 - 0 0 . chr5 168778511 168778512 chr5:168778512:A:T rs11744219 A T T EBF1_EBF_1 -12 0 - 0 0 . chr5 168814957 168814958 chr5:168814958:T:C rs9885172 T C C EBF1_EBF_1 0 1 - 6.872674052850524 4.980390183285689 ACTCCCATGGGTTA chr5 168822428 168822429 chr5:168822429:C:T rs145604250 C T C EBF1_EBF_1 3 1 + 7.237180558569527 0.8317818170162089 ACACCCTTGGGAGC chr5 168830164 168830165 chr5:168830165:T:C rs34646762 T C T EBF1_EBF_1 15 0 - 0 0 . chr5 168830168 168830169 chr5:168830169:T:C rs35758541 T C C EBF1_EBF_1 11 1 - 5.7473271464750395 2.8546203028710275 GCTCTCCTGGGACC chr5 168843847 168843848 chr5:168843848:A:G rs62378543 A G G EBF1_EBF_1 -2 0 - 0 0 . chr5 168844255 168844256 chr5:168844256:T:G rs4571488 T G G EBF1_EBF_1 0 1 - 4.37004194091573 2.1147834572191284 ACCCCCCAGAGCCT chr5 168848605 168848606 chr5:168848606:G:A rs114372095 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 168851783 168851784 chr5:168851784:C:T rs62378547 C T C EBF1_EBF_1 16 0 + 0 0 . chr5 168857731 168857732 chr5:168857732:C:T rs148700343 C T C EBF1_EBF_1 18 0 - 0 0 . chr5 168880138 168880139 chr5:168880139:C:T rs956731694 C T C EBF1_EBF_1 15 0 + 0 0 . chr5 168880153 168880154 chr5:168880154:C:T rs116300583 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 168888435 168888436 chr5:168888436:C:A rs13171090 C A C EBF1_EBF_1 30 0 - 0 0 . chr5 168931865 168931866 chr5:168931866:T:A rs10053579 T A T EBF1_EBF_1 -2 0 + 0 0 . chr5 168947382 168947383 chr5:168947383:A:G rs7712757 A G G EBF1_EBF_1 -14 0 - 0 0 . chr5 168947383 168947384 chr5:168947384:C:G rs10055272 C G C EBF1_EBF_1 -15 0 - 0 0 . chr5 168988781 168988782 chr5:168988782:C:A rs113348765 C A C EBF1_EBF_1 6 1 + 5.074738013043617 5.436340380844896 CCCCCCCAGGGGCA chr5 168993274 168993275 chr5:168993275:G:A rs62378622 G A A EBF1_EBF_1 27 0 - 0 0 . chr5 169065493 169065494 chr5:169065494:C:T rs145175492 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 169081020 169081021 chr5:169081021:T:C rs11134557 T C T EBF1_EBF_1 -13 0 + 0 0 . chr5 169081026 169081027 chr5:169081027:C:T rs60230022 C T C EBF1_EBF_1 -7 0 + 0 0 . chr5 169081426 169081427 chr5:169081427:A:G rs2668040 A G G EBF1_EBF_1 13 1 - 5.188591251275849 4.344097136690331 AAACCCCAGGGCAT chr5 169104811 169104812 chr5:169104812:G:C rs67928914 G C G EBF1_EBF_1 4 1 - 5.561268411704555 -0.06449668944498888 CTCCCCCTGAGAGT chr5 169107543 169107544 chr5:169107544:C:A rs1191428064 C A C EBF1_EBF_1 10 1 - 6.332893624391592 2.1501414381643276 CACCCCTTGGGAGA chr5 169161242 169161243 chr5:169161243:C:T rs988111231 C T C EBF1_EBF_1 -11 0 - 0 0 . chr5 169171875 169171876 chr5:169171876:C:T rs189580734 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 169190326 169190327 chr5:169190327:C:A rs873490 C A C EBF1_EBF_1 8 1 - 6.992255630579589 0.10082284160239409 ACTCCCTTGGAACC chr5 169217358 169217359 chr5:169217359:G:T rs6867453 G T T EBF1_EBF_1 -6 0 - 0 0 . chr5 169221576 169221577 chr5:169221577:C:T rs75566565 C T C EBF1_EBF_1 12 1 + 4.8558458813729235 3.8295793108220737 CTCCCCCAGGGGCG chr5 169273064 169273065 chr5:169273065:G:C rs12521999 G C C EBF1_EBF_1 23 0 - 0 0 . chr5 169273570 169273571 chr5:169273571:G:T rs6860744 G T G EBF1_EBF_1 4 1 - 7.604576879341312 1.780017255887845 TATCCCCAGAGATT chr5 169286679 169286680 chr5:169286680:A:T rs140827696 A T A EBF1_EBF_1 -11 0 + 0 0 . chr5 169286703 169286704 chr5:169286704:G:A rs79678449 G A G EBF1_EBF_1 13 1 + 4.821733656815691 5.93605922888513 ATTCCCCAGAGCCG chr5 169286720 169286721 chr5:169286721:T:C rs189991159 T C T EBF1_EBF_1 30 0 + 0 0 . chr5 169417004 169417005 chr5:169417005:A:G rs559066863 A G A EBF1_EBF_1 -12 0 - 0 0 . chr5 169427043 169427044 chr5:169427044:C:T rs62378700 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 169433437 169433438 chr5:169433438:G:A rs111265795 G A G EBF1_EBF_1 -8 0 + 0 0 . chr5 169442419 169442420 chr5:169442420:G:T rs6865393 G T G EBF1_EBF_1 29 0 - 0 0 . chr5 169458697 169458698 chr5:169458698:T:C rs2431281 T C T EBF1_EBF_1 -7 0 + 0 0 . chr5 169504249 169504250 chr5:169504250:T:C rs17557569 T C T EBF1_EBF_1 -17 0 + 0 0 . chr5 169504294 169504295 chr5:169504295:C:T rs42516 C T T EBF1_EBF_1 28 0 + 0 0 . chr5 169561993 169561994 chr5:169561994:T:C rs376358011 T C T EBF1_EBF_1 -10 0 + 0 0 . chr5 169562009 169562010 chr5:169562010:C:T chr5:169562010:C:T C T C EBF1_EBF_1 6 1 + 7.622181728342058 7.923467857203816 GTACCCCAGGGATT chr5 169655377 169655378 chr5:169655378:C:G rs75909638 C G C EBF1_EBF_1 31 0 - 0 0 . chr5 169674594 169674595 chr5:169674595:C:T rs2244445 C T T EBF1_EBF_1 -9 0 + 0 0 . chr5 169696874 169696875 chr5:169696875:A:C rs10074598 A C C EBF1_EBF_1 -13 0 + 0 0 . chr5 169742628 169742629 chr5:169742629:C:T rs12514018 C T C EBF1_EBF_1 -19 0 - 0 0 . chr5 169744866 169744867 chr5:169744867:G:A rs890814 G A G EBF1_EBF_1 -10 0 + 0 0 . chr5 169744879 169744880 chr5:169744880:C:T rs34088773 C T C EBF1_EBF_1 3 1 + 5.02010102378843 -1.3852977177648873 GTTCCCAGGAGGAC chr5 169791441 169791442 chr5:169791442:C:G rs11958152 C G G EBF1_EBF_1 -6 0 + 0 0 . chr5 169795380 169795381 chr5:169795381:G:A rs112801675 G A G EBF1_EBF_1 7 1 - 5.670503309587011 7.488008055869635 AACCCCTCGGGGAC chr5 169805618 169805619 chr5:169805619:T:C rs261616 T C C EBF1_EBF_1 33 0 - 0 0 . chr5 169810185 169810186 chr5:169810186:T:C rs78712675 T C T EBF1_EBF_1 -12 0 - 0 0 . chr5 169852000 169852001 chr5:169852001:A:G rs1680571 A G G EBF1_EBF_1 17 0 - 0 0 . chr5 169853278 169853279 chr5:169853279:G:C rs261078 G C G EBF1_EBF_1 31 0 - 0 0 . chr5 169904234 169904235 chr5:169904235:A:G rs261050 A G G EBF1_EBF_1 11 1 + 8.821339424973964 5.928632581369953 GTTCCCAAGAGACA chr5 169917675 169917676 chr5:169917676:T:C rs33368 T C T EBF1_EBF_1 27 0 - 0 0 . chr5 169935388 169935389 chr5:169935389:A:T rs259904 A T T EBF1_EBF_1 30 0 + 0 0 . chr5 169980777 169980778 chr5:169980778:T:C rs75650735 T C T EBF1_EBF_1 -18 0 + 0 0 . chr5 170044883 170044884 chr5:170044884:T:C rs11744647 T C T EBF1_EBF_1 -4 0 + 0 0 . chr5 170049718 170049719 chr5:170049719:C:T rs956303 C T t EBF1_EBF_1 32 0 - 0 0 . chr5 170063720 170063721 chr5:170063721:A:G rs55915029 A G G EBF1_EBF_1 28 0 + 0 0 . chr5 170083015 170083016 chr5:170083016:T:G rs1045176 T G T EBF1_EBF_1 -19 0 + 0 0 . chr5 170102566 170102567 chr5:170102567:G:A rs7709792 G A G EBF1_EBF_1 -19 0 - 0 0 . chr5 170110473 170110474 chr5:170110474:A:G rs17072095 A G A EBF1_EBF_1 17 0 - 0 0 . chr5 170114468 170114469 chr5:170114469:C:T rs11740821 C T C EBF1_EBF_1 -18 0 + 0 0 . chr5 170144242 170144243 chr5:170144243:T:C rs529544976 T C T EBF1_EBF_1 -10 0 - 0 0 . chr5 170149644 170149645 chr5:170149645:G:A rs12519387 G A G EBF1_EBF_1 5 1 - 11.714345906753891 6.392558691213223 AGTCCCGAGGGACT chr5 170151360 170151361 chr5:170151361:G:A rs143687901 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 170151384 170151385 chr5:170151385:C:T rs13164988 C T C EBF1_EBF_1 8 1 - 4.562471488705608 0.3361813998193462 AGACCCAAGGGCCA chr5 170151405 170151406 chr5:170151406:G:T rs1463805749 G T G EBF1_EBF_1 -13 0 - 0 0 . chr5 170151464 170151465 chr5:170151465:G:C rs76714813 G C G EBF1_EBF_1 5 1 - 3.8295793108220737 -3.0753018418130944 CTCCCCCAGGGGTG chr5 170151473 170151474 chr5:170151474:G:A rs79314466 G A G EBF1_EBF_1 -4 0 - 0 0 . chr5 170171667 170171668 chr5:170171668:G:A rs68016529 G A G EBF1_EBF_1 7 1 + 6.168958840753542 7.481417246042367 AGACCCTGGAGACT chr5 170178997 170178998 chr5:170178998:C:T rs6871930 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 170193377 170193378 chr5:170193378:A:G rs1078430 A G A EBF1_EBF_1 2 1 - 8.901509126992224 7.012685715550074 ATTCCCATGGTATT chr5 170268441 170268442 chr5:170268442:C:T rs2292254 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 170269738 170269739 chr5:170269739:C:A rs315748 C A C EBF1_EBF_1 23 0 - 0 0 . chr5 170269751 170269752 chr5:170269752:C:T rs114925538 C T C EBF1_EBF_1 10 1 - 4.614955780603929 -0.7030726786630372 CTCGCCAAGGGACT chr5 170272051 170272052 chr5:170272052:G:T rs315743 G T G EBF1_EBF_1 -8 0 + 0 0 . chr5 170293537 170293538 chr5:170293538:G:A rs315729 G A G EBF1_EBF_1 -9 0 + 0 0 . chr5 170314915 170314916 chr5:170314916:C:T rs13166790 C T T EBF1_EBF_1 23 0 + 0 0 . chr5 170332016 170332017 chr5:170332017:G:C rs142631395 G C G EBF1_EBF_1 -13 0 + 0 0 . chr5 170332054 170332055 chr5:170332055:A:T rs182619 A T T EBF1_EBF_1 25 0 + 0 0 . chr5 170339198 170339199 chr5:170339199:G:A rs112774810 G A G EBF1_EBF_1 13 1 + 5.2705110330915375 6.384836605160976 GGTCCCAAGGGCAG chr5 170340518 170340519 chr5:170340519:C:T rs315761 C T C EBF1_EBF_1 8 1 - 6.409013687364701 2.1827235984784386 CCCCCCACGGGACC chr5 170355815 170355816 chr5:170355816:C:T rs549506619 C T C EBF1_EBF_1 12 1 + 4.569218772010119 3.542952201459269 ACTCCGTGGGGACC chr5 170360205 170360206 chr5:170360206:G:C rs6889463 G C C EBF1_EBF_1 23 0 + 0 0 . chr5 170388060 170388061 chr5:170388061:C:T rs114332931 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 170388087 170388088 chr5:170388088:C:T rs314108 C T C EBF1_EBF_1 3 1 - 3.9545725639223446 2.8761629825717536 AGTGCCCAGGGGCC chr5 170389369 170389370 chr5:170389370:C:T rs45551732 C T C EBF1_EBF_1 17 0 - 0 0 . chr5 170389560 170389561 chr5:170389561:A:G rs827778 A G G EBF1_EBF_1 -13 0 - 0 0 . chr5 170419655 170419656 chr5:170419656:C:T rs183398 C T T EBF1_EBF_1 -10 0 + 0 0 . chr5 170436140 170436141 chr5:170436141:T:A rs114292818 T A T EBF1_EBF_1 -1 0 - 0 0 . chr5 170438288 170438289 chr5:170438289:G:A rs13156588 G A G EBF1_EBF_1 25 0 + 0 0 . chr5 170440510 170440511 chr5:170440511:G:A rs1412697559 G A G EBF1_EBF_1 0 1 - 10.62841499029504 10.91061705102562 CCTCCCCTGGGAAT chr5 170442510 170442511 chr5:170442511:G:A rs13182150 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 170447977 170447978 chr5:170447978:T:C rs77729022 T C T EBF1_EBF_1 -1 0 + 0 0 . chr5 170448458 170448459 chr5:170448459:G:A rs74764682 G A G EBF1_EBF_1 5 1 - 7.214752860932551 1.8929656453918806 AGCCCCCAGGGCCT chr5 170464779 170464780 chr5:170464780:C:T rs75094236 C T C EBF1_EBF_1 10 1 - 5.413198721413706 0.0951702621467394 ATTCCCAAGCGTCT chr5 170465579 170465580 chr5:170465580:T:C rs6883589 T C C EBF1_EBF_1 2 1 + 8.032814997290648 6.143991585848498 AATCTCAAGGGACA chr5 170520676 170520677 chr5:170520677:C:G rs17649721 C G C EBF1_EBF_1 -11 0 + 0 0 . chr5 170520717 170520718 chr5:170520718:G:A rs7443451 G A G EBF1_EBF_1 30 0 + 0 0 . chr5 170522637 170522638 chr5:170522638:T:C rs4867974 T C T EBF1_EBF_1 -18 0 + 0 0 . chr5 170522666 170522667 chr5:170522667:C:T rs79716724 C T C EBF1_EBF_1 11 1 + 5.844233417944691 6.162280859698355 CCTCCCCAGGGCAC chr5 170534299 170534300 chr5:170534300:T:A rs78552796 T A T EBF1_EBF_1 -1 0 + 0 0 . chr5 170554972 170554973 chr5:170554973:G:A rs146468327 G A G EBF1_EBF_1 3 1 - 12.78256489821823 6.377166156664913 AATCCCCTGGGACT chr5 170555141 170555142 chr5:170555142:C:T rs567778414 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 170584737 170584738 chr5:170584738:C:T rs75050061 C T C EBF1_EBF_1 22 0 + 0 0 . chr5 170597013 170597014 chr5:170597014:C:T rs115072993 C T C EBF1_EBF_1 -1 0 - 0 0 . chr5 170602314 170602315 chr5:170602315:A:T rs905812 A T A EBF1_EBF_1 19 0 + 0 0 . chr5 170623199 170623200 chr5:170623200:C:G rs28655186 C G G EBF1_EBF_1 -3 0 - 0 0 . chr5 170623930 170623931 chr5:170623931:C:G rs6889532 C G C EBF1_EBF_1 -20 0 + 0 0 . chr5 170630080 170630081 chr5:170630081:G:T rs4868003 G T G EBF1_EBF_1 18 0 - 0 0 . chr5 170633023 170633024 chr5:170633024:G:T rs978208279 G T G EBF1_EBF_1 29 0 + 0 0 . chr5 170641392 170641393 chr5:170641393:C:T rs116196216 C T C EBF1_EBF_1 33 0 - 0 0 . chr5 170661597 170661598 chr5:170661598:G:A rs4867625 G A A EBF1_EBF_1 33 0 + 0 0 . chr5 170693233 170693234 chr5:170693234:G:A rs13165761 G A G EBF1_EBF_1 4 1 - 7.905447780038707 3.5360608350937834 CGTCCCCAGGGATG chr5 170710704 170710705 chr5:170710705:A:G rs50364 A G A EBF1_EBF_1 17 0 - 0 0 . chr5 170719290 170719291 chr5:170719291:T:A rs62392781 T A A EBF1_EBF_1 7 1 - 7.2429353492144415 6.766661109126883 TTTCCCAAGGTAAA chr5 170720241 170720242 chr5:170720242:C:T rs112638245 C T C EBF1_EBF_1 -12 0 - 0 0 . chr5 170721010 170721011 chr5:170721011:G:A rs10462999 G A G EBF1_EBF_1 9 1 + 5.913458904910965 3.258519639463361 GTCCCCATGGGCCT chr5 170746118 170746119 chr5:170746119:A:T rs141757373 A T A EBF1_EBF_1 1 1 - 8.255611335560287 7.28925071780946 ATCCCCCAGGGGAA chr5 170783815 170783816 chr5:170783816:T:C rs929762 T C C EBF1_EBF_1 33 0 + 0 0 . chr5 170830113 170830114 chr5:170830114:C:A rs35029522 C A C EBF1_EBF_1 -13 0 + 0 0 . chr5 170903470 170903471 chr5:170903471:C:T rs2059181 C T C EBF1_EBF_1 16 0 - 0 0 . chr5 171123609 171123610 chr5:171123610:C:G rs74373832 C G C EBF1_EBF_1 20 0 - 0 0 . chr5 171305380 171305381 chr5:171305381:G:A rs114359008 G A G EBF1_EBF_1 -11 0 - 0 0 . chr5 171357635 171357636 chr5:171357636:A:G rs7736331 A G G EBF1_EBF_1 26 0 - 0 0 . chr5 171415302 171415303 chr5:171415303:A:G rs112213157 A G A EBF1_EBF_1 7 1 + 5.212546707671024 3.9000883023821977 CTTCCCAAAGGGCT chr5 171434432 171434433 chr5:171434433:C:T rs11738946 C T C EBF1_EBF_1 -4 0 - 0 0 . chr5 171446071 171446072 chr5:171446072:A:G rs68176291 A G A EBF1_EBF_1 11 1 + 6.4485369613240096 3.5558301177199985 ACTCCCCAAAGACT chr5 171446072 171446073 chr5:171446073:C:T rs144509697 C T C EBF1_EBF_1 12 1 + 6.4485369613240096 5.42227039077316 ACTCCCCAAAGACT chr5 171446090 171446091 chr5:171446091:C:T rs531143603 C T C EBF1_EBF_1 30 0 + 0 0 . chr5 171450432 171450433 chr5:171450433:G:A rs7725569 G A A EBF1_EBF_1 -3 0 + 0 0 . chr5 171450463 171450464 chr5:171450464:C:A chr5:171450464:C:A C A C EBF1_EBF_1 28 0 + 0 0 . chr5 171451292 171451293 chr5:171451293:C:T rs192928997 C T C EBF1_EBF_1 -9 0 + 0 0 . chr5 171483819 171483820 chr5:171483820:G:A rs73803354 G A G EBF1_EBF_1 30 0 - 0 0 . chr5 171495268 171495269 chr5:171495269:C:T rs187639059 C T C EBF1_EBF_1 31 0 + 0 0 . chr5 171501192 171501193 chr5:171501193:C:T rs11740083 C T T EBF1_EBF_1 7 1 - 7.232949519320982 8.545407924609806 ATCCCCAGGGTAAT chr5 171517392 171517393 chr5:171517393:T:A rs56308146 T A T EBF1_EBF_1 19 0 + 0 0 . chr5 171522665 171522666 chr5:171522666:G:A rs114921125 G A G EBF1_EBF_1 5 1 - 5.1190310127868965 -0.20275620275377348 ACTCCCCAGGAGCT chr5 171524252 171524253 chr5:171524253:G:A rs891981 G A A EBF1_EBF_1 20 0 - 0 0 . chr5 171524256 171524257 chr5:171524257:G:A rs71607567 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 171540487 171540488 chr5:171540488:T:C rs7718431 T C T EBF1_EBF_1 21 0 + 0 0 . chr5 171541376 171541377 chr5:171541377:T:C rs72841420 T C T EBF1_EBF_1 -4 0 + 0 0 . chr5 171578030 171578031 chr5:171578031:G:T rs151305160 G T G EBF1_EBF_1 31 0 + 0 0 . chr5 171586768 171586769 chr5:171586769:G:A rs899561 G A A EBF1_EBF_1 -18 0 + 0 0 . chr5 171597350 171597351 chr5:171597351:C:T rs29635 C T T EBF1_EBF_1 10 1 - 8.131281178751818 2.8132527194848524 AGCCCCCAGAGACC chr5 171599538 171599539 chr5:171599539:G:C rs171784 G C G EBF1_EBF_1 22 0 - 0 0 . chr5 171617155 171617156 chr5:171617156:T:C rs62381870 T C C EBF1_EBF_1 -16 0 - 0 0 . chr5 171629224 171629225 chr5:171629225:C:G rs11960914 C G C EBF1_EBF_1 19 0 - 0 0 . chr5 171674378 171674379 chr5:171674379:G:A rs79525019 G A G EBF1_EBF_1 -17 0 + 0 0 . chr5 171676386 171676387 chr5:171676387:A:T chr5:171676387:A:T A T A EBF1_EBF_1 13 1 - 6.924433524435881 5.706193960564387 CTTCCCCAGCGAAT chr5 171676402 171676403 chr5:171676403:T:A rs931116 T A T EBF1_EBF_1 -3 0 - 0 0 . chr5 171676404 171676405 chr5:171676405:A:G rs115286862 A G A EBF1_EBF_1 -5 0 - 0 0 . chr5 171683172 171683173 chr5:171683173:A:G rs181483703 A G A EBF1_EBF_1 -2 0 - 0 0 . chr5 171688552 171688553 chr5:171688553:T:G rs7729995 T G G EBF1_EBF_1 27 0 - 0 0 . chr5 171702902 171702903 chr5:171702903:A:G rs884599 A G A EBF1_EBF_1 18 0 + 0 0 . chr5 171743716 171743717 chr5:171743717:G:A rs77491244 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 171758304 171758305 chr5:171758305:C:T rs254911 C T C EBF1_EBF_1 15 0 + 0 0 . chr5 171770592 171770593 chr5:171770593:C:T rs697036 C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 171774494 171774495 chr5:171774495:G:C rs12153230 G C G EBF1_EBF_1 27 0 + 0 0 . chr5 171840347 171840348 chr5:171840348:T:G rs75312526 T G T EBF1_EBF_1 14 0 - 0 0 . chr5 171841198 171841199 chr5:171841199:C:T rs6891834 C T C EBF1_EBF_1 4 1 + 5.744744565882918 1.3753576209379945 AGTCCCCAGGGCTG chr5 171849388 171849389 chr5:171849389:G:A rs702101 G A A EBF1_EBF_1 1 1 - 7.234756422986033 8.130189863384778 TCCCCCCAGGGAGC chr5 171852471 171852472 chr5:171852472:T:C rs1055837278 T C T EBF1_EBF_1 21 0 - 0 0 . chr5 171858906 171858907 chr5:171858907:A:G rs143826696 A G A EBF1_EBF_1 18 0 + 0 0 . chr5 171894162 171894163 chr5:171894163:C:T rs111406012 C T C EBF1_EBF_1 -1 0 + 0 0 . chr5 172049569 172049570 chr5:172049570:G:A rs188957553 G A G EBF1_EBF_1 -15 0 + 0 0 . chr5 172049601 172049602 chr5:172049602:A:G rs77407474 A G A EBF1_EBF_1 17 0 + 0 0 . chr5 172077002 172077003 chr5:172077003:G:A rs113518990 G A G EBF1_EBF_1 -1 0 + 0 0 . chr5 172103222 172103223 chr5:172103223:G:A rs114569643 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 172103233 172103234 chr5:172103234:G:A rs186270699 G A G EBF1_EBF_1 7 1 - 5.727248967088215 7.544753713370839 ACCCCCTCGGGAGG chr5 172105492 172105493 chr5:172105493:C:T rs3111483 C T C EBF1_EBF_1 31 0 - 0 0 . chr5 172115286 172115287 chr5:172115287:T:C rs1473507 T C T EBF1_EBF_1 7 1 + 9.571967021322864 7.754462275040242 CCTCCCCTGGGATT chr5 172128764 172128765 chr5:172128765:G:C rs3776762 G C G EBF1_EBF_1 17 0 - 0 0 . chr5 172144902 172144903 chr5:172144903:G:A rs117464694 G A G EBF1_EBF_1 -9 0 + 0 0 . chr5 172165053 172165054 chr5:172165054:C:A rs3822512 C A C EBF1_EBF_1 -17 0 + 0 0 . chr5 172188124 172188125 chr5:172188125:A:G rs1433245898 A G A EBF1_EBF_1 28 0 - 0 0 . chr5 172278493 172278494 chr5:172278494:A:G rs10054468 A G G EBF1_EBF_1 29 0 - 0 0 . chr5 172321506 172321507 chr5:172321507:T:C rs4242163 T C C EBF1_EBF_1 32 0 - 0 0 . chr5 172331392 172331393 chr5:172331393:T:C rs59922608 T C T EBF1_EBF_1 26 0 - 0 0 . chr5 172365500 172365501 chr5:172365501:C:T rs2569242 C T C EBF1_EBF_1 5 1 + 4.1421661603228594 -1.1796210552178112 TTCCCCGAGGGCCT chr5 172380735 172380736 chr5:172380736:G:A rs1432618741 G A G EBF1_EBF_1 17 0 + 0 0 . chr5 172413118 172413119 chr5:172413119:C:G rs7731583 C G G EBF1_EBF_1 -6 0 + 0 0 . chr5 172413138 172413139 chr5:172413139:C:T rs147602292 C T C EBF1_EBF_1 14 0 + 0 0 . chr5 172420086 172420087 chr5:172420087:T:C rs74489794 T C T EBF1_EBF_1 -17 0 + 0 0 . chr5 172436914 172436915 chr5:172436915:A:G rs1982066 A G G EBF1_EBF_1 14 0 - 0 0 . chr5 172441424 172441425 chr5:172441425:G:A rs550955241 G A G EBF1_EBF_1 29 0 - 0 0 . chr5 172441441 172441442 chr5:172441442:G:A rs58382450 G A G EBF1_EBF_1 12 1 - 4.437932339363196 3.411665768812346 AGTCCCAAGGTCCC chr5 172441455 172441456 chr5:172441456:C:T rs79507322 C T C EBF1_EBF_1 -2 0 - 0 0 . chr5 172452253 172452254 chr5:172452254:G:A chr5:172452254:G:A G A G EBF1_EBF_1 19 0 - 0 0 . chr5 172459359 172459360 chr5:172459360:C:A rs1865004 C A C EBF1_EBF_1 23 0 + 0 0 . chr5 172488679 172488680 chr5:172488680:G:A rs11750159 G A G EBF1_EBF_1 32 0 - 0 0 . chr5 172491483 172491484 chr5:172491484:C:T rs77876225 C T C EBF1_EBF_1 0 1 + 12.271227401221774 12.553429461952351 CTTCCCTAGGGACT chr5 172491508 172491509 chr5:172491509:A:G rs4868177 A G G EBF1_EBF_1 25 0 + 0 0 . chr5 172504871 172504872 chr5:172504872:G:A rs55892436 G A G EBF1_EBF_1 -14 0 - 0 0 . chr5 172507135 172507136 chr5:172507136:C:T rs1185276879 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 172510323 172510324 chr5:172510324:G:T rs547095865 G T G EBF1_EBF_1 33 0 + 0 0 . chr5 172527228 172527229 chr5:172527229:A:C rs1433020 A C C EBF1_EBF_1 23 0 - 0 0 . chr5 172542831 172542832 chr5:172542832:C:T rs55709374 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 172543025 172543026 chr5:172543026:G:A rs1368432 G A G EBF1_EBF_1 29 0 + 0 0 . chr5 172551226 172551227 chr5:172551227:C:G rs10052814 C G C EBF1_EBF_1 -5 0 - 0 0 . chr5 172551227 172551228 chr5:172551228:G:C rs57477573 G C C EBF1_EBF_1 -6 0 - 0 0 . chr5 172552872 172552873 chr5:172552873:G:A rs72848131 G A A EBF1_EBF_1 6 1 - 6.581697036405398 6.882983165267154 ATTCCCCGGGGTGC chr5 172557224 172557225 chr5:172557225:T:C chr5:172557225:T:C T C T EBF1_EBF_1 -18 0 + 0 0 . chr5 172558845 172558846 chr5:172558846:C:G chr5:172558846:C:G C G C EBF1_EBF_1 16 0 - 0 0 . chr5 172579646 172579647 chr5:172579647:A:G rs7711407 A G G EBF1_EBF_1 21 0 - 0 0 . chr5 172602683 172602684 chr5:172602684:G:T rs11954810 G T G EBF1_EBF_1 0 1 + 4.736403165680803 4.655630612279615 GTTCCCTCGGGCAA chr5 172607423 172607424 chr5:172607424:G:A rs74427181 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 172617333 172617334 chr5:172617334:T:C rs11738568 T C T EBF1_EBF_1 -10 0 - 0 0 . chr5 172623421 172623422 chr5:172623422:G:A rs767914601 G A G EBF1_EBF_1 -17 0 - 0 0 . chr5 172640823 172640824 chr5:172640824:C:T chr5:172640824:C:T C T C EBF1_EBF_1 -17 0 + 0 0 . chr5 172640879 172640880 chr5:172640880:G:C rs2055742 G C C EBF1_EBF_1 9 1 + 6.301935941932075 1.2262467955866099 GTTCCCCCGGGGCC chr5 172643216 172643217 chr5:172643217:T:G rs7710462 T G T EBF1_EBF_1 0 1 - 8.301516135338577 6.046257651641977 AATCCCCAGAGAAG chr5 172648567 172648568 chr5:172648568:C:T rs567802494 C T C EBF1_EBF_1 20 0 + 0 0 . chr5 172652885 172652886 chr5:172652886:C:T rs6556035 C T T EBF1_EBF_1 -10 0 + 0 0 . chr5 172658806 172658807 chr5:172658807:G:A rs77414237 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 172660299 172660300 chr5:172660300:G:A rs115625162 G A G EBF1_EBF_1 -13 0 + 0 0 . chr5 172660494 172660495 chr5:172660495:C:A rs181450000 C A C EBF1_EBF_1 27 0 - 0 0 . chr5 172660496 172660497 chr5:172660497:C:T rs115216784 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 172668768 172668769 chr5:172668769:C:T rs4867682 C T C EBF1_EBF_1 -5 0 + 0 0 . chr5 172668804 172668805 chr5:172668805:A:G rs4868194 A G G EBF1_EBF_1 31 0 + 0 0 . chr5 172670349 172670350 chr5:172670350:C:T rs6556037 C T C EBF1_EBF_1 1 1 + 7.692992643638304 8.588426084037048 GCCCCCTGGGGACC chr5 172675448 172675449 chr5:172675449:A:G rs61337529 A G A EBF1_EBF_1 1 1 - 5.149014918859787 4.253581478461041 GTACCCCAGAGACC chr5 172696674 172696675 chr5:172696675:A:G rs115013608 A G A EBF1_EBF_1 12 1 + 4.285970960084989 2.8671536179855117 ACTCCAAGGGGAAA chr5 172731753 172731754 chr5:172731754:A:G rs11960040 A G A EBF1_EBF_1 -18 0 + 0 0 . chr5 172733494 172733495 chr5:172733495:A:G rs324340 A G G EBF1_EBF_1 6 1 + 5.3589486406835585 3.1921542094090833 ATTCCCAGGAGCCC chr5 172770531 172770532 chr5:172770532:C:T rs34332995 C T C EBF1_EBF_1 29 0 + 0 0 . chr5 172771215 172771216 chr5:172771216:C:A rs34163272 C A C EBF1_EBF_1 26 0 - 0 0 . chr5 172771478 172771479 chr5:172771479:C:T rs28372788 C T C EBF1_EBF_1 31 0 + 0 0 . chr5 172772167 172772168 chr5:172772168:C:T chr5:172772168:C:T C T C EBF1_EBF_1 27 0 + 0 0 . chr5 172795628 172795629 chr5:172795629:C:G rs117774056 C G C EBF1_EBF_1 -7 0 + 0 0 . chr5 172795646 172795647 chr5:172795647:A:G rs144562214 A G A EBF1_EBF_1 11 1 + 7.305774344018421 4.41306750041441 TTCCCCTAGGGATG chr5 172806061 172806062 chr5:172806062:C:T rs2291045 C T C EBF1_EBF_1 26 0 - 0 0 . chr5 172820800 172820801 chr5:172820801:G:A rs150539553 G A G EBF1_EBF_1 27 0 + 0 0 . chr5 172828925 172828926 chr5:172828926:A:C rs792992 A C C EBF1_EBF_1 -11 0 + 0 0 . chr5 172834542 172834543 chr5:172834543:G:C rs914814425 G C G EBF1_EBF_1 -15 0 + 0 0 . chr5 172835200 172835201 chr5:172835201:A:G rs187691506 A G A EBF1_EBF_1 2 1 - 7.634599570917619 5.745776159475469 CATCCCCTGGGGCT chr5 172844844 172844845 chr5:172844845:C:G rs792972 C G G EBF1_EBF_1 29 0 - 0 0 . chr5 172845444 172845445 chr5:172845445:G:A rs138471485 G A G EBF1_EBF_1 1 1 + 8.259082157789182 7.99838332586788 AGTCCCCAGGGCCC chr5 172868316 172868317 chr5:172868317:G:A rs793023 G A G EBF1_EBF_1 4 1 - 6.913913706840253 2.5445267618953293 AATCCCCACGGATT chr5 172869202 172869203 chr5:172869203:A:G rs112919991 A G A EBF1_EBF_1 -4 0 - 0 0 . chr5 172870981 172870982 chr5:172870982:C:T rs73803652 C T C EBF1_EBF_1 23 0 + 0 0 . chr5 172882188 172882189 chr5:172882189:G:A rs72811981 G A G EBF1_EBF_1 -17 0 + 0 0 . chr5 172919335 172919336 chr5:172919336:A:T rs144312842 A T A EBF1_EBF_1 13 1 + 5.456122162249869 6.674361726121363 CGCCCCCGGGGAGA chr5 172932357 172932358 chr5:172932358:C:T rs79897222 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 172939460 172939461 chr5:172939461:A:G rs579322 A G G EBF1_EBF_1 -2 0 + 0 0 . chr5 172948190 172948191 chr5:172948191:G:A rs181641697 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 173001757 173001758 chr5:173001758:G:A rs56262636 G A G EBF1_EBF_1 30 0 + 0 0 . chr5 173036511 173036512 chr5:173036512:C:T rs141081915 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 173102699 173102700 chr5:173102700:C:T rs73331193 C T C EBF1_EBF_1 24 0 - 0 0 . chr5 173161023 173161024 chr5:173161024:G:T rs255303 G T T EBF1_EBF_1 -19 0 + 0 0 . chr5 173177537 173177538 chr5:173177538:C:T rs255312 C T C EBF1_EBF_1 -1 0 + 0 0 . chr5 173202715 173202716 chr5:173202716:G:A rs72818013 G A g EBF1_EBF_1 27 0 - 0 0 . chr5 173213666 173213667 chr5:173213667:C:T rs6891488 C T C EBF1_EBF_1 5 1 + 4.164979389969165 -1.156807825571506 CTCCCCGAGGGGCA chr5 173217720 173217721 chr5:173217721:C:T rs368560985 C T C EBF1_EBF_1 28 0 + 0 0 . chr5 173235938 173235939 chr5:173235939:C:G rs115194292 C G C EBF1_EBF_1 22 0 + 0 0 . chr5 173238102 173238103 chr5:173238103:T:C rs77999046 T C T EBF1_EBF_1 -1 0 + 0 0 . chr5 173243741 173243742 chr5:173243742:G:T rs6891790 G T T EBF1_EBF_1 12 1 - 6.25886535235788 6.289046750779206 ACTCCCCAGAGCCT chr5 173247476 173247477 chr5:173247477:C:G rs72818031 C G C EBF1_EBF_1 13 1 + 5.965403910775492 4.477332889420077 ATCGCCTAGGGACC chr5 173248590 173248591 chr5:173248591:T:C rs2161118 T C T EBF1_EBF_1 -3 0 - 0 0 . chr5 173292207 173292208 chr5:173292208:G:T rs4868252 G T G EBF1_EBF_1 27 0 - 0 0 . chr5 173295046 173295047 chr5:173295047:T:C rs12519191 T C C EBF1_EBF_1 32 0 - 0 0 . chr5 173297484 173297485 chr5:173297485:G:T rs17732524 G T G EBF1_EBF_1 3 1 - 9.495360038597303 2.589186009131499 AGTCCCAAGGGCAT chr5 173311652 173311653 chr5:173311653:T:C rs6889476 T C C EBF1_EBF_1 -3 0 + 0 0 . chr5 173315866 173315867 chr5:173315867:C:T rs10035377 C T C EBF1_EBF_1 15 0 + 0 0 . chr5 173316833 173316834 chr5:173316834:C:T rs116122247 C T C EBF1_EBF_1 -3 0 + 0 0 . chr5 173328788 173328789 chr5:173328789:G:C rs533799148 G C G EBF1_EBF_1 -1 0 + 0 0 . chr5 173332141 173332142 chr5:173332142:A:G rs1225334637 A G A EBF1_EBF_1 -12 0 + 0 0 . chr5 173351719 173351720 chr5:173351720:G:T rs57308680 G T G EBF1_EBF_1 24 0 - 0 0 . chr5 173358701 173358702 chr5:173358702:A:G rs10476071 A G G EBF1_EBF_1 13 1 - 5.439094815467362 4.594600700881844 TGCCCCTTGAGAGT chr5 173389595 173389596 chr5:173389596:G:A rs2098675 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 173412580 173412581 chr5:173412581:G:A rs17075905 G A G EBF1_EBF_1 13 1 - 3.9849910037131804 4.829485118298699 GTTCCCGGGGGCAC chr5 173412603 173412604 chr5:173412604:C:T rs114802756 C T C EBF1_EBF_1 -10 0 - 0 0 . chr5 173434481 173434482 chr5:173434482:T:G rs12189075 T G T EBF1_EBF_1 -20 0 + 0 0 . chr5 173434634 173434635 chr5:173434635:T:C rs17678281 T C T EBF1_EBF_1 13 1 - 4.19132123358786 3.0769956615184206 AGCCCCGAGGGCCA chr5 173453038 173453039 chr5:173453039:C:T rs34487759 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 173477902 173477903 chr5:173477903:C:T rs574347174 C T C EBF1_EBF_1 31 0 - 0 0 . chr5 173477903 173477904 chr5:173477904:G:T rs60808545 G T G EBF1_EBF_1 30 0 - 0 0 . chr5 173477920 173477921 chr5:173477921:T:C rs1343968313 T C T EBF1_EBF_1 13 1 - 9.145080357776953 8.030754785707515 TTCCCCCAGGGACA chr5 173503676 173503677 chr5:173503677:G:T rs12518584 G T T EBF1_EBF_1 -3 0 - 0 0 . chr5 173528365 173528366 chr5:173528366:C:G rs56666786 C G C EBF1_EBF_1 17 0 - 0 0 . chr5 173554813 173554814 chr5:173554814:G:A rs62380164 G A G EBF1_EBF_1 0 1 - 5.952908548820208 6.235110609550786 CTACCCCAGGGACG chr5 173580832 173580833 chr5:173580833:T:C rs12152984 T C T EBF1_EBF_1 25 0 - 0 0 . chr5 173581920 173581921 chr5:173581921:C:G rs759316732 C G C EBF1_EBF_1 12 1 + 5.989892157351913 4.601256213673762 TCTCCCAGGGGCCT chr5 173590902 173590903 chr5:173590903:T:C rs144258503 T C T EBF1_EBF_1 16 0 + 0 0 . chr5 173598509 173598510 chr5:173598510:T:C rs258867 T C C EBF1_EBF_1 27 0 - 0 0 . chr5 173598555 173598556 chr5:173598556:T:C rs187486278 T C T EBF1_EBF_1 -19 0 - 0 0 . chr5 173628442 173628443 chr5:173628443:A:G rs186952634 A G A EBF1_EBF_1 -10 0 + 0 0 . chr5 173641521 173641522 chr5:173641522:G:A rs72824213 G A G EBF1_EBF_1 3 1 - 7.72317404205963 1.3177753005063113 GCCCCCTGGGGAAC chr5 173654434 173654435 chr5:173654435:T:C rs78420903 T C T EBF1_EBF_1 28 0 - 0 0 . chr5 173654456 173654457 chr5:173654457:T:C rs12518248 T C t EBF1_EBF_1 6 1 - 5.970881937829152 3.8040875065546773 ACTACCAAGGGAAC chr5 173676451 173676452 chr5:173676452:G:A rs72824219 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 173698401 173698402 chr5:173698402:C:T rs147108231 C T C EBF1_EBF_1 3 1 + 4.7729442684474455 -1.632454473105872 CCTCTCACGGGACT chr5 173702761 173702762 chr5:173702762:A:G rs56085567 A G A EBF1_EBF_1 6 1 + 5.31682331969302 3.150028888418545 ATCCCAATGGGACT chr5 173707522 173707523 chr5:173707523:C:T rs17076302 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 173713841 173713842 chr5:173713842:G:A rs8180476 G A G EBF1_EBF_1 17 0 - 0 0 . chr5 173720972 173720973 chr5:173720973:A:T rs7722016 A T A EBF1_EBF_1 11 1 + 4.9449980239935645 0.8470837678948393 CTTCCCTGGAGATG chr5 173733422 173733423 chr5:173733423:T:A rs59220695 T A T EBF1_EBF_1 11 1 - 6.088682452956436 1.9907681968577116 GCACCCCTGGGAAA chr5 173734725 173734726 chr5:173734726:C:A rs7702385 C A C EBF1_EBF_1 -5 0 - 0 0 . chr5 173735006 173735007 chr5:173735007:G:A rs7702996 G A G EBF1_EBF_1 -8 0 - 0 0 . chr5 173753692 173753693 chr5:173753693:G:A rs17076407 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 173773465 173773466 chr5:173773466:G:T rs2546760 G T G EBF1_EBF_1 11 1 - 6.910309334077052 11.32627103192944 ACCCCCATGGGCCT chr5 173776210 173776211 chr5:173776211:A:G rs2546758 A G A EBF1_EBF_1 -10 0 + 0 0 . chr5 173776218 173776219 chr5:173776219:T:C rs791354 T C c EBF1_EBF_1 -2 0 + 0 0 . chr5 173776733 173776734 chr5:173776734:C:T rs2561759 C T c EBF1_EBF_1 15 0 - 0 0 . chr5 173832485 173832486 chr5:173832486:T:C rs260870 T C T EBF1_EBF_1 -5 0 - 0 0 . chr5 173839589 173839590 chr5:173839590:G:A rs56239853 G A G EBF1_EBF_1 4 1 - 4.109433960475585 -0.2599529844693379 ATCACCCTGGGACC chr5 173856010 173856011 chr5:173856011:G:A rs7717813 G A g EBF1_EBF_1 3 1 - 7.33371912311831 0.9283203815649912 AATCCCAAGGGCTC chr5 173869288 173869289 chr5:173869289:G:T rs4868334 G T G EBF1_EBF_1 -11 0 + 0 0 . chr5 173878428 173878429 chr5:173878429:T:C rs359477 T C C EBF1_EBF_1 15 0 + 0 0 . chr5 173971522 173971523 chr5:173971523:T:C rs7728168 T C T EBF1_EBF_1 6 1 + 5.621659752127523 5.320373623265767 GTTCCCTTGGAACA chr5 173974206 173974207 chr5:173974207:G:C rs12522521 G C G EBF1_EBF_1 14 0 + 0 0 . chr5 173981695 173981696 chr5:173981696:C:T rs34320917 C T T EBF1_EBF_1 -16 0 - 0 0 . chr5 174005516 174005517 chr5:174005517:G:A rs72814732 G A G EBF1_EBF_1 16 0 + 0 0 . chr5 174066105 174066106 chr5:174066106:G:C rs141269766 G C G EBF1_EBF_1 -6 0 - 0 0 . chr5 174091310 174091311 chr5:174091311:A:G rs143410622 A G A EBF1_EBF_1 -10 0 - 0 0 . chr5 174112014 174112015 chr5:174112015:C:T rs72816705 C T C EBF1_EBF_1 10 1 - 5.399541302112287 0.08151284284532151 ATTCCCTCAGGAGA chr5 174148970 174148971 chr5:174148971:T:C rs144851579 T C T EBF1_EBF_1 31 0 + 0 0 . chr5 174165261 174165262 chr5:174165262:C:T rs139242521 C T C EBF1_EBF_1 -14 0 + 0 0 . chr5 174166616 174166617 chr5:174166617:G:A rs10071720 G A G EBF1_EBF_1 6 1 - 4.93339391780328 5.234680046665036 ACCCCCCGGGGGAG chr5 174166622 174166623 chr5:174166623:T:C rs10079500 T C C EBF1_EBF_1 0 1 - 4.93339391780328 3.041110048238446 ACCCCCCGGGGGAG chr5 174179204 174179205 chr5:174179205:C:A rs60120694 C A C EBF1_EBF_1 32 0 - 0 0 . chr5 174179216 174179217 chr5:174179217:G:A rs74850569 G A G EBF1_EBF_1 20 0 - 0 0 . chr5 174317021 174317022 chr5:174317022:A:G rs2936925 A G A EBF1_EBF_1 28 0 - 0 0 . chr5 174317337 174317338 chr5:174317338:C:T rs79990539 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 174322802 174322803 chr5:174322803:T:A rs2964090 T A A EBF1_EBF_1 -3 0 + 0 0 . chr5 174322809 174322810 chr5:174322810:G:A rs4868378 G A G EBF1_EBF_1 4 1 + 6.847364018438113 6.64856949613419 ACTCGCAAGGGACA chr5 174327766 174327767 chr5:174327767:A:C rs2936983 A C C EBF1_EBF_1 -14 0 + 0 0 . chr5 174328042 174328043 chr5:174328043:G:A rs2913475 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 174340504 174340505 chr5:174340505:A:C rs2913466 A C A EBF1_EBF_1 -4 0 + 0 0 . chr5 174374408 174374409 chr5:174374409:C:T rs723320 C T C EBF1_EBF_1 18 0 + 0 0 . chr5 174425860 174425861 chr5:174425861:G:A rs59777882 G A G EBF1_EBF_1 10 1 + 5.529303405638281 0.21127494637131505 GCTCCCAGGAGAAG chr5 174437034 174437035 chr5:174437035:T:C rs62394137 T C T EBF1_EBF_1 11 1 - 9.144938641588364 6.2522317979843525 CACCCCCAGGGAAT chr5 174506373 174506374 chr5:174506374:C:A rs6892671 C A C EBF1_EBF_1 -15 0 - 0 0 . chr5 174523066 174523067 chr5:174523067:A:G rs6878032 A G G EBF1_EBF_1 25 0 - 0 0 . chr5 174549391 174549392 chr5:174549392:C:T rs10516118 C T C EBF1_EBF_1 -14 0 + 0 0 . chr5 174551507 174551508 chr5:174551508:T:G rs11134854 T G G EBF1_EBF_1 32 0 - 0 0 . chr5 174552615 174552616 chr5:174552616:C:T rs73332520 C T T EBF1_EBF_1 -18 0 - 0 0 . chr5 174568310 174568311 chr5:174568311:C:T rs7715584 C T C EBF1_EBF_1 -6 0 - 0 0 . chr5 174609186 174609187 chr5:174609187:C:T rs12186684 C T C EBF1_EBF_1 3 1 - 5.676654933431501 4.598245352080911 ATTGCCCTGGGATA chr5 174622626 174622627 chr5:174622627:T:C rs192657536 T C T EBF1_EBF_1 6 1 - 5.928416177682243 3.7616217464077666 AGCCTCATGGGACA chr5 174642142 174642143 chr5:174642143:C:T rs6884065 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 174662368 174662369 chr5:174662369:G:T rs78415185 G T G EBF1_EBF_1 -11 0 - 0 0 . chr5 174670314 174670315 chr5:174670315:A:G rs76666576 A G A EBF1_EBF_1 0 1 + 5.80674164249184 3.9144577729270056 ACCCCCCAGGGCCA chr5 174694588 174694589 chr5:174694589:C:T rs1567208 C T C EBF1_EBF_1 6 1 + 7.725159745194949 8.026445874056707 AGTCACCAGGGAAT chr5 174694615 174694616 chr5:174694616:C:T rs1567209 C T C EBF1_EBF_1 33 0 + 0 0 . chr5 174709975 174709976 chr5:174709976:A:G rs6420081 A G G EBF1_EBF_1 -17 0 - 0 0 . chr5 174721402 174721403 chr5:174721403:C:T rs193282217 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 174721409 174721410 chr5:174721410:G:A rs183809619 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 174726033 174726034 chr5:174726034:A:G rs4868442 A G A EBF1_EBF_1 20 0 + 0 0 . chr5 174744390 174744391 chr5:174744391:G:C rs13157633 G C C EBF1_EBF_1 22 0 + 0 0 . chr5 174802488 174802489 chr5:174802489:G:T rs78464532 G T G EBF1_EBF_1 22 0 + 0 0 . chr5 174844196 174844197 chr5:174844197:G:T rs75805008 G T G EBF1_EBF_1 18 0 - 0 0 . chr5 174844986 174844987 chr5:174844987:A:G rs6865536 A G G EBF1_EBF_1 -8 0 - 0 0 . chr5 175066126 175066127 chr5:175066127:G:T rs6893965 G T T EBF1_EBF_1 4 1 + 5.407996951880969 6.66437510808559 CATCGCCAGGGAAT chr5 175116674 175116675 chr5:175116675:A:G rs6871049 A G a EBF1_EBF_1 14 0 + 0 0 . chr5 175120694 175120695 chr5:175120695:C:G rs2546904 C G C EBF1_EBF_1 -6 0 - 0 0 . chr5 175202570 175202571 chr5:175202571:T:A rs6556192 T A T EBF1_EBF_1 25 0 - 0 0 . chr5 175215828 175215829 chr5:175215829:A:G rs34518094 A G A EBF1_EBF_1 27 0 + 0 0 . chr5 175290701 175290702 chr5:175290702:G:A rs11134918 G A G EBF1_EBF_1 25 0 - 0 0 . chr5 175306657 175306658 chr5:175306658:T:C rs12656229 T C T EBF1_EBF_1 -14 0 + 0 0 . chr5 175344727 175344728 chr5:175344728:T:G chr5:175344728:T:G T G T EBF1_EBF_1 33 0 + 0 0 . chr5 175438517 175438518 chr5:175438518:A:C rs4867796 A C A EBF1_EBF_1 -14 0 + 0 0 . chr5 175443898 175443899 chr5:175443899:A:G rs265981 A G G EBF1_EBF_1 -8 0 - 0 0 . chr5 175457448 175457449 chr5:175457449:A:G rs141169879 A G A EBF1_EBF_1 29 0 + 0 0 . chr5 175478911 175478912 chr5:175478912:T:C rs114863538 T C T EBF1_EBF_1 23 0 + 0 0 . chr5 175484541 175484542 chr5:175484542:C:T rs35476585 C T C EBF1_EBF_1 32 0 - 0 0 . chr5 175494427 175494428 chr5:175494428:A:G rs7718943 A G G EBF1_EBF_1 -14 0 - 0 0 . chr5 175547798 175547799 chr5:175547799:C:G rs183202296 C G C EBF1_EBF_1 6 1 + 5.263269122909378 3.4580770594361807 CCACCCCGGGGAAC chr5 175576928 175576929 chr5:175576929:C:T rs137857628 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 175591131 175591132 chr5:175591132:G:A rs1472606 G A A EBF1_EBF_1 33 0 - 0 0 . chr5 175623238 175623239 chr5:175623239:G:A rs2936710 G A A EBF1_EBF_1 19 0 - 0 0 . chr5 175627004 175627005 chr5:175627005:G:A rs1428937 G A G EBF1_EBF_1 0 1 + 6.182724447904502 8.075008317469337 GGTCCCCAAGGACA chr5 175636497 175636498 chr5:175636498:A:G rs2913226 A G A EBF1_EBF_1 22 0 + 0 0 . chr5 175639185 175639186 chr5:175639186:A:G rs1124354 A G G EBF1_EBF_1 14 0 + 0 0 . chr5 175648299 175648300 chr5:175648300:A:G rs629669 A G G EBF1_EBF_1 19 0 + 0 0 . chr5 175659846 175659847 chr5:175659847:T:C rs146329087 T C T EBF1_EBF_1 -4 0 + 0 0 . chr5 175663383 175663384 chr5:175663384:G:A rs138323118 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 175664108 175664109 chr5:175664109:G:A rs56693056 G A G EBF1_EBF_1 27 0 + 0 0 . chr5 175678063 175678064 chr5:175678064:T:C rs11954815 T C C EBF1_EBF_1 -5 0 - 0 0 . chr5 175686849 175686850 chr5:175686850:C:T rs114515506 C T C EBF1_EBF_1 19 0 + 0 0 . chr5 175692698 175692699 chr5:175692699:G:A rs617414 G A G EBF1_EBF_1 6 1 - 4.428400640989706 4.729686769851463 ACCCCCCTGGAACA chr5 175708189 175708190 chr5:175708190:C:T rs74597319 C T C EBF1_EBF_1 -11 0 + 0 0 . chr5 175742421 175742422 chr5:175742422:C:T rs13160430 C T T EBF1_EBF_1 -3 0 + 0 0 . chr5 175807472 175807473 chr5:175807473:G:A rs11134931 G A G EBF1_EBF_1 13 1 - 4.714632728412442 5.55912684299796 TCTGCCCAGGGAAC chr5 175807776 175807777 chr5:175807777:G:A rs12519956 G A G EBF1_EBF_1 -12 0 - 0 0 . chr5 175830209 175830210 chr5:175830210:C:G rs883019 C G C EBF1_EBF_1 24 0 - 0 0 . chr5 175830226 175830227 chr5:175830227:C:T rs144476448 C T C EBF1_EBF_1 7 1 - 4.657713048153537 5.970171453442362 CTCCCCCGGGGGCA chr5 175837271 175837272 chr5:175837272:C:G rs12188152 C G C EBF1_EBF_1 22 0 + 0 0 . chr5 175872882 175872883 chr5:175872883:T:C rs62387138 T C T EBF1_EBF_1 33 0 - 0 0 . chr5 176040483 176040484 chr5:176040484:G:T rs888731 G T g EBF1_EBF_1 -12 0 - 0 0 . chr5 176044361 176044362 chr5:176044362:C:T rs1459263424 C T c EBF1_EBF_1 -9 0 - 0 0 . chr5 176044362 176044363 chr5:176044363:G:A rs868721523 G A g EBF1_EBF_1 -10 0 - 0 0 . chr5 176361582 176361583 chr5:176361583:A:G rs577901506 A G A EBF1_EBF_1 18 0 + 0 0 . chr5 176366326 176366327 chr5:176366327:G:T rs887361255 G T G EBF1_EBF_1 10 1 + 8.789390249401427 4.606638063174162 CTTCCCTCGGGAAA chr5 176366327 176366328 chr5:176366328:A:C rs115269286 A C A EBF1_EBF_1 11 1 + 8.789390249401427 4.373428551549037 CTTCCCTCGGGAAA chr5 176394321 176394322 chr5:176394322:G:A rs190066474 G A G EBF1_EBF_1 21 0 + 0 0 . chr5 176401777 176401778 chr5:176401778:G:A rs561180425 G A G EBF1_EBF_1 7 1 - 4.5724638355355705 6.389968581818194 GCACCCTCGGGAAA chr5 176412307 176412308 chr5:176412308:C:T rs78973908 C T . EBF1_EBF_1 32 0 + 0 0 . chr5 176416133 176416134 chr5:176416134:G:A rs111288271 G A . EBF1_EBF_1 4 1 - 3.79087027514662 -0.578516669798302 GCACCCGGGGGACC chr5 176416138 176416139 chr5:176416139:G:A rs79333895 G A . EBF1_EBF_1 -1 0 - 0 0 . chr5 176442355 176442356 chr5:176442356:C:T rs2913732 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 176514972 176514973 chr5:176514973:C:A rs74379835 C A C EBF1_EBF_1 21 0 - 0 0 . chr5 176536068 176536069 chr5:176536069:T:C rs184604370 T C T EBF1_EBF_1 15 0 - 0 0 . chr5 176536243 176536244 chr5:176536244:G:A rs142388826 G A G EBF1_EBF_1 10 1 + 5.949568921845304 0.6315404625783378 ACTCCCTAGTGACC chr5 176536266 176536267 chr5:176536267:T:C chr5:176536267:T:C T C T EBF1_EBF_1 33 0 + 0 0 . chr5 176536854 176536855 chr5:176536855:G:C rs770545193 G C G EBF1_EBF_1 26 0 - 0 0 . chr5 176550524 176550525 chr5:176550525:C:T rs75844087 C T C EBF1_EBF_1 32 0 + 0 0 . chr5 176553879 176553880 chr5:176553880:C:A rs12522196 C A C EBF1_EBF_1 32 0 + 0 0 . chr5 176579568 176579569 chr5:176579569:G:A rs17078336 G A A EBF1_EBF_1 3 1 - 4.139587786677214 -2.2658109548761036 GCTCCCTGGGGCTC chr5 176590547 176590548 chr5:176590548:C:T rs4868662 C T C EBF1_EBF_1 -16 0 + 0 0 . chr5 176599792 176599793 chr5:176599793:T:G rs200984378 T G T EBF1_EBF_1 -10 0 - 0 0 . chr5 176630661 176630662 chr5:176630662:C:A rs550486374 C A C EBF1_EBF_1 18 0 + 0 0 . chr5 176640165 176640166 chr5:176640166:A:C chr5:176640166:A:C A C A EBF1_EBF_1 -13 0 - 0 0 . chr5 176644150 176644151 chr5:176644151:T:C rs12521336 T C C EBF1_EBF_1 -6 0 + 0 0 . chr5 176658468 176658469 chr5:176658469:A:G rs901744 A G A EBF1_EBF_1 -12 0 - 0 0 . chr5 176661047 176661048 chr5:176661048:T:C rs1009758588 T C T EBF1_EBF_1 -7 0 + 0 0 . chr5 176661048 176661049 chr5:176661049:T:C rs902214255 T C T EBF1_EBF_1 -6 0 + 0 0 . chr5 176664768 176664769 chr5:176664769:G:A rs58628020 G A G EBF1_EBF_1 4 1 - 4.763089401650902 0.3937024567059783 CTCCCCCAGGAACT chr5 176664790 176664791 chr5:176664791:C:T rs59133063 C T C EBF1_EBF_1 -18 0 - 0 0 . chr5 176676488 176676489 chr5:176676489:C:T rs148293109 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 176704973 176704974 chr5:176704974:G:A rs11950834 G A G EBF1_EBF_1 17 0 - 0 0 . chr5 176705008 176705009 chr5:176705009:C:T rs9654466 C T T EBF1_EBF_1 -18 0 - 0 0 . chr5 176705245 176705246 chr5:176705246:G:C rs72811213 G C G EBF1_EBF_1 24 0 + 0 0 . chr5 176714325 176714326 chr5:176714326:G:C rs116453719 G C C EBF1_EBF_1 19 0 + 0 0 . chr5 176726823 176726824 chr5:176726824:G:T rs983521974 G T G EBF1_EBF_1 30 0 - 0 0 . chr5 176726856 176726857 chr5:176726857:G:A rs112284311 G A G EBF1_EBF_1 -3 0 - 0 0 . chr5 176744014 176744015 chr5:176744015:C:T rs116593975 C T C EBF1_EBF_1 23 0 - 0 0 . chr5 176748428 176748429 chr5:176748429:A:G rs142184385 A G A EBF1_EBF_1 6 1 + 4.643613776255332 2.476819344980856 ACTCCCAGGGCACC chr5 176771642 176771643 chr5:176771643:G:A rs517726 G A T EBF1_EBF_1 -1 0 - 0 0 . chr5 176785288 176785289 chr5:176785289:G:A rs2940527 G A G EBF1_EBF_1 26 0 + 0 0 . chr5 176789927 176789928 chr5:176789928:A:G rs7380483 A G G EBF1_EBF_1 12 1 + 6.400440144768359 4.981622802668881 AGCCCCCAGGGCAC chr5 176815323 176815324 chr5:176815324:C:T rs2940520 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 176815341 176815342 chr5:176815342:G:C rs145772594 G C G EBF1_EBF_1 7 1 - 4.938169549939039 5.919490131020394 ACTCCCACAGGAGC chr5 176818151 176818152 chr5:176818152:G:T rs906579140 G T G EBF1_EBF_1 23 0 + 0 0 . chr5 176837595 176837596 chr5:176837596:G:C rs113791735 G C G EBF1_EBF_1 21 0 - 0 0 . chr5 176852702 176852703 chr5:176852703:C:T rs142304208 C T C EBF1_EBF_1 -10 0 + 0 0 . chr5 176867165 176867166 chr5:176867166:G:A rs114584893 G A G EBF1_EBF_1 7 1 - 4.943496655611953 6.761001401894576 TTTCCCTCGGGTCA chr5 176887871 176887872 chr5:176887872:C:T rs691693 C T C EBF1_EBF_1 11 1 + 5.363056288308173 5.681103730061838 GACCCCTAGGGCCT chr5 177065555 177065556 chr5:177065556:G:A rs251848 G A A EBF1_EBF_1 25 0 - 0 0 . chr5 177079729 177079730 chr5:177079730:C:T rs6896535 C T T EBF1_EBF_1 -2 0 + 0 0 . chr5 177104073 177104074 chr5:177104074:G:A rs2112535 G A G EBF1_EBF_1 25 0 + 0 0 . chr5 177112677 177112678 chr5:177112678:G:A rs244731 G A G EBF1_EBF_1 24 0 - 0 0 . chr5 177128634 177128635 chr5:177128635:A:T rs244707 A T A EBF1_EBF_1 27 0 - 0 0 . chr5 177131927 177131928 chr5:177131928:T:C rs552332639 T C T EBF1_EBF_1 -8 0 - 0 0 . chr5 177133488 177133489 chr5:177133489:T:G rs551083631 T G T EBF1_EBF_1 -6 0 - 0 0 . chr5 177254646 177254647 chr5:177254647:G:C rs116067157 G C G EBF1_EBF_1 -15 0 + 0 0 . chr5 177303676 177303677 chr5:177303677:C:G rs9313754 C G C EBF1_EBF_1 33 0 - 0 0 . chr5 177303707 177303708 chr5:177303708:A:G rs540113150 A G A EBF1_EBF_1 2 1 - 5.5869008384397425 3.6980774269975916 CCTCCCGCGGGAGT chr5 177328733 177328734 chr5:177328734:G:A rs111866398 G A G EBF1_EBF_1 -16 0 + 0 0 . chr5 177333225 177333226 chr5:177333226:A:C rs4976643 A C C EBF1_EBF_1 -11 0 + 0 0 . chr5 177334533 177334534 chr5:177334534:A:G rs11738681 A G G EBF1_EBF_1 -10 0 - 0 0 . chr5 177351414 177351415 chr5:177351415:C:T rs184868349 C T C EBF1_EBF_1 -20 0 + 0 0 . chr5 177351420 177351421 chr5:177351421:T:G rs376981559 T G T EBF1_EBF_1 -14 0 + 0 0 . chr5 177386402 177386403 chr5:177386403:C:T rs3812036 C T C EBF1_EBF_1 -11 0 + 0 0 . chr5 177404978 177404979 chr5:177404979:T:C rs17876029 T C C EBF1_EBF_1 23 0 + 0 0 . chr5 177425184 177425185 chr5:177425185:T:G rs2545799 T G T EBF1_EBF_1 -9 0 + 0 0 . chr5 177431047 177431048 chr5:177431048:C:T rs867755 C T c EBF1_EBF_1 20 0 + 0 0 . chr5 177438427 177438428 chr5:177438428:G:T rs533288759 G T G EBF1_EBF_1 28 0 - 0 0 . chr5 177447741 177447742 chr5:177447742:C:T rs409904 C T C EBF1_EBF_1 22 0 - 0 0 . chr5 177471609 177471610 chr5:177471610:C:A rs191361335 C A C EBF1_EBF_1 -8 0 - 0 0 . chr5 177471617 177471618 chr5:177471618:T:C rs335420 T C T EBF1_EBF_1 -16 0 - 0 0 . chr5 177484630 177484631 chr5:177484631:A:G rs148607261 A G A EBF1_EBF_1 -3 0 - 0 0 . chr5 177495423 177495424 chr5:177495424:T:C rs335429 T C C EBF1_EBF_1 6 1 - 6.519827123587073 4.353032692312598 TGCCCCAAGAGACC chr5 177498525 177498526 chr5:177498526:C:T rs765009990 C T C EBF1_EBF_1 29 0 - 0 0 . chr5 177501206 177501207 chr5:177501207:A:T rs144580238 A T A EBF1_EBF_1 27 0 - 0 0 . chr5 177501219 177501220 chr5:177501220:C:T rs1002548973 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 177510114 177510115 chr5:177510115:C:G rs337399 C G G EBF1_EBF_1 28 0 + 0 0 . chr5 177510412 177510413 chr5:177510413:C:T rs2878525 C T C EBF1_EBF_1 30 0 - 0 0 . chr5 177521169 177521170 chr5:177521170:G:A rs146304360 G A G EBF1_EBF_1 10 1 + 6.643774395790697 1.3257459365237305 TGACCCCAGGGACA chr5 177523821 177523822 chr5:177523822:G:C rs28629788 G C G EBF1_EBF_1 -13 0 + 0 0 . chr5 177526016 177526017 chr5:177526017:G:A rs335445 G A G EBF1_EBF_1 28 0 + 0 0 . chr5 177530124 177530125 chr5:177530125:T:C rs1477296 T C T EBF1_EBF_1 -6 0 + 0 0 . chr5 177531395 177531396 chr5:177531396:G:A rs2306761 G A G EBF1_EBF_1 -2 0 + 0 0 . chr5 177537914 177537915 chr5:177537915:C:A rs377666621 C A C EBF1_EBF_1 26 0 + 0 0 . chr5 177539621 177539622 chr5:177539622:T:C rs73336014 T C T EBF1_EBF_1 -7 0 + 0 0 . chr5 177553902 177553903 chr5:177553903:G:A rs62398471 G A G EBF1_EBF_1 13 1 - 3.5202730331531837 4.364767147738703 CCTCCCCCGGGCCC chr5 177554079 177554080 chr5:177554080:T:C rs1320079822 T C T EBF1_EBF_1 28 0 - 0 0 . chr5 177554141 177554142 chr5:177554142:A:C rs546882244 A C a EBF1_EBF_1 -7 0 - 0 0 . chr5 177561577 177561578 chr5:177561578:C:T rs143658086 C T c EBF1_EBF_1 7 1 - 4.92566202473127 6.238120430020095 CTCCCCCGGAGAAA chr5 177598593 177598594 chr5:177598594:G:T rs28595585 G T . EBF1_EBF_1 32 0 - 0 0 . chr5 177598618 177598619 chr5:177598619:T:C rs60992195 T C . EBF1_EBF_1 7 1 - 4.850843446901286 3.538385041612461 CTCCCCCAGGGCAC chr5 177783124 177783125 chr5:177783125:G:C rs1310355857 G C g EBF1_EBF_1 -16 0 - 0 0 . chr5 177889725 177889726 chr5:177889726:A:C rs140887650 A C A EBF1_EBF_1 -10 0 - 0 0 . chr5 177945825 177945826 chr5:177945826:C:T rs117669174 C T . EBF1_EBF_1 -5 0 - 0 0 . chr5 177945826 177945827 chr5:177945827:G:A rs73807305 G A . EBF1_EBF_1 -6 0 - 0 0 . chr5 177945850 177945851 chr5:177945851:T:C rs6889929 T C . EBF1_EBF_1 21 0 - 0 0 . chr5 177949621 177949622 chr5:177949622:A:G rs150504166 A G . EBF1_EBF_1 12 1 + 4.5075304499648015 3.0887131078653236 CGTGCCATGGGAAC chr5 177949637 177949638 chr5:177949638:T:G rs62397830 T G . EBF1_EBF_1 28 0 + 0 0 . chr5 177958430 177958431 chr5:177958431:T:C rs78474103 T C . EBF1_EBF_1 7 1 + 6.733532632682844 4.9160278864002205 GCACCCTTGGGACC chr5 177963046 177963047 chr5:177963047:G:A rs10428566 G A . EBF1_EBF_1 -6 0 + 0 0 . chr5 177964014 177964015 chr5:177964015:T:C rs4416619 T C . EBF1_EBF_1 -18 0 + 0 0 . chr5 177964047 177964048 chr5:177964048:T:C rs4292462 T C . EBF1_EBF_1 15 0 + 0 0 . chr5 177978073 177978074 chr5:177978074:G:A rs12515422 G A . EBF1_EBF_1 -3 0 + 0 0 . chr5 177978143 177978144 chr5:177978144:A:T rs10065231 A T . EBF1_EBF_1 -17 0 + 0 0 . chr5 177982137 177982138 chr5:177982138:G:T rs6877639 G T . EBF1_EBF_1 -19 0 + 0 0 . chr5 177982226 177982227 chr5:177982227:A:C rs12521425 A C . EBF1_EBF_1 18 0 + 0 0 . chr5 177995389 177995390 chr5:177995390:C:T rs73346254 C T . EBF1_EBF_1 -9 0 + 0 0 . chr5 178047459 178047460 chr5:178047460:G:C rs200281783 G C . EBF1_EBF_1 -11 0 - 0 0 . chr5 178048355 178048356 chr5:178048356:C:T rs575042275 C T . EBF1_EBF_1 -20 0 + 0 0 . chr5 178067157 178067158 chr5:178067158:A:G rs7443165 A G . EBF1_EBF_1 -19 0 + 0 0 . chr5 178080165 178080166 chr5:178080166:T:C rs4501359 T C . EBF1_EBF_1 20 0 - 0 0 . chr5 178080168 178080169 chr5:178080169:G:T rs114654244 G T . EBF1_EBF_1 17 0 - 0 0 . chr5 178091035 178091036 chr5:178091036:C:G rs80126809 C G . EBF1_EBF_1 5 1 + 5.683971313565905 -1.220909839069263 GCCCCCAAAGGACT chr5 178097892 178097893 chr5:178097893:A:G rs13162854 A G . EBF1_EBF_1 -13 0 + 0 0 . chr5 178130813 178130814 chr5:178130814:G:A rs1156571360 G A G EBF1_EBF_1 -6 0 + 0 0 . chr5 178137612 178137613 chr5:178137613:T:C rs79909647 T C T EBF1_EBF_1 13 1 + 8.667889329227597 7.823395214642079 AATCCCTTGGGCCT chr5 178161952 178161953 chr5:178161953:A:T rs35598853 A T . EBF1_EBF_1 23 0 + 0 0 . chr5 178223988 178223989 chr5:178223989:C:T rs73804742 C T C EBF1_EBF_1 -18 0 + 0 0 . chr5 178224038 178224039 chr5:178224039:C:T rs6887384 C T T EBF1_EBF_1 32 0 + 0 0 . chr5 178240680 178240681 chr5:178240681:T:C rs13359656 T C T EBF1_EBF_1 28 0 - 0 0 . chr5 178252726 178252727 chr5:178252727:T:C rs12652833 T C T EBF1_EBF_1 0 1 - 8.448753766755312 6.556469897190478 AGTCCCCAAGGACC chr5 178254649 178254650 chr5:178254650:C:T rs4976753 C T T EBF1_EBF_1 15 0 + 0 0 . chr5 178280447 178280448 chr5:178280448:T:C rs181923865 T C T EBF1_EBF_1 -8 0 + 0 0 . chr5 178286787 178286788 chr5:178286788:C:T rs571918758 C T C EBF1_EBF_1 7 1 + 4.669782438115587 6.487287184398209 CCTCCCCCGGGGCA chr5 178306516 178306517 chr5:178306517:C:T rs2913764 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 178306557 178306558 chr5:178306558:C:T rs372884253 C T C EBF1_EBF_1 -13 0 - 0 0 . chr5 178312174 178312175 chr5:178312175:C:T rs113531779 C T C EBF1_EBF_1 8 1 - 7.145057810187104 2.918767721300842 CTTCCCACGGGGCT chr5 178312194 178312195 chr5:178312195:C:T rs2973706 C T T EBF1_EBF_1 -12 0 - 0 0 . chr5 178312626 178312627 chr5:178312627:C:T rs2913774 C T T EBF1_EBF_1 31 0 + 0 0 . chr5 178312774 178312775 chr5:178312775:A:G rs2913775 A G G EBF1_EBF_1 -15 0 + 0 0 . chr5 178320689 178320690 chr5:178320690:T:C rs17081072 T C T EBF1_EBF_1 22 0 + 0 0 . chr5 178322780 178322781 chr5:178322781:G:A rs72820931 G A G EBF1_EBF_1 30 0 - 0 0 . chr5 178322801 178322802 chr5:178322802:C:G rs4976759 C G C EBF1_EBF_1 9 1 - 6.463848117270584 1.3881589709251199 GAACCCCAGGGACA chr5 178323349 178323350 chr5:178323350:C:G rs62389360 C G C EBF1_EBF_1 -9 0 + 0 0 . chr5 178335423 178335424 chr5:178335424:C:T rs2973675 C T c EBF1_EBF_1 27 0 + 0 0 . chr5 178337091 178337092 chr5:178337092:G:A rs56082230 G A N EBF1_EBF_1 -2 0 - 0 0 . chr5 178337093 178337094 chr5:178337094:G:T rs182528321 G T g EBF1_EBF_1 -4 0 - 0 0 . chr5 178338360 178338361 chr5:178338361:A:G rs79013498 A G N EBF1_EBF_1 -3 0 + 0 0 . chr5 178338364 178338365 chr5:178338365:A:T rs75911443 A T N EBF1_EBF_1 1 1 + 5.292273884233826 6.258634501984656 AAGCCCCAGGGACA chr5 178338392 178338393 chr5:178338393:A:G rs73346822 A G N EBF1_EBF_1 29 0 + 0 0 . chr5 178346975 178346976 chr5:178346976:T:C rs17580218 T C T EBF1_EBF_1 -17 0 + 0 0 . chr5 178350111 178350112 chr5:178350112:C:G rs6862908 C G G EBF1_EBF_1 32 0 + 0 0 . chr5 178351036 178351037 chr5:178351037:C:T rs6601233 C T C EBF1_EBF_1 -18 0 - 0 0 . chr5 178351423 178351424 chr5:178351424:C:G rs62389376 C G C EBF1_EBF_1 -14 0 + 0 0 . chr5 178371810 178371811 chr5:178371811:G:A rs2973717 G A a EBF1_EBF_1 -6 0 - 0 0 . chr5 178376038 178376039 chr5:178376039:C:T rs13182062 C T C EBF1_EBF_1 -12 0 + 0 0 . chr5 178394723 178394724 chr5:178394724:C:T rs13435983 C T C EBF1_EBF_1 -17 0 + 0 0 . chr5 178399332 178399333 chr5:178399333:A:G rs73349095 A G A EBF1_EBF_1 -12 0 - 0 0 . chr5 178407132 178407133 chr5:178407133:A:C rs62389402 A C A EBF1_EBF_1 7 1 - 7.073739145027576 6.237554979826308 CTCCCCATGGGGCT chr5 178416830 178416831 chr5:178416831:C:G rs7714075 C G G EBF1_EBF_1 26 0 + 0 0 . chr5 178422462 178422463 chr5:178422463:C:T rs73336648 C T C EBF1_EBF_1 -6 0 - 0 0 . chr5 178434941 178434942 chr5:178434942:A:G rs73336668 A G A EBF1_EBF_1 -8 0 + 0 0 . chr5 178461012 178461013 chr5:178461013:C:A rs477842 C A C EBF1_EBF_1 28 0 + 0 0 . chr5 178469313 178469314 chr5:178469314:C:T rs73338662 C T C EBF1_EBF_1 5 1 + 6.8731619415326675 1.5513747259919977 TTACCCCTGGGACA chr5 178469533 178469534 chr5:178469534:C:T rs73338663 C T C EBF1_EBF_1 33 0 - 0 0 . chr5 178469595 178469596 chr5:178469596:T:C rs2672837 T C t EBF1_EBF_1 -1 0 - 0 0 . chr5 178469606 178469607 chr5:178469607:G:A rs2672836 G A G EBF1_EBF_1 -12 0 - 0 0 . chr5 178469607 178469608 chr5:178469608:G:A rs2672835 G A A EBF1_EBF_1 -13 0 - 0 0 . chr5 178469650 178469651 chr5:178469651:C:T rs67085711 C T C EBF1_EBF_1 19 0 - 0 0 . chr5 178469678 178469679 chr5:178469679:T:C rs2672834 T C C EBF1_EBF_1 -9 0 - 0 0 . chr5 178470869 178470870 chr5:178470870:G:C rs2672832 G C G EBF1_EBF_1 -8 0 + 0 0 . chr5 178477729 178477730 chr5:178477730:C:A rs6875013 C A C EBF1_EBF_1 -6 0 + 0 0 . chr5 178478382 178478383 chr5:178478383:T:G rs603852 T G T EBF1_EBF_1 26 0 + 0 0 . chr5 178506476 178506477 chr5:178506477:C:T rs34145491 C T C EBF1_EBF_1 3 1 + 5.141756669154914 -1.2636420723984032 ATCCCCCTGGGCAG chr5 178517351 178517352 chr5:178517352:T:C rs79322235 T C T EBF1_EBF_1 -6 0 + 0 0 . chr5 178532283 178532284 chr5:178532284:G:A rs524798 G A G EBF1_EBF_1 16 0 - 0 0 . chr5 178541913 178541914 chr5:178541914:G:T rs4976790 G T T EBF1_EBF_1 6 1 - 6.316207133244486 6.677809501045763 TGTCCCCAGGGCAC chr5 178577386 178577387 chr5:178577387:G:A rs140338215 G A G EBF1_EBF_1 10 1 + 4.56081593494427 -0.7572125243226954 ATCCCCAAGGGCGG chr5 178584818 178584819 chr5:178584819:C:T rs6600941 C T C EBF1_EBF_1 17 0 - 0 0 . chr5 178587385 178587386 chr5:178587386:G:A rs78426958 G A G EBF1_EBF_1 -16 0 + 0 0 . chr5 178589734 178589735 chr5:178589735:T:A rs112115122 T A A EBF1_EBF_1 23 0 + 0 0 . chr5 178592206 178592207 chr5:178592207:C:A rs721142 C A C EBF1_EBF_1 10 1 - 6.36424094525669 2.1814887590294267 GTTCCCCAGGGTTC chr5 178622442 178622443 chr5:178622443:C:G rs34183525 C G C EBF1_EBF_1 -1 0 + 0 0 . chr5 178627375 178627376 chr5:178627376:G:A rs1282067951 G A G EBF1_EBF_1 29 0 + 0 0 . chr5 178628636 178628637 chr5:178628637:A:G rs11747742 A G A EBF1_EBF_1 -12 0 - 0 0 . chr5 178640773 178640774 chr5:178640774:T:C rs73353836 T C T EBF1_EBF_1 -6 0 - 0 0 . chr5 178642568 178642569 chr5:178642569:T:C rs542965701 T C T EBF1_EBF_1 -9 0 + 0 0 . chr5 178670826 178670827 chr5:178670827:A:G rs10058458 A G G EBF1_EBF_1 33 0 + 0 0 . chr5 178678191 178678192 chr5:178678192:A:G rs72810682 A G A EBF1_EBF_1 -13 0 + 0 0 . chr5 178685807 178685808 chr5:178685808:T:C rs187901435 T C T EBF1_EBF_1 24 0 - 0 0 . chr5 178706802 178706803 chr5:178706803:A:G rs73316873 A G A EBF1_EBF_1 13 1 - 6.018269638199567 5.173775523614049 ATTCCCAAGGTCAT chr5 178777457 178777458 chr5:178777458:G:A rs4074670 G A . EBF1_EBF_1 13 1 - 8.386274394857796 9.230768509443315 ATTCCCAGGAGAGC chr5 178779065 178779066 chr5:178779066:C:T rs149126179 C T . EBF1_EBF_1 -7 0 - 0 0 . chr5 178791255 178791256 chr5:178791256:G:A rs6600956 G A g EBF1_EBF_1 -2 0 - 0 0 . chr5 178797146 178797147 chr5:178797147:G:C rs6894928 G C g EBF1_EBF_1 28 0 - 0 0 . chr5 178797234 178797235 chr5:178797235:G:A rs6895086 G A g EBF1_EBF_1 19 0 - 0 0 . chr5 178797380 178797381 chr5:178797381:T:C rs6881187 T C t EBF1_EBF_1 -16 0 + 0 0 . chr5 178797409 178797410 chr5:178797410:A:G rs11737921 A G g EBF1_EBF_1 13 1 + 7.46039013344274 6.346064561373301 GTACCCCAGGGAAA chr5 178798355 178798356 chr5:178798356:G:A rs13184885 G A g EBF1_EBF_1 3 1 - 6.352557084606736 -0.05284165694658216 TGTCCCTGGGTACT chr5 178798574 178798575 chr5:178798575:G:T rs13185217 G T g EBF1_EBF_1 -3 0 + 0 0 . chr5 178798602 178798603 chr5:178798603:A:G rs35320769 A G g EBF1_EBF_1 25 0 + 0 0 . chr5 178801340 178801341 chr5:178801341:G:A rs34615592 G A - EBF1_EBF_1 25 0 - 0 0 . chr5 178841304 178841305 chr5:178841305:T:C rs13174784 T C a EBF1_EBF_1 30 0 - 0 0 . chr5 178981053 178981054 chr5:178981054:C:T rs10464050 C T c EBF1_EBF_1 0 1 - 5.752781326366155 7.6450651959309885 GCCCCCATGAGATT chr5 179060333 179060334 chr5:179060334:G:A rs111274433 G A G EBF1_EBF_1 0 1 - 8.686520098931535 8.968722159662114 CTTCCCAGGGGACG chr5 179096949 179096950 chr5:179096950:G:A rs716782 G A G EBF1_EBF_1 22 0 - 0 0 . chr5 179122933 179122934 chr5:179122934:G:A chr5:179122934:G:A G A G EBF1_EBF_1 25 0 - 0 0 . chr5 179130290 179130291 chr5:179130291:C:T rs2303645 C T C EBF1_EBF_1 7 1 + 8.600495473999096 10.41800022028172 GCTCCCTCGGGACC chr5 179134265 179134266 chr5:179134266:G:C rs3776811 G C C EBF1_EBF_1 3 1 - 6.3432568487511 0.5154924006358828 ATACCCCTGGGAGG chr5 179148382 179148383 chr5:179148383:G:A rs10065978 G A G EBF1_EBF_1 14 0 + 0 0 . chr5 179148915 179148916 chr5:179148916:C:T rs3797595 C T T EBF1_EBF_1 32 0 - 0 0 . chr5 179150265 179150266 chr5:179150266:G:C rs2411907 G C C EBF1_EBF_1 -4 0 + 0 0 . chr5 179165035 179165036 chr5:179165036:T:C rs142692720 T C T EBF1_EBF_1 25 0 - 0 0 . chr5 179168336 179168337 chr5:179168337:C:G rs10071352 C G C EBF1_EBF_1 25 0 + 0 0 . chr5 179171611 179171612 chr5:179171612:C:T rs1000873671 C T - EBF1_EBF_1 -2 0 + 0 0 . chr5 179191157 179191158 chr5:179191158:G:A rs7732747 G A G EBF1_EBF_1 8 1 + 6.7068915168601055 2.480601427973844 GTCCCCCAGGGGCC chr5 179191166 179191167 chr5:179191167:C:T rs34478977 C T C EBF1_EBF_1 17 0 + 0 0 . chr5 179191705 179191706 chr5:179191706:C:A rs6895999 C A C EBF1_EBF_1 32 0 - 0 0 . chr5 179191725 179191726 chr5:179191726:G:A rs6894805 G A G EBF1_EBF_1 12 1 - 6.369629275853252 5.343362705302402 GAACCCCGGGGACT chr5 179192772 179192773 chr5:179192773:G:A rs10074081 G A G EBF1_EBF_1 23 0 + 0 0 . chr5 179197842 179197843 chr5:179197843:C:T rs28409173 C T C EBF1_EBF_1 -13 0 - 0 0 . chr5 179198210 179198211 chr5:179198211:G:A rs112623884 G A G EBF1_EBF_1 -8 0 - 0 0 . chr5 179200706 179200707 chr5:179200707:A:C rs3797610 A C A EBF1_EBF_1 -7 0 + 0 0 . chr5 179208518 179208519 chr5:179208519:C:G rs928677099 C G C EBF1_EBF_1 -12 0 - 0 0 . chr5 179218740 179218741 chr5:179218741:C:T rs389558 C T T EBF1_EBF_1 7 1 + 8.038757990597187 9.85626273687981 ACTCCCACGGGATG chr5 179220217 179220218 chr5:179220218:T:G rs6873892 T G T EBF1_EBF_1 1 1 + 5.461791355361321 4.756129569531794 ATCCCCACGGGTCC chr5 179224575 179224576 chr5:179224576:G:A rs467289 G A A EBF1_EBF_1 6 1 + 5.313018711634512 7.479813142908987 GCTCCCGTGAGAAA chr5 179228115 179228116 chr5:179228116:T:C rs464850 T C T EBF1_EBF_1 7 1 + 5.657448026453618 3.8399432801709947 ACACCCATGAGACA chr5 179238238 179238239 chr5:179238239:G:A rs469099 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 179241962 179241963 chr5:179241963:G:C rs338874 G C C EBF1_EBF_1 -3 0 + 0 0 . chr5 179259563 179259564 chr5:179259564:C:T rs34756026 C T C EBF1_EBF_1 -6 0 + 0 0 . chr5 179259588 179259589 chr5:179259589:G:A rs340124 G A G EBF1_EBF_1 19 0 + 0 0 . chr5 179265728 179265729 chr5:179265729:C:T rs79899197 C T C EBF1_EBF_1 -19 0 + 0 0 . chr5 179265761 179265762 chr5:179265762:G:A rs17079283 G A G EBF1_EBF_1 14 0 + 0 0 . chr5 179268784 179268785 chr5:179268785:C:G rs35753 C G G EBF1_EBF_1 -2 0 - 0 0 . chr5 179273454 179273455 chr5:179273455:C:T rs7719469 C T C EBF1_EBF_1 -4 0 + 0 0 . chr5 179274722 179274723 chr5:179274723:C:T rs2013411 C T C EBF1_EBF_1 20 0 + 0 0 . chr5 179276217 179276218 chr5:179276218:G:A rs78074186 G A G EBF1_EBF_1 30 0 + 0 0 . chr5 179277723 179277724 chr5:179277724:C:T rs186875577 C T - EBF1_EBF_1 5 1 + 5.64773053781242 0.3259433222717488 ACCCCCCCGAGACC chr5 179278194 179278195 chr5:179278195:A:G rs35303320 A G A EBF1_EBF_1 31 0 + 0 0 . chr5 179278705 179278706 chr5:179278706:C:T rs13165403 C T c EBF1_EBF_1 13 1 + 5.362926024722597 6.207420139308115 CTCCCCTGGGGGAC chr5 179279608 179279609 chr5:179279609:C:A rs756907287 C A C EBF1_EBF_1 22 0 - 0 0 . chr5 179292183 179292184 chr5:179292184:G:C rs71611484 G C G EBF1_EBF_1 6 1 + 4.389203851922598 6.194395915395797 ATTCCCGGGGGCAG chr5 179297725 179297726 chr5:179297726:T:C rs467053 T C C EBF1_EBF_1 22 0 + 0 0 . chr5 179306688 179306689 chr5:179306689:T:C chr5:179306689:T:C T C T EBF1_EBF_1 -8 0 - 0 0 . chr5 179322827 179322828 chr5:179322828:A:G rs455437 A G G EBF1_EBF_1 -3 0 - 0 0 . chr5 179344139 179344140 chr5:179344140:G:A rs368221089 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 179346474 179346475 chr5:179346475:A:G rs3846700 A G G EBF1_EBF_1 -6 0 + 0 0 . chr5 179346475 179346476 chr5:179346476:T:A rs3846701 T A A EBF1_EBF_1 -5 0 + 0 0 . chr5 179367697 179367698 chr5:179367698:C:T rs194249 C T T EBF1_EBF_1 5 1 + 4.06087622036947 -1.2609109951712008 GGTCCCACGGGCCA chr5 179369140 179369141 chr5:179369141:A:G rs368080 A G G EBF1_EBF_1 29 0 - 0 0 . chr5 179375952 179375953 chr5:179375953:G:A rs114149994 G A G EBF1_EBF_1 26 0 - 0 0 . chr5 179379352 179379353 chr5:179379353:G:C rs140540102 G C G EBF1_EBF_1 29 0 - 0 0 . chr5 179397614 179397615 chr5:179397615:C:T rs594317 C T C EBF1_EBF_1 17 0 - 0 0 . chr5 179405871 179405872 chr5:179405872:G:A rs10038286 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 179406160 179406161 chr5:179406161:G:C rs10060709 G C G EBF1_EBF_1 29 0 - 0 0 . chr5 179407857 179407858 chr5:179407858:G:A rs116251837 G A G EBF1_EBF_1 -10 0 + 0 0 . chr5 179416038 179416039 chr5:179416039:G:T rs34967622 G T G EBF1_EBF_1 10 1 + 5.078460639421708 0.8957084531944426 CATCCCAAGGGCTC chr5 179416489 179416490 chr5:179416490:A:G rs28680426 A G G EBF1_EBF_1 7 1 - 6.706639073677568 4.889134327394944 CACCCCTTGGGAGC chr5 179422944 179422945 chr5:179422945:G:A rs1317023 G A G EBF1_EBF_1 7 1 + 5.212953214313438 6.525411619602264 CTTCCCCGAGGACA chr5 179431380 179431381 chr5:179431381:C:T rs10903237 C T C EBF1_EBF_1 3 1 + 4.841058080756704 -1.5643406607966137 CATCCCCAGGAACC chr5 179431391 179431392 chr5:179431392:C:T rs11249626 C T C EBF1_EBF_1 14 0 + 0 0 . chr5 179456316 179456317 chr5:179456317:C:T rs72648845 C T C EBF1_EBF_1 -7 0 + 0 0 . chr5 179470463 179470464 chr5:179470464:G:A rs4701169 G A G EBF1_EBF_1 -16 0 - 0 0 . chr5 179481968 179481969 chr5:179481969:G:T rs4700834 G T G EBF1_EBF_1 8 1 + 6.598287331529662 -0.2931454574475328 ATCCCCCTGAGAGA chr5 179487103 179487104 chr5:179487104:T:G rs2411395 T G T EBF1_EBF_1 1 1 - 6.230634138338911 6.3015613156909955 AAACCCTGGGGACG chr5 179498556 179498557 chr5:179498557:C:T rs10903240 C T C EBF1_EBF_1 32 0 + 0 0 . chr5 179530206 179530207 chr5:179530207:G:A rs58777843 G A g EBF1_EBF_1 15 0 - 0 0 . chr5 179588526 179588527 chr5:179588527:C:T rs13183244 C T c EBF1_EBF_1 -8 0 - 0 0 . chr5 179678089 179678090 chr5:179678090:A:G rs74997951 A G A EBF1_EBF_1 -6 0 - 0 0 . chr5 179681500 179681501 chr5:179681501:G:A rs12515399 G A G EBF1_EBF_1 33 0 - 0 0 . chr5 179764147 179764148 chr5:179764148:C:G rs72807319 C G C EBF1_EBF_1 28 0 + 0 0 . chr5 179764151 179764152 chr5:179764152:C:G rs67879510 C G G EBF1_EBF_1 32 0 + 0 0 . chr5 179792122 179792123 chr5:179792123:C:G rs966197012 C G C EBF1_EBF_1 -19 0 + 0 0 . chr5 179794103 179794104 chr5:179794104:G:A rs75759006 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 179796179 179796180 chr5:179796180:C:T rs1032421948 C T c EBF1_EBF_1 4 1 + 4.42542521329935 0.056038268354425505 GTCCCCGTGGGGCC chr5 179796643 179796644 chr5:179796644:A:G rs3756614 A G G EBF1_EBF_1 -4 0 + 0 0 . chr5 179796669 179796670 chr5:179796670:T:A rs147425644 T A T EBF1_EBF_1 22 0 + 0 0 . chr5 179802640 179802641 chr5:179802641:G:T rs142066681 G T G EBF1_EBF_1 -15 0 + 0 0 . chr5 179803747 179803748 chr5:179803748:G:A rs191323014 G A G EBF1_EBF_1 15 0 + 0 0 . chr5 179806223 179806224 chr5:179806224:C:A rs1016670346 C A - EBF1_EBF_1 7 1 + 4.495430737610491 6.789209723980672 AACCCCACGGGTCC chr5 179818092 179818093 chr5:179818093:G:A rs60291142 G A A EBF1_EBF_1 -17 0 - 0 0 . chr5 179818329 179818330 chr5:179818330:C:T rs172058 C T C EBF1_EBF_1 10 1 - 5.26518391349328 -0.05284454577368658 AATCACAGGGGACA chr5 179818597 179818598 chr5:179818598:A:C rs555821119 A C A EBF1_EBF_1 -1 0 - 0 0 . chr5 179821898 179821899 chr5:179821899:G:A chr5:179821899:G:A G A G EBF1_EBF_1 -13 0 - 0 0 . chr5 179859096 179859097 chr5:179859097:C:G rs399299 C G C EBF1_EBF_1 14 0 - 0 0 . chr5 179873591 179873592 chr5:179873592:C:T rs67930568 C T C EBF1_EBF_1 8 1 - 5.743124842171279 1.5168347532850182 CATCCCCAGGGCCC chr5 179975119 179975120 chr5:179975120:G:A rs138102823 G A G EBF1_EBF_1 -20 0 - 0 0 . chr5 180059524 180059525 chr5:180059525:G:A rs77383912 G A A EBF1_EBF_1 15 0 - 0 0 . chr5 180071276 180071277 chr5:180071277:G:A rs929059624 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 180071317 180071318 chr5:180071318:G:T rs1039300307 G T G EBF1_EBF_1 -20 0 - 0 0 . chr5 180080248 180080249 chr5:180080249:G:A rs1867447 G A G EBF1_EBF_1 -5 0 + 0 0 . chr5 180084735 180084736 chr5:180084736:T:C rs80301004 T C T EBF1_EBF_1 14 0 + 0 0 . chr5 180101917 180101918 chr5:180101918:G:A rs7724876 G A G EBF1_EBF_1 0 1 + 4.938980299553877 6.83126416911871 GCTCCCAGGAGGCT chr5 180110114 180110115 chr5:180110115:C:T rs4282280 C T C EBF1_EBF_1 6 1 + 4.783795674965118 5.085081803826876 TCTCCCCGGGGCCC chr5 180118561 180118562 chr5:180118562:C:G rs62405054 C G C EBF1_EBF_1 -16 0 + 0 0 . chr5 180122197 180122198 chr5:180122198:T:G rs4700712 T G T EBF1_EBF_1 -1 0 + 0 0 . chr5 180122199 180122200 chr5:180122200:T:A rs148318713 T A T EBF1_EBF_1 1 1 + 5.836410877014596 4.870050259263764 TTCCCCCCGGGAGC chr5 180122200 180122201 chr5:180122201:C:G rs4700713 C G C EBF1_EBF_1 2 1 + 5.836410877014596 0.9769085982562771 TTCCCCCCGGGAGC chr5 180127521 180127522 chr5:180127522:C:T rs7728345 C T C EBF1_EBF_1 -20 0 + 0 0 . chr5 180136434 180136435 chr5:180136435:T:C rs11546322 T C C EBF1_EBF_1 21 0 - 0 0 . chr5 180140485 180140486 chr5:180140486:G:A rs6601084 G A G EBF1_EBF_1 -2 0 - 0 0 . chr5 180169544 180169545 chr5:180169545:T:A rs6871604 T A A EBF1_EBF_1 -3 0 - 0 0 . chr5 180170058 180170059 chr5:180170059:A:T rs62406106 A T A EBF1_EBF_1 -5 0 + 0 0 . chr5 180194689 180194690 chr5:180194690:C:T rs10063081 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 180209630 180209631 chr5:180209631:G:A rs118067725 G A G EBF1_EBF_1 13 1 - 6.3261345973446765 7.170628711930195 CCTCCCTGGGGGCC chr5 180213053 180213054 chr5:180213054:G:A rs13189867 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 180214302 180214303 chr5:180214303:G:A rs3111533 G A A EBF1_EBF_1 10 1 + 8.657150970389727 3.339122511122762 GATCCCCTGGGAGC chr5 180215057 180215058 chr5:180215058:C:T rs3099059 C T C EBF1_EBF_1 0 1 + 5.17140151238935 5.453603573119929 CCTCCCGAGGGTCT chr5 180250364 180250365 chr5:180250365:C:T rs372788060 C T C EBF1_EBF_1 -2 0 - 0 0 . chr5 180292452 180292453 chr5:180292453:C:T rs1356711875 C T C EBF1_EBF_1 -5 0 - 0 0 . chr5 180296682 180296683 chr5:180296683:T:C rs13179475 T C T EBF1_EBF_1 30 0 + 0 0 . chr5 180304465 180304466 chr5:180304466:C:A rs62404922 C A C EBF1_EBF_1 29 0 + 0 0 . chr5 180321539 180321540 chr5:180321540:G:A rs543372748 G A G EBF1_EBF_1 8 1 + 4.551534478172036 0.32524438928577476 CTTCCCCAGAGGTC chr5 180323335 180323336 chr5:180323336:C:T rs77158085 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 180323336 180323337 chr5:180323337:G:A rs55727294 G A G EBF1_EBF_1 -1 0 + 0 0 . chr5 180344848 180344849 chr5:180344849:A:G rs62404956 A G A EBF1_EBF_1 16 0 + 0 0 . chr5 180349495 180349496 chr5:180349496:T:G rs11747494 T G T EBF1_EBF_1 -16 0 + 0 0 . chr5 180349513 180349514 chr5:180349514:T:A rs1422574 T A A EBF1_EBF_1 2 1 + 4.932535051647009 1.2480674640481326 AATCCCCTGAGGAG chr5 180362390 180362391 chr5:180362391:G:A rs12652232 G A G EBF1_EBF_1 28 0 + 0 0 . chr5 180393869 180393870 chr5:180393870:G:A rs12658767 G A A EBF1_EBF_1 10 1 + 5.3062396786779225 -0.011788780589043854 TTCCCCATGGGTCC chr5 180398766 180398767 chr5:180398767:C:T rs149641205 C T C EBF1_EBF_1 14 0 - 0 0 . chr5 180423801 180423802 chr5:180423802:A:G rs4700952 A G A EBF1_EBF_1 -4 0 + 0 0 . chr5 180423815 180423816 chr5:180423816:T:C rs10042496 T C T EBF1_EBF_1 10 1 + 6.806198208127013 4.098148007024803 CTTCCCTGGGTAAT chr5 180433473 180433474 chr5:180433474:C:A rs62406428 C A A EBF1_EBF_1 27 0 - 0 0 . chr5 180461267 180461268 chr5:180461268:T:C rs7729820 T C C EBF1_EBF_1 -20 0 + 0 0 . chr5 180461300 180461301 chr5:180461301:C:T rs74392077 C T C EBF1_EBF_1 13 1 + 5.454778113005823 6.299272227591341 AGTCCCTTGGTGAC chr5 180465396 180465397 chr5:180465397:G:C rs75150456 G C C EBF1_EBF_1 23 0 + 0 0 . chr5 180472861 180472862 chr5:180472862:C:T rs11750332 C T C EBF1_EBF_1 24 0 + 0 0 . chr5 180483137 180483138 chr5:180483138:T:C rs11747624 T C C EBF1_EBF_1 27 0 - 0 0 . chr5 180483178 180483179 chr5:180483179:A:G rs7730601 A G G EBF1_EBF_1 -14 0 - 0 0 . chr5 180562870 180562871 chr5:180562871:T:C rs79001601 T C T EBF1_EBF_1 29 0 - 0 0 . chr5 180590350 180590351 chr5:180590351:G:A rs114857193 G A G EBF1_EBF_1 28 0 - 0 0 . chr5 180590351 180590352 chr5:180590352:G:A rs115682590 G A G EBF1_EBF_1 27 0 - 0 0 . chr5 180590355 180590356 chr5:180590356:G:A rs536913972 G A G EBF1_EBF_1 23 0 - 0 0 . chr5 180590398 180590399 chr5:180590399:T:C rs450383 T C C EBF1_EBF_1 -20 0 - 0 0 . chr5 180596309 180596310 chr5:180596310:T:C rs79689914 T C T EBF1_EBF_1 26 0 - 0 0 . chr5 180596310 180596311 chr5:180596311:C:T rs78666162 C T C EBF1_EBF_1 25 0 - 0 0 . chr5 180596317 180596318 chr5:180596318:C:G rs145844835 C G C EBF1_EBF_1 18 0 - 0 0 . chr5 180598349 180598350 chr5:180598350:G:C rs115181565 G C G EBF1_EBF_1 16 0 - 0 0 . chr5 180602615 180602616 chr5:180602616:G:A rs72816989 G A A EBF1_EBF_1 18 0 + 0 0 . chr5 180602878 180602879 chr5:180602879:G:A rs72816990 G A A EBF1_EBF_1 -11 0 + 0 0 . chr5 180603312 180603313 chr5:180603313:C:A rs307821 C A C EBF1_EBF_1 30 0 - 0 0 . chr5 180603321 180603322 chr5:180603322:C:T rs79620092 C T C EBF1_EBF_1 21 0 - 0 0 . chr5 180605914 180605915 chr5:180605915:G:A rs1043974314 G A G EBF1_EBF_1 -7 0 + 0 0 . chr5 180606393 180606394 chr5:180606394:T:G rs928148258 T G T EBF1_EBF_1 -8 0 + 0 0 . chr5 180611978 180611979 chr5:180611979:C:T rs35252312 C T C EBF1_EBF_1 -15 0 + 0 0 . chr5 180629580 180629581 chr5:180629581:G:C rs78894531 G C G EBF1_EBF_1 14 0 - 0 0 . chr5 180632823 180632824 chr5:180632824:G:A rs77811888 G A G EBF1_EBF_1 18 0 - 0 0 . chr5 180633003 180633004 chr5:180633004:G:A rs1000581067 G A G EBF1_EBF_1 21 0 - 0 0 . chr5 180669971 180669972 chr5:180669972:T:C rs11959164 T C C EBF1_EBF_1 15 0 - 0 0 . chr5 180681883 180681884 chr5:180681884:C:T rs146417537 C T C EBF1_EBF_1 -1 0 + 0 0 . chr5 180682161 180682162 chr5:180682162:C:T rs10059547 C T C EBF1_EBF_1 -18 0 + 0 0 . chr5 180693043 180693044 chr5:180693044:A:G rs13188129 A G A EBF1_EBF_1 -1 0 + 0 0 . chr5 180767817 180767818 chr5:180767818:T:C rs2387292 T C T EBF1_EBF_1 6 1 - 9.461618957425896 7.294824526151423 AGCCCCAGGGGACA chr5 180797517 180797518 chr5:180797518:A:G rs35418092 A G G EBF1_EBF_1 22 0 + 0 0 . chr5 180806832 180806833 chr5:180806833:C:A rs60630376 C A C EBF1_EBF_1 -13 0 + 0 0 . chr5 180818887 180818888 chr5:180818888:T:C rs926245876 T C T EBF1_EBF_1 16 0 + 0 0 . chr5 180827536 180827537 chr5:180827537:G:T rs59799729 G T G EBF1_EBF_1 12 1 - 6.352557084606736 6.382738483028062 TGTCCCTGGGTACT chr5 180827558 180827559 chr5:180827559:C:T rs60050611 C T C EBF1_EBF_1 -10 0 - 0 0 . chr5 180860513 180860514 chr5:180860514:C:T rs6891848 C T . EBF1_EBF_1 -16 0 - 0 0 . chr5 180889693 180889694 chr5:180889694:T:C rs35282166 T C - EBF1_EBF_1 -20 0 + 0 0 . chr5 180901622 180901623 chr5:180901623:G:C rs6895149 G C N EBF1_EBF_1 8 1 + 6.658412223549795 1.3397533626351092 TGTCCCCAGAGAGC chr5 180981829 180981830 chr5:180981830:C:T rs4444930 C T . EBF1_EBF_1 -7 0 + 0 0 . chr5 181003539 181003540 chr5:181003540:C:T rs11960731 C T C EBF1_EBF_1 28 0 - 0 0 . chr5 181024832 181024833 chr5:181024833:T:A rs10479593 T A A EBF1_EBF_1 -1 0 + 0 0 . chr5 181024848 181024849 chr5:181024849:T:C rs10479594 T C C EBF1_EBF_1 15 0 + 0 0 . chr5 181044138 181044139 chr5:181044139:G:C rs6865743 G C C EBF1_EBF_1 28 0 - 0 0 . chr5 181044144 181044145 chr5:181044145:T:C rs78499145 T C C EBF1_EBF_1 22 0 - 0 0 . chr5 181044551 181044552 chr5:181044552:G:A rs4078419 G A G EBF1_EBF_1 22 0 + 0 0 . chr5 181044705 181044706 chr5:181044706:G:A rs190202833 G A G EBF1_EBF_1 14 0 - 0 0 . chr5 181052860 181052861 chr5:181052861:G:A rs879462503 G A g EBF1_EBF_1 -10 0 + 0 0 . chr5 181053102 181053103 chr5:181053103:C:T rs527360852 C T c EBF1_EBF_1 9 1 - 6.131042667532376 3.4761034020847714 CCTCCCGCGGGACC chr5 181065694 181065695 chr5:181065695:C:T rs11739576 C T t EBF1_EBF_1 12 1 + 5.759097553981974 4.732830983431124 ACCCTCCGGGGACT chr5 181099410 181099411 chr5:181099411:T:C rs1971718 T C - EBF1_EBF_1 28 0 + 0 0 . chr5 181127553 181127554 chr5:181127554:G:A rs17080811 G A a EBF1_EBF_1 13 1 - 7.9703329800835485 8.814827094669067 ATTCCCCGGGGTCC chr5 181130909 181130910 chr5:181130910:C:T rs115012193 C T c EBF1_EBF_1 20 0 + 0 0 . chr5 181138528 181138529 chr5:181138529:T:C rs113857300 T C t EBF1_EBF_1 26 0 - 0 0 . chr5 181158518 181158519 chr5:181158519:T:A rs1279755 T A T EBF1_EBF_1 6 1 - 6.118081740495585 6.057765501556065 CCTCCCAAGGAACT chr5 181158531 181158532 chr5:181158532:C:T rs56005800 C T C EBF1_EBF_1 -7 0 - 0 0 . chr5 181170199 181170200 chr5:181170200:G:A rs140615658 G A G EBF1_EBF_1 1 1 + 6.654245609321121 6.393546777399818 TGTCCCCTGGGCCT chr5 181189233 181189234 chr5:181189234:T:C rs116950650 T C T EBF1_EBF_1 1 1 + 5.867218550137681 4.971785109738935 CTTCCCAAGCGAGT chr5 181189235 181189236 chr5:181189236:C:T chr5:181189236:C:T C T C EBF1_EBF_1 3 1 + 5.867218550137681 -0.5381801914156357 CTTCCCAAGCGAGT chr5 181189241 181189242 chr5:181189242:C:G rs254464 C G G EBF1_EBF_1 9 1 + 5.867218550137681 10.942907696483145 CTTCCCAAGCGAGT chr5 181189597 181189598 chr5:181189598:A:G rs11960353 A G G EBF1_EBF_1 13 1 - 7.516430802517488 6.67193668793197 AATCCCGGGAGAAT chr5 181189959 181189960 chr5:181189960:C:T rs143621037 C T C EBF1_EBF_1 -17 0 + 0 0 . chr5 181190037 181190038 chr5:181190038:G:A rs76131309 G A G EBF1_EBF_1 -4 0 + 0 0 . chr5 181190038 181190039 chr5:181190039:A:C chr5:181190039:A:C A C A EBF1_EBF_1 -3 0 + 0 0 . chr5 181203511 181203512 chr5:181203512:G:C rs13357554 G C C EBF1_EBF_1 12 1 - 5.685978553086873 4.297342609408721 CCCCCCGTGGGACA chr5 181204341 181204342 chr5:181204342:G:A rs77207630 G A G EBF1_EBF_1 -10 0 - 0 0 . chr5 181217469 181217470 chr5:181217470:T:C rs76979546 T C T EBF1_EBF_1 33 0 - 0 0 . chr5 181217517 181217518 chr5:181217518:A:C chr5:181217518:A:C A C A EBF1_EBF_1 -14 0 - 0 0 . chr5 181218048 181218049 chr5:181218049:G:C chr5:181218049:G:C G C G EBF1_EBF_1 24 0 + 0 0 . chr5 181229733 181229734 chr5:181229734:C:G rs2770957 C G G EBF1_EBF_1 13 1 + 4.50890269629529 3.020831674939875 GGTGCCCTGGGAAC chr5 181233830 181233831 chr5:181233831:G:A rs2546390 G A G EBF1_EBF_1 3 1 - 4.906664211379815 -1.4987345301735016 CTTCCCTCAGGACC chr5 181244182 181244183 chr5:181244183:A:C rs544772490 A C A EBF1_EBF_1 12 1 + 7.74856905855453 7.718387660133204 ATTCCCATGAGGAC chr5 181244249 181244250 chr5:181244250:G:A rs41285575 G A G EBF1_EBF_1 -15 0 + 0 0 . chr5 181244287 181244288 chr5:181244288:A:T rs145963398 A T A EBF1_EBF_1 23 0 + 0 0 . chr5 181246386 181246387 chr5:181246387:C:T rs3733942 C T C EBF1_EBF_1 -7 0 + 0 0 . chr5 181246391 181246392 chr5:181246392:C:T rs558611396 C T C EBF1_EBF_1 -2 0 + 0 0 . chr5 181246423 181246424 chr5:181246424:G:C rs73814551 G C G EBF1_EBF_1 30 0 + 0 0 . chr5 181246796 181246797 chr5:181246797:C:T rs141658659 C T C EBF1_EBF_1 8 1 - 6.806105334902546 2.5798152460162846 CATCCCCTGGGAGG chr5 181246862 181246863 chr5:181246863:G:T rs4122263 G T G EBF1_EBF_1 1 1 + 5.724145507919028 6.4298072937485555 CGACCCTGGGGACC chr5 181246863 181246864 chr5:181246864:A:T rs4122262 A T A EBF1_EBF_1 2 1 + 5.724145507919028 9.408613095517904 CGACCCTGGGGACC chr6 148688 148689 chr6:148689:C:A rs1170569381 C A . EBF1_EBF_1 -10 0 - 0 0 . chr6 181088 181089 chr6:181089:C:T rs1535053 C T c EBF1_EBF_1 3 1 + 6.770834633575022 0.3654358920217047 GATCCCAAGAGAAG chr6 199963 199964 chr6:199964:G:A rs9502941 G A G EBF1_EBF_1 -9 0 + 0 0 . chr6 206504 206505 chr6:206505:C:T rs12213817 C T T EBF1_EBF_1 19 0 - 0 0 . chr6 209158 209159 chr6:209159:C:T rs11242709 C T C EBF1_EBF_1 3 1 + 5.115816056928059 -1.2895826846252583 GCTCCCAGGGTAAA chr6 227919 227920 chr6:227920:A:G rs742768 A G A EBF1_EBF_1 11 1 + 7.26129157434519 4.368584730741179 CATCCCTAGAGAGT chr6 256943 256944 chr6:256944:G:A rs13340431 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 282656 282657 chr6:282657:A:T rs150611506 A T A EBF1_EBF_1 -7 0 - 0 0 . chr6 291832 291833 chr6:291833:C:T rs117996017 C T C EBF1_EBF_1 13 1 + 8.131281178751818 8.975775293337337 AGCCCCCAGAGACC chr6 291898 291899 chr6:291899:C:A rs535453941 C A C EBF1_EBF_1 -10 0 + 0 0 . chr6 291908 291909 chr6:291909:C:A rs886474895 C A C EBF1_EBF_1 0 1 + 7.236541872150624 9.491800355847223 CGCCCCAGGGGAAA chr6 333344 333345 chr6:333345:A:G rs372202849 A G G EBF1_EBF_1 -9 0 - 0 0 . chr6 344793 344794 chr6:344794:G:A rs57919214 G A G EBF1_EBF_1 6 1 + 6.902454121431945 9.06924855270642 AGTCCCGGGAGACC chr6 357186 357187 chr6:357187:G:A rs372353500 G A G EBF1_EBF_1 16 0 - 0 0 . chr6 365998 365999 chr6:365999:C:T rs78790018 C T C EBF1_EBF_1 -11 0 + 0 0 . chr6 366007 366008 chr6:366008:C:T rs76873351 C T C EBF1_EBF_1 -2 0 + 0 0 . chr6 410607 410608 chr6:410608:C:G rs9392502 C G C EBF1_EBF_1 -8 0 - 0 0 . chr6 426345 426346 chr6:426346:C:T rs56306240 C T C EBF1_EBF_1 6 1 - 6.652730658645146 8.81952508991962 CTTCCCGCGGGACA chr6 441507 441508 chr6:441508:C:T rs12176008 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 448146 448147 chr6:448147:C:T rs75682436 C T C EBF1_EBF_1 -20 0 + 0 0 . chr6 449280 449281 chr6:449281:A:G rs9405680 A G G EBF1_EBF_1 -10 0 + 0 0 . chr6 449403 449404 chr6:449404:A:C rs9405682 A C A EBF1_EBF_1 -13 0 + 0 0 . chr6 449686 449687 chr6:449687:T:C rs9503843 T C C EBF1_EBF_1 -6 0 + 0 0 . chr6 466367 466368 chr6:466368:G:A rs1540767 G A A EBF1_EBF_1 23 0 + 0 0 . chr6 488127 488128 chr6:488128:G:A rs553400120 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 514720 514721 chr6:514721:C:T rs17134263 C T C EBF1_EBF_1 1 1 - 5.64706310690145 5.386364274980148 CGTCCCTTGGGCTT chr6 604887 604888 chr6:604888:T:A rs1747591 T A A EBF1_EBF_1 -1 0 + 0 0 . chr6 656554 656555 chr6:656555:G:T rs1766848 G T G EBF1_EBF_1 -19 0 - 0 0 . chr6 693635 693636 chr6:693636:G:A rs72836001 G A G EBF1_EBF_1 11 1 + 7.648319348937391 10.5410261925414 ATCCCCAGGGGGCC chr6 693637 693638 chr6:693638:C:G rs11753249 C G C EBF1_EBF_1 13 1 + 7.648319348937391 6.160248327581976 ATCCCCAGGGGGCC chr6 710930 710931 chr6:710931:G:A rs75494640 G A A EBF1_EBF_1 1 1 - 5.358033214876246 6.253466655274992 TCTCCCTGGAGACG chr6 715645 715646 chr6:715646:G:T rs115124805 G T G EBF1_EBF_1 -7 0 + 0 0 . chr6 715683 715684 chr6:715684:G:T rs1538330 G T T EBF1_EBF_1 31 0 + 0 0 . chr6 753300 753301 chr6:753301:G:A rs2788246 G A A EBF1_EBF_1 3 1 - 12.191569631809992 5.786170890256677 ATCCCCTTGGGAAT chr6 781562 781563 chr6:781563:C:T rs4570012 C T C EBF1_EBF_1 6 1 - 4.74035406630368 6.907148497578155 CCACCCGAGGGACC chr6 834620 834621 chr6:834621:A:G rs112851778 A G A EBF1_EBF_1 -7 0 - 0 0 . chr6 874299 874300 chr6:874300:G:A rs144109901 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 874349 874350 chr6:874350:A:G rs76727489 A G G EBF1_EBF_1 31 0 + 0 0 . chr6 901509 901510 chr6:901510:G:T rs72826273 G T G EBF1_EBF_1 0 1 - 5.6469630612983615 7.902221544994962 CCTCCCTTAGGATT chr6 911805 911806 chr6:911806:G:A rs3897359 G A G EBF1_EBF_1 30 0 + 0 0 . chr6 935170 935171 chr6:935171:T:C rs11969057 T C C EBF1_EBF_1 -12 0 - 0 0 . chr6 939305 939306 chr6:939306:G:A rs78897972 G A G EBF1_EBF_1 27 0 - 0 0 . chr6 939311 939312 chr6:939312:G:A rs182528109 G A G EBF1_EBF_1 21 0 - 0 0 . chr6 1014035 1014036 chr6:1014036:C:A rs145270945 C A C EBF1_EBF_1 -17 0 + 0 0 . chr6 1017052 1017053 chr6:1017053:G:A rs845889 G A G EBF1_EBF_1 -14 0 - 0 0 . chr6 1125083 1125084 chr6:1125084:A:G rs6924635 A G G EBF1_EBF_1 17 0 + 0 0 . chr6 1167713 1167714 chr6:1167714:T:C rs11753412 T C T EBF1_EBF_1 17 0 - 0 0 . chr6 1216100 1216101 chr6:1216101:G:A rs459156 G A A EBF1_EBF_1 -20 0 + 0 0 . chr6 1268408 1268409 chr6:1268409:G:A rs71550047 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 1268423 1268424 chr6:1268424:G:A chr6:1268424:G:A G A G EBF1_EBF_1 10 1 + 6.792795145986209 1.4747666867192428 CTTCCCAGAGGACT chr6 1284634 1284635 chr6:1284635:A:G rs2459595 A G G EBF1_EBF_1 20 0 - 0 0 . chr6 1289690 1289691 chr6:1289691:T:G rs1437288 T G G EBF1_EBF_1 -11 0 + 0 0 . chr6 1325248 1325249 chr6:1325249:A:C chr6:1325249:A:C A C A EBF1_EBF_1 16 0 - 0 0 . chr6 1364083 1364084 chr6:1364084:T:C rs1452102006 T C T EBF1_EBF_1 31 0 + 0 0 . chr6 1382288 1382289 chr6:1382289:C:G rs192454136 C G C EBF1_EBF_1 2 1 + 6.257035626123612 1.3975333473652944 GGCCCCAAGAGAAA chr6 1388098 1388099 chr6:1388099:C:T rs151077975 C T C EBF1_EBF_1 5 1 + 4.334956596527435 -0.9868306190132357 AGTCCCCCGGCACT chr6 1388855 1388856 chr6:1388856:T:C rs115706877 T C T EBF1_EBF_1 30 0 + 0 0 . chr6 1437751 1437752 chr6:1437752:C:T rs571873442 C T C EBF1_EBF_1 7 1 - 5.910921941538532 7.2233803468273585 TCCCCCCGGGGATA chr6 1440395 1440396 chr6:1440396:T:C rs6596825 T C C EBF1_EBF_1 -13 0 - 0 0 . chr6 1446128 1446129 chr6:1446129:C:T rs9328056 C T C EBF1_EBF_1 21 0 - 0 0 . chr6 1454736 1454737 chr6:1454737:T:C rs2816252 T C C EBF1_EBF_1 31 0 + 0 0 . chr6 1497054 1497055 chr6:1497055:A:C rs180889964 A C A EBF1_EBF_1 27 0 - 0 0 . chr6 1525992 1525993 chr6:1525993:G:C rs13207608 G C G EBF1_EBF_1 28 0 - 0 0 . chr6 1526472 1526473 chr6:1526473:C:G rs35694654 C G C EBF1_EBF_1 17 0 + 0 0 . chr6 1575493 1575494 chr6:1575494:G:A rs78097165 G A G EBF1_EBF_1 25 0 + 0 0 . chr6 1585527 1585528 chr6:1585528:C:T rs76197523 C T C EBF1_EBF_1 9 1 + 4.532876089693326 2.77157582794998 ACTCCCCAGCGAGG chr6 1604452 1604453 chr6:1604453:A:C rs936550139 A C A EBF1_EBF_1 -13 0 - 0 0 . chr6 1604886 1604887 chr6:1604887:A:C rs78699237 A C A EBF1_EBF_1 29 0 - 0 0 . chr6 1606799 1606800 chr6:1606800:C:T rs34985684 C T C EBF1_EBF_1 21 0 + 0 0 . chr6 1612211 1612212 chr6:1612212:C:A rs116336796 C A A EBF1_EBF_1 3 1 + 6.473339443593076 -0.4328345858727298 AAACCCCTGAGAAT chr6 1618313 1618314 chr6:1618314:T:C rs182146533 T C T EBF1_EBF_1 26 0 - 0 0 . chr6 1618761 1618762 chr6:1618762:C:G rs72836801 C G C EBF1_EBF_1 -1 0 + 0 0 . chr6 1631816 1631817 chr6:1631817:A:G rs114039907 A G A EBF1_EBF_1 33 0 - 0 0 . chr6 1641140 1641141 chr6:1641141:T:C rs62390640 T C C EBF1_EBF_1 -13 0 - 0 0 . chr6 1641282 1641283 chr6:1641283:T:C rs1373058073 T C T EBF1_EBF_1 -3 0 + 0 0 . chr6 1657690 1657691 chr6:1657691:G:A rs368462936 G A G EBF1_EBF_1 -16 0 - 0 0 . chr6 1680588 1680589 chr6:1680589:G:A rs1962433 G A A EBF1_EBF_1 16 0 + 0 0 . chr6 1700523 1700524 chr6:1700524:C:A rs56042153 C A C EBF1_EBF_1 30 0 + 0 0 . chr6 1717371 1717372 chr6:1717372:T:C rs6901836 T C T EBF1_EBF_1 -15 0 - 0 0 . chr6 1785552 1785553 chr6:1785553:G:C rs3800067 G C G EBF1_EBF_1 -4 0 + 0 0 . chr6 1785569 1785570 chr6:1785570:T:C rs3800068 T C C EBF1_EBF_1 13 1 + 4.987890623994026 4.143396509408508 GCCCCCTTGGGCAT chr6 1850117 1850118 chr6:1850118:T:C rs115930195 T C C EBF1_EBF_1 2 1 - 6.03919267434348 2.9753345717418895 AGACCCATGAGATT chr6 1858301 1858302 chr6:1858302:C:A rs3800100 C A C EBF1_EBF_1 32 0 - 0 0 . chr6 1885725 1885726 chr6:1885726:C:T rs115929336 C T C EBF1_EBF_1 8 1 + 5.5008074642354865 3.928033536172978 ATTCCCTACGGGAC chr6 1885737 1885738 chr6:1885738:C:T rs3800119 C T C EBF1_EBF_1 20 0 + 0 0 . chr6 1917917 1917918 chr6:1917918:G:C rs879746731 G C g EBF1_EBF_1 -16 0 + 0 0 . chr6 1969727 1969728 chr6:1969728:C:G rs12214210 C G C EBF1_EBF_1 32 0 + 0 0 . chr6 2109291 2109292 chr6:2109292:C:T rs1433999248 C T C EBF1_EBF_1 -2 0 + 0 0 . chr6 2110591 2110592 chr6:2110592:C:T rs73416657 C T T EBF1_EBF_1 -7 0 + 0 0 . chr6 2127722 2127723 chr6:2127723:C:T rs9503101 C T C EBF1_EBF_1 26 0 - 0 0 . chr6 2127756 2127757 chr6:2127757:G:A chr6:2127757:G:A G A G EBF1_EBF_1 -8 0 - 0 0 . chr6 2127761 2127762 chr6:2127762:G:A rs143808282 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 2147836 2147837 chr6:2147837:C:T rs144973311 C T C EBF1_EBF_1 3 1 + 6.002544165260866 -0.40285457629245136 AGTCCCCTGAGCAT chr6 2245083 2245084 chr6:2245084:C:T rs560784398 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 2245106 2245107 chr6:2245107:A:G rs116754768 A G A EBF1_EBF_1 7 1 + 7.78771712788717 6.475258722598343 AGCCCCCAGAGAAA chr6 2411993 2411994 chr6:2411994:C:T rs4959663 C T C EBF1_EBF_1 -11 0 - 0 0 . chr6 2436228 2436229 chr6:2436229:A:G rs12528202 A G G EBF1_EBF_1 17 0 - 0 0 . chr6 2436258 2436259 chr6:2436259:C:T rs10900924 C T C EBF1_EBF_1 -13 0 - 0 0 . chr6 2458870 2458871 chr6:2458871:C:T rs77209998 C T C EBF1_EBF_1 -9 0 + 0 0 . chr6 2473926 2473927 chr6:2473927:A:G rs10793837 A G A EBF1_EBF_1 31 0 + 0 0 . chr6 2492146 2492147 chr6:2492147:A:G rs4355649 A G A EBF1_EBF_1 25 0 + 0 0 . chr6 2550158 2550159 chr6:2550159:T:A rs7750516 T A A EBF1_EBF_1 29 0 - 0 0 . chr6 2558388 2558389 chr6:2558389:A:G rs146587424 A G A EBF1_EBF_1 -15 0 + 0 0 . chr6 2634327 2634328 chr6:2634328:C:G rs144771204 C G C EBF1_EBF_1 7 1 + 6.225039892647942 7.206360473729298 CGCCCCACGGGACA chr6 2634762 2634763 chr6:2634763:C:T rs1301535176 C T C EBF1_EBF_1 4 1 + 5.968379452696455 1.5989925077515312 AGTCCCCAGGAAGC chr6 2637259 2637260 chr6:2637260:G:C rs1019639940 G C G EBF1_EBF_1 24 0 - 0 0 . chr6 2639331 2639332 chr6:2639332:G:A rs55851643 G A G EBF1_EBF_1 30 0 + 0 0 . chr6 2666933 2666934 chr6:2666934:C:T rs9501867 C T C EBF1_EBF_1 21 0 + 0 0 . chr6 2669558 2669559 chr6:2669559:C:A rs12195912 C A C EBF1_EBF_1 23 0 - 0 0 . chr6 2671021 2671022 chr6:2671022:A:G rs1324495 A G G EBF1_EBF_1 16 0 - 0 0 . chr6 2685283 2685284 chr6:2685284:G:A rs74656563 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 2733374 2733375 chr6:2733375:C:A rs145732341 C A C EBF1_EBF_1 -12 0 + 0 0 . chr6 2807124 2807125 chr6:2807125:A:G rs7766569 A G G EBF1_EBF_1 -3 0 + 0 0 . chr6 2818165 2818166 chr6:2818166:C:T rs1002815316 C T C EBF1_EBF_1 7 1 + 4.89988739758283 6.717392143865454 ACTCCTCCGGGAAC chr6 2843191 2843192 chr6:2843192:T:G rs416824 T G T EBF1_EBF_1 -11 0 + 0 0 . chr6 2843208 2843209 chr6:2843209:C:T rs452801 C T C EBF1_EBF_1 6 1 + 4.796022318535863 5.097308447397621 GGCCCCCAGGGTCC chr6 2853358 2853359 chr6:2853359:C:T rs1354885238 C T C EBF1_EBF_1 21 0 + 0 0 . chr6 2857207 2857208 chr6:2857208:T:C rs389260 T C C EBF1_EBF_1 0 1 - 5.474029125535157 3.581745255970323 ACTCCAAGGGGACT chr6 2857216 2857217 chr6:2857217:C:A rs376980 C A C EBF1_EBF_1 -9 0 - 0 0 . chr6 2864008 2864009 chr6:2864009:G:A rs36052743 G A G EBF1_EBF_1 10 1 + 5.247629647595527 -0.07039881167143891 CTCCCCAAGGGGAG chr6 2877732 2877733 chr6:2877733:C:T rs318432 C T C EBF1_EBF_1 5 1 + 4.663226889205615 -0.6585603263350543 TGCCCCAGGAGAGT chr6 2877754 2877755 chr6:2877755:C:T rs318433 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 2902009 2902010 chr6:2902010:A:G rs73718377 A G C EBF1_EBF_1 6 1 + 5.145398042766395 2.978603611491919 TCTCCCAGGGGCCC chr6 2941482 2941483 chr6:2941483:C:G rs12197226 C G C EBF1_EBF_1 13 1 + 6.093842331773901 4.605771310418486 AGCCCCTGGAGATC chr6 2958313 2958314 chr6:2958314:G:A rs3778253 G A g EBF1_EBF_1 18 0 + 0 0 . chr6 2960907 2960908 chr6:2960908:G:C rs6924585 G C c EBF1_EBF_1 21 0 - 0 0 . chr6 2976573 2976574 chr6:2976574:G:C rs4959219 G C c EBF1_EBF_1 -12 0 + 0 0 . chr6 2976617 2976618 chr6:2976618:A:C rs79990698 A C a EBF1_EBF_1 32 0 + 0 0 . chr6 2980023 2980024 chr6:2980024:A:G rs6596926 A G g EBF1_EBF_1 -5 0 + 0 0 . chr6 2989020 2989021 chr6:2989021:A:C chr6:2989021:A:C A C a EBF1_EBF_1 0 1 + 4.407518205365309 2.1522597216687087 ACTCCCGGGGGCGT chr6 3035503 3035504 chr6:3035504:G:A rs6935377 G A A EBF1_EBF_1 13 1 - 4.977491966785747 5.821986081371265 ATCACCAAGGGAAC chr6 3035534 3035535 chr6:3035535:A:G rs2180986 A G A EBF1_EBF_1 -18 0 - 0 0 . chr6 3053740 3053741 chr6:3053741:G:A rs1236971400 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 3053745 3053746 chr6:3053746:G:C rs953307538 G C G EBF1_EBF_1 12 1 - 5.004605222531322 3.6159692788531705 AGTCCCGCGGGCCT chr6 3118554 3118555 chr6:3118555:G:A chr6:3118555:G:A G A G EBF1_EBF_1 22 0 - 0 0 . chr6 3157164 3157165 chr6:3157165:A:G rs909962 A G A EBF1_EBF_1 18 0 + 0 0 . chr6 3164540 3164541 chr6:3164541:T:C rs2103676 T C C EBF1_EBF_1 -5 0 - 0 0 . chr6 3166252 3166253 chr6:3166253:G:A rs73361413 G A G EBF1_EBF_1 8 1 + 7.6687744010548275 3.442484312168566 CCTCCCTAGGGGAC chr6 3171718 3171719 chr6:3171719:T:C rs113318750 T C C EBF1_EBF_1 -2 0 + 0 0 . chr6 3193205 3193206 chr6:3193206:G:A rs9503437 G A A EBF1_EBF_1 10 1 + 4.899468041917628 -0.41856041734933735 CATTCCTAGGGACT chr6 3209707 3209708 chr6:3209708:A:G rs11962875 A G A EBF1_EBF_1 7 1 + 5.468040499531922 4.155582094243098 GCTCCCAAGGGTGC chr6 3251477 3251478 chr6:3251478:G:C rs769566080 G C G EBF1_EBF_1 9 1 + 4.266639766317723 -0.809049380027741 ACTACCCGGGGACC chr6 3259727 3259728 chr6:3259728:G:T rs149956374 G T G EBF1_EBF_1 19 0 - 0 0 . chr6 3259734 3259735 chr6:3259735:G:C rs11961780 G C G EBF1_EBF_1 12 1 - 7.993059650973632 6.604423707295481 AGTGCCTAGGGACT chr6 3264541 3264542 chr6:3264542:C:G rs4324814 C G G EBF1_EBF_1 14 0 - 0 0 . chr6 3281838 3281839 chr6:3281839:A:G chr6:3281839:A:G A G A EBF1_EBF_1 15 0 + 0 0 . chr6 3285198 3285199 chr6:3285199:C:T rs115232464 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 3285235 3285236 chr6:3285236:C:T rs11759837 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 3294046 3294047 chr6:3294047:G:A rs7770437 G A G EBF1_EBF_1 -16 0 + 0 0 . chr6 3294093 3294094 chr6:3294094:T:G rs7757345 T G G EBF1_EBF_1 31 0 + 0 0 . chr6 3318601 3318602 chr6:3318602:T:C rs74558734 T C C EBF1_EBF_1 25 0 + 0 0 . chr6 3321362 3321363 chr6:3321363:C:T rs9501985 C T C EBF1_EBF_1 -9 0 - 0 0 . chr6 3329033 3329034 chr6:3329034:G:A rs72841818 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 3347513 3347514 chr6:3347514:G:C rs11756418 G C G EBF1_EBF_1 23 0 + 0 0 . chr6 3356215 3356216 chr6:3356216:G:A rs1441701812 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 3356246 3356247 chr6:3356247:G:A rs13211300 G A G EBF1_EBF_1 -14 0 - 0 0 . chr6 3361877 3361878 chr6:3361878:T:C rs6906575 T C C EBF1_EBF_1 -7 0 + 0 0 . chr6 3361890 3361891 chr6:3361891:G:A rs73720548 G A G EBF1_EBF_1 6 1 + 6.565500669873568 8.732295101148043 TACCCCGAGGGATT chr6 3373181 3373182 chr6:3373182:C:T rs536012629 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 3373218 3373219 chr6:3373219:T:C rs2183065 T C T EBF1_EBF_1 30 0 + 0 0 . chr6 3374340 3374341 chr6:3374341:G:A rs6919934 G A G EBF1_EBF_1 -6 0 + 0 0 . chr6 3374376 3374377 chr6:3374377:C:T rs6921313 C T T EBF1_EBF_1 30 0 + 0 0 . chr6 3385682 3385683 chr6:3385683:T:C rs898547069 T C T EBF1_EBF_1 25 0 - 0 0 . chr6 3409163 3409164 chr6:3409164:G:C rs9503582 G C G EBF1_EBF_1 31 0 + 0 0 . chr6 3413348 3413349 chr6:3413349:T:C rs62391780 T C C EBF1_EBF_1 -1 0 + 0 0 . chr6 3418637 3418638 chr6:3418638:C:T rs13199900 C T C EBF1_EBF_1 9 1 - 5.574925480807616 2.9199862153600122 CCTCCCCAGGGGGA chr6 3424246 3424247 chr6:3424247:C:T rs9405201 C T C EBF1_EBF_1 26 0 + 0 0 . chr6 3438503 3438504 chr6:3438504:G:A rs12197689 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 3477940 3477941 chr6:3477941:A:C rs4959841 A C C EBF1_EBF_1 33 0 + 0 0 . chr6 3527075 3527076 chr6:3527076:A:G rs4959849 A G G EBF1_EBF_1 22 0 - 0 0 . chr6 3531051 3531052 chr6:3531052:A:G rs9328182 A G G EBF1_EBF_1 -10 0 - 0 0 . chr6 3561881 3561882 chr6:3561882:C:T rs13191086 C T T EBF1_EBF_1 -15 0 + 0 0 . chr6 3561884 3561885 chr6:3561885:G:T chr6:3561885:G:T G T G EBF1_EBF_1 -12 0 + 0 0 . chr6 3561902 3561903 chr6:3561903:C:G rs148772018 C G C EBF1_EBF_1 6 1 + 12.530685952097565 10.725493888624367 ATTCCCCTGGGACA chr6 3561948 3561949 chr6:3561949:C:G rs9502021 C G C EBF1_EBF_1 -19 0 + 0 0 . chr6 3561995 3561996 chr6:3561996:A:G rs6941311 A G A EBF1_EBF_1 28 0 + 0 0 . chr6 3571875 3571876 chr6:3571876:T:G rs2326287 T G G EBF1_EBF_1 32 0 - 0 0 . chr6 3571880 3571881 chr6:3571881:G:A rs1107246 G A A EBF1_EBF_1 27 0 - 0 0 . chr6 3571910 3571911 chr6:3571911:A:G rs59895218 A G A EBF1_EBF_1 -3 0 - 0 0 . chr6 3626657 3626658 chr6:3626658:C:T rs9378804 C T C EBF1_EBF_1 -11 0 + 0 0 . chr6 3650783 3650784 chr6:3650784:C:T rs552005393 C T C EBF1_EBF_1 -17 0 + 0 0 . chr6 3655044 3655045 chr6:3655045:G:C rs880647 G C G EBF1_EBF_1 0 1 + 6.968572322692954 6.605597708561188 GTTCCCCTGAGAGC chr6 3696816 3696817 chr6:3696817:T:C rs62392375 T C T EBF1_EBF_1 -11 0 + 0 0 . chr6 3707326 3707327 chr6:3707327:G:A rs72847705 G A G EBF1_EBF_1 22 0 + 0 0 . chr6 3707329 3707330 chr6:3707330:T:C rs72847707 T C T EBF1_EBF_1 25 0 + 0 0 . chr6 3710811 3710812 chr6:3710812:A:G rs9502063 A G A EBF1_EBF_1 31 0 - 0 0 . chr6 3712987 3712988 chr6:3712988:G:C rs1205005 G C G EBF1_EBF_1 3 1 - 9.0699455844908 3.242181136375584 CTCCCCTGGGGACT chr6 3724061 3724062 chr6:3724062:C:T rs757839196 C T C EBF1_EBF_1 20 0 - 0 0 . chr6 3737827 3737828 chr6:3737828:A:C rs2296260 A C A EBF1_EBF_1 6 1 - 8.875277390084038 6.768799197749082 CCTCCCTGGGGAAA chr6 3748276 3748277 chr6:3748277:C:G rs1547144 C G G EBF1_EBF_1 19 0 + 0 0 . chr6 3757388 3757389 chr6:3757389:C:G rs12198752 C G C EBF1_EBF_1 20 0 + 0 0 . chr6 3757860 3757861 chr6:3757861:G:A rs183879051 G A G EBF1_EBF_1 -20 0 + 0 0 . chr6 3765720 3765721 chr6:3765721:C:T rs10458162 C T T EBF1_EBF_1 14 0 - 0 0 . chr6 3777742 3777743 chr6:3777743:T:G rs111610028 T G T EBF1_EBF_1 -4 0 - 0 0 . chr6 3789420 3789421 chr6:3789421:C:T rs73722582 C T C EBF1_EBF_1 -1 0 + 0 0 . chr6 3804820 3804821 chr6:3804821:A:G rs6597000 A G G EBF1_EBF_1 26 0 - 0 0 . chr6 3830539 3830540 chr6:3830540:G:C rs2875910 G C C EBF1_EBF_1 -14 0 - 0 0 . chr6 3891366 3891367 chr6:3891367:T:C rs17320412 T C T EBF1_EBF_1 -15 0 + 0 0 . chr6 3906228 3906229 chr6:3906229:G:C rs75386405 G C G EBF1_EBF_1 10 1 + 9.966445988631587 3.0756436013021125 CTCCCCATGGGACT chr6 3921877 3921878 chr6:3921878:T:C rs35095545 T C g EBF1_EBF_1 -18 0 - 0 0 . chr6 3928288 3928289 chr6:3928289:C:T rs4492238 C T C EBF1_EBF_1 7 1 - 7.126960166658598 8.439418571947424 TGCCCCCGGGGACA chr6 3928289 3928290 chr6:3928290:G:A rs114714128 G A G EBF1_EBF_1 6 1 - 7.126960166658598 7.428246295520356 TGCCCCCGGGGACA chr6 3928298 3928299 chr6:3928299:G:A rs4460247 G A G EBF1_EBF_1 -3 0 - 0 0 . chr6 3987071 3987072 chr6:3987072:C:G rs371788126 C G C EBF1_EBF_1 30 0 + 0 0 . chr6 4018781 4018782 chr6:4018782:A:G rs117324833 A G A EBF1_EBF_1 24 0 + 0 0 . chr6 4019056 4019057 chr6:4019057:G:A chr6:4019057:G:A G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 4019064 4019065 chr6:4019065:T:G rs151095896 T G T EBF1_EBF_1 -6 0 + 0 0 . chr6 4041682 4041683 chr6:4041683:C:G rs1185840907 C G C EBF1_EBF_1 29 0 + 0 0 . chr6 4134990 4134991 chr6:4134991:C:A rs35071107 C A C EBF1_EBF_1 10 1 - 5.120927184849963 0.938174998622697 CCTCCCTGGGGTCC chr6 4156132 4156133 chr6:4156133:G:T rs1399210822 G T G EBF1_EBF_1 -16 0 - 0 0 . chr6 4156136 4156137 chr6:4156137:G:C rs549767439 G C A EBF1_EBF_1 -20 0 - 0 0 . chr6 4208401 4208402 chr6:4208402:A:G rs13213431 A G A EBF1_EBF_1 -18 0 + 0 0 . chr6 4294215 4294216 chr6:4294216:C:T rs913405 C T c EBF1_EBF_1 -3 0 + 0 0 . chr6 4294226 4294227 chr6:4294227:G:C rs61443195 G C g EBF1_EBF_1 8 1 + 10.732168831096875 5.41350997018219 TGTCCCCAGGGAAC chr6 4313616 4313617 chr6:4313617:C:T rs72846123 C T C EBF1_EBF_1 -19 0 + 0 0 . chr6 4328030 4328031 chr6:4328031:A:G rs142052642 A G A EBF1_EBF_1 1 1 + 10.157807031191954 10.418505863113255 AATCCCCTGAGAAT chr6 4357834 4357835 chr6:4357835:T:C chr6:4357835:T:C T C t EBF1_EBF_1 -9 0 - 0 0 . chr6 4358684 4358685 chr6:4358685:C:G rs942770136 C G C EBF1_EBF_1 26 0 - 0 0 . chr6 4358692 4358693 chr6:4358693:G:A rs376857677 G A G EBF1_EBF_1 18 0 - 0 0 . chr6 4361943 4361944 chr6:4361944:G:T rs9504062 G T G EBF1_EBF_1 22 0 + 0 0 . chr6 4370397 4370398 chr6:4370398:T:C rs12182970 T C T EBF1_EBF_1 -8 0 + 0 0 . chr6 4377791 4377792 chr6:4377792:C:T rs116701509 C T C EBF1_EBF_1 27 0 - 0 0 . chr6 4379128 4379129 chr6:4379129:T:C rs339290 T C T EBF1_EBF_1 24 0 - 0 0 . chr6 4379171 4379172 chr6:4379172:C:A rs4959946 C A C EBF1_EBF_1 -19 0 - 0 0 . chr6 4382630 4382631 chr6:4382631:A:G rs4142083 A G A EBF1_EBF_1 28 0 + 0 0 . chr6 4402399 4402400 chr6:4402400:A:G rs9502175 A G G EBF1_EBF_1 16 0 + 0 0 . chr6 4404068 4404069 chr6:4404069:T:C rs571161891 T C T EBF1_EBF_1 7 1 - 7.285969941905834 5.973511536617008 ACTTCCAAGGGACT chr6 4404087 4404088 chr6:4404088:C:T rs148479737 C T C EBF1_EBF_1 -12 0 - 0 0 . chr6 4427048 4427049 chr6:4427049:A:G rs9504097 A G G EBF1_EBF_1 6 1 + 5.31260785237004 3.145813421095566 AGTCCCAAGGTCAT chr6 4428680 4428681 chr6:4428681:A:G rs7755347 A G G EBF1_EBF_1 11 1 + 4.224687748737095 1.331980905133083 AACCACCTGGGACC chr6 4436636 4436637 chr6:4436637:T:C rs140110923 T C T EBF1_EBF_1 22 0 - 0 0 . chr6 4460257 4460258 chr6:4460258:C:T rs17138304 C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 4472352 4472353 chr6:4472353:A:G rs7746329 A G A EBF1_EBF_1 22 0 + 0 0 . chr6 4473791 4473792 chr6:4473792:T:C rs1265265 T C T EBF1_EBF_1 -12 0 + 0 0 . chr6 4482390 4482391 chr6:4482391:G:A rs4959994 G A A EBF1_EBF_1 -19 0 + 0 0 . chr6 4489996 4489997 chr6:4489997:C:G rs111982271 C G C EBF1_EBF_1 -12 0 - 0 0 . chr6 4489998 4489999 chr6:4489999:C:G rs1034223000 C G C EBF1_EBF_1 -14 0 - 0 0 . chr6 4490003 4490004 chr6:4490004:G:A rs957242536 G A G EBF1_EBF_1 -19 0 - 0 0 . chr6 4491258 4491259 chr6:4491259:G:A rs4959998 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 4497977 4497978 chr6:4497978:G:A rs11752793 G A G EBF1_EBF_1 12 1 - 4.174527277285636 3.148260706734786 CTACCCCAGGGGCA chr6 4510307 4510308 chr6:4510308:G:A rs6901298 G A A EBF1_EBF_1 -1 0 + 0 0 . chr6 4511435 4511436 chr6:4511436:G:A rs1265230 G A A EBF1_EBF_1 -9 0 - 0 0 . chr6 4565404 4565405 chr6:4565405:T:C rs368513 T C C EBF1_EBF_1 20 0 - 0 0 . chr6 4608937 4608938 chr6:4608938:T:C rs114989938 T C t EBF1_EBF_1 -17 0 + 0 0 . chr6 4616024 4616025 chr6:4616025:T:A rs9405755 T A A EBF1_EBF_1 -20 0 + 0 0 . chr6 4616068 4616069 chr6:4616069:G:A rs74563330 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 4647790 4647791 chr6:4647791:C:A rs375029517 C A C EBF1_EBF_1 -6 0 + 0 0 . chr6 4647810 4647811 chr6:4647811:C:G rs541341158 C G C EBF1_EBF_1 14 0 + 0 0 . chr6 4647813 4647814 chr6:4647814:G:T rs533198074 G T G EBF1_EBF_1 17 0 + 0 0 . chr6 4661609 4661610 chr6:4661610:C:A rs9378408 C A C EBF1_EBF_1 -4 0 + 0 0 . chr6 4663310 4663311 chr6:4663311:G:A rs9392619 G A G EBF1_EBF_1 -9 0 + 0 0 . chr6 4664511 4664512 chr6:4664512:G:A rs75826076 G A G EBF1_EBF_1 -18 0 + 0 0 . chr6 4673575 4673576 chr6:4673576:A:G rs422266 A G G EBF1_EBF_1 -6 0 + 0 0 . chr6 4673603 4673604 chr6:4673604:T:C rs401751 T C T EBF1_EBF_1 22 0 + 0 0 . chr6 4675765 4675766 chr6:4675766:C:T rs392108 C T T EBF1_EBF_1 -5 0 - 0 0 . chr6 4854058 4854059 chr6:4854059:C:T rs113248752 C T C EBF1_EBF_1 15 0 - 0 0 . chr6 4945539 4945540 chr6:4945540:C:T rs3789793 C T C EBF1_EBF_1 25 0 + 0 0 . chr6 4946998 4946999 chr6:4946999:A:T rs884777 A T A EBF1_EBF_1 33 0 + 0 0 . chr6 4972316 4972317 chr6:4972317:G:A rs2764165 G A A EBF1_EBF_1 23 0 + 0 0 . chr6 5030799 5030800 chr6:5030800:C:T rs139724663 C T . EBF1_EBF_1 -6 0 + 0 0 . chr6 5030800 5030801 chr6:5030801:C:T rs187831653 C T . EBF1_EBF_1 -5 0 + 0 0 . chr6 5030801 5030802 chr6:5030802:T:C rs73717608 T C . EBF1_EBF_1 -4 0 + 0 0 . chr6 5031479 5031480 chr6:5031480:A:G rs11967814 A G . EBF1_EBF_1 -5 0 + 0 0 . chr6 5033545 5033546 chr6:5033546:T:C rs2793251 T C . EBF1_EBF_1 19 0 + 0 0 . chr6 5051902 5051903 chr6:5051903:A:G rs151267713 A G a EBF1_EBF_1 32 0 - 0 0 . chr6 5073831 5073832 chr6:5073832:G:A rs191881970 G A G EBF1_EBF_1 31 0 - 0 0 . chr6 5083025 5083026 chr6:5083026:C:T rs115474228 C T C EBF1_EBF_1 21 0 - 0 0 . chr6 5084270 5084271 chr6:5084271:C:T rs74681181 C T C EBF1_EBF_1 3 1 + 8.532878966354762 2.1274802248014453 AATCCCTAGGTACC chr6 5084450 5084451 chr6:5084451:G:A rs531099273 G A G EBF1_EBF_1 -16 0 + 0 0 . chr6 5086999 5087000 chr6:5087000:G:A chr6:5087000:G:A G A G EBF1_EBF_1 23 0 + 0 0 . chr6 5124117 5124118 chr6:5124118:A:C rs7757651 A C A EBF1_EBF_1 -5 0 - 0 0 . chr6 5126306 5126307 chr6:5126307:A:G rs60464046 A G A EBF1_EBF_1 23 0 - 0 0 . chr6 5133029 5133030 chr6:5133030:G:A rs888509556 G A G EBF1_EBF_1 -1 0 + 0 0 . chr6 5134227 5134228 chr6:5134228:G:C rs113137588 G C G EBF1_EBF_1 -16 0 - 0 0 . chr6 5181612 5181613 chr6:5181613:C:G rs761361 C G C EBF1_EBF_1 26 0 - 0 0 . chr6 5191288 5191289 chr6:5191289:T:C rs17139752 T C T EBF1_EBF_1 26 0 + 0 0 . chr6 5260578 5260579 chr6:5260579:C:G rs7752203 C G C EBF1_EBF_1 -6 0 - 0 0 . chr6 5278241 5278242 chr6:5278242:T:G rs34350132 T G T EBF1_EBF_1 -11 0 + 0 0 . chr6 5472423 5472424 chr6:5472424:G:A rs760612 G A G EBF1_EBF_1 -11 0 - 0 0 . chr6 5481192 5481193 chr6:5481193:G:T rs545389372 G T G EBF1_EBF_1 20 0 - 0 0 . chr6 5481218 5481219 chr6:5481219:G:T rs34462694 G T G EBF1_EBF_1 -6 0 - 0 0 . chr6 5481755 5481756 chr6:5481756:C:T rs2503801 C T T EBF1_EBF_1 -12 0 - 0 0 . chr6 5513106 5513107 chr6:5513107:A:G rs7758106 A G G EBF1_EBF_1 -9 0 - 0 0 . chr6 5525454 5525455 chr6:5525455:C:T rs117151882 C T C EBF1_EBF_1 27 0 - 0 0 . chr6 5525486 5525487 chr6:5525487:G:C rs550085812 G C G EBF1_EBF_1 -5 0 - 0 0 . chr6 5702904 5702905 chr6:5702905:A:T rs9328328 A T A EBF1_EBF_1 16 0 + 0 0 . chr6 5736240 5736241 chr6:5736241:G:A rs79973685 G A G EBF1_EBF_1 9 1 + 12.836563812378467 10.181624546930863 ATTCCCCAGGGAGT chr6 5736420 5736421 chr6:5736421:T:C rs116004418 T C T EBF1_EBF_1 -13 0 - 0 0 . chr6 5741046 5741047 chr6:5741047:G:C rs6597162 G C G EBF1_EBF_1 10 1 + 6.389733144998523 -0.501069242330952 GTCCCCAAGGGCCT chr6 5741062 5741063 chr6:5741063:C:T rs78493685 C T C EBF1_EBF_1 26 0 + 0 0 . chr6 5746145 5746146 chr6:5746146:T:C rs9502335 T C T EBF1_EBF_1 1 1 + 4.4614536140340775 3.566020173635331 ATTCCCAGGCGGCC chr6 5762960 5762961 chr6:5762961:A:T rs6901527 A T A EBF1_EBF_1 29 0 - 0 0 . chr6 5770830 5770831 chr6:5770831:T:C rs114391578 T C T EBF1_EBF_1 -15 0 - 0 0 . chr6 5785451 5785452 chr6:5785452:C:G rs112050431 C G C EBF1_EBF_1 -18 0 + 0 0 . chr6 5785555 5785556 chr6:5785556:C:T rs1108304 C T T EBF1_EBF_1 8 1 + 3.881098511902821 2.3083245838403124 TCTCCCCGCGGACC chr6 5787540 5787541 chr6:5787541:C:T rs55991338 C T C EBF1_EBF_1 -2 0 + 0 0 . chr6 5787553 5787554 chr6:5787554:A:G rs55864325 A G A EBF1_EBF_1 11 1 + 7.1944581715154055 4.301751327911394 ATCCCCATGGTACC chr6 5827266 5827267 chr6:5827267:C:T rs1407794 C T C EBF1_EBF_1 31 0 - 0 0 . chr6 5829832 5829833 chr6:5829833:G:A rs1359052 G A g EBF1_EBF_1 1 1 - 4.357088796225159 5.252522236623904 CCTCCCGAGGGTAC chr6 5892928 5892929 chr6:5892929:C:G rs9405877 C G G EBF1_EBF_1 17 0 - 0 0 . chr6 5905940 5905941 chr6:5905941:C:T rs536007148 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 5905971 5905972 chr6:5905972:C:T rs138436737 C T C EBF1_EBF_1 23 0 + 0 0 . chr6 5934766 5934767 chr6:5934767:A:G rs6935416 A G A EBF1_EBF_1 13 1 - 6.1067949168898545 5.2623008023043365 ATTCCCTTCAGAAT chr6 5974496 5974497 chr6:5974497:G:C rs72827738 G C C EBF1_EBF_1 31 0 + 0 0 . chr6 5995257 5995258 chr6:5995258:C:A rs12207020 C A A EBF1_EBF_1 18 0 - 0 0 . chr6 5996149 5996150 chr6:5996150:T:C rs929057370 T C T EBF1_EBF_1 19 0 - 0 0 . chr6 5997246 5997247 chr6:5997247:G:A rs2326688 G A G EBF1_EBF_1 10 1 + 3.154704475959411 -2.1633239833075555 CCCCCCCCGGGGCC chr6 5999230 5999231 chr6:5999231:C:G rs3749860 C G G EBF1_EBF_1 20 0 - 0 0 . chr6 6006549 6006550 chr6:6006550:A:G rs149742945 A G A EBF1_EBF_1 7 1 + 8.201509510960125 6.889051105671299 AGTCCCCAGGAACT chr6 6008625 6008626 chr6:6008626:G:C rs912020 G C G EBF1_EBF_1 26 0 - 0 0 . chr6 6008664 6008665 chr6:6008665:T:C rs111957079 T C T EBF1_EBF_1 -13 0 - 0 0 . chr6 6086515 6086516 chr6:6086516:A:G rs74362228 A G A EBF1_EBF_1 30 0 + 0 0 . chr6 6122077 6122078 chr6:6122078:A:C rs72815022 A C C EBF1_EBF_1 15 0 - 0 0 . chr6 6122078 6122079 chr6:6122079:G:A rs72815023 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 6131748 6131749 chr6:6131749:C:T rs762538013 C T C EBF1_EBF_1 31 0 + 0 0 . chr6 6271380 6271381 chr6:6271381:G:T rs13196774 G T T EBF1_EBF_1 5 1 - 4.885405051112114 -2.019476101523054 TTTCCCAGGGTAGC chr6 6289848 6289849 chr6:6289849:T:C rs11243070 T C T EBF1_EBF_1 2 1 + 8.43277169599884 6.5439482845566905 ATTCCCCTGGGTCA chr6 6292126 6292127 chr6:6292127:G:A rs558354152 G A G EBF1_EBF_1 -10 0 - 0 0 . chr6 6317839 6317840 chr6:6317840:G:C rs3024322 G C G EBF1_EBF_1 17 0 - 0 0 . chr6 6333220 6333221 chr6:6333221:G:T rs182652081 G T G EBF1_EBF_1 14 0 + 0 0 . chr6 6412559 6412560 chr6:6412560:A:T rs9504802 A T t EBF1_EBF_1 26 0 - 0 0 . chr6 6467342 6467343 chr6:6467343:T:C rs139915583 T C T EBF1_EBF_1 7 1 - 7.114139228673773 5.801680823384948 AGTTCCCAGGGACT chr6 6467361 6467362 chr6:6467362:G:T rs186472037 G T G EBF1_EBF_1 -12 0 - 0 0 . chr6 6470658 6470659 chr6:6470659:T:C rs12198111 T C C EBF1_EBF_1 18 0 - 0 0 . chr6 6494809 6494810 chr6:6494810:G:A rs140829063 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 6516855 6516856 chr6:6516856:G:A rs708052 G A G EBF1_EBF_1 11 1 - 6.447545691560055 6.765593133313719 TTTCCCCAGGGCGT chr6 6543283 6543284 chr6:6543284:C:G rs6921725 C G G EBF1_EBF_1 -17 0 - 0 0 . chr6 6543626 6543627 chr6:6543627:G:A rs138493941 G A G EBF1_EBF_1 -4 0 + 0 0 . chr6 6565478 6565479 chr6:6565479:T:A rs9379042 T A T EBF1_EBF_1 17 0 + 0 0 . chr6 6587096 6587097 chr6:6587097:G:A rs5743638 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 6587316 6587317 chr6:6587317:C:T rs5743642 C T C EBF1_EBF_1 -8 0 - 0 0 . chr6 6588571 6588572 chr6:6588572:G:A rs2233119 G A G EBF1_EBF_1 -12 0 - 0 0 . chr6 6600874 6600875 chr6:6600875:C:A rs372422250 C A C EBF1_EBF_1 -11 0 - 0 0 . chr6 6607057 6607058 chr6:6607058:G:T rs35349549 G T G EBF1_EBF_1 -3 0 + 0 0 . chr6 6641939 6641940 chr6:6641940:C:T rs7750466 C T C EBF1_EBF_1 14 0 + 0 0 . chr6 6661209 6661210 chr6:6661210:T:A rs4959395 T A T EBF1_EBF_1 -11 0 - 0 0 . chr6 6661216 6661217 chr6:6661217:A:C rs4959396 A C C EBF1_EBF_1 -18 0 - 0 0 . chr6 6686687 6686688 chr6:6686688:C:A rs57137490 C A G EBF1_EBF_1 -20 0 + 0 0 . chr6 6695935 6695936 chr6:6695936:G:T rs74642172 G T G EBF1_EBF_1 -12 0 - 0 0 . chr6 6704465 6704466 chr6:6704466:G:A rs6597226 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 6713625 6713626 chr6:6713626:G:A rs126459 G A A EBF1_EBF_1 23 0 + 0 0 . chr6 6725361 6725362 chr6:6725362:G:C rs57602360 G C G EBF1_EBF_1 32 0 - 0 0 . chr6 6788838 6788839 chr6:6788839:G:C rs1169686342 G C G EBF1_EBF_1 4 1 - 6.170666151441513 0.5449010502919691 TCTCCCAAGAGGCT chr6 6788848 6788849 chr6:6788849:C:T rs74606891 C T C EBF1_EBF_1 -6 0 - 0 0 . chr6 6803737 6803738 chr6:6803738:C:T rs1317391 C T T EBF1_EBF_1 -9 0 - 0 0 . chr6 6811716 6811717 chr6:6811717:G:A rs35938076 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 6822808 6822809 chr6:6822809:A:G rs17566008 A G A EBF1_EBF_1 21 0 + 0 0 . chr6 6825549 6825550 chr6:6825550:A:G rs1892456 A G G EBF1_EBF_1 14 0 - 0 0 . chr6 6857117 6857118 chr6:6857118:A:G rs115351886 A G a EBF1_EBF_1 23 0 - 0 0 . chr6 6906263 6906264 chr6:6906264:A:G rs2148977 A G G EBF1_EBF_1 -11 0 - 0 0 . chr6 6941091 6941092 chr6:6941092:C:T rs55766769 C T c EBF1_EBF_1 18 0 - 0 0 . chr6 6952650 6952651 chr6:6952651:C:G rs181030974 C G c EBF1_EBF_1 4 1 + 5.217448249174201 -0.40831685197534373 CTCCCCAAGGGGCG chr6 6955008 6955009 chr6:6955009:T:G rs6938141 T G g EBF1_EBF_1 12 1 + 5.274749755084519 4.912380381957218 CATCCCCTAGGATT chr6 6973612 6973613 chr6:6973613:G:A rs9405990 G A A EBF1_EBF_1 8 1 + 3.9753997212381287 -0.25089036764813194 GGCCCCCCGAGAAC chr6 6973631 6973632 chr6:6973632:G:T rs9405991 G T T EBF1_EBF_1 27 0 + 0 0 . chr6 6975867 6975868 chr6:6975868:G:T rs10458203 G T G EBF1_EBF_1 1 1 - 8.352960452125348 8.282033274773262 CCTCCCATGGGAGA chr6 6986526 6986527 chr6:6986527:T:A rs6597244 T A a EBF1_EBF_1 22 0 + 0 0 . chr6 6995068 6995069 chr6:6995069:A:G rs77682609 A G A EBF1_EBF_1 16 0 - 0 0 . chr6 7008757 7008758 chr6:7008758:G:A rs146536064 G A G EBF1_EBF_1 -6 0 - 0 0 . chr6 7058282 7058283 chr6:7058283:G:C rs62393575 G C G EBF1_EBF_1 6 1 + 6.189041588766141 7.9942336522393385 CTTCCCGTGAGACC chr6 7058303 7058304 chr6:7058304:C:T rs79683018 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 7156502 7156503 chr6:7156503:C:T rs9406000 C T C EBF1_EBF_1 -3 0 - 0 0 . chr6 7166097 7166098 chr6:7166098:A:G rs76903946 A G A EBF1_EBF_1 27 0 + 0 0 . chr6 7228228 7228229 chr6:7228229:A:C rs2714312 A C C EBF1_EBF_1 24 0 + 0 0 . chr6 7263012 7263013 chr6:7263013:G:C rs9392869 G C G EBF1_EBF_1 -7 0 - 0 0 . chr6 7274041 7274042 chr6:7274042:C:T rs9505108 C T C EBF1_EBF_1 8 1 - 7.282379574990103 3.0560894861038417 CATCCCCAGGGAGG chr6 7315445 7315446 chr6:7315446:T:C rs62387352 T C C EBF1_EBF_1 -14 0 + 0 0 . chr6 7315483 7315484 chr6:7315484:G:A rs116204244 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 7390103 7390104 chr6:7390104:G:T rs78739624 G T T EBF1_EBF_1 33 0 - 0 0 . chr6 7390107 7390108 chr6:7390108:G:T rs114514364 G T T EBF1_EBF_1 29 0 - 0 0 . chr6 7390135 7390136 chr6:7390136:T:C rs2296358 T C C EBF1_EBF_1 1 1 - 4.350522709100636 4.611221541021937 CACCCCAAGGGGGC chr6 7390136 7390137 chr6:7390137:G:T rs6911944 G T G EBF1_EBF_1 0 1 - 4.350522709100636 6.605781192797235 CACCCCAAGGGGGC chr6 7454918 7454919 chr6:7454919:C:T rs2147402 C T T EBF1_EBF_1 31 0 - 0 0 . chr6 7464036 7464037 chr6:7464037:G:A rs74678779 G A g EBF1_EBF_1 19 0 - 0 0 . chr6 7551938 7551939 chr6:7551939:G:T rs2237104 G T G EBF1_EBF_1 18 0 - 0 0 . chr6 7561415 7561416 chr6:7561416:A:G rs9505231 A G A EBF1_EBF_1 -12 0 + 0 0 . chr6 7561427 7561428 chr6:7561428:A:G rs2757632 A G G EBF1_EBF_1 0 1 + 10.619404453121465 8.727120583556633 ATTCCCAGGAGACT chr6 7568193 7568194 chr6:7568194:C:T rs114392752 C T C EBF1_EBF_1 -19 0 - 0 0 . chr6 7726553 7726554 chr6:7726554:C:T rs115422716 C T C EBF1_EBF_1 5 1 + 5.286897361527901 -0.03488985401276784 AGTCGCCAGGGAGA chr6 7726575 7726576 chr6:7726576:C:A rs35817144 C A C EBF1_EBF_1 27 0 + 0 0 . chr6 7727697 7727698 chr6:7727698:G:A rs77624055 G A G EBF1_EBF_1 -11 0 - 0 0 . chr6 7729380 7729381 chr6:7729381:C:T rs270417 C T T EBF1_EBF_1 8 1 - 5.036659599093891 0.8103695102076296 ACCCCCAAGAGGCA chr6 7826786 7826787 chr6:7826787:C:T rs7742651 C T C EBF1_EBF_1 13 1 - 4.946670228740071 6.0609958008095095 GCTCCCATGAGAGG chr6 7826792 7826793 chr6:7826793:A:G rs7762316 A G G EBF1_EBF_1 7 1 - 4.946670228740071 3.129165482457447 GCTCCCATGAGAGG chr6 7870126 7870127 chr6:7870127:C:A rs66744984 C A C EBF1_EBF_1 -15 0 + 0 0 . chr6 7881971 7881972 chr6:7881972:G:A rs7764128 G A A EBF1_EBF_1 18 0 + 0 0 . chr6 7887934 7887935 chr6:7887935:C:T rs89715 C T C EBF1_EBF_1 4 1 + 5.198741795542633 0.8293548505977086 GCTCCTTAGGGACA chr6 7894560 7894561 chr6:7894561:G:T rs1238994 G T G EBF1_EBF_1 14 0 - 0 0 . chr6 7910118 7910119 chr6:7910119:A:C rs2757559 A C C EBF1_EBF_1 6 1 - 6.071998426392359 3.965520234057404 GACCCCTAGGGGAC chr6 7911058 7911059 chr6:7911059:C:T rs958812864 C T C EBF1_EBF_1 -4 0 + 0 0 . chr6 7911065 7911066 chr6:7911066:C:G chr6:7911066:C:G C G C EBF1_EBF_1 3 1 + 8.514942374901064 2.68717792678585 TTTCCCCAGGGGCC chr6 7911065 7911066 chr6:7911066:C:T rs778384512 C T C EBF1_EBF_1 3 1 + 8.514942374901064 2.109543633347749 TTTCCCCAGGGGCC chr6 7917821 7917822 chr6:7917822:G:A rs72829255 G A g EBF1_EBF_1 13 1 + 4.111513804454883 5.225839376524322 AGTCCCCAGGTGCG chr6 7922787 7922788 chr6:7922788:C:A rs116164036 C A C EBF1_EBF_1 -9 0 + 0 0 . chr6 7922791 7922792 chr6:7922792:G:T rs72829257 G T G EBF1_EBF_1 -5 0 + 0 0 . chr6 7939858 7939859 chr6:7939859:A:G rs17143214 A G A EBF1_EBF_1 19 0 + 0 0 . chr6 8001044 8001045 chr6:8001045:A:C rs910552 A C A EBF1_EBF_1 -9 0 - 0 0 . chr6 8127221 8127222 chr6:8127222:G:A rs2327021 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 8475945 8475946 chr6:8475946:T:C rs9392225 T C C EBF1_EBF_1 -9 0 + 0 0 . chr6 8481875 8481876 chr6:8481876:C:T rs147098152 C T C EBF1_EBF_1 32 0 - 0 0 . chr6 8481923 8481924 chr6:8481924:G:A rs6597327 G A A EBF1_EBF_1 -16 0 - 0 0 . chr6 8581552 8581553 chr6:8581553:T:C rs9392227 T C T EBF1_EBF_1 13 1 + 5.94089960824646 5.096405493660942 CCTCCCAGGGTACT chr6 8603113 8603114 chr6:8603114:G:A rs77744636 G A G EBF1_EBF_1 3 1 - 5.091688249616784 -1.3137104919365339 AATCCCGAGAGGAC chr6 8619940 8619941 chr6:8619941:A:G rs772781149 A G A EBF1_EBF_1 -4 0 + 0 0 . chr6 8635218 8635219 chr6:8635219:C:A rs9393050 C A C EBF1_EBF_1 33 0 + 0 0 . chr6 8658822 8658823 chr6:8658823:C:T rs115327173 C T C EBF1_EBF_1 -12 0 - 0 0 . chr6 8705082 8705083 chr6:8705083:G:A rs2987568 G A A EBF1_EBF_1 -2 0 + 0 0 . chr6 8781099 8781100 chr6:8781100:A:G rs9406198 A G a EBF1_EBF_1 -1 0 - 0 0 . chr6 9096470 9096471 chr6:9096471:A:G rs139303544 A G A EBF1_EBF_1 18 0 + 0 0 . chr6 9292340 9292341 chr6:9292341:A:C rs1013530 A C C EBF1_EBF_1 0 1 - 7.691374922433732 7.772147475834919 TTTCCCTTGGGCAT chr6 9314944 9314945 chr6:9314945:T:C rs9467766 T C T EBF1_EBF_1 -6 0 + 0 0 . chr6 9480813 9480814 chr6:9480814:C:T rs1543351 C T T EBF1_EBF_1 12 1 + 7.4510268550871 6.424760284536251 ATTCCCTAGGTGCT chr6 9504246 9504247 chr6:9504247:C:T rs9472496 C T C EBF1_EBF_1 -20 0 + 0 0 . chr6 9680969 9680970 chr6:9680970:C:A rs74325107 C A t EBF1_EBF_1 -16 0 + 0 0 . chr6 9705249 9705250 chr6:9705250:G:A rs74387865 G A G EBF1_EBF_1 8 1 + 9.252295310134873 5.02600522124861 AGTCCCATGGTAAT chr6 9772930 9772931 chr6:9772931:G:A rs1206917 G A A EBF1_EBF_1 -11 0 + 0 0 . chr6 9987381 9987382 chr6:9987382:T:G rs201262 T G T EBF1_EBF_1 -5 0 + 0 0 . chr6 10070461 10070462 chr6:10070462:G:C rs9383386 G C G EBF1_EBF_1 27 0 + 0 0 . chr6 10073534 10073535 chr6:10073535:C:A rs4085948 C A A EBF1_EBF_1 24 0 + 0 0 . chr6 10112346 10112347 chr6:10112347:T:G rs1209347 T G T EBF1_EBF_1 -9 0 - 0 0 . chr6 10268244 10268245 chr6:10268245:T:G rs2184175 T G T EBF1_EBF_1 7 1 + 5.509979339877927 4.673795174676658 ACTCCCATGGCAAC chr6 10411191 10411192 chr6:10411192:C:T rs12206226 C T C EBF1_EBF_1 9 1 - 5.448486712667199 2.793547447219595 CTACCCTCGGGACC chr6 10416404 10416405 chr6:10416405:G:T rs303054 G T T EBF1_EBF_1 -14 0 - 0 0 . chr6 10419242 10419243 chr6:10419243:C:T rs303055 C T T EBF1_EBF_1 32 0 - 0 0 . chr6 10419278 10419279 chr6:10419279:C:T chr6:10419279:C:T C T C EBF1_EBF_1 -4 0 - 0 0 . chr6 10419864 10419865 chr6:10419865:C:T rs1621700 C T C EBF1_EBF_1 -4 0 + 0 0 . chr6 10422290 10422291 chr6:10422291:G:A rs16870282 G A G EBF1_EBF_1 10 1 + 6.302378030655929 0.9843495713889625 GTTCCCAAGAGGCC chr6 10461497 10461498 chr6:10461498:A:C rs470245 A C C EBF1_EBF_1 21 0 - 0 0 . chr6 10462581 10462582 chr6:10462582:A:G rs9379383 A G A EBF1_EBF_1 26 0 - 0 0 . chr6 10519026 10519027 chr6:10519027:C:G rs9295570 C G C EBF1_EBF_1 30 0 + 0 0 . chr6 10521413 10521414 chr6:10521414:A:G rs183987328 A G A EBF1_EBF_1 18 0 + 0 0 . chr6 10534508 10534509 chr6:10534509:T:C rs571153434 T C T EBF1_EBF_1 28 0 + 0 0 . chr6 10554956 10554957 chr6:10554957:C:T rs114797187 C T C EBF1_EBF_1 33 0 - 0 0 . chr6 10554993 10554994 chr6:10554994:A:G rs147014595 A G A EBF1_EBF_1 -4 0 - 0 0 . chr6 10583491 10583492 chr6:10583492:T:C rs28360560 T C T EBF1_EBF_1 -2 0 - 0 0 . chr6 10584976 10584977 chr6:10584977:T:C rs487689 T C C EBF1_EBF_1 -14 0 + 0 0 . chr6 10585746 10585747 chr6:10585747:A:G rs111240453 A G G EBF1_EBF_1 2 1 + 5.4936280744190515 2.4297699718174606 CAACCCTGGGGAAC chr6 10585772 10585773 chr6:10585773:A:C rs569693 A C C EBF1_EBF_1 28 0 + 0 0 . chr6 10724326 10724327 chr6:10724327:A:G rs3756954 A G G EBF1_EBF_1 23 0 + 0 0 . chr6 10759368 10759369 chr6:10759369:G:A rs138599860 G A G EBF1_EBF_1 -7 0 + 0 0 . chr6 10962016 10962017 chr6:10962017:A:G rs2295600 A G A EBF1_EBF_1 17 0 - 0 0 . chr6 10963206 10963207 chr6:10963207:C:T rs72823376 C T C EBF1_EBF_1 7 1 - 6.697958609757008 8.010417015045832 AACCCCAGGGGAGG chr6 11070200 11070201 chr6:11070201:C:A rs4711170 C A C EBF1_EBF_1 16 0 + 0 0 . chr6 11088212 11088213 chr6:11088213:A:G rs116495592 A G A EBF1_EBF_1 -16 0 - 0 0 . chr6 11093876 11093877 chr6:11093877:C:A rs1047158570 C A C EBF1_EBF_1 13 1 - 6.476238676136432 8.808803812077365 AGACCCCAGGGATG chr6 11094159 11094160 chr6:11094160:C:G rs534671700 C G C EBF1_EBF_1 4 1 + 5.20449864824371 -0.42126645290583387 ACCCCCTGGGGGCG chr6 11107042 11107043 chr6:11107043:G:A rs6913027 G A A EBF1_EBF_1 -15 0 - 0 0 . chr6 11120920 11120921 chr6:11120921:G:A rs78184678 G A G EBF1_EBF_1 -6 0 - 0 0 . chr6 11366540 11366541 chr6:11366541:A:C rs74722432 A C A EBF1_EBF_1 15 0 - 0 0 . chr6 11402702 11402703 chr6:11402703:G:A rs12210644 G A G EBF1_EBF_1 -17 0 + 0 0 . chr6 11402710 11402711 chr6:11402711:C:T rs12525719 C T T EBF1_EBF_1 -9 0 + 0 0 . chr6 11423604 11423605 chr6:11423605:G:A rs9380275 G A A EBF1_EBF_1 -5 0 - 0 0 . chr6 11423607 11423608 chr6:11423608:T:C rs80350309 T C T EBF1_EBF_1 -8 0 - 0 0 . chr6 11485568 11485569 chr6:11485569:T:G rs9394109 T G T EBF1_EBF_1 -17 0 + 0 0 . chr6 11487825 11487826 chr6:11487826:G:A rs10947330 G A G EBF1_EBF_1 15 0 - 0 0 . chr6 11492442 11492443 chr6:11492443:A:C rs12524640 A C A EBF1_EBF_1 -2 0 + 0 0 . chr6 11538330 11538331 chr6:11538331:C:T rs76140838 C T C EBF1_EBF_1 24 0 - 0 0 . chr6 11589316 11589317 chr6:11589317:G:A rs645198 G A G EBF1_EBF_1 8 1 + 7.167985043530412 2.9416949546441513 AATCCCTAGAGGCC chr6 11609391 11609392 chr6:11609392:C:T rs140479331 C T C EBF1_EBF_1 -5 0 - 0 0 . chr6 11622507 11622508 chr6:11622508:G:A rs111245212 G A G EBF1_EBF_1 29 0 - 0 0 . chr6 11622534 11622535 chr6:11622535:G:A chr6:11622535:G:A G A G EBF1_EBF_1 2 1 - 5.051924783397312 6.940748194839461 TCCCCCCAGGGCCT chr6 11622534 11622535 chr6:11622535:G:C rs565222 G C G EBF1_EBF_1 2 1 - 5.051924783397312 0.19242250463899246 TCCCCCCAGGGCCT chr6 11654497 11654498 chr6:11654498:G:A rs146381466 G A G EBF1_EBF_1 33 0 - 0 0 . chr6 11675450 11675451 chr6:11675451:C:A rs9368824 C A C EBF1_EBF_1 -18 0 - 0 0 . chr6 11692913 11692914 chr6:11692914:C:A rs6934095 C A A EBF1_EBF_1 -11 0 + 0 0 . chr6 11738326 11738327 chr6:11738327:G:C rs2235414 G C G EBF1_EBF_1 32 0 + 0 0 . chr6 11742846 11742847 chr6:11742847:C:T rs2235413 C T - EBF1_EBF_1 9 1 - 5.449861846718537 2.7949225812709337 ACACCCATGGGGAA chr6 11755908 11755909 chr6:11755909:T:C rs9368864 T C C EBF1_EBF_1 6 1 - 7.284418013356286 5.117623582081811 CATCCCAAGGGGAA chr6 11770917 11770918 chr6:11770918:T:C rs149009350 T C t EBF1_EBF_1 -18 0 - 0 0 . chr6 11770952 11770953 chr6:11770953:C:T chr6:11770953:C:T C T c EBF1_EBF_1 22 0 - 0 0 . chr6 11770992 11770993 chr6:11770993:G:A rs145704363 G A g EBF1_EBF_1 -18 0 - 0 0 . chr6 11778854 11778855 chr6:11778855:C:T rs2076189 C T t EBF1_EBF_1 -8 0 + 0 0 . chr6 11779383 11779384 chr6:11779384:C:A rs9470068 C A G EBF1_EBF_1 -11 0 + 0 0 . chr6 11782738 11782739 chr6:11782739:C:T rs58899650 C T C EBF1_EBF_1 19 0 + 0 0 . chr6 11798244 11798245 chr6:11798245:C:T rs75890853 C T C EBF1_EBF_1 15 0 - 0 0 . chr6 11803203 11803204 chr6:11803204:A:C rs9368892 A C A EBF1_EBF_1 21 0 + 0 0 . chr6 11810310 11810311 chr6:11810311:G:T rs114962903 G T G EBF1_EBF_1 27 0 + 0 0 . chr6 11827274 11827275 chr6:11827275:A:G rs9470216 A G A EBF1_EBF_1 -17 0 - 0 0 . chr6 11917836 11917837 chr6:11917837:G:A rs147588741 G A G EBF1_EBF_1 18 0 + 0 0 . chr6 11918348 11918349 chr6:11918349:T:C rs876829 T C T EBF1_EBF_1 -8 0 - 0 0 . chr6 12009372 12009373 chr6:12009373:C:T rs1246927163 C T C EBF1_EBF_1 31 0 - 0 0 . chr6 12009405 12009406 chr6:12009406:T:C rs147016340 T C T EBF1_EBF_1 -2 0 - 0 0 . chr6 12011286 12011287 chr6:12011287:C:T rs1286867888 C T C EBF1_EBF_1 29 0 + 0 0 . chr6 12011287 12011288 chr6:12011288:T:C rs941065778 T C T EBF1_EBF_1 30 0 + 0 0 . chr6 12011893 12011894 chr6:12011894:C:T rs1385278424 C T C EBF1_EBF_1 -19 0 + 0 0 . chr6 12011927 12011928 chr6:12011928:C:T rs1055180892 C T C EBF1_EBF_1 15 0 + 0 0 . chr6 12012069 12012070 chr6:12012070:G:T chr6:12012070:G:T G T G EBF1_EBF_1 -17 0 - 0 0 . chr6 12113415 12113416 chr6:12113416:C:G rs112175599 C G C EBF1_EBF_1 32 0 + 0 0 . chr6 12233767 12233768 chr6:12233768:C:T rs534204255 C T C EBF1_EBF_1 33 0 - 0 0 . chr6 12242163 12242164 chr6:12242164:A:T rs10947862 A T A EBF1_EBF_1 32 0 - 0 0 . chr6 12288957 12288958 chr6:12288958:T:A rs1800784 T A T EBF1_EBF_1 33 0 + 0 0 . chr6 12298172 12298173 chr6:12298173:T:C rs10478735 T C T EBF1_EBF_1 -17 0 - 0 0 . chr6 12371710 12371711 chr6:12371711:C:T rs1416552881 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 12387886 12387887 chr6:12387887:A:G rs7738541 A G A EBF1_EBF_1 5 1 - 6.170095014488281 11.491882230028947 ATCCCTCAGGGACC chr6 12396307 12396308 chr6:12396308:G:A rs77186192 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 12401677 12401678 chr6:12401678:C:T rs2050289 C T T EBF1_EBF_1 -15 0 + 0 0 . chr6 12468036 12468037 chr6:12468037:T:A rs61687151 T A T EBF1_EBF_1 15 0 - 0 0 . chr6 12602597 12602598 chr6:12602598:C:T rs114941204 C T C EBF1_EBF_1 10 1 - 6.999586673653486 1.68155821438652 TTTCCCCAAGGATT chr6 12605941 12605942 chr6:12605942:T:G rs570182026 T G T EBF1_EBF_1 7 1 - 8.084783998707477 5.791005012337298 TTACCCAAGGGACC chr6 12848798 12848799 chr6:12848799:C:T rs9296487 C T C EBF1_EBF_1 23 0 + 0 0 . chr6 12887232 12887233 chr6:12887233:G:A rs10456561 G A G EBF1_EBF_1 3 1 - 6.079575754331887 -0.3258229872214305 ATTCCCCGAGGAGA chr6 12963608 12963609 chr6:12963609:G:A rs4715015 G A G EBF1_EBF_1 3 1 - 5.842642255031865 -0.5627564865214523 ACTCCCAGGGAACA chr6 12963609 12963610 chr6:12963610:A:C rs4711877 A C C EBF1_EBF_1 2 1 - 5.842642255031865 -0.9056834351686032 ACTCCCAGGGAACA chr6 13026319 13026320 chr6:13026320:T:A rs4492189 T A T EBF1_EBF_1 -20 0 - 0 0 . chr6 13198341 13198342 chr6:13198342:G:A rs34624425 G A G EBF1_EBF_1 -3 0 - 0 0 . chr6 13238269 13238270 chr6:13238270:G:T rs364419 G T T EBF1_EBF_1 4 1 - 6.389580588007324 0.5650209645538562 TACCCCATGGGGCT chr6 13263093 13263094 chr6:13263094:G:C rs58682053 G C G EBF1_EBF_1 17 0 - 0 0 . chr6 13267389 13267390 chr6:13267390:T:G rs68050381 T G T EBF1_EBF_1 0 1 - 5.616679843497701 3.361421359801103 ATTCCCTGGAGTCC chr6 13272415 13272416 chr6:13272416:C:A rs368581872 C A C EBF1_EBF_1 11 1 + 4.599821880248359 9.015783578100748 TGTCCCCGGGGCCA chr6 13276312 13276313 chr6:13276313:G:C rs2235173 G C G EBF1_EBF_1 24 0 - 0 0 . chr6 13283691 13283692 chr6:13283692:A:G rs416831 A G G EBF1_EBF_1 -13 0 + 0 0 . chr6 13283697 13283698 chr6:13283698:C:T rs434923 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 13283731 13283732 chr6:13283732:G:A rs369946 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 13299484 13299485 chr6:13299485:C:A rs570338 C A C EBF1_EBF_1 25 0 + 0 0 . chr6 13342714 13342715 chr6:13342715:G:A rs562077798 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 13355933 13355934 chr6:13355934:A:G rs821287 A G A EBF1_EBF_1 0 1 - 5.367280206848968 5.0850781461183905 TATCCCCTGGGCTT chr6 13371711 13371712 chr6:13371712:G:C rs9474036 G C G EBF1_EBF_1 8 1 + 5.967804700308257 0.6491458393935707 AGTCCCCTGGTGCT chr6 13395325 13395326 chr6:13395326:T:C rs536916 T C T EBF1_EBF_1 -17 0 + 0 0 . chr6 13395341 13395342 chr6:13395342:A:G rs500293 A G G EBF1_EBF_1 -1 0 + 0 0 . chr6 13395352 13395353 chr6:13395353:G:A rs536998 G A G EBF1_EBF_1 10 1 + 4.952426587570019 -0.36560187169694736 TCTCCCAAGAGGCA chr6 13395903 13395904 chr6:13395904:G:A rs563424 G A G EBF1_EBF_1 27 0 - 0 0 . chr6 13414189 13414190 chr6:13414190:C:T chr6:13414190:C:T C T C EBF1_EBF_1 10 1 - 7.3399163608276785 2.021887901560713 TTTCCCCAGGGTAC chr6 13422009 13422010 chr6:13422010:G:A rs2165419 G A A EBF1_EBF_1 -18 0 - 0 0 . chr6 13457892 13457893 chr6:13457893:C:T rs2560759 C T C EBF1_EBF_1 20 0 - 0 0 . chr6 13462172 13462173 chr6:13462173:G:A rs9367488 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 13487398 13487399 chr6:13487399:T:C rs2494773 T C C EBF1_EBF_1 20 0 - 0 0 . chr6 13487414 13487415 chr6:13487415:G:T rs76456719 G T G EBF1_EBF_1 4 1 - 6.661267755393564 0.8367081319400977 ATTCCCAACGGAGA chr6 13512747 13512748 chr6:13512748:A:G rs2560783 A G G EBF1_EBF_1 28 0 - 0 0 . chr6 13558019 13558020 chr6:13558020:C:T rs74579403 C T C EBF1_EBF_1 30 0 - 0 0 . chr6 13558052 13558053 chr6:13558053:A:C rs114735215 A C A EBF1_EBF_1 -3 0 - 0 0 . chr6 13574386 13574387 chr6:13574387:T:C rs538580422 T C T EBF1_EBF_1 14 0 - 0 0 . chr6 13650581 13650582 chr6:13650582:G:A rs62387399 G A G EBF1_EBF_1 -1 0 - 0 0 . chr6 13712042 13712043 chr6:13712043:T:C rs545153026 T C T EBF1_EBF_1 -1 0 - 0 0 . chr6 13712058 13712059 chr6:13712059:C:G rs556417781 C G C EBF1_EBF_1 -17 0 - 0 0 . chr6 13755098 13755099 chr6:13755099:G:A rs55885615 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 13795090 13795091 chr6:13795091:A:G rs74423890 A G G EBF1_EBF_1 13 1 - 9.943924065713265 9.099429951127746 AGTCCCAGGAGAAT chr6 13841371 13841372 chr6:13841372:C:A rs931322891 C A C EBF1_EBF_1 27 0 - 0 0 . chr6 13845952 13845953 chr6:13845953:G:A rs1204180 G A a EBF1_EBF_1 26 0 - 0 0 . chr6 13846899 13846900 chr6:13846900:T:C rs6925254 T C T EBF1_EBF_1 27 0 - 0 0 . chr6 13861117 13861118 chr6:13861118:C:T rs60709451 C T T EBF1_EBF_1 9 1 - 4.87123947917803 2.2163002137304253 CATCCCCAGGAAAC chr6 13861124 13861125 chr6:13861125:A:T rs12529578 A T G EBF1_EBF_1 2 1 - 4.87123947917803 1.1867718915791527 CATCCCCAGGAAAC chr6 13861133 13861134 chr6:13861134:G:C rs59518928 G C G EBF1_EBF_1 -7 0 - 0 0 . chr6 14012921 14012922 chr6:14012922:G:A rs1997681 G A g EBF1_EBF_1 27 0 - 0 0 . chr6 14044371 14044372 chr6:14044372:A:G rs529982347 A G A EBF1_EBF_1 14 0 + 0 0 . chr6 14066812 14066813 chr6:14066813:C:G rs6908868 C G C EBF1_EBF_1 -6 0 + 0 0 . chr6 14070738 14070739 chr6:14070739:G:A rs10484345 G A G EBF1_EBF_1 30 0 - 0 0 . chr6 14085077 14085078 chr6:14085078:G:A rs9382938 G A A EBF1_EBF_1 5 1 - 7.1425446470350575 1.8207574314943875 CCTCCCGGGGGAAC chr6 14109328 14109329 chr6:14109329:G:A rs62386711 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 14109373 14109374 chr6:14109374:G:A rs139431279 G A G EBF1_EBF_1 30 0 + 0 0 . chr6 14119112 14119113 chr6:14119113:A:G rs3799926 A G A EBF1_EBF_1 14 0 - 0 0 . chr6 14125689 14125690 chr6:14125690:G:A rs12190061 G A G EBF1_EBF_1 5 1 - 4.984913392858903 -0.3368738226817677 CATCCCGTGGGGCC chr6 14144877 14144878 chr6:14144878:C:T rs115253055 C T C EBF1_EBF_1 15 0 - 0 0 . chr6 14146788 14146789 chr6:14146789:C:T rs372144625 C T C EBF1_EBF_1 6 1 - 5.460400077669491 7.627194508943966 ATCCCCGAAGGACC chr6 14190533 14190534 chr6:14190534:A:G rs853389 A G G EBF1_EBF_1 12 1 + 7.796702811378126 6.377885469278649 ACCCCCTTGAGAAC chr6 14193779 14193780 chr6:14193780:T:A rs12194074 T A A EBF1_EBF_1 -3 0 + 0 0 . chr6 14207338 14207339 chr6:14207339:G:A rs116077471 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 14207346 14207347 chr6:14207347:A:G rs149297314 A G A EBF1_EBF_1 17 0 - 0 0 . chr6 14224746 14224747 chr6:14224747:C:T rs191059193 C T C EBF1_EBF_1 8 1 - 13.681991770332855 9.455701681446596 ATTCCCTAGGGACC chr6 14256017 14256018 chr6:14256018:C:T rs6912151 C T C EBF1_EBF_1 8 1 - 6.425821830647511 2.1995317417612505 AATCCCATGGGCAG chr6 14270180 14270181 chr6:14270181:G:A rs72836598 G A G EBF1_EBF_1 16 0 + 0 0 . chr6 14317813 14317814 chr6:14317814:C:G rs36117822 C G C EBF1_EBF_1 -20 0 - 0 0 . chr6 14366650 14366651 chr6:14366651:G:A rs73726441 G A G EBF1_EBF_1 21 0 + 0 0 . chr6 14476575 14476576 chr6:14476576:C:G rs112216383 C G C EBF1_EBF_1 -19 0 + 0 0 . chr6 14477580 14477581 chr6:14477581:C:T rs564679208 C T T EBF1_EBF_1 -11 0 + 0 0 . chr6 14487280 14487281 chr6:14487281:C:T rs9382970 C T C EBF1_EBF_1 -14 0 - 0 0 . chr6 14502045 14502046 chr6:14502046:A:C rs9396500 A C C EBF1_EBF_1 24 0 - 0 0 . chr6 14519006 14519007 chr6:14519007:C:G rs6925965 C G G EBF1_EBF_1 20 0 + 0 0 . chr6 14551245 14551246 chr6:14551246:G:T rs9296935 G T G EBF1_EBF_1 -13 0 + 0 0 . chr6 14551249 14551250 chr6:14551250:G:T rs9370750 G T G EBF1_EBF_1 -9 0 + 0 0 . chr6 14573929 14573930 chr6:14573930:G:A rs9382982 G A A EBF1_EBF_1 26 0 - 0 0 . chr6 14598588 14598589 chr6:14598589:T:C rs7770731 T C C EBF1_EBF_1 16 0 + 0 0 . chr6 14630431 14630432 chr6:14630432:C:A rs74894945 C A A EBF1_EBF_1 5 1 + 5.343992175796183 -1.5608889768389858 AGCCCCCAGGGCTC chr6 14634948 14634949 chr6:14634949:T:A rs865098 T A T EBF1_EBF_1 11 1 - 8.56796976957538 4.470055513476655 TTCCCCAGGGGACC chr6 14639925 14639926 chr6:14639926:C:T rs857427 C T C EBF1_EBF_1 3 1 + 5.998714635812484 -0.4066841057408339 ACCCCCCAGGGCTT chr6 14664659 14664660 chr6:14664660:C:T rs7762112 C T C EBF1_EBF_1 3 1 + 6.4132569622907205 0.007858220737404442 TAACCCCAGGGAAA chr6 14715650 14715651 chr6:14715651:T:C rs1267499 T C T EBF1_EBF_1 28 0 - 0 0 . chr6 14715678 14715679 chr6:14715679:T:C rs1267498 T C C EBF1_EBF_1 0 1 - 6.097635694064063 4.205351824499228 ATCCCTTAGGGACA chr6 14719478 14719479 chr6:14719479:T:C rs1267489 T C T EBF1_EBF_1 -1 0 + 0 0 . chr6 14733150 14733151 chr6:14733151:A:C chr6:14733151:A:C A C A EBF1_EBF_1 -7 0 - 0 0 . chr6 14738908 14738909 chr6:14738909:G:A rs73372162 G A G EBF1_EBF_1 29 0 - 0 0 . chr6 14738976 14738977 chr6:14738977:G:T rs6935110 G T T EBF1_EBF_1 -12 0 + 0 0 . chr6 14740616 14740617 chr6:14740617:G:C rs6903582 G C G EBF1_EBF_1 -5 0 + 0 0 . chr6 14771569 14771570 chr6:14771570:T:G rs2472807 T G T EBF1_EBF_1 -16 0 + 0 0 . chr6 14797663 14797664 chr6:14797664:C:T rs9476659 C T C EBF1_EBF_1 12 1 - 5.4723966900060335 6.891214032105511 CCTCCCCTGGGGGC chr6 14827884 14827885 chr6:14827885:T:C rs6459378 T C C EBF1_EBF_1 6 1 - 6.067197730398874 3.900403299124399 ACTCCTAAGGGAAG chr6 14882778 14882779 chr6:14882779:C:T rs190175804 C T C EBF1_EBF_1 -2 0 + 0 0 . chr6 14888839 14888840 chr6:14888840:G:A rs187500423 G A G EBF1_EBF_1 -9 0 + 0 0 . chr6 14923925 14923926 chr6:14923926:G:T rs115227003 G T G EBF1_EBF_1 -1 0 - 0 0 . chr6 14944844 14944845 chr6:14944845:C:A rs2045223 C A A EBF1_EBF_1 30 0 + 0 0 . chr6 14984572 14984573 chr6:14984573:C:T rs9476697 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 15001555 15001556 chr6:15001556:G:A rs139675076 G A g EBF1_EBF_1 21 0 - 0 0 . chr6 15019513 15019514 chr6:15019514:G:C rs1216659679 G C g EBF1_EBF_1 15 0 + 0 0 . chr6 15022354 15022355 chr6:15022355:G:T rs113792363 G T g EBF1_EBF_1 -19 0 + 0 0 . chr6 15022396 15022397 chr6:15022397:G:A rs9476711 G A g EBF1_EBF_1 23 0 + 0 0 . chr6 15076298 15076299 chr6:15076299:G:A rs3857639 G A G EBF1_EBF_1 13 1 - 6.914475948305727 7.758970062891245 ACCCCCAAGAGAGC chr6 15089195 15089196 chr6:15089196:T:C rs770484935 T C T EBF1_EBF_1 -9 0 + 0 0 . chr6 15099792 15099793 chr6:15099793:G:T rs72832884 G T G EBF1_EBF_1 18 0 - 0 0 . chr6 15148525 15148526 chr6:15148526:T:G rs9370798 T G T EBF1_EBF_1 14 0 - 0 0 . chr6 15200125 15200126 chr6:15200126:T:C rs9476797 T C C EBF1_EBF_1 21 0 + 0 0 . chr6 15249008 15249009 chr6:15249009:C:T rs79327808 C T C EBF1_EBF_1 23 0 + 0 0 . chr6 15249018 15249019 chr6:15249019:A:G rs187286191 A G A EBF1_EBF_1 33 0 + 0 0 . chr6 15254200 15254201 chr6:15254201:C:T rs150275185 C T C EBF1_EBF_1 15 0 - 0 0 . chr6 15265574 15265575 chr6:15265575:C:G rs78933673 C G C EBF1_EBF_1 3 1 + 5.054219016368671 -0.7735454317465463 CTCCCCATGGGTAC chr6 15329010 15329011 chr6:15329011:T:C rs61268125 T C T EBF1_EBF_1 26 0 - 0 0 . chr6 15389502 15389503 chr6:15389503:C:A rs2299056 C A A EBF1_EBF_1 28 0 - 0 0 . chr6 15390473 15390474 chr6:15390474:C:A rs79314011 C A C EBF1_EBF_1 15 0 - 0 0 . chr6 15427689 15427690 chr6:15427690:C:T rs79587171 C T C EBF1_EBF_1 6 1 + 5.780969003019049 6.082255131880807 ACCCTCCTGGGAAC chr6 15429803 15429804 chr6:15429804:C:T rs10949302 C T C EBF1_EBF_1 -20 0 + 0 0 . chr6 15462639 15462640 chr6:15462640:C:T rs11759978 C T C EBF1_EBF_1 -9 0 + 0 0 . chr6 15473919 15473920 chr6:15473920:G:A rs3819397 G A G EBF1_EBF_1 7 1 - 4.722983080151384 6.540487826434007 GTTCCCCCGGGTCA chr6 15501494 15501495 chr6:15501495:G:A rs41267698 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 15501530 15501531 chr6:15501531:G:A rs144195633 G A G EBF1_EBF_1 -10 0 - 0 0 . chr6 15517427 15517428 chr6:15517428:C:A rs2072822 C A C EBF1_EBF_1 24 0 - 0 0 . chr6 15517452 15517453 chr6:15517453:C:T rs909626 C T C EBF1_EBF_1 -1 0 - 0 0 . chr6 15524912 15524913 chr6:15524913:G:A rs149160856 G A G EBF1_EBF_1 0 1 - 4.506884921841495 4.789086982572073 CGTCCCAAAGGGCT chr6 15524925 15524926 chr6:15524926:C:T rs16876575 C T C EBF1_EBF_1 -13 0 - 0 0 . chr6 15660639 15660640 chr6:15660640:T:C rs909706 T C C EBF1_EBF_1 -6 0 - 0 0 . chr6 15731074 15731075 chr6:15731075:T:C rs9476901 T C T EBF1_EBF_1 15 0 - 0 0 . chr6 15756288 15756289 chr6:15756289:G:T rs11753586 G T T EBF1_EBF_1 22 0 + 0 0 . chr6 15764443 15764444 chr6:15764444:A:G rs375310 A G A EBF1_EBF_1 6 1 + 7.611771510220344 5.444977078945869 TTTCCCATGAGATC chr6 15800935 15800936 chr6:15800936:C:T rs13219486 C T T EBF1_EBF_1 18 0 - 0 0 . chr6 15811717 15811718 chr6:15811718:T:A rs9464833 T A T EBF1_EBF_1 -1 0 + 0 0 . chr6 15870460 15870461 chr6:15870461:C:T rs148078980 C T C EBF1_EBF_1 5 1 + 7.801302429145184 2.4795152136045147 ATACCCATGAGAAT chr6 15901192 15901193 chr6:15901193:C:T rs6938837 C T C EBF1_EBF_1 10 1 - 7.4346747053700115 2.1166462461030453 CGCCCCAAGGGAAG chr6 15901318 15901319 chr6:15901319:C:A rs540808849 C A C EBF1_EBF_1 -12 0 - 0 0 . chr6 15908287 15908288 chr6:15908288:G:T rs72825644 G T g EBF1_EBF_1 28 0 + 0 0 . chr6 15917979 15917980 chr6:15917980:T:C rs72825660 T C T EBF1_EBF_1 -18 0 - 0 0 . chr6 15953723 15953724 chr6:15953724:C:T rs1418671 C T T EBF1_EBF_1 20 0 + 0 0 . chr6 15956565 15956566 chr6:15956566:G:A rs61598513 G A G EBF1_EBF_1 -9 0 - 0 0 . chr6 15956568 15956569 chr6:15956569:T:G rs59803121 T G T EBF1_EBF_1 -12 0 - 0 0 . chr6 15982178 15982179 chr6:15982179:C:T rs12526849 C T C EBF1_EBF_1 -11 0 - 0 0 . chr6 15991084 15991085 chr6:15991085:G:A rs9296998 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 15991099 15991100 chr6:15991100:G:A rs9370853 G A G EBF1_EBF_1 -19 0 - 0 0 . chr6 15994981 15994982 chr6:15994982:G:T chr6:15994982:G:T G T G EBF1_EBF_1 9 1 + 6.5386290014564095 -0.29836040663240093 GCTCCCAAGGGCCC chr6 16048332 16048333 chr6:16048333:G:T rs7740200 G T G EBF1_EBF_1 -3 0 - 0 0 . chr6 16085676 16085677 chr6:16085677:T:C rs9477034 T C C EBF1_EBF_1 23 0 + 0 0 . chr6 16173796 16173797 chr6:16173797:T:G rs7739312 T G T EBF1_EBF_1 11 1 - 4.823548304640213 0.4075866067878235 AGCCCCGTGAGATC chr6 16180859 16180860 chr6:16180860:C:T rs9464869 C T C EBF1_EBF_1 17 0 - 0 0 . chr6 16198015 16198016 chr6:16198016:A:G rs4363041 A G g EBF1_EBF_1 14 0 + 0 0 . chr6 16215488 16215489 chr6:16215489:G:C rs58507306 G C G EBF1_EBF_1 -8 0 - 0 0 . chr6 16237884 16237885 chr6:16237885:C:T rs78208522 C T C EBF1_EBF_1 16 0 - 0 0 . chr6 16238338 16238339 chr6:16238339:G:C rs1257604178 G C G EBF1_EBF_1 12 1 - 6.604073176576824 5.2154372328986724 TGTCCCCAGGGTCC chr6 16241487 16241488 chr6:16241488:G:C rs4712279 G C G EBF1_EBF_1 19 0 - 0 0 . chr6 16256911 16256912 chr6:16256912:C:T rs57813212 C T C EBF1_EBF_1 33 0 + 0 0 . chr6 16267827 16267828 chr6:16267828:C:T rs9367907 C T C EBF1_EBF_1 1 1 + 8.742545668094808 9.637979108493553 ACTCCCCTGGGGCA chr6 16286284 16286285 chr6:16286285:G:A rs80155234 G A G EBF1_EBF_1 7 1 - 5.039940136748127 6.85744488303075 AATCCCACGGGCTC chr6 16305970 16305971 chr6:16305971:A:G rs180017 A G A EBF1_EBF_1 -3 0 + 0 0 . chr6 16305972 16305973 chr6:16305973:C:T rs3116712 C T C EBF1_EBF_1 -1 0 + 0 0 . chr6 16306069 16306070 chr6:16306070:T:C rs111386164 T C T EBF1_EBF_1 16 0 - 0 0 . chr6 16314143 16314144 chr6:16314144:C:T rs6901150 C T T EBF1_EBF_1 -18 0 + 0 0 . chr6 16327383 16327384 chr6:16327384:A:G rs179990 A G G EBF1_EBF_1 -14 0 + 0 0 . chr6 16332498 16332499 chr6:16332499:T:C rs2073518 T C C EBF1_EBF_1 -3 0 + 0 0 . chr6 16332512 16332513 chr6:16332513:A:C rs2073517 A C C EBF1_EBF_1 11 1 + 9.279157679888206 4.863195982035817 CCTCCCAGGGGACC chr6 16332514 16332515 chr6:16332515:C:T rs182001002 C T C EBF1_EBF_1 13 1 + 9.279157679888206 10.123651794473725 CCTCCCAGGGGACC chr6 16332518 16332519 chr6:16332519:G:A rs187607511 G A G EBF1_EBF_1 17 0 + 0 0 . chr6 16391462 16391463 chr6:16391463:T:A rs9464897 T A T EBF1_EBF_1 -10 0 + 0 0 . chr6 16412943 16412944 chr6:16412944:G:A rs1324543 G A G EBF1_EBF_1 -19 0 - 0 0 . chr6 16420257 16420258 chr6:16420258:T:C rs16878121 T C T EBF1_EBF_1 28 0 - 0 0 . chr6 16420290 16420291 chr6:16420291:G:A rs2237211 G A A EBF1_EBF_1 -5 0 - 0 0 . chr6 16569857 16569858 chr6:16569858:T:C rs236954 T C C EBF1_EBF_1 13 1 + 7.468712529026121 6.624218414440603 CCTCCCAGGAGACT chr6 16586150 16586151 chr6:16586151:G:A rs13437332 G A G EBF1_EBF_1 29 0 + 0 0 . chr6 16725814 16725815 chr6:16725815:G:A rs17670763 G A G EBF1_EBF_1 13 1 - 5.617735074714865 6.462229189300383 TTTCCCTTAGGAGC chr6 16758193 16758194 chr6:16758194:C:T rs1322599 C T C EBF1_EBF_1 -10 0 + 0 0 . chr6 16808495 16808496 chr6:16808496:G:C rs17673782 G C G EBF1_EBF_1 -10 0 - 0 0 . chr6 16840016 16840017 chr6:16840017:G:C rs10949385 G C t EBF1_EBF_1 32 0 + 0 0 . chr6 16903574 16903575 chr6:16903575:C:T rs148313987 C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 16938432 16938433 chr6:16938433:T:C rs1570243 T C T EBF1_EBF_1 -6 0 + 0 0 . chr6 16944391 16944392 chr6:16944392:T:C rs6459493 T C T EBF1_EBF_1 22 0 + 0 0 . chr6 16948879 16948880 chr6:16948880:A:G rs3929705 A G A EBF1_EBF_1 7 1 + 5.53684706685789 4.224388661569065 ACTCCCAAGAGGGA chr6 16964678 16964679 chr6:16964679:A:T rs2328010 A T T EBF1_EBF_1 28 0 + 0 0 . chr6 16992566 16992567 chr6:16992567:C:T rs9358119 C T T EBF1_EBF_1 28 0 + 0 0 . chr6 17042994 17042995 chr6:17042995:G:A rs115251231 G A G EBF1_EBF_1 -10 0 - 0 0 . chr6 17086659 17086660 chr6:17086660:T:A rs10080574 T A T EBF1_EBF_1 -12 0 - 0 0 . chr6 17096185 17096186 chr6:17096186:A:T rs6905803 A T A EBF1_EBF_1 -19 0 + 0 0 . chr6 17102626 17102627 chr6:17102627:A:G rs1473746 A G G EBF1_EBF_1 -12 0 + 0 0 . chr6 17103937 17103938 chr6:17103938:A:G rs115406071 A G A EBF1_EBF_1 -12 0 + 0 0 . chr6 17173095 17173096 chr6:17173096:T:G rs9396758 T G G EBF1_EBF_1 2 1 + 6.051438763869222 -0.6968869263312472 CTTCCCCTGGGCTT chr6 17281803 17281804 chr6:17281804:G:C rs538014119 G C G EBF1_EBF_1 5 1 - 4.737943043481912 -2.166938109153258 GATCCCCGGGGTCA chr6 17354772 17354773 chr6:17354773:C:T rs60364593 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 17416995 17416996 chr6:17416996:A:C rs7755120 A C A EBF1_EBF_1 -14 0 + 0 0 . chr6 17446903 17446904 chr6:17446904:C:T rs56706176 C T C EBF1_EBF_1 6 1 + 5.071680978803121 5.372967107664878 AATCCACGGGGAAT chr6 17456875 17456876 chr6:17456876:T:G rs2328105 T G G EBF1_EBF_1 28 0 + 0 0 . chr6 17492861 17492862 chr6:17492862:A:G rs751503 A G G EBF1_EBF_1 19 0 + 0 0 . chr6 17535115 17535116 chr6:17535116:T:G rs6923164 T G G EBF1_EBF_1 11 1 - 5.499386936525659 1.0834252386732697 ATCCCCCAGTGACT chr6 17600116 17600117 chr6:17600117:C:T rs80204803 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 17813661 17813662 chr6:17813662:C:T rs10456810 C T C EBF1_EBF_1 8 1 - 5.841338836887928 1.6150487480016689 ACTCCCAAGAGGAG chr6 17831187 17831188 chr6:17831188:G:A rs2277080 G A G EBF1_EBF_1 -3 0 + 0 0 . chr6 17849910 17849911 chr6:17849911:A:G rs676296 A G A EBF1_EBF_1 -4 0 - 0 0 . chr6 17864084 17864085 chr6:17864085:G:A rs114492203 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 17872007 17872008 chr6:17872008:A:G rs78052125 A G A EBF1_EBF_1 -2 0 + 0 0 . chr6 17876228 17876229 chr6:17876229:T:C rs9477548 T C T EBF1_EBF_1 21 0 + 0 0 . chr6 17884647 17884648 chr6:17884648:T:C rs760849 T C T EBF1_EBF_1 22 0 - 0 0 . chr6 17905793 17905794 chr6:17905794:A:G rs12195018 A G A EBF1_EBF_1 2 1 - 6.391313744473179 4.502490333031028 AATCCCATGAGTCT chr6 17935096 17935097 chr6:17935097:C:G rs4712320 C G C EBF1_EBF_1 15 0 - 0 0 . chr6 18014939 18014940 chr6:18014940:G:A rs9383338 G A G EBF1_EBF_1 5 1 - 6.894067940036651 1.5722807244959804 TTCCCCCAGAGAAC chr6 18022868 18022869 chr6:18022869:T:C rs12208058 T C T EBF1_EBF_1 -2 0 + 0 0 . chr6 18117136 18117137 chr6:18117137:T:G rs6909725 T G G EBF1_EBF_1 9 1 - 8.546352976027208 6.125603095129347 TATCCCATGAGAAT chr6 18117138 18117139 chr6:18117139:A:T rs6905146 A T A EBF1_EBF_1 7 1 - 8.546352976027208 9.022627216114765 TATCCCATGAGAAT chr6 18155092 18155093 chr6:18155093:T:G chr6:18155093:T:G T G T EBF1_EBF_1 16 0 + 0 0 . chr6 18155107 18155108 chr6:18155108:A:C chr6:18155108:A:C A C A EBF1_EBF_1 31 0 + 0 0 . chr6 18204820 18204821 chr6:18204821:A:G rs214605 A G G EBF1_EBF_1 6 1 + 5.9560062671690766 3.7892118358946023 ATTCCCATGAGGGA chr6 18213756 18213757 chr6:18213757:C:T rs6903583 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 18264083 18264084 chr6:18264084:C:T chr6:18264084:C:T C T C EBF1_EBF_1 5 1 + 6.652730658645146 1.3309434431044749 CTTCCCGCGGGACA chr6 18264123 18264124 chr6:18264124:G:A rs214514 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 18264125 18264126 chr6:18264126:G:A rs537816004 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 18264795 18264796 chr6:18264796:G:C rs543753083 G C G EBF1_EBF_1 -10 0 - 0 0 . chr6 18264800 18264801 chr6:18264801:G:T rs981308817 G T G EBF1_EBF_1 -15 0 - 0 0 . chr6 18327705 18327706 chr6:18327706:G:C rs1570628 G C G EBF1_EBF_1 -2 0 - 0 0 . chr6 18342538 18342539 chr6:18342539:A:G rs9371043 A G A EBF1_EBF_1 -9 0 - 0 0 . chr6 18353196 18353197 chr6:18353197:T:A rs9477710 T A . EBF1_EBF_1 16 0 - 0 0 . chr6 18366171 18366172 chr6:18366172:A:G rs72832406 A G a EBF1_EBF_1 -16 0 + 0 0 . chr6 18425471 18425472 chr6:18425472:G:C rs9477741 G C G EBF1_EBF_1 13 1 - 7.880129974407256 6.3920589530518415 ACACCCAGGGGAAC chr6 18561318 18561319 chr6:18561319:G:A rs9396882 G A G EBF1_EBF_1 -3 0 + 0 0 . chr6 18602596 18602597 chr6:18602597:T:G rs9350084 T G T EBF1_EBF_1 15 0 - 0 0 . chr6 18602599 18602600 chr6:18602600:T:C rs9350085 T C T EBF1_EBF_1 12 1 - 7.637547251438949 6.218729909339471 TCTCCCAAGGGGAA chr6 18795929 18795930 chr6:18795930:G:A rs9477886 G A A EBF1_EBF_1 9 1 + 5.089095116629417 2.434155851181812 ACCCCCAGGGTACA chr6 18839702 18839703 chr6:18839703:T:C rs10949553 T C T EBF1_EBF_1 -4 0 + 0 0 . chr6 19028446 19028447 chr6:19028447:G:A rs139752958 G A G EBF1_EBF_1 12 1 + 5.590674737852671 7.009492079952149 ATTCCCTTGAGCGT chr6 19059315 19059316 chr6:19059316:C:T rs9477957 C T C EBF1_EBF_1 25 0 - 0 0 . chr6 19173094 19173095 chr6:19173095:C:A rs10946293 C A A EBF1_EBF_1 28 0 - 0 0 . chr6 19302161 19302162 chr6:19302162:G:A rs117045626 G A G EBF1_EBF_1 -4 0 + 0 0 . chr6 19369514 19369515 chr6:19369515:T:C rs9465363 T C T EBF1_EBF_1 13 1 + 8.762364583985176 7.917870469399658 ATTCCCTTGGAAAT chr6 19807177 19807178 chr6:19807178:C:T rs112659166 C T C EBF1_EBF_1 -16 0 - 0 0 . chr6 19835783 19835784 chr6:19835784:G:A rs6919858 G A A EBF1_EBF_1 22 0 - 0 0 . chr6 19837029 19837030 chr6:19837030:A:G rs116511404 A G A EBF1_EBF_1 19 0 + 0 0 . chr6 19837474 19837475 chr6:19837475:G:A chr6:19837475:G:A G A g EBF1_EBF_1 4 1 - 5.6029892852537175 1.2336023403087937 ACTCCCTTCGGAGC chr6 19838758 19838759 chr6:19838759:C:G rs9460423 C G C EBF1_EBF_1 5 1 + 6.984704280514033 0.07982312787886603 ACTCCCAAGGAAGT chr6 19841001 19841002 chr6:19841002:T:G rs59812764 T G T EBF1_EBF_1 19 0 + 0 0 . chr6 19851497 19851498 chr6:19851498:G:A rs11961818 G A G EBF1_EBF_1 -2 0 - 0 0 . chr6 19867863 19867864 chr6:19867864:A:G rs760800 A G G EBF1_EBF_1 -12 0 + 0 0 . chr6 20001831 20001832 chr6:20001832:G:A rs10806911 G A G EBF1_EBF_1 5 1 - 7.6659747812691705 2.3441875657285007 AGACCCAGGGGACA chr6 20217422 20217423 chr6:20217423:C:T rs6903518 C T T EBF1_EBF_1 4 1 + 4.205339522151226 -0.16404742279369827 GTTCCCCTGTGAAC chr6 20420696 20420697 chr6:20420697:C:T rs982577741 C T C EBF1_EBF_1 11 1 + 5.711663934918238 6.029711376671902 AATCCCCTGAGCCT chr6 20447293 20447294 chr6:20447294:G:A rs116342903 G A G EBF1_EBF_1 -11 0 - 0 0 . chr6 20455851 20455852 chr6:20455852:C:G rs140657454 C G C EBF1_EBF_1 13 1 + 6.329812239620319 4.841741218264904 CAACCCTTGGGAAC chr6 20467566 20467567 chr6:20467567:A:C rs188219952 A C A EBF1_EBF_1 6 1 - 5.189753283142535 3.0832750908075788 CACCCCTAAGGACT chr6 20540934 20540935 chr6:20540935:C:T rs58761454 C T C EBF1_EBF_1 -9 0 - 0 0 . chr6 20652533 20652534 chr6:20652534:A:G rs9295475 A G A EBF1_EBF_1 -18 0 + 0 0 . chr6 20660499 20660500 chr6:20660500:T:C rs11759505 T C T EBF1_EBF_1 22 0 - 0 0 . chr6 21059085 21059086 chr6:21059086:A:T rs62404461 A T T EBF1_EBF_1 -6 0 - 0 0 . chr6 21084889 21084890 chr6:21084890:C:T rs140145963 C T C EBF1_EBF_1 -5 0 + 0 0 . chr6 21093122 21093123 chr6:21093123:C:A rs7768086 C A A EBF1_EBF_1 4 1 + 4.034841213387661 -1.7897184100658061 AATCCCCATGGACG chr6 21093130 21093131 chr6:21093131:C:T rs13214341 C T C EBF1_EBF_1 12 1 + 4.034841213387661 3.0085746428368116 AATCCCCATGGACG chr6 21267042 21267043 chr6:21267043:C:T rs807822 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 21355067 21355068 chr6:21355068:C:T rs1162814970 C T C EBF1_EBF_1 1 1 - 6.474260338817142 6.2135615068958385 AGTCCCCAGGTGAT chr6 21395231 21395232 chr6:21395232:C:T rs192374357 C T C EBF1_EBF_1 23 0 - 0 0 . chr6 21395242 21395243 chr6:21395243:G:T rs75927744 G T G EBF1_EBF_1 12 1 - 4.989919625367104 5.02010102378843 GTTCCCAGGAGGCC chr6 21395254 21395255 chr6:21395255:C:T rs768698 C T C EBF1_EBF_1 0 1 - 4.989919625367104 6.882203494931937 GTTCCCAGGAGGCC chr6 21433792 21433793 chr6:21433793:A:C rs9460633 A C C EBF1_EBF_1 0 1 + 7.666381370577934 5.411122886881336 ATTCCCTGGAGGCT chr6 21457910 21457911 chr6:21457911:C:A chr6:21457911:C:A C A C EBF1_EBF_1 8 1 - 6.512385561416115 -0.37904722756107884 GATCCCTGGAGAAA chr6 21479503 21479504 chr6:21479504:G:A rs139426516 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 21499475 21499476 chr6:21499476:C:T rs80068977 C T T EBF1_EBF_1 12 1 + 3.6530974586393654 2.6268308880885156 ACCCCCAGGTGACT chr6 21529775 21529776 chr6:21529776:T:C rs6902178 T C C EBF1_EBF_1 -3 0 + 0 0 . chr6 21539761 21539762 chr6:21539762:C:T rs9295512 C T T EBF1_EBF_1 19 0 + 0 0 . chr6 21595480 21595481 chr6:21595481:C:T rs201864836 C T C EBF1_EBF_1 -11 0 + 0 0 . chr6 21679156 21679157 chr6:21679157:G:A rs142701663 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 21734518 21734519 chr6:21734519:C:T rs9466168 C T C EBF1_EBF_1 9 1 - 6.537165415129155 3.8822261496815518 CTTCACAAGGGAAT chr6 21753661 21753662 chr6:21753662:G:A rs1322886 G A A EBF1_EBF_1 -19 0 + 0 0 . chr6 21836403 21836404 chr6:21836404:G:A rs9350383 G A A EBF1_EBF_1 13 1 - 5.604142892341579 6.448637006927097 AGTCCCCAGAGCCC chr6 21870243 21870244 chr6:21870244:A:C rs79953951 A C A EBF1_EBF_1 23 0 + 0 0 . chr6 21871919 21871920 chr6:21871920:G:A rs72826336 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 21874908 21874909 chr6:21874909:C:T rs9466201 C T C EBF1_EBF_1 8 1 + 4.659260464148118 3.0864865360856095 GATCCCCACGGAGT chr6 21894216 21894217 chr6:21894217:G:A rs12210225 G A g EBF1_EBF_1 26 0 - 0 0 . chr6 21935683 21935684 chr6:21935684:T:C rs73736607 T C T EBF1_EBF_1 16 0 - 0 0 . chr6 21946886 21946887 chr6:21946887:C:T rs913568 C T T EBF1_EBF_1 24 0 + 0 0 . chr6 21949428 21949429 chr6:21949429:A:G rs34860399 A G A EBF1_EBF_1 -3 0 - 0 0 . chr6 22078966 22078967 chr6:22078967:A:G rs9466254 A G A EBF1_EBF_1 -14 0 + 0 0 . chr6 22214574 22214575 chr6:22214575:G:C rs867428 G C C EBF1_EBF_1 -13 0 - 0 0 . chr6 22267992 22267993 chr6:22267993:T:C rs1341235 T C T EBF1_EBF_1 1 1 + 8.248740192740936 7.353306752342189 CTTCCCCAGGGAGG chr6 22318570 22318571 chr6:22318571:G:A rs2143002 G A G EBF1_EBF_1 -3 0 - 0 0 . chr6 22323082 22323083 chr6:22323083:C:T rs2655420 C T C EBF1_EBF_1 15 0 - 0 0 . chr6 22353201 22353202 chr6:22353202:A:G rs1629125 A G A EBF1_EBF_1 7 1 - 7.086657130355895 5.269152384073271 CCTCCCATGAGACA chr6 22353519 22353520 chr6:22353520:G:A rs1772077 G A A EBF1_EBF_1 -3 0 - 0 0 . chr6 22359529 22359530 chr6:22359530:C:T rs1205926 C T T EBF1_EBF_1 30 0 - 0 0 . chr6 22382563 22382564 chr6:22382564:T:C rs2744140 T C T EBF1_EBF_1 7 1 - 6.24661153825421 4.934153132965385 CTCCCCAAAGGAAT chr6 22390005 22390006 chr6:22390006:A:G rs12208949 A G A EBF1_EBF_1 25 0 + 0 0 . chr6 22458281 22458282 chr6:22458282:T:G rs12198430 T G T EBF1_EBF_1 -5 0 + 0 0 . chr6 22497330 22497331 chr6:22497331:A:G rs17409935 A G A EBF1_EBF_1 17 0 - 0 0 . chr6 22598288 22598289 chr6:22598289:G:A rs16901664 G A G EBF1_EBF_1 10 1 + 7.356694194311435 2.0386657350444692 AATCCCAAGCGAAA chr6 22600415 22600416 chr6:22600416:C:T rs9295557 C T C EBF1_EBF_1 -9 0 + 0 0 . chr6 22632697 22632698 chr6:22632698:T:C rs150703731 T C T EBF1_EBF_1 -12 0 + 0 0 . chr6 22822180 22822181 chr6:22822181:C:G rs77399884 C G C EBF1_EBF_1 15 0 - 0 0 . chr6 22822189 22822190 chr6:22822190:G:A rs539249228 G A G EBF1_EBF_1 6 1 - 7.077705334420072 7.378991463281828 CTTCCCCTGGGCCT chr6 22822202 22822203 chr6:22822203:G:A rs79693110 G A G EBF1_EBF_1 -7 0 - 0 0 . chr6 23126368 23126369 chr6:23126369:C:T rs34551487 C T C EBF1_EBF_1 3 1 + 8.073383062547727 1.6679843209944114 AATCCCATAGGACC chr6 23145902 23145903 chr6:23145903:G:A rs4571550 G A G EBF1_EBF_1 -3 0 - 0 0 . chr6 23170212 23170213 chr6:23170213:A:G rs6930352 A G G EBF1_EBF_1 26 0 + 0 0 . chr6 23238410 23238411 chr6:23238411:C:T rs72841669 C T C EBF1_EBF_1 26 0 - 0 0 . chr6 23307685 23307686 chr6:23307686:C:T rs62400222 C T C EBF1_EBF_1 8 1 - 5.0904872700886745 0.8641971812024134 ATCCCCTTGAGCCT chr6 23308898 23308899 chr6:23308899:G:A rs34166374 G A G EBF1_EBF_1 -9 0 + 0 0 . chr6 23635769 23635770 chr6:23635770:T:A rs7743895 T A T EBF1_EBF_1 -7 0 - 0 0 . chr6 23659236 23659237 chr6:23659237:C:T rs503082 C T T EBF1_EBF_1 20 0 + 0 0 . chr6 23715270 23715271 chr6:23715271:G:A rs145231944 G A G EBF1_EBF_1 5 1 - 6.283468391855503 0.9616811763148336 TTTCCCCAGGGTTC chr6 23786500 23786501 chr6:23786501:T:C rs12199845 T C T EBF1_EBF_1 -10 0 + 0 0 . chr6 23802914 23802915 chr6:23802915:C:T rs6904242 C T T EBF1_EBF_1 20 0 - 0 0 . chr6 23853800 23853801 chr6:23853801:G:A rs13217524 G A G EBF1_EBF_1 -6 0 + 0 0 . chr6 24011145 24011146 chr6:24011146:T:A rs13211659 T A T EBF1_EBF_1 -13 0 - 0 0 . chr6 24034813 24034814 chr6:24034814:C:G rs9358742 C G G EBF1_EBF_1 8 1 - 12.008997960984795 6.690339100070112 ACTCCCCTGGGACC chr6 24199056 24199057 chr6:24199057:C:T rs61566842 C T c EBF1_EBF_1 23 0 + 0 0 . chr6 24277259 24277260 chr6:24277260:C:T rs9393547 C T C EBF1_EBF_1 6 1 - 5.10857394080164 7.275368372076115 CCACCCGTGGGACT chr6 24277272 24277273 chr6:24277273:G:T rs9393548 G T g EBF1_EBF_1 -7 0 - 0 0 . chr6 24283720 24283721 chr6:24283721:T:C rs113397984 T C T EBF1_EBF_1 -5 0 - 0 0 . chr6 24308126 24308127 chr6:24308127:G:A rs10456301 G A A EBF1_EBF_1 28 0 + 0 0 . chr6 24376350 24376351 chr6:24376351:G:C rs10946694 G C G EBF1_EBF_1 27 0 - 0 0 . chr6 24391925 24391926 chr6:24391926:C:T rs12527102 C T T EBF1_EBF_1 17 0 + 0 0 . chr6 24400162 24400163 chr6:24400163:G:C rs2876672 G C G EBF1_EBF_1 3 1 - 5.965303171419001 0.13753872330378492 AGTCCCCCGGGCCC chr6 24400169 24400170 chr6:24400170:G:A rs12191697 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 24400180 24400181 chr6:24400181:A:G rs1277342 A G g EBF1_EBF_1 -15 0 - 0 0 . chr6 24461703 24461704 chr6:24461704:T:A rs149684182 T A T EBF1_EBF_1 25 0 + 0 0 . chr6 24461704 24461705 chr6:24461705:C:A rs145518630 C A C EBF1_EBF_1 26 0 + 0 0 . chr6 24485125 24485126 chr6:24485126:T:A rs7748068 T A T EBF1_EBF_1 -6 0 - 0 0 . chr6 24485125 24485126 chr6:24485126:T:G chr6:24485126:T:G T G T EBF1_EBF_1 -6 0 - 0 0 . chr6 24485128 24485129 chr6:24485129:G:A rs7766241 G A A EBF1_EBF_1 -9 0 - 0 0 . chr6 24494746 24494747 chr6:24494747:C:G rs2744575 C G C EBF1_EBF_1 6 1 + 6.173853338041447 4.3686612745682485 CCTCCCCCGGGAGA chr6 24495480 24495481 chr6:24495481:G:A rs7754003 G A G EBF1_EBF_1 -8 0 - 0 0 . chr6 24522395 24522396 chr6:24522396:A:C rs76940868 A C A EBF1_EBF_1 -11 0 + 0 0 . chr6 24522399 24522400 chr6:24522400:T:C rs79884160 T C T EBF1_EBF_1 -7 0 + 0 0 . chr6 24584834 24584835 chr6:24584835:A:G rs115065904 A G A EBF1_EBF_1 18 0 + 0 0 . chr6 24640386 24640387 chr6:24640387:G:C rs9379678 G C G EBF1_EBF_1 8 1 - 5.545939843864802 10.864598704779485 AGTCCCCACAGACT chr6 24645968 24645969 chr6:24645969:C:T rs62400773 C T C EBF1_EBF_1 -3 0 + 0 0 . chr6 24646101 24646102 chr6:24646102:C:G rs568772119 C G C EBF1_EBF_1 -18 0 + 0 0 . chr6 24690798 24690799 chr6:24690799:A:G rs3822901 A G A EBF1_EBF_1 11 1 + 7.066037379793695 4.173330536189684 ACTCCCTGAGGATT chr6 24720139 24720140 chr6:24720140:T:G rs1364754745 T G T EBF1_EBF_1 -6 0 - 0 0 . chr6 24721999 24722000 chr6:24722000:G:C rs74323082 G C G EBF1_EBF_1 1 1 + 5.595174591780604 5.405402937211387 AGTCCATTGGGACC chr6 24905680 24905681 chr6:24905681:T:C rs7758438 T C t EBF1_EBF_1 15 0 - 0 0 . chr6 24910369 24910370 chr6:24910370:G:A rs2817730 G A g EBF1_EBF_1 23 0 + 0 0 . chr6 24995728 24995729 chr6:24995729:T:G rs4712876 T G T EBF1_EBF_1 21 0 + 0 0 . chr6 24997233 24997234 chr6:24997234:G:A rs9295642 G A G EBF1_EBF_1 25 0 + 0 0 . chr6 25027578 25027579 chr6:25027579:G:A rs9356957 G A G EBF1_EBF_1 18 0 - 0 0 . chr6 25027609 25027610 chr6:25027610:C:A rs9366598 C A C EBF1_EBF_1 -13 0 - 0 0 . chr6 25027837 25027838 chr6:25027838:C:T rs4712880 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 25033109 25033110 chr6:25033110:A:G rs114247672 A G G EBF1_EBF_1 26 0 - 0 0 . chr6 25039075 25039076 chr6:25039076:T:C rs303033 T C T EBF1_EBF_1 -9 0 + 0 0 . chr6 25050916 25050917 chr6:25050917:C:T rs304258 C T T EBF1_EBF_1 -11 0 + 0 0 . chr6 25070740 25070741 chr6:25070741:G:T rs73729429 G T G EBF1_EBF_1 -20 0 + 0 0 . chr6 25070763 25070764 chr6:25070764:T:C rs79336095 T C T EBF1_EBF_1 3 1 + 4.549191957755482 10.954590699308797 GCTTCCAAGGGACC chr6 25117881 25117882 chr6:25117882:G:A rs302978 G A A EBF1_EBF_1 -9 0 - 0 0 . chr6 25117887 25117888 chr6:25117888:T:C rs302977 T C C EBF1_EBF_1 -15 0 - 0 0 . chr6 25139149 25139150 chr6:25139150:G:A rs7751844 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 25173518 25173519 chr6:25173519:G:A rs115778843 G A G EBF1_EBF_1 30 0 + 0 0 . chr6 25174259 25174260 chr6:25174260:C:T chr6:25174260:C:T C T C EBF1_EBF_1 24 0 - 0 0 . chr6 25175856 25175857 chr6:25175857:C:T rs111382756 C T C EBF1_EBF_1 19 0 + 0 0 . chr6 25217442 25217443 chr6:25217443:A:G rs74450111 A G A EBF1_EBF_1 -10 0 + 0 0 . chr6 25232699 25232700 chr6:25232700:C:T rs867565462 C T C EBF1_EBF_1 24 0 - 0 0 . chr6 25301177 25301178 chr6:25301178:T:C rs1880271 T C T EBF1_EBF_1 16 0 - 0 0 . chr6 25318755 25318756 chr6:25318756:A:G rs16890366 A G G EBF1_EBF_1 -4 0 - 0 0 . chr6 25331665 25331666 chr6:25331666:G:A rs7746606 G A A EBF1_EBF_1 15 0 + 0 0 . chr6 25336338 25336339 chr6:25336339:T:G rs2690078 T G G EBF1_EBF_1 -19 0 + 0 0 . chr6 25377884 25377885 chr6:25377885:A:G rs1927695 A G G EBF1_EBF_1 27 0 - 0 0 . chr6 25407875 25407876 chr6:25407876:T:G rs79665799 T G T EBF1_EBF_1 24 0 - 0 0 . chr6 25412027 25412028 chr6:25412028:C:A rs72831234 C A A EBF1_EBF_1 8 1 - 5.691304942189501 -1.2001278467876937 AATCTCTAGAGACC chr6 25412028 25412029 chr6:25412029:T:C rs72831235 T C C EBF1_EBF_1 7 1 - 5.691304942189501 4.378846536900675 AATCTCTAGAGACC chr6 25515929 25515930 chr6:25515930:G:A rs301378 G A A EBF1_EBF_1 -13 0 + 0 0 . chr6 25535407 25535408 chr6:25535408:A:G rs212930 A G G EBF1_EBF_1 16 0 - 0 0 . chr6 25626987 25626988 chr6:25626988:T:G rs10223506 T G T EBF1_EBF_1 -10 0 + 0 0 . chr6 25649839 25649840 chr6:25649840:T:C rs115499442 T C T EBF1_EBF_1 -5 0 + 0 0 . chr6 25649867 25649868 chr6:25649868:C:T rs976958 C T C EBF1_EBF_1 23 0 + 0 0 . chr6 25651996 25651997 chr6:25651997:C:A rs2294344 C A C EBF1_EBF_1 14 0 + 0 0 . chr6 25673044 25673045 chr6:25673045:A:G rs72838866 A G A EBF1_EBF_1 25 0 + 0 0 . chr6 25675139 25675140 chr6:25675140:C:T rs9467558 C T C EBF1_EBF_1 14 0 - 0 0 . chr6 25695962 25695963 chr6:25695963:T:C rs62393720 T C T EBF1_EBF_1 30 0 + 0 0 . chr6 25748995 25748996 chr6:25748996:T:G rs4711104 T G G EBF1_EBF_1 26 0 + 0 0 . chr6 25757983 25757984 chr6:25757984:C:T rs9295669 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 25758024 25758025 chr6:25758025:T:A rs9358886 T A A EBF1_EBF_1 33 0 + 0 0 . chr6 25829404 25829405 chr6:25829405:A:T rs13200784 A T A EBF1_EBF_1 21 0 + 0 0 . chr6 25898837 25898838 chr6:25898838:G:T rs144599462 G T g EBF1_EBF_1 21 0 - 0 0 . chr6 25991880 25991881 chr6:25991881:C:T rs141784735 C T C EBF1_EBF_1 -1 0 + 0 0 . chr6 26056091 26056092 chr6:26056092:A:C rs34810376 A C A EBF1_EBF_1 9 1 + 5.109836758019578 2.689086877121718 CTTCCCCGGAGGCT chr6 26056821 26056822 chr6:26056822:C:T rs9393682 C T C EBF1_EBF_1 25 0 - 0 0 . chr6 26124132 26124133 chr6:26124133:G:A rs542893105 G A G EBF1_EBF_1 9 1 + 8.011737856390909 5.3567985909433045 ACTCCCCAGGAACT chr6 26124147 26124148 chr6:26124148:G:A rs16891335 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 26128574 26128575 chr6:26128575:T:C rs144888474 T C T EBF1_EBF_1 21 0 - 0 0 . chr6 26156724 26156725 chr6:26156725:C:T rs201133864 C T C EBF1_EBF_1 11 1 - 5.577801048722886 8.470507892326896 CTTCCCCAGAGGCC chr6 26157174 26157175 chr6:26157175:A:C rs112830226 A C A EBF1_EBF_1 24 0 - 0 0 . chr6 26157204 26157205 chr6:26157205:C:A rs185069767 C A C EBF1_EBF_1 -6 0 - 0 0 . chr6 26194851 26194852 chr6:26194852:G:C rs78012445 G C C EBF1_EBF_1 -20 0 + 0 0 . chr6 26204190 26204191 chr6:26204191:G:A rs534777970 G A G EBF1_EBF_1 -6 0 + 0 0 . chr6 26222925 26222926 chr6:26222926:T:C rs74520696 T C T EBF1_EBF_1 -2 0 - 0 0 . chr6 26240245 26240246 chr6:26240246:C:T rs74608402 C T C EBF1_EBF_1 19 0 - 0 0 . chr6 26251428 26251429 chr6:26251429:C:A rs900042495 C A . EBF1_EBF_1 18 0 - 0 0 . chr6 26251445 26251446 chr6:26251446:G:A rs887533096 G A . EBF1_EBF_1 1 1 - 6.768799197749082 7.664232638147828 CCTCCCGGGGGAAA chr6 26270380 26270381 chr6:26270381:G:A rs16891512 G A . EBF1_EBF_1 31 0 + 0 0 . chr6 26283925 26283926 chr6:26283926:A:T rs11754168 A T . EBF1_EBF_1 9 1 - 4.599120791673741 8.781170934314947 CCTCCCAAGTGACT chr6 26284851 26284852 chr6:26284852:G:A rs760670161 G A . EBF1_EBF_1 12 1 - 7.527771754643473 6.501505184092623 ATTCCCGTGGGCCT chr6 26312691 26312692 chr6:26312692:A:T rs116622389 A T a EBF1_EBF_1 -11 0 + 0 0 . chr6 26328233 26328234 chr6:26328234:G:C rs6939978 G C G EBF1_EBF_1 32 0 - 0 0 . chr6 26328259 26328260 chr6:26328260:G:C rs112394293 G C G EBF1_EBF_1 6 1 - 5.389389784592267 3.58419772111907 AGTGCCCAGGGAAG chr6 26328264 26328265 chr6:26328265:C:A rs138845184 C A C EBF1_EBF_1 1 1 - 5.389389784592267 6.095051570421796 AGTGCCCAGGGAAG chr6 26378059 26378060 chr6:26378060:T:A rs72841536 T A A EBF1_EBF_1 25 0 + 0 0 . chr6 26457668 26457669 chr6:26457669:C:T rs141255917 C T C EBF1_EBF_1 3 1 + 9.604552949128239 3.199154207574921 AAACCCCAGGGAAT chr6 26475926 26475927 chr6:26475927:A:G rs1407045 A G A EBF1_EBF_1 15 0 - 0 0 . chr6 26549915 26549916 chr6:26549916:C:T rs72845428 C T C EBF1_EBF_1 -15 0 - 0 0 . chr6 26614722 26614723 chr6:26614723:G:A rs2494691 G A . EBF1_EBF_1 4 1 - 6.198780250141898 1.8293933051969729 TTCCCCCCGGGATC chr6 26744960 26744961 chr6:26744961:A:G rs4713036 A G . EBF1_EBF_1 -5 0 - 0 0 . chr6 27141815 27141816 chr6:27141816:A:C rs79265769 A C A EBF1_EBF_1 20 0 + 0 0 . chr6 27179794 27179795 chr6:27179795:C:G rs556865204 C G C EBF1_EBF_1 4 1 + 5.127024565680248 -0.49874053546929664 TGCCCCATGGGCCT chr6 27251452 27251453 chr6:27251453:G:A chr6:27251453:G:A G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 27309885 27309886 chr6:27309886:G:A rs2281023 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 27335045 27335046 chr6:27335046:G:A rs9348761 G A g EBF1_EBF_1 28 0 - 0 0 . chr6 27335068 27335069 chr6:27335069:G:A rs9348762 G A a EBF1_EBF_1 5 1 - 6.1747818682036115 0.8529946526629418 GTTCCCTAAGGAGC chr6 27375946 27375947 chr6:27375947:C:T rs12374649 C T C EBF1_EBF_1 5 1 + 6.224764322447191 0.9029771069065217 ACCCCCTAGAGGAT chr6 27471141 27471142 chr6:27471142:C:T rs7745380 C T T EBF1_EBF_1 4 1 + 5.507931728012969 1.1385447830680442 ACTCCATAGGGACA chr6 27471151 27471152 chr6:27471152:T:C rs2179154 T C C EBF1_EBF_1 14 0 + 0 0 . chr6 27545377 27545378 chr6:27545378:G:A rs1231938353 G A G EBF1_EBF_1 -6 0 - 0 0 . chr6 27547725 27547726 chr6:27547726:G:A rs6911283 G A A EBF1_EBF_1 -17 0 - 0 0 . chr6 27680270 27680271 chr6:27680271:C:G rs117974412 C G C EBF1_EBF_1 -19 0 + 0 0 . chr6 27792015 27792016 chr6:27792016:G:T chr6:27792016:G:T G T G EBF1_EBF_1 -18 0 - 0 0 . chr6 27890052 27890053 chr6:27890053:A:G rs115106149 A G A EBF1_EBF_1 11 1 + 7.25344905965799 4.360742216053979 ATCCCCAAAGGACA chr6 28090856 28090857 chr6:28090857:A:G rs1853097 A G A EBF1_EBF_1 30 0 - 0 0 . chr6 28141603 28141604 chr6:28141604:C:G rs532097030 C G C EBF1_EBF_1 4 1 + 9.645592752142656 4.019827650993113 ACCCCCAGGGGACC chr6 28226007 28226008 chr6:28226008:T:G rs1150705 T G G EBF1_EBF_1 18 0 + 0 0 . chr6 28281662 28281663 chr6:28281663:G:C rs949907378 G C G EBF1_EBF_1 32 0 - 0 0 . chr6 28320789 28320790 chr6:28320790:T:C chr6:28320790:T:C T C T EBF1_EBF_1 31 0 - 0 0 . chr6 28335147 28335148 chr6:28335148:G:A rs73742535 G A A EBF1_EBF_1 28 0 - 0 0 . chr6 28353904 28353905 chr6:28353905:G:A rs150145512 G A G EBF1_EBF_1 8 1 - 6.690339100070112 5.117565172007604 ACTCCCCTCGGACC chr6 28399644 28399645 chr6:28399645:A:C rs142035039 A C A EBF1_EBF_1 -16 0 + 0 0 . chr6 28400087 28400088 chr6:28400088:G:C rs2232418 G C G EBF1_EBF_1 6 1 - 5.6623820881490134 3.857190024675816 GTCCCCCGGAGAAC chr6 28400097 28400098 chr6:28400098:C:A chr6:28400098:C:A C A C EBF1_EBF_1 -4 0 - 0 0 . chr6 28474985 28474986 chr6:28474986:G:C rs58096372 G C C EBF1_EBF_1 -17 0 - 0 0 . chr6 28791050 28791051 chr6:28791051:G:C rs9380090 G C G EBF1_EBF_1 25 0 - 0 0 . chr6 28881050 28881051 chr6:28881051:C:T rs1356041137 C T C EBF1_EBF_1 29 0 - 0 0 . chr6 28940203 28940204 chr6:28940204:C:T rs111323661 C T C EBF1_EBF_1 -16 0 - 0 0 . chr6 28953986 28953987 chr6:28953987:G:C rs149702821 G C G EBF1_EBF_1 5 1 - 6.1924182381027935 -0.7124629145323744 AATCCCTTTGGACT chr6 28954008 28954009 chr6:28954009:C:T rs1223244992 C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 28958080 28958081 chr6:28958081:A:G rs4713187 A G G EBF1_EBF_1 6 1 + 5.92681824631561 3.7600238150411345 CTTCCCACGGGGCA chr6 28977890 28977891 chr6:28977891:T:G rs113439016 T G T EBF1_EBF_1 -5 0 + 0 0 . chr6 28979142 28979143 chr6:28979143:T:C rs3135322 T C C EBF1_EBF_1 -15 0 + 0 0 . chr6 28985446 28985447 chr6:28985447:A:G rs529598909 A G A EBF1_EBF_1 -17 0 - 0 0 . chr6 28985449 28985450 chr6:28985450:G:C rs147802360 G C G EBF1_EBF_1 -20 0 - 0 0 . chr6 28988638 28988639 chr6:28988639:A:G rs3129792 A G G EBF1_EBF_1 33 0 - 0 0 . chr6 29005338 29005339 chr6:29005339:T:C rs112167779 T C T EBF1_EBF_1 17 0 - 0 0 . chr6 29005479 29005480 chr6:29005480:G:A rs189041931 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 29251813 29251814 chr6:29251814:G:A rs57499095 G A g EBF1_EBF_1 33 0 - 0 0 . chr6 29259865 29259866 chr6:29259866:C:T rs3117329 C T C EBF1_EBF_1 -14 0 + 0 0 . chr6 29260468 29260469 chr6:29260469:G:T rs2024680 G T G EBF1_EBF_1 9 1 + 7.02014850191016 0.18315909382135143 CCTCCCAAGGGCCT chr6 29273628 29273629 chr6:29273629:C:T rs111379005 C T C EBF1_EBF_1 -10 0 + 0 0 . chr6 29312355 29312356 chr6:29312356:G:A rs9378186 G A G EBF1_EBF_1 -4 0 + 0 0 . chr6 29390898 29390899 chr6:29390899:G:C rs1592404 G C G EBF1_EBF_1 30 0 - 0 0 . chr6 29409288 29409289 chr6:29409289:G:C rs1592407 G C - EBF1_EBF_1 -5 0 + 0 0 . chr6 29506270 29506271 chr6:29506271:A:G rs188121918 A G A EBF1_EBF_1 27 0 + 0 0 . chr6 29509355 29509356 chr6:29509356:G:A rs35617617 G A G EBF1_EBF_1 25 0 + 0 0 . chr6 29520798 29520799 chr6:29520799:A:C rs3130855 A C a EBF1_EBF_1 22 0 - 0 0 . chr6 29528305 29528306 chr6:29528306:T:C rs2745406 T C C EBF1_EBF_1 -19 0 + 0 0 . chr6 29528355 29528356 chr6:29528356:C:T rs148434164 C T C EBF1_EBF_1 31 0 + 0 0 . chr6 29532447 29532448 chr6:29532448:C:T rs886381 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 29592702 29592703 chr6:29592703:A:G rs3095274 A G G EBF1_EBF_1 25 0 - 0 0 . chr6 29655816 29655817 chr6:29655817:T:C rs29254 T C T EBF1_EBF_1 -14 0 - 0 0 . chr6 29657116 29657117 chr6:29657117:T:C rs9468571 T C C EBF1_EBF_1 7 1 + 6.352724892730978 4.5352201464483555 CTTCCCTTGGGCTT chr6 29657349 29657350 chr6:29657350:A:G rs78540913 A G A EBF1_EBF_1 -20 0 - 0 0 . chr6 29665877 29665878 chr6:29665878:G:C rs2535252 G C - EBF1_EBF_1 -9 0 - 0 0 . chr6 29680042 29680043 chr6:29680043:A:T rs62392955 A T A EBF1_EBF_1 -6 0 + 0 0 . chr6 29681117 29681118 chr6:29681118:C:G rs2747432 C G C EBF1_EBF_1 -7 0 - 0 0 . chr6 29685917 29685918 chr6:29685918:C:A rs2747445 C A C EBF1_EBF_1 -19 0 + 0 0 . chr6 29685935 29685936 chr6:29685936:C:T rs56101454 C T C EBF1_EBF_1 -1 0 + 0 0 . chr6 29693640 29693641 chr6:29693641:C:A rs2079895 C A C EBF1_EBF_1 -7 0 + 0 0 . chr6 29693678 29693679 chr6:29693679:A:T rs2894113 A T T EBF1_EBF_1 31 0 + 0 0 . chr6 29696534 29696535 chr6:29696535:C:T rs3129087 C T C EBF1_EBF_1 1 1 - 5.036891788986379 4.776192957065077 CGTCCCTAAGGAAG chr6 29705880 29705881 chr6:29705881:C:A rs114488386 C A C EBF1_EBF_1 22 0 - 0 0 . chr6 29709471 29709472 chr6:29709472:G:A rs3871467 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 29734022 29734023 chr6:29734023:C:T rs7773358 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 29740950 29740951 chr6:29740951:G:T rs1610607 G T T EBF1_EBF_1 9 1 + 6.593242363951472 -0.24374704413733778 TCTCCCCAGGGGTC chr6 29741936 29741937 chr6:29741937:A:G rs28721574 A G A EBF1_EBF_1 25 0 + 0 0 . chr6 29742171 29742172 chr6:29742172:C:T rs885942 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 29743346 29743347 chr6:29743347:C:T rs2743949 C T C EBF1_EBF_1 26 0 - 0 0 . chr6 29745592 29745593 chr6:29745593:G:A rs2076175 G A G EBF1_EBF_1 7 1 + 4.973567329534335 6.28602573482316 TGTCCCCGGGGCCC chr6 29747554 29747555 chr6:29747555:C:A rs34260811 C A C EBF1_EBF_1 10 1 - 5.047166101678228 0.8644139154509627 TTCCCCCAGGGTCA chr6 29749700 29749701 chr6:29749701:G:T rs62391835 G T g EBF1_EBF_1 3 1 - 5.013630709989796 -1.8925433194760113 AGCCCCGGGAGACC chr6 29752384 29752385 chr6:29752385:C:A rs1610737 C A A EBF1_EBF_1 14 0 + 0 0 . chr6 29752398 29752399 chr6:29752399:A:G rs1610738 A G A EBF1_EBF_1 28 0 + 0 0 . chr6 29766699 29766700 chr6:29766700:A:G rs2523754 A G A EBF1_EBF_1 33 0 + 0 0 . chr6 29769444 29769445 chr6:29769445:A:C rs1737032 A C A EBF1_EBF_1 -12 0 - 0 0 . chr6 29777297 29777298 chr6:29777298:G:T rs2517930 G T g EBF1_EBF_1 -7 0 + 0 0 . chr6 29777948 29777949 chr6:29777949:G:A rs1615962 G A g EBF1_EBF_1 -1 0 - 0 0 . chr6 29779907 29779908 chr6:29779908:G:T rs1737020 G T g EBF1_EBF_1 -18 0 - 0 0 . chr6 29791733 29791734 chr6:29791734:C:T rs17185132 C T - EBF1_EBF_1 10 1 - 5.439112246829304 0.12108378756233813 TCACCCCAGGGAGC chr6 29791739 29791740 chr6:29791740:G:A rs1610717 G A - EBF1_EBF_1 4 1 - 5.439112246829304 1.0697253018843804 TCACCCCAGGGAGC chr6 29792732 29792733 chr6:29792733:G:A rs1611211 G A - EBF1_EBF_1 -16 0 + 0 0 . chr6 29792745 29792746 chr6:29792746:C:T rs1611212 C T - EBF1_EBF_1 -3 0 + 0 0 . chr6 29792758 29792759 chr6:29792759:G:A rs45458093 G A - EBF1_EBF_1 10 1 + 6.173223369114265 0.8551949098472982 ATCCCCCACGGACC chr6 29800345 29800346 chr6:29800346:C:A rs7741100 C A - EBF1_EBF_1 9 1 - 9.997651368774793 3.1606619606859843 ATCCCCCGGGGATT chr6 29812751 29812752 chr6:29812752:C:T rs753544 C T - EBF1_EBF_1 10 1 - 8.03816049853853 2.720132039271564 ACTCCTCAGGGAAT chr6 29816765 29816766 chr6:29816766:A:C rs61609080 A C - EBF1_EBF_1 28 0 - 0 0 . chr6 29827361 29827362 chr6:29827362:A:G rs149890776 A G - EBF1_EBF_1 14 0 - 0 0 . chr6 29827367 29827368 chr6:29827368:C:G rs1736932 C G - EBF1_EBF_1 8 1 - 5.439112246829304 0.12045338591461803 TCACCCCAGGGAGC chr6 29829395 29829396 chr6:29829396:A:G rs1736920 A G - EBF1_EBF_1 28 0 + 0 0 . chr6 29852500 29852501 chr6:29852501:A:G rs3115627 A G - EBF1_EBF_1 -13 0 + 0 0 . chr6 29863754 29863755 chr6:29863755:G:T rs9258788 G T - EBF1_EBF_1 22 0 + 0 0 . chr6 29866694 29866695 chr6:29866695:C:T rs2734970 C T - EBF1_EBF_1 1 1 + 4.901007963507028 5.7964414039057734 TCTCCCTGAGGACA chr6 29890074 29890075 chr6:29890075:A:G rs9259265 A G - EBF1_EBF_1 7 1 + 6.182724447904502 4.870266042615677 GGTCCCCAAGGACA chr6 29893502 29893503 chr6:29893503:C:T rs3128865 C T - EBF1_EBF_1 -4 0 + 0 0 . chr6 29893531 29893532 chr6:29893532:T:C rs1419658 T C - EBF1_EBF_1 25 0 + 0 0 . chr6 29907680 29907681 chr6:29907681:T:C rs9259628 T C - EBF1_EBF_1 11 1 - 5.2784203303632395 2.3857134867592267 ACCCCCCAGGAACC chr6 29911046 29911047 chr6:29911047:C:T rs419316 C T - EBF1_EBF_1 24 0 + 0 0 . chr6 29911047 29911048 chr6:29911048:A:G rs2517799 A G - EBF1_EBF_1 25 0 + 0 0 . chr6 29915298 29915299 chr6:29915299:C:G rs1632921 C G - EBF1_EBF_1 0 1 - 5.792889107079621 5.429914492947854 GTTCCTCAGGGACA chr6 29916021 29916022 chr6:29916022:G:A rs2734920 G A - EBF1_EBF_1 -19 0 + 0 0 . chr6 29918129 29918130 chr6:29918130:A:G rs397144 A G - EBF1_EBF_1 25 0 + 0 0 . chr6 29926632 29926633 chr6:29926633:C:T rs2904763 C T - EBF1_EBF_1 -18 0 + 0 0 . chr6 29926661 29926662 chr6:29926662:A:T rs2517771 A T - EBF1_EBF_1 11 1 + 4.348209774275558 0.25029551817683193 CGCCCCCAGGTACC chr6 29926671 29926672 chr6:29926672:T:A rs73725510 T A - EBF1_EBF_1 21 0 + 0 0 . chr6 29926678 29926679 chr6:29926679:T:G rs2734913 T G - EBF1_EBF_1 28 0 + 0 0 . chr6 29926978 29926979 chr6:29926979:A:G rs117527351 A G - EBF1_EBF_1 7 1 + 4.68515234775885 3.3726939424700246 ATCCCCCACGGACG chr6 29926985 29926986 chr6:29926986:T:C rs425052 T C - EBF1_EBF_1 14 0 + 0 0 . chr6 29930094 29930095 chr6:29930095:G:A rs6926454 G A - EBF1_EBF_1 -4 0 - 0 0 . chr6 29938786 29938787 chr6:29938787:T:G rs367077 T G - EBF1_EBF_1 0 1 - 5.79668764918361 3.5414291654870094 ACTCCCATGAGGTC chr6 29943056 29943057 chr6:29943057:G:C rs63225861 G C - EBF1_EBF_1 -9 0 + 0 0 . chr6 29943074 29943075 chr6:29943075:G:C rs116038513 G C - EBF1_EBF_1 9 1 + 4.68515234775885 -0.39053679858661394 ATCCCCCACGGACG chr6 29943083 29943084 chr6:29943084:A:G rs41555717 A G - EBF1_EBF_1 18 0 + 0 0 . chr6 29943087 29943088 chr6:29943088:C:G rs41540614 C G - EBF1_EBF_1 22 0 + 0 0 . chr6 29943088 29943089 chr6:29943089:G:A rs41543916 G A - EBF1_EBF_1 23 0 + 0 0 . chr6 29944836 29944837 chr6:29944837:C:A rs41545117 C A - EBF1_EBF_1 26 0 - 0 0 . chr6 29947896 29947897 chr6:29947897:T:C rs9260254 T C - EBF1_EBF_1 19 0 + 0 0 . chr6 29964552 29964553 chr6:29964553:T:C rs2517681 T C - EBF1_EBF_1 -18 0 + 0 0 . chr6 29964602 29964603 chr6:29964603:A:T rs2571402 A T - EBF1_EBF_1 32 0 + 0 0 . chr6 29966374 29966375 chr6:29966375:C:G rs17180058 C G - EBF1_EBF_1 8 1 - 4.008859956050168 -1.3097989048645187 CCTCCCGAGGGCCC chr6 29966382 29966383 chr6:29966383:G:A rs17180072 G A - EBF1_EBF_1 0 1 - 4.008859956050168 4.291062016780746 CCTCCCGAGGGCCC chr6 29966385 29966386 chr6:29966386:A:C rs6935053 A C - EBF1_EBF_1 -3 0 - 0 0 . chr6 29966392 29966393 chr6:29966393:T:G rs6914900 T G - EBF1_EBF_1 -10 0 - 0 0 . chr6 29966396 29966397 chr6:29966397:A:C chr6:29966397:A:C A C - EBF1_EBF_1 -14 0 - 0 0 . chr6 29968065 29968066 chr6:29968066:G:A rs4248141 G A - EBF1_EBF_1 6 1 + 8.644807698804097 10.811602130078573 AATCCCGTGGGACG chr6 29968072 29968073 chr6:29968073:G:A rs6415118 G A - EBF1_EBF_1 13 1 + 8.644807698804097 9.759133270873537 AATCCCGTGGGACG chr6 29968357 29968358 chr6:29968358:G:T rs4959036 G T - EBF1_EBF_1 -9 0 + 0 0 . chr6 29968398 29968399 chr6:29968399:A:G rs185785349 A G - EBF1_EBF_1 32 0 + 0 0 . chr6 29972482 29972483 chr6:29972483:G:A rs2523957 G A - EBF1_EBF_1 -11 0 - 0 0 . chr6 29975910 29975911 chr6:29975911:G:C rs2394251 G C - EBF1_EBF_1 -11 0 + 0 0 . chr6 29975924 29975925 chr6:29975925:C:T rs2394252 C T - EBF1_EBF_1 3 1 + 4.908000195750487 -1.4973985458028298 TTTCCCAATGGAAC chr6 29975937 29975938 chr6:29975938:C:T rs3823363 C T - EBF1_EBF_1 16 0 + 0 0 . chr6 29976475 29976476 chr6:29976476:C:A rs3823377 C A - EBF1_EBF_1 31 0 + 0 0 . chr6 29982154 29982155 chr6:29982155:A:G rs79304251 A G a EBF1_EBF_1 11 1 - 6.321245433277366 6.0031979915237015 AACCCCAGGGGTCT chr6 29983271 29983272 chr6:29983272:T:G rs73428122 T G - EBF1_EBF_1 -17 0 + 0 0 . chr6 29996037 29996038 chr6:29996038:C:T rs9260999 C T t EBF1_EBF_1 14 0 + 0 0 . chr6 29996051 29996052 chr6:29996052:G:A rs9261000 G A g EBF1_EBF_1 -19 0 + 0 0 . chr6 29996062 29996063 chr6:29996063:T:A rs60968521 T A t EBF1_EBF_1 -8 0 + 0 0 . chr6 29999554 29999555 chr6:29999555:T:C rs17187349 T C - EBF1_EBF_1 25 0 - 0 0 . chr6 30007315 30007316 chr6:30007316:A:T rs6939616 A T A EBF1_EBF_1 -10 0 + 0 0 . chr6 30007317 30007318 chr6:30007318:G:C rs6940082 G C g EBF1_EBF_1 -8 0 + 0 0 . chr6 30009367 30009368 chr6:30009368:G:C rs356969 G C G EBF1_EBF_1 16 0 - 0 0 . chr6 30060694 30060695 chr6:30060695:G:C rs3757330 G C C EBF1_EBF_1 -12 0 - 0 0 . chr6 30060695 30060696 chr6:30060696:G:T rs3757331 G T T EBF1_EBF_1 -13 0 - 0 0 . chr6 30067247 30067248 chr6:30067248:A:G rs538795990 A G A EBF1_EBF_1 6 1 - 5.859078832317627 5.557792703455869 CACCCCTGGGGATA chr6 30075177 30075178 chr6:30075178:C:T rs1150736 C T C EBF1_EBF_1 12 1 + 4.49496029321871 3.4686937226678594 CTTCCCCGGGAACC chr6 30075708 30075709 chr6:30075709:T:C rs11758516 T C T EBF1_EBF_1 30 0 - 0 0 . chr6 30075981 30075982 chr6:30075982:G:A rs116810646 G A G EBF1_EBF_1 -3 0 + 0 0 . chr6 30075986 30075987 chr6:30075987:C:T rs9261305 C T C EBF1_EBF_1 2 1 + 3.4729567960230545 5.3617802074652055 AGCCCCCTGTGACC chr6 30076001 30076002 chr6:30076002:C:G rs3807032 C G C EBF1_EBF_1 17 0 + 0 0 . chr6 30092110 30092111 chr6:30092111:C:T rs9261379 C T C EBF1_EBF_1 13 1 + 4.821408469571726 5.665902584157244 CTTGCCCTGGGACC chr6 30094355 30094356 chr6:30094356:T:A rs2394736 T A A EBF1_EBF_1 6 1 - 5.311819939461766 5.251503700522246 CACCCCACGGGATC chr6 30095955 30095956 chr6:30095956:C:T rs7741418 C T T EBF1_EBF_1 21 0 + 0 0 . chr6 30102044 30102045 chr6:30102045:T:C rs1110448 T C C EBF1_EBF_1 -7 0 + 0 0 . chr6 30102057 30102058 chr6:30102058:C:G rs1108053 C G C EBF1_EBF_1 6 1 + 4.858897697135172 3.0537056336619735 ATCCTCCCGGGAAC chr6 30102069 30102070 chr6:30102070:C:T rs182884231 C T C EBF1_EBF_1 18 0 + 0 0 . chr6 30102568 30102569 chr6:30102569:A:C rs2523994 A C C EBF1_EBF_1 27 0 + 0 0 . chr6 30107327 30107328 chr6:30107328:C:T rs3815096 C T C EBF1_EBF_1 16 0 - 0 0 . chr6 30127825 30127826 chr6:30127826:C:G rs10947056 C G C EBF1_EBF_1 12 1 + 7.305656565335583 5.917020621657432 AGCCCCTCGGGACG chr6 30128024 30128025 chr6:30128025:C:G rs903055687 C G C EBF1_EBF_1 19 0 - 0 0 . chr6 30150804 30150805 chr6:30150805:T:G rs1557608 T G G EBF1_EBF_1 29 0 + 0 0 . chr6 30151781 30151782 chr6:30151782:C:T rs114904725 C T C EBF1_EBF_1 -20 0 - 0 0 . chr6 30154815 30154816 chr6:30154816:C:T rs114670614 C T T EBF1_EBF_1 25 0 - 0 0 . chr6 30154845 30154846 chr6:30154846:T:C rs2517645 T C C EBF1_EBF_1 -5 0 - 0 0 . chr6 30163345 30163346 chr6:30163346:T:G rs17188113 T G T EBF1_EBF_1 -16 0 + 0 0 . chr6 30163768 30163769 chr6:30163769:A:G rs17194460 A G G EBF1_EBF_1 0 1 + 5.104355126780861 3.2120712572160275 ATTCCCTGTGGACA chr6 30167310 30167311 chr6:30167311:T:C rs149896104 T C T EBF1_EBF_1 22 0 - 0 0 . chr6 30206353 30206354 chr6:30206354:C:T rs2021722 C T T EBF1_EBF_1 14 0 + 0 0 . chr6 30206354 30206355 chr6:30206355:G:A rs9391802 G A G EBF1_EBF_1 15 0 + 0 0 . chr6 30206812 30206813 chr6:30206813:C:T rs9261564 C T C EBF1_EBF_1 25 0 + 0 0 . chr6 30231289 30231290 chr6:30231290:G:A rs1573295 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 30260107 30260108 chr6:30260108:C:A rs372937584 C A C EBF1_EBF_1 -19 0 + 0 0 . chr6 30345103 30345104 chr6:30345104:C:T rs1003822 C T C EBF1_EBF_1 13 1 + 4.909147071965915 5.753641186551433 TTTCCCAGGAGGCC chr6 30345112 30345113 chr6:30345113:A:G rs538587499 A G A EBF1_EBF_1 22 0 + 0 0 . chr6 30345251 30345252 chr6:30345252:C:T rs45626136 C T C EBF1_EBF_1 6 1 - 4.42542521329935 6.5922196445738255 GTCCCCGTGGGGCC chr6 30345490 30345491 chr6:30345491:G:A rs11967 G A G EBF1_EBF_1 9 1 + 7.504695389951974 4.849756124504369 CGCCCCCAGGGATC chr6 30345508 30345509 chr6:30345509:G:A rs548366518 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 30451306 30451307 chr6:30451307:G:A rs9918486 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 30451332 30451333 chr6:30451333:A:G rs9918389 A G G EBF1_EBF_1 11 1 + 5.582581594419727 2.689874750815715 CCTCCCTCGAGACC chr6 30556585 30556586 chr6:30556586:C:T rs1474779339 C T C EBF1_EBF_1 5 1 + 4.850579995719221 -0.47120721982144936 GCTCCCGGGAGAAC chr6 30672960 30672961 chr6:30672961:G:A rs144057215 G A G EBF1_EBF_1 3 1 - 5.572974223972601 -0.8324245175807169 GGTCCCAAGGTAGC chr6 30676903 30676904 chr6:30676904:C:T rs950784254 C T C EBF1_EBF_1 -5 0 + 0 0 . chr6 30682376 30682377 chr6:30682377:A:G rs1252054480 A G A EBF1_EBF_1 30 0 + 0 0 . chr6 30687151 30687152 chr6:30687152:C:G rs1036159705 C G C EBF1_EBF_1 28 0 + 0 0 . chr6 30689981 30689982 chr6:30689982:A:G rs3129998 A G A EBF1_EBF_1 21 0 - 0 0 . chr6 30691225 30691226 chr6:30691226:T:C rs143558175 T C t EBF1_EBF_1 25 0 + 0 0 . chr6 30721223 30721224 chr6:30721224:T:A rs3132582 T A T EBF1_EBF_1 -19 0 + 0 0 . chr6 30721788 30721789 chr6:30721789:C:T rs143849435 C T C EBF1_EBF_1 10 1 - 5.300706028825086 -0.017322430441879366 GCTCCCCCGAGAAA chr6 30721798 30721799 chr6:30721799:C:T rs145807661 C T C EBF1_EBF_1 0 1 - 5.300706028825086 7.1929898983899205 GCTCCCCCGAGAAA chr6 30725229 30725230 chr6:30725230:G:A rs9500863 G A G EBF1_EBF_1 10 1 + 5.669508123655868 0.3514796643889029 AGTCCCCAGAGGAG chr6 30730763 30730764 chr6:30730764:A:G rs1064627 A G G EBF1_EBF_1 -7 0 + 0 0 . chr6 30732780 30732781 chr6:30732781:A:G rs9969042 A G A EBF1_EBF_1 31 0 + 0 0 . chr6 30742357 30742358 chr6:30742358:A:G rs10947089 A G G EBF1_EBF_1 30 0 + 0 0 . chr6 30752072 30752073 chr6:30752073:G:A chr6:30752073:G:A G A C EBF1_EBF_1 -3 0 - 0 0 . chr6 30752706 30752707 chr6:30752707:C:T rs369198401 C T C EBF1_EBF_1 -10 0 + 0 0 . chr6 30763182 30763183 chr6:30763183:C:T rs3094121 C T C EBF1_EBF_1 25 0 - 0 0 . chr6 30774356 30774357 chr6:30774357:G:A rs4248148 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 30778855 30778856 chr6:30778856:C:A rs12526481 C A C EBF1_EBF_1 -15 0 - 0 0 . chr6 30799167 30799168 chr6:30799168:A:G rs4587207 A G A EBF1_EBF_1 19 0 - 0 0 . chr6 30803000 30803001 chr6:30803001:C:G rs4713372 C G G EBF1_EBF_1 3 1 + 8.055689661609772 2.2279252134945553 ATTCCCTAAGGATA chr6 30811076 30811077 chr6:30811077:C:G chr6:30811077:C:G C G C EBF1_EBF_1 -13 0 + 0 0 . chr6 30827230 30827231 chr6:30827231:C:T rs3888583 C T C EBF1_EBF_1 31 0 - 0 0 . chr6 30827232 30827233 chr6:30827233:G:A rs151090299 G A G EBF1_EBF_1 29 0 - 0 0 . chr6 30827257 30827258 chr6:30827258:C:T rs57662504 C T C EBF1_EBF_1 4 1 - 5.867901931122916 5.669107408818993 CTTCGCCTGGGACT chr6 30830213 30830214 chr6:30830214:C:T rs7749924 C T T EBF1_EBF_1 13 1 - 5.999964436048875 7.114290008118314 AGACCCCTGGGATG chr6 30843386 30843387 chr6:30843387:C:A rs2517579 C A C EBF1_EBF_1 30 0 + 0 0 . chr6 30843389 30843390 chr6:30843390:T:G rs11759918 T G T EBF1_EBF_1 33 0 + 0 0 . chr6 30851479 30851480 chr6:30851480:G:A rs3130652 G A G EBF1_EBF_1 20 0 - 0 0 . chr6 30853744 30853745 chr6:30853745:C:T rs2844661 C T C EBF1_EBF_1 12 1 + 5.193887709831147 4.167621139280297 AACCCCAGGGGGCG chr6 30869383 30869384 chr6:30869384:G:A rs3131031 G A A EBF1_EBF_1 -5 0 - 0 0 . chr6 30881700 30881701 chr6:30881701:C:T rs73727106 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 30883684 30883685 chr6:30883685:C:A rs149623962 C A C EBF1_EBF_1 33 0 - 0 0 . chr6 30884131 30884132 chr6:30884132:C:A rs1264326 C A C EBF1_EBF_1 12 1 + 5.929168339152439 5.959349737573765 AGCCCCCTGGGGCG chr6 30885523 30885524 chr6:30885524:C:T rs144190319 C T C EBF1_EBF_1 16 0 - 0 0 . chr6 30885525 30885526 chr6:30885526:A:C chr6:30885526:A:C A C A EBF1_EBF_1 14 0 - 0 0 . chr6 30894645 30894646 chr6:30894646:C:T rs1264319 C T C EBF1_EBF_1 -12 0 - 0 0 . chr6 30910801 30910802 chr6:30910802:A:T rs3218814 A T A EBF1_EBF_1 21 0 - 0 0 . chr6 30922417 30922418 chr6:30922418:A:G rs3890906 A G A EBF1_EBF_1 23 0 + 0 0 . chr6 30955623 30955624 chr6:30955624:G:C rs17196095 G C G EBF1_EBF_1 3 1 - 4.898310977878776 -0.9294534702364414 ACTCCCCGAGGGCT chr6 30955851 30955852 chr6:30955852:C:T rs2532920 C T C EBF1_EBF_1 -17 0 + 0 0 . chr6 30964066 30964067 chr6:30964067:T:C rs3131934 T C C EBF1_EBF_1 16 0 + 0 0 . chr6 31004424 31004425 chr6:31004425:G:A rs380808 G A A EBF1_EBF_1 12 1 - 5.612922822639572 4.586656252088722 GCTCACCAGGGACT chr6 31020949 31020950 chr6:31020950:T:C rs1634728 T C - EBF1_EBF_1 -1 0 + 0 0 . chr6 31020959 31020960 chr6:31020960:G:C rs7453270 G C - EBF1_EBF_1 9 1 + 7.744322992598233 2.6686338462527686 CTTCCCATGGGGCA chr6 31053383 31053384 chr6:31053384:C:G rs9262615 C G C EBF1_EBF_1 27 0 - 0 0 . chr6 31083610 31083611 chr6:31083611:C:T rs2535318 C T T EBF1_EBF_1 -12 0 + 0 0 . chr6 31113274 31113275 chr6:31113275:A:G rs2233960 A G G EBF1_EBF_1 1 1 - 5.383728869365906 4.48829542896716 TTTCCCCTGAGGCC chr6 31113287 31113288 chr6:31113288:T:C rs2233959 T C C EBF1_EBF_1 -12 0 - 0 0 . chr6 31120547 31120548 chr6:31120548:A:G rs2302396 A G G EBF1_EBF_1 7 1 - 7.382720624796956 5.565215878514333 CTTCCCCTGGGGCA chr6 31121078 31121079 chr6:31121079:T:C rs2239519 T C C EBF1_EBF_1 -1 0 - 0 0 . chr6 31121144 31121145 chr6:31121145:G:A rs2239520 G A G EBF1_EBF_1 12 1 - 4.8721392628933575 3.8458726923425073 CGTCCCCAGAGGCC chr6 31125315 31125316 chr6:31125316:A:G rs9263692 A G A EBF1_EBF_1 22 0 - 0 0 . chr6 31125354 31125355 chr6:31125355:A:G rs3094200 A G A EBF1_EBF_1 -17 0 - 0 0 . chr6 31125578 31125579 chr6:31125579:T:C rs3095301 T C C EBF1_EBF_1 8 1 - 6.945445950790879 11.17173603967714 AGTCCCATAGGAGC chr6 31126376 31126377 chr6:31126377:G:T rs9263701 G T G EBF1_EBF_1 2 1 - 6.618048206427904 4.82240403027118 ACCCCCTAGGGTTT chr6 31138150 31138151 chr6:31138151:G:A rs150591468 G A G EBF1_EBF_1 0 1 - 4.991292437106173 5.273494497836751 CGTCCCTGGAGAGA chr6 31138237 31138238 chr6:31138238:T:C rs772810858 T C T EBF1_EBF_1 -20 0 - 0 0 . chr6 31139539 31139540 chr6:31139540:C:T rs1265092 C T C EBF1_EBF_1 -15 0 + 0 0 . chr6 31139560 31139561 chr6:31139561:C:G rs2233946 C G C EBF1_EBF_1 6 1 + 6.712136777226299 4.906944713753101 CTCCCCCTGGGGCT chr6 31139583 31139584 chr6:31139584:C:A rs2233945 C A C EBF1_EBF_1 -9 0 + 0 0 . chr6 31161532 31161533 chr6:31161533:C:T rs7750641 C T C EBF1_EBF_1 2 1 + 3.5759081153676213 5.464731526809774 GGCCCCCAGGAACC chr6 31180828 31180829 chr6:31180829:C:T rs6937752 C T G EBF1_EBF_1 24 0 + 0 0 . chr6 31183962 31183963 chr6:31183963:C:T rs6913070 C T C EBF1_EBF_1 27 0 - 0 0 . chr6 31183973 31183974 chr6:31183974:C:T rs6913074 C T C EBF1_EBF_1 16 0 - 0 0 . chr6 31186231 31186232 chr6:31186232:C:T rs17191033 C T T EBF1_EBF_1 5 1 + 4.858935768559662 -0.462851446981009 CCACCCAAGGGGCT chr6 31193569 31193570 chr6:31193570:A:G rs3095237 A G G EBF1_EBF_1 -20 0 + 0 0 . chr6 31198294 31198295 chr6:31198295:C:A rs117333063 C A C EBF1_EBF_1 10 1 - 8.387357944973628 4.204605758746366 ACTCCCCAGGGTCC chr6 31199795 31199796 chr6:31199796:G:A rs28397286 G A G EBF1_EBF_1 31 0 - 0 0 . chr6 31202351 31202352 chr6:31202352:T:A rs28362364 T A T EBF1_EBF_1 -11 0 + 0 0 . chr6 31210222 31210223 chr6:31210223:A:G rs3130512 A G G EBF1_EBF_1 -2 0 - 0 0 . chr6 31210239 31210240 chr6:31210240:G:T rs17191244 G T g EBF1_EBF_1 -19 0 - 0 0 . chr6 31222760 31222761 chr6:31222761:G:A rs9263985 G A A EBF1_EBF_1 30 0 + 0 0 . chr6 31228558 31228559 chr6:31228559:T:A rs3130469 T A A EBF1_EBF_1 -7 0 - 0 0 . chr6 31230435 31230436 chr6:31230436:T:G rs3130940 T G T EBF1_EBF_1 19 0 - 0 0 . chr6 31233060 31233061 chr6:31233061:G:A rs9264077 G A G EBF1_EBF_1 16 0 + 0 0 . chr6 31267008 31267009 chr6:31267009:T:C rs9264550 T C T EBF1_EBF_1 -9 0 + 0 0 . chr6 31270530 31270531 chr6:31270531:G:C rs9264637 G C g EBF1_EBF_1 4 1 - 6.646269142065097 1.0205040409155526 TGTCCCAAGAGAGA chr6 31270540 31270541 chr6:31270541:G:A rs9264638 G A g EBF1_EBF_1 -6 0 - 0 0 . chr6 31271528 31271529 chr6:31271529:T:G rs7383157 T G G EBF1_EBF_1 27 0 - 0 0 . chr6 31271529 31271530 chr6:31271530:G:A rs41540717 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 31271557 31271558 chr6:31271558:G:A rs17880655 G A G EBF1_EBF_1 -2 0 - 0 0 . chr6 31271568 31271569 chr6:31271569:T:G rs11547346 T G T EBF1_EBF_1 -13 0 - 0 0 . chr6 31272575 31272576 chr6:31272576:A:C rs28498059 A C C EBF1_EBF_1 -8 0 + 0 0 . chr6 31286218 31286219 chr6:31286219:A:G rs2524052 A G G EBF1_EBF_1 27 0 + 0 0 . chr6 31307617 31307618 chr6:31307618:G:A rs9264954 G A g EBF1_EBF_1 28 0 + 0 0 . chr6 31336854 31336855 chr6:31336855:G:A rs28752949 G A G EBF1_EBF_1 -6 0 + 0 0 . chr6 31349132 31349133 chr6:31349133:A:T rs9391843 A T a EBF1_EBF_1 -16 0 - 0 0 . chr6 31349133 31349134 chr6:31349134:G:T rs2923008 G T g EBF1_EBF_1 -17 0 - 0 0 . chr6 31349513 31349514 chr6:31349514:A:C rs2246021 A C a EBF1_EBF_1 -9 0 - 0 0 . chr6 31352094 31352095 chr6:31352095:C:A rs58264607 C A C EBF1_EBF_1 -11 0 + 0 0 . chr6 31356097 31356098 chr6:31356098:C:T rs41560220 C T C EBF1_EBF_1 -17 0 + 0 0 . chr6 31356107 31356108 chr6:31356108:G:T rs41549217 G T G EBF1_EBF_1 -7 0 + 0 0 . chr6 31377492 31377493 chr6:31377493:C:T rs2853976 C T C EBF1_EBF_1 24 0 + 0 0 . chr6 31377818 31377819 chr6:31377819:G:A rs2507984 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 31378636 31378637 chr6:31378637:A:G rs2442738 A G A EBF1_EBF_1 19 0 + 0 0 . chr6 31394196 31394197 chr6:31394197:T:C rs34821683 T C T EBF1_EBF_1 22 0 - 0 0 . chr6 31394209 31394210 chr6:31394210:C:T rs35026345 C T C EBF1_EBF_1 9 1 - 5.440306570237389 2.785367304789785 CCTCCCCAGGGCCA chr6 31394232 31394233 chr6:31394233:G:A rs34464243 G A G EBF1_EBF_1 -14 0 - 0 0 . chr6 31400922 31400923 chr6:31400923:G:A chr6:31400923:G:A G A C EBF1_EBF_1 1 1 + 4.832528427268555 4.571829595347253 AGTCCCCTGGAGCT chr6 31400922 31400923 chr6:31400923:G:C rs2844522 G C C EBF1_EBF_1 1 1 + 4.832528427268555 4.642756772699338 AGTCCCCTGGAGCT chr6 31400924 31400925 chr6:31400925:C:A rs114612357 C A C EBF1_EBF_1 3 1 + 4.832528427268555 -2.073645602197251 AGTCCCCTGGAGCT chr6 31402885 31402886 chr6:31402886:C:T rs17206434 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 31414939 31414940 chr6:31414940:A:T rs2853975 A T - EBF1_EBF_1 -5 0 + 0 0 . chr6 31420032 31420033 chr6:31420033:C:T rs79411911 C T - EBF1_EBF_1 8 1 - 10.41121587554219 6.184925786655929 GCTCCCCAGGGATT chr6 31445058 31445059 chr6:31445059:T:C rs73404267 T C - EBF1_EBF_1 -18 0 - 0 0 . chr6 31462623 31462624 chr6:31462624:G:A rs16899661 G A - EBF1_EBF_1 9 1 + 4.965140544603019 2.310201279155413 AATCCCCAGGTGCA chr6 31467712 31467713 chr6:31467713:C:T rs143167327 C T - EBF1_EBF_1 -20 0 - 0 0 . chr6 31470816 31470817 chr6:31470817:C:T rs2523666 C T - EBF1_EBF_1 20 0 - 0 0 . chr6 31475792 31475793 chr6:31475793:T:C rs2516516 T C - EBF1_EBF_1 6 1 - 6.928452704026201 4.761658272751727 TTTCCCATGGTATT chr6 31480654 31480655 chr6:31480655:G:T rs2248613 G T - EBF1_EBF_1 14 0 - 0 0 . chr6 31489705 31489706 chr6:31489706:G:A rs3130915 G A - EBF1_EBF_1 -13 0 - 0 0 . chr6 31489706 31489707 chr6:31489707:C:T rs150971914 C T - EBF1_EBF_1 -14 0 - 0 0 . chr6 31495120 31495121 chr6:31495121:C:A rs151327873 C A - EBF1_EBF_1 -12 0 + 0 0 . chr6 31495150 31495151 chr6:31495151:A:T rs2534682 A T - EBF1_EBF_1 18 0 + 0 0 . chr6 31495153 31495154 chr6:31495154:T:A rs2534681 T A - EBF1_EBF_1 21 0 + 0 0 . chr6 31496961 31496962 chr6:31496962:G:A rs3828903 G A - EBF1_EBF_1 31 0 + 0 0 . chr6 31505768 31505769 chr6:31505769:A:G rs3131639 A G - EBF1_EBF_1 24 0 + 0 0 . chr6 31531576 31531577 chr6:31531577:C:T rs3130056 C T T EBF1_EBF_1 -14 0 + 0 0 . chr6 31542360 31542361 chr6:31542361:G:A rs137932614 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 31546469 31546470 chr6:31546470:A:G rs9267488 A G A EBF1_EBF_1 -8 0 - 0 0 . chr6 31547477 31547478 chr6:31547478:C:G rs138045493 C G C EBF1_EBF_1 9 1 - 9.217795689754135 4.14210654340867 TCTCCCAGGGGAAA chr6 31547505 31547506 chr6:31547506:G:C rs555489747 G C G EBF1_EBF_1 -19 0 - 0 0 . chr6 31560410 31560411 chr6:31560411:G:T rs191718782 G T G EBF1_EBF_1 -4 0 + 0 0 . chr6 31560548 31560549 chr6:31560549:G:C rs2516479 G C G EBF1_EBF_1 -8 0 - 0 0 . chr6 31560582 31560583 chr6:31560583:G:A rs149845600 G A G EBF1_EBF_1 22 0 - 0 0 . chr6 31572196 31572197 chr6:31572197:G:A rs145829373 G A G EBF1_EBF_1 -6 0 - 0 0 . chr6 31572778 31572779 chr6:31572779:T:C rs2229094 T C C EBF1_EBF_1 13 1 + 5.949559999985673 5.105065885400155 CCTCCCAAGGGTGT chr6 31572915 31572916 chr6:31572916:G:C rs3093542 G C G EBF1_EBF_1 -3 0 + 0 0 . chr6 31574704 31574705 chr6:31574705:C:T rs1799724 C T C EBF1_EBF_1 33 0 - 0 0 . chr6 31574755 31574756 chr6:31574756:C:T rs4248158 C T C EBF1_EBF_1 -18 0 - 0 0 . chr6 31574989 31574990 chr6:31574990:A:C rs4248161 A C A EBF1_EBF_1 33 0 + 0 0 . chr6 31575323 31575324 chr6:31575324:G:A rs361525 G A G EBF1_EBF_1 15 0 + 0 0 . chr6 31598678 31598679 chr6:31598679:G:A rs781189167 G A G EBF1_EBF_1 -10 0 + 0 0 . chr6 31598713 31598714 chr6:31598714:G:A rs1031148059 G A G EBF1_EBF_1 25 0 + 0 0 . chr6 31660438 31660439 chr6:31660439:C:T rs28732157 C T C EBF1_EBF_1 2 1 + 9.174766389034676 11.063589800476825 TGCCCCAAGGGACC chr6 31665704 31665705 chr6:31665705:T:C rs28732159 T C T EBF1_EBF_1 7 1 + 6.324918294767535 4.507413548484912 AGTCCCCTGGGCAG chr6 31665718 31665719 chr6:31665719:G:T rs3117579 G T G EBF1_EBF_1 21 0 + 0 0 . chr6 31681371 31681372 chr6:31681372:C:G rs9267535 C G C EBF1_EBF_1 25 0 - 0 0 . chr6 31701807 31701808 chr6:31701808:T:C rs114276434 T C T EBF1_EBF_1 -9 0 - 0 0 . chr6 31729784 31729785 chr6:31729785:C:T rs72850173 C T C EBF1_EBF_1 -19 0 + 0 0 . chr6 31729787 31729788 chr6:31729788:A:T rs182952049 A T A EBF1_EBF_1 -16 0 + 0 0 . chr6 31730121 31730122 chr6:31730122:G:C rs368227092 G C G EBF1_EBF_1 20 0 + 0 0 . chr6 31740550 31740551 chr6:31740551:C:T rs2075789 C T C EBF1_EBF_1 21 0 - 0 0 . chr6 31753202 31753203 chr6:31753203:G:A chr6:31753203:G:A G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 31759696 31759697 chr6:31759697:A:G rs3117577 A G A EBF1_EBF_1 -13 0 + 0 0 . chr6 31781042 31781043 chr6:31781043:G:A rs707926 G A G EBF1_EBF_1 -18 0 + 0 0 . chr6 31818926 31818927 chr6:31818927:C:T rs117317180 C T C EBF1_EBF_1 -12 0 - 0 0 . chr6 31852977 31852978 chr6:31852978:G:A rs12193582 G A - EBF1_EBF_1 -15 0 + 0 0 . chr6 31862252 31862253 chr6:31862253:C:T rs547606005 C T C EBF1_EBF_1 14 0 - 0 0 . chr6 31876906 31876907 chr6:31876907:A:G rs6907185 A G A EBF1_EBF_1 19 0 + 0 0 . chr6 31878207 31878208 chr6:31878208:T:C rs9267658 T C C EBF1_EBF_1 -15 0 + 0 0 . chr6 31896760 31896761 chr6:31896761:G:A rs115884658 G A G EBF1_EBF_1 3 1 - 5.247693075930813 -1.157705665622505 ACTCCCTGGAGCCT chr6 31896769 31896770 chr6:31896770:G:T rs7887 G T G EBF1_EBF_1 -6 0 - 0 0 . chr6 31900629 31900630 chr6:31900630:G:A rs145694508 G A G EBF1_EBF_1 4 1 - 4.037630404151521 -0.33175654079340233 ACCCCCTGGAGGCC chr6 31901895 31901896 chr6:31901896:C:G chr6:31901896:C:G C G C EBF1_EBF_1 12 1 + 3.154704475959411 1.7660685322812604 CCCCCCCCGGGGCC chr6 31901895 31901896 chr6:31901896:C:T rs2844455 C T C EBF1_EBF_1 12 1 + 3.154704475959411 2.128437905408562 CCCCCCCCGGGGCC chr6 31902449 31902450 chr6:31902450:C:T rs775843675 C T C EBF1_EBF_1 9 1 - 4.680635946011168 2.025696680563564 CCACCCCTGGGAGC chr6 31933486 31933487 chr6:31933487:G:A rs188795517 G A G EBF1_EBF_1 -5 0 - 0 0 . chr6 31940368 31940369 chr6:31940369:G:A rs143932151 G A G EBF1_EBF_1 16 0 - 0 0 . chr6 31962663 31962664 chr6:31962664:G:T rs592229 G T G EBF1_EBF_1 25 0 - 0 0 . chr6 31962684 31962685 chr6:31962685:G:A rs429608 G A G EBF1_EBF_1 4 1 - 3.6000700639650534 -0.7693168809798716 ACTCCCCAGTGGCT chr6 32004592 32004593 chr6:32004593:G:C rs28552706 G C G EBF1_EBF_1 -13 0 - 0 0 . chr6 32008361 32008362 chr6:32008362:G:C rs185107166 G C G EBF1_EBF_1 7 1 - 5.817094824634996 6.7984154057163515 CATCCCCCGGGGCT chr6 32011090 32011091 chr6:32011091:G:A rs143766319 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 32046828 32046829 chr6:32046829:G:A rs1023491073 G A G EBF1_EBF_1 7 1 + 4.598901116181065 5.91135952146989 ACTCCCCGGAGGTC chr6 32049869 32049870 chr6:32049870:C:T chr6:32049870:C:T C T C EBF1_EBF_1 -3 0 - 0 0 . chr6 32056456 32056457 chr6:32056457:G:A rs12524441 G A G EBF1_EBF_1 3 1 - 5.490168051839679 -0.9152306897136393 CTCCCCTTGGGCCT chr6 32068579 32068580 chr6:32068580:G:A rs3749962 G A G EBF1_EBF_1 5 1 - 9.309484259147093 3.987697043606423 GTTCCCGAGGGACA chr6 32078887 32078888 chr6:32078888:C:T rs553857631 C T C EBF1_EBF_1 -2 0 + 0 0 . chr6 32108721 32108722 chr6:32108722:G:A rs2269426 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 32153816 32153817 chr6:32153817:T:C rs9267810 T C T EBF1_EBF_1 -15 0 - 0 0 . chr6 32153881 32153882 chr6:32153882:T:C rs3130280 T C T EBF1_EBF_1 15 0 - 0 0 . chr6 32153891 32153892 chr6:32153892:G:C chr6:32153892:G:C G C G EBF1_EBF_1 5 1 - 3.737474874887935 -3.167406277747233 TGCCCCCAGCGACC chr6 32170767 32170768 chr6:32170768:A:C rs3130283 A C C EBF1_EBF_1 32 0 - 0 0 . chr6 32170839 32170840 chr6:32170840:G:C rs114004994 G C G EBF1_EBF_1 3 1 - 4.953640252860296 -0.874124195254919 CTTCCTCTGGGACA chr6 32177296 32177297 chr6:32177297:G:A rs1374483432 G A G EBF1_EBF_1 9 1 + 7.074899539684804 4.4199602742372015 ACTCCCCGGGGTCC chr6 32178221 32178222 chr6:32178222:C:G rs3096689 C G G EBF1_EBF_1 32 0 - 0 0 . chr6 32179918 32179919 chr6:32179919:G:A rs3130349 G A A EBF1_EBF_1 26 0 + 0 0 . chr6 32179983 32179984 chr6:32179984:T:C rs3134943 T C t EBF1_EBF_1 -16 0 + 0 0 . chr6 32183680 32183681 chr6:32183681:G:A rs80096349 G A G EBF1_EBF_1 -9 0 + 0 0 . chr6 32192153 32192154 chr6:32192154:G:C rs148125791 G C G EBF1_EBF_1 17 0 - 0 0 . chr6 32192178 32192179 chr6:32192179:T:C rs3134605 T C C EBF1_EBF_1 -8 0 - 0 0 . chr6 32195733 32195734 chr6:32195734:T:C rs139392477 T C T EBF1_EBF_1 -7 0 + 0 0 . chr6 32195763 32195764 chr6:32195764:A:C rs1044507 A C A EBF1_EBF_1 23 0 + 0 0 . chr6 32211716 32211717 chr6:32211717:C:G rs2555469 C G C EBF1_EBF_1 -3 0 - 0 0 . chr6 32391682 32391683 chr6:32391683:G:A rs16870123 G A G EBF1_EBF_1 8 1 + 5.751445256593126 1.5251551677068644 ATCCGCATGGGACC chr6 32393691 32393692 chr6:32393692:C:T rs3817967 C T T EBF1_EBF_1 20 0 - 0 0 . chr6 32393707 32393708 chr6:32393708:G:A rs17202393 G A G EBF1_EBF_1 4 1 - 5.5174496628314404 1.1480627178865175 ATTCCCCAGTGATC chr6 32415330 32415331 chr6:32415331:A:G rs9268528 A G A EBF1_EBF_1 -11 0 - 0 0 . chr6 32460507 32460508 chr6:32460508:C:T rs6903608 C T . EBF1_EBF_1 13 1 - 8.286839317180533 9.401164889249971 ATTCCCAGGAGACG chr6 32473479 32473480 chr6:32473480:C:A chr6:32473480:C:A C A . EBF1_EBF_1 -14 0 + 0 0 . chr6 32473480 32473481 chr6:32473481:G:A rs992986961 G A . EBF1_EBF_1 -13 0 + 0 0 . chr6 32483326 32483327 chr6:32483327:A:G rs200460564 A G . EBF1_EBF_1 2 1 + 6.795315644553668 3.731457541952077 GAACCCTAGGGAAA chr6 32522222 32522223 chr6:32522223:T:C rs149025589 T C . EBF1_EBF_1 -2 0 - 0 0 . chr6 32530183 32530184 chr6:32530184:T:A rs1064587 T A . EBF1_EBF_1 -13 0 + 0 0 . chr6 32533861 32533862 chr6:32533862:C:T rs72851029 C T . EBF1_EBF_1 5 1 + 5.310386531028075 -0.01140068451259435 CCTCCCCTGGAAAT chr6 32534904 32534905 chr6:32534905:T:A rs78330956 T A . EBF1_EBF_1 25 0 - 0 0 . chr6 32534918 32534919 chr6:32534919:T:C rs75178688 T C . EBF1_EBF_1 11 1 - 5.160628404063834 2.2679215604598224 CCACCCTGGGGACA chr6 32535536 32535537 chr6:32535537:G:A rs113208447 G A . EBF1_EBF_1 27 0 - 0 0 . chr6 32535558 32535559 chr6:32535559:G:A rs78294251 G A . EBF1_EBF_1 5 1 - 5.300887534321033 -0.020899681219636798 CTTCACCAGGGACC chr6 32589700 32589701 chr6:32589701:C:A rs9270301 C A . EBF1_EBF_1 -14 0 + 0 0 . chr6 32589705 32589706 chr6:32589706:T:C rs9270303 T C . EBF1_EBF_1 -9 0 + 0 0 . chr6 32613689 32613690 chr6:32613690:T:C rs9271295 T C . EBF1_EBF_1 28 0 + 0 0 . chr6 32625172 32625173 chr6:32625173:C:T rs9271683 C T . EBF1_EBF_1 31 0 - 0 0 . chr6 32659969 32659970 chr6:32659970:A:G rs1049225 A G . EBF1_EBF_1 -15 0 + 0 0 . chr6 32659995 32659996 chr6:32659996:A:G rs1049213 A G . EBF1_EBF_1 11 1 + 10.350533501052318 7.457826657448309 AACCCCTTGGGACC chr6 32660017 32660018 chr6:32660018:A:G rs1766 A G . EBF1_EBF_1 33 0 + 0 0 . chr6 32660128 32660129 chr6:32660129:T:C rs1063349 T C . EBF1_EBF_1 15 0 - 0 0 . chr6 32660145 32660146 chr6:32660146:A:G rs1063348 A G . EBF1_EBF_1 -2 0 - 0 0 . chr6 32665507 32665508 chr6:32665508:C:T rs9274448 C T c EBF1_EBF_1 28 0 - 0 0 . chr6 32666374 32666375 chr6:32666375:G:A rs9274507 G A g EBF1_EBF_1 8 1 + 7.351930927894625 3.125640839008364 TTTCCCAAGAGAGA chr6 32666376 32666377 chr6:32666377:G:A rs9274508 G A G EBF1_EBF_1 10 1 + 7.351930927894625 2.0339024686276588 TTTCCCAAGAGAGA chr6 32666553 32666554 chr6:32666554:G:A rs1049058 G A g EBF1_EBF_1 30 0 - 0 0 . chr6 32666553 32666554 chr6:32666554:G:C chr6:32666554:G:C G C g EBF1_EBF_1 30 0 - 0 0 . chr6 32666577 32666578 chr6:32666578:G:A rs1049072 G A G EBF1_EBF_1 6 1 - 6.5546634834190085 6.855949612280765 GATCCCCGGAGACC chr6 32666591 32666592 chr6:32666592:C:A rs1049056 C A C EBF1_EBF_1 -8 0 - 0 0 . chr6 32666595 32666596 chr6:32666596:C:T rs9274522 C T C EBF1_EBF_1 -12 0 - 0 0 . chr6 32714946 32714947 chr6:32714947:C:T rs3873444 C T T EBF1_EBF_1 28 0 + 0 0 . chr6 32715875 32715876 chr6:32715876:G:A rs3104411 G A A EBF1_EBF_1 -17 0 + 0 0 . chr6 32715899 32715900 chr6:32715900:G:A rs116086872 G A G EBF1_EBF_1 7 1 + 6.772325593418653 8.084783998707477 TTACCCAGGGGACC chr6 32715913 32715914 chr6:32715914:A:C rs6935940 A C C EBF1_EBF_1 21 0 + 0 0 . chr6 32717678 32717679 chr6:32717679:A:G rs3129733 A G G EBF1_EBF_1 2 1 - 4.840427679108983 2.9516042676668333 CATCCCCACGGACC chr6 32719110 32719111 chr6:32719111:A:T rs28772319 A T A EBF1_EBF_1 22 0 - 0 0 . chr6 32719143 32719144 chr6:32719144:G:A rs3129740 G A A EBF1_EBF_1 -11 0 - 0 0 . chr6 32739903 32739904 chr6:32739904:T:A rs796074565 T A T EBF1_EBF_1 -7 0 + 0 0 . chr6 32756283 32756284 chr6:32756284:A:G rs9276558 A G . EBF1_EBF_1 16 0 - 0 0 . chr6 32763582 32763583 chr6:32763583:T:C chr6:32763583:T:C T C T EBF1_EBF_1 29 0 - 0 0 . chr6 32763603 32763604 chr6:32763604:C:T chr6:32763604:C:T C T C EBF1_EBF_1 8 1 - 5.865828659668459 1.6395385707821983 CTTTCCTAGGGACT chr6 32790490 32790491 chr6:32790491:C:A rs2621386 C A C EBF1_EBF_1 11 1 - 4.750157013575551 3.5449496010808366 AGCCCCATGAGGCA chr6 32793187 32793188 chr6:32793188:G:A rs2894294 G A G EBF1_EBF_1 18 0 + 0 0 . chr6 32802665 32802666 chr6:32802666:A:C rs6919657 A C A EBF1_EBF_1 16 0 - 0 0 . chr6 32807908 32807909 chr6:32807909:A:G rs2857136 A G a EBF1_EBF_1 -11 0 + 0 0 . chr6 32815587 32815588 chr6:32815588:T:C rs2857111 T C T EBF1_EBF_1 7 1 - 8.751036093796753 7.4385776885079276 CCTCCCTAGAGAAT chr6 32840454 32840455 chr6:32840455:A:G rs3763349 A G G EBF1_EBF_1 -9 0 + 0 0 . chr6 32843605 32843606 chr6:32843606:A:G rs6924102 A G A EBF1_EBF_1 26 0 - 0 0 . chr6 32843851 32843852 chr6:32843852:G:T rs2071543 G T G EBF1_EBF_1 -19 0 - 0 0 . chr6 32843867 32843868 chr6:32843868:A:G rs2071542 A G G EBF1_EBF_1 14 0 + 0 0 . chr6 32848275 32848276 chr6:32848276:C:T rs61681247 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 32848276 32848277 chr6:32848277:G:A rs735883 G A G EBF1_EBF_1 29 0 + 0 0 . chr6 32886274 32886275 chr6:32886275:T:C rs112519350 T C T EBF1_EBF_1 -9 0 + 0 0 . chr6 32887588 32887589 chr6:32887589:C:T rs7740317 C T T EBF1_EBF_1 -7 0 + 0 0 . chr6 32894904 32894905 chr6:32894905:C:T rs3749981 C T C EBF1_EBF_1 -13 0 + 0 0 . chr6 32894926 32894927 chr6:32894927:G:A rs3749980 G A G EBF1_EBF_1 9 1 + 5.331320101046583 2.67638083559898 AACCCCAAGGGTAG chr6 32894933 32894934 chr6:32894934:A:G rs241408 A G G EBF1_EBF_1 16 0 + 0 0 . chr6 32938808 32938809 chr6:32938809:C:T rs17617321 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 32960266 32960267 chr6:32960267:T:C rs114874087 T C T EBF1_EBF_1 -14 0 - 0 0 . chr6 32974132 32974133 chr6:32974133:G:T rs620202 G T G EBF1_EBF_1 -5 0 + 0 0 . chr6 32974143 32974144 chr6:32974144:A:G rs114830895 A G A EBF1_EBF_1 6 1 + 5.102707539837915 2.9359131085634402 ATCCCCATAGGGCT chr6 33019429 33019430 chr6:33019430:A:G rs445704 A G A EBF1_EBF_1 16 0 - 0 0 . chr6 33050532 33050533 chr6:33050533:T:C rs3130578 T C T EBF1_EBF_1 27 0 + 0 0 . chr6 33068657 33068658 chr6:33068658:T:G rs1126769 T G G EBF1_EBF_1 2 1 + 5.890524048136792 -0.8578016420636776 GGTCCCCTGGGCCC chr6 33076177 33076178 chr6:33076178:C:A rs775881034 C A C EBF1_EBF_1 22 0 - 0 0 . chr6 33076610 33076611 chr6:33076611:T:C rs2071354 T C T EBF1_EBF_1 28 0 + 0 0 . chr6 33081143 33081144 chr6:33081144:A:G rs7770370 A G G EBF1_EBF_1 -9 0 + 0 0 . chr6 33081159 33081160 chr6:33081160:C:G rs7770501 C G C EBF1_EBF_1 7 1 + 7.541291396258033 8.52261197733939 AGACCCCCGGGACT chr6 33081433 33081434 chr6:33081434:C:T rs928976 C T C EBF1_EBF_1 -12 0 - 0 0 . chr6 33087641 33087642 chr6:33087642:A:G rs9277549 A G G EBF1_EBF_1 2 1 - 4.581250088675546 2.6924266772333953 GGTGCCCAGGGACA chr6 33088596 33088597 chr6:33088597:A:G rs3128969 A G A EBF1_EBF_1 -3 0 - 0 0 . chr6 33093092 33093093 chr6:33093093:G:T rs2295119 G T G EBF1_EBF_1 12 1 + 6.393484262928107 6.7558536360554085 ACTCCCCAGAGGGT chr6 33096682 33096683 chr6:33096683:C:T rs3130199 C T T EBF1_EBF_1 33 0 - 0 0 . chr6 33108490 33108491 chr6:33108491:T:C rs742870 T C C EBF1_EBF_1 12 1 - 6.200574711060626 4.781757368961149 GTTCCCCAGGAAAC chr6 33122049 33122050 chr6:33122050:A:G rs3130221 A G G EBF1_EBF_1 6 1 - 6.1918101769985485 5.890524048136792 GGTCCCTTGGGCCC chr6 33122680 33122681 chr6:33122681:A:G rs3130223 A G G EBF1_EBF_1 31 0 + 0 0 . chr6 33124593 33124594 chr6:33124594:G:A rs17221324 G A G EBF1_EBF_1 29 0 - 0 0 . chr6 33124601 33124602 chr6:33124602:T:C rs9277733 T C T EBF1_EBF_1 21 0 - 0 0 . chr6 33125587 33125588 chr6:33125588:A:T rs6913896 A T A EBF1_EBF_1 -17 0 - 0 0 . chr6 33126761 33126762 chr6:33126762:G:T rs114298431 G T G EBF1_EBF_1 3 1 - 6.48969228306888 -0.4164817463969272 TGCCCCTAGAGAAC chr6 33158080 33158081 chr6:33158081:A:C rs3130161 A C A EBF1_EBF_1 -18 0 - 0 0 . chr6 33158081 33158082 chr6:33158082:G:T rs3129205 G T G EBF1_EBF_1 -19 0 - 0 0 . chr6 33168532 33168533 chr6:33168533:G:T rs2229784 G T G EBF1_EBF_1 11 1 - 3.8435305955749213 8.25949229342731 GACCCCCAGGGCCA chr6 33170237 33170238 chr6:33170238:T:C rs41317094 T C T EBF1_EBF_1 -20 0 - 0 0 . chr6 33170899 33170900 chr6:33170900:G:A rs1799911 G A G EBF1_EBF_1 -10 0 - 0 0 . chr6 33173383 33173384 chr6:33173384:A:G rs2229785 A G G EBF1_EBF_1 16 0 - 0 0 . chr6 33173697 33173698 chr6:33173698:C:T rs970901 C T C EBF1_EBF_1 28 0 - 0 0 . chr6 33175014 33175015 chr6:33175015:T:C rs2071026 T C T EBF1_EBF_1 24 0 + 0 0 . chr6 33191512 33191513 chr6:33191513:G:A rs150214265 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 33193912 33193913 chr6:33193913:A:T rs55678527 A T A EBF1_EBF_1 23 0 - 0 0 . chr6 33206194 33206195 chr6:33206195:G:A rs373516279 G A G EBF1_EBF_1 15 0 - 0 0 . chr6 33206220 33206221 chr6:33206221:G:A rs383711 G A G EBF1_EBF_1 -11 0 - 0 0 . chr6 33213266 33213267 chr6:33213267:G:A rs372091482 G A G EBF1_EBF_1 9 1 - 5.461876588073255 3.7005763263299087 GTTCCCAGGCGACC chr6 33218140 33218141 chr6:33218141:C:A rs213212 C A A EBF1_EBF_1 -18 0 - 0 0 . chr6 33249675 33249676 chr6:33249676:G:A rs543824621 G A G EBF1_EBF_1 -20 0 + 0 0 . chr6 33250044 33250045 chr6:33250045:G:C rs151267733 G C G EBF1_EBF_1 3 1 - 10.044254770995744 4.216490322880529 GCTCCCTTGGGACA chr6 33268397 33268398 chr6:33268398:C:T rs79390011 C T T EBF1_EBF_1 5 1 + 8.635186864480925 3.3133996489402544 AGTCCCTGGAGACA chr6 33299236 33299237 chr6:33299237:A:G rs73410003 A G A EBF1_EBF_1 0 1 - 7.470613078044436 7.1884110173138565 TTCCCCCAGGGGCT chr6 33299325 33299326 chr6:33299326:C:T rs2282850 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 33299349 33299350 chr6:33299350:T:C chr6:33299350:T:C T C T EBF1_EBF_1 18 0 + 0 0 . chr6 33356749 33356750 chr6:33356750:G:T rs3117324 G T G EBF1_EBF_1 -20 0 - 0 0 . chr6 33392017 33392018 chr6:33392018:T:G chr6:33392018:T:G T G T EBF1_EBF_1 17 0 - 0 0 . chr6 33392042 33392043 chr6:33392043:A:C rs172435 A C C EBF1_EBF_1 -8 0 - 0 0 . chr6 33414510 33414511 chr6:33414511:G:A rs3116713 G A A EBF1_EBF_1 25 0 + 0 0 . chr6 33432511 33432512 chr6:33432512:C:A rs58922452 C A C EBF1_EBF_1 10 1 - 4.593078855261218 0.41032666903395315 GCTCCCACGGGTAC chr6 33433513 33433514 chr6:33433514:C:A rs116323349 C A C EBF1_EBF_1 -13 0 + 0 0 . chr6 33438778 33438779 chr6:33438779:A:G rs7759963 A G G EBF1_EBF_1 12 1 + 5.610070516005297 4.191253173905819 CCCCCCAGGAGAAT chr6 33440764 33440765 chr6:33440765:G:A rs411136 G A G EBF1_EBF_1 -20 0 - 0 0 . chr6 33452159 33452160 chr6:33452160:C:T rs56250036 C T C EBF1_EBF_1 26 0 + 0 0 . chr6 33589447 33589448 chr6:33589448:A:G rs430655 A G . EBF1_EBF_1 -8 0 + 0 0 . chr6 33594977 33594978 chr6:33594978:G:C rs6930081 G C c EBF1_EBF_1 7 1 + 6.523386315880674 5.542065734799318 CTCCCCAGGGGAGA chr6 33611331 33611332 chr6:33611332:G:C rs113193406 G C C EBF1_EBF_1 24 0 - 0 0 . chr6 33632201 33632202 chr6:33632202:C:T rs13192436 C T C EBF1_EBF_1 6 1 + 6.258634501984656 6.559920630846414 ATGCCCCAGGGACA chr6 33632215 33632216 chr6:33632216:T:A rs11758330 T A T EBF1_EBF_1 20 0 + 0 0 . chr6 33632236 33632237 chr6:33632237:T:C rs4713644 T C C EBF1_EBF_1 32 0 - 0 0 . chr6 33655731 33655732 chr6:33655732:T:C rs78064999 T C C EBF1_EBF_1 -20 0 - 0 0 . chr6 33662351 33662352 chr6:33662352:G:A rs2147658 G A G EBF1_EBF_1 24 0 - 0 0 . chr6 33673096 33673097 chr6:33673097:T:A rs12212284 T A T EBF1_EBF_1 17 0 + 0 0 . chr6 33693001 33693002 chr6:33693002:A:G rs3818525 A G G EBF1_EBF_1 -11 0 - 0 0 . chr6 33699882 33699883 chr6:33699883:C:T rs73743305 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 33711405 33711406 chr6:33711406:T:G rs567019789 T G T EBF1_EBF_1 7 1 - 8.130189863384778 5.836410877014596 TTCCCCCAGGGAGC chr6 33711406 33711407 chr6:33711407:G:C rs190159764 G C G EBF1_EBF_1 6 1 - 8.130189863384778 6.324997799911579 TTCCCCCAGGGAGC chr6 33711410 33711411 chr6:33711411:G:T rs181862491 G T G EBF1_EBF_1 2 1 - 8.130189863384778 6.33454568722805 TTCCCCCAGGGAGC chr6 33711899 33711900 chr6:33711900:C:T rs749188372 C T C EBF1_EBF_1 -3 0 + 0 0 . chr6 33718590 33718591 chr6:33718591:G:A rs13214874 G A G EBF1_EBF_1 17 0 + 0 0 . chr6 33726301 33726302 chr6:33726302:C:T rs597723 C T C EBF1_EBF_1 -2 0 - 0 0 . chr6 33732224 33732225 chr6:33732225:G:A rs77932159 G A G EBF1_EBF_1 5 1 - 5.386382430669165 0.06459521512849466 GTTCGCCTGGGACC chr6 33732226 33732227 chr6:33732227:G:A rs73743322 G A G EBF1_EBF_1 3 1 - 5.386382430669165 -1.0190163108841512 GTTCGCCTGGGACC chr6 33739334 33739335 chr6:33739335:G:A rs6923565 G A G EBF1_EBF_1 -8 0 - 0 0 . chr6 33740198 33740199 chr6:33740199:G:T rs6929527 G T G EBF1_EBF_1 29 0 + 0 0 . chr6 33746316 33746317 chr6:33746317:G:C rs73743334 G C G EBF1_EBF_1 19 0 - 0 0 . chr6 33746342 33746343 chr6:33746343:G:A rs377303391 G A G EBF1_EBF_1 -7 0 - 0 0 . chr6 33746634 33746635 chr6:33746635:T:C rs78748610 T C C EBF1_EBF_1 -13 0 + 0 0 . chr6 33748059 33748060 chr6:33748060:G:A rs9469580 G A A EBF1_EBF_1 -3 0 + 0 0 . chr6 33751479 33751480 chr6:33751480:G:T rs60263379 G T G EBF1_EBF_1 -14 0 - 0 0 . chr6 33763630 33763631 chr6:33763631:G:A rs9394165 G A G EBF1_EBF_1 -16 0 + 0 0 . chr6 33770087 33770088 chr6:33770088:G:A rs6924702 G A G EBF1_EBF_1 22 0 - 0 0 . chr6 33770536 33770537 chr6:33770537:C:T rs943471 C T T EBF1_EBF_1 -4 0 + 0 0 . chr6 33772000 33772001 chr6:33772001:C:T rs542894088 C T C EBF1_EBF_1 0 1 + 4.57600568542211 4.858207746152687 CCTCCCAGGAGGCT chr6 33789320 33789321 chr6:33789321:G:C rs114070541 G C G EBF1_EBF_1 6 1 - 4.124175200964198 2.3189831374909993 ATCCCCCTTGGACC chr6 33795349 33795350 chr6:33795350:G:A rs2296749 G A G EBF1_EBF_1 31 0 + 0 0 . chr6 33799635 33799636 chr6:33799636:C:T rs3806107 C T C EBF1_EBF_1 24 0 - 0 0 . chr6 33802754 33802755 chr6:33802755:G:A rs35964955 G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 33802851 33802852 chr6:33802852:G:A rs3806110 G A G EBF1_EBF_1 24 0 - 0 0 . chr6 33803292 33803293 chr6:33803293:C:T rs9380381 C T C EBF1_EBF_1 -14 0 - 0 0 . chr6 33820427 33820428 chr6:33820428:G:A rs145732339 G A G EBF1_EBF_1 23 0 - 0 0 . chr6 33827603 33827604 chr6:33827604:T:C rs9469601 T C C EBF1_EBF_1 15 0 + 0 0 . chr6 33848447 33848448 chr6:33848448:C:T rs12154035 C T C EBF1_EBF_1 3 1 + 10.496106342882397 4.090707601329082 AGTCCCGAGGGACA chr6 33853344 33853345 chr6:33853345:G:A rs9296099 G A A EBF1_EBF_1 -2 0 + 0 0 . chr6 33858715 33858716 chr6:33858716:C:T rs4713695 C T C EBF1_EBF_1 0 1 + 4.632415641716594 4.9146177024471704 CTTCCCCTTGGAAT chr6 33868913 33868914 chr6:33868914:A:G rs4713704 A G G EBF1_EBF_1 -18 0 - 0 0 . chr6 33885421 33885422 chr6:33885422:G:A rs912703651 G A G EBF1_EBF_1 -3 0 + 0 0 . chr6 33896359 33896360 chr6:33896360:G:C rs6903171 G C G EBF1_EBF_1 22 0 - 0 0 . chr6 33918904 33918905 chr6:33918905:G:A rs12665045 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 33937091 33937092 chr6:33937092:A:T rs12204020 A T A EBF1_EBF_1 -1 0 + 0 0 . chr6 33948535 33948536 chr6:33948536:C:A rs2499743 C A C EBF1_EBF_1 17 0 + 0 0 . chr6 33949525 33949526 chr6:33949526:T:G rs2499745 T G G EBF1_EBF_1 33 0 + 0 0 . chr6 33957337 33957338 chr6:33957338:G:A rs10733180 G A G EBF1_EBF_1 -6 0 + 0 0 . chr6 33958850 33958851 chr6:33958851:C:T rs79268511 C T C EBF1_EBF_1 29 0 - 0 0 . chr6 33958891 33958892 chr6:33958892:C:T rs2499754 C T T EBF1_EBF_1 -12 0 - 0 0 . chr6 33967682 33967683 chr6:33967683:G:C rs4594941 G C G EBF1_EBF_1 -2 0 - 0 0 . chr6 33975717 33975718 chr6:33975718:T:C rs11756144 T C C EBF1_EBF_1 -17 0 - 0 0 . chr6 33980204 33980205 chr6:33980205:T:C rs2495978 T C C EBF1_EBF_1 19 0 - 0 0 . chr6 33980209 33980210 chr6:33980210:A:G rs2495979 A G G EBF1_EBF_1 14 0 - 0 0 . chr6 33980241 33980242 chr6:33980242:G:A rs6911089 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 33987901 33987902 chr6:33987902:C:T rs544752270 C T C EBF1_EBF_1 4 1 + 6.556591798469556 2.187204853524633 ACCCCCTGGAGACA chr6 33987914 33987915 chr6:33987915:A:G rs56283117 A G A EBF1_EBF_1 17 0 + 0 0 . chr6 33995776 33995777 chr6:33995777:A:G rs71567415 A G A EBF1_EBF_1 -19 0 - 0 0 . chr6 34003448 34003449 chr6:34003449:G:T rs6900980 G T G EBF1_EBF_1 2 1 - 5.177899843375476 3.3822556672187494 CCCCTCAAGGGACT chr6 34019257 34019258 chr6:34019258:T:C rs6457756 T C C EBF1_EBF_1 -4 0 + 0 0 . chr6 34038048 34038049 chr6:34038049:G:T rs2499673 G T G EBF1_EBF_1 26 0 - 0 0 . chr6 34043649 34043650 chr6:34043650:G:C rs34996196 G C C EBF1_EBF_1 -14 0 - 0 0 . chr6 34063010 34063011 chr6:34063011:G:A rs12192574 G A G EBF1_EBF_1 8 1 + 6.852973990272527 2.626683901386267 GACCCCCAGAGAAT chr6 34066033 34066034 chr6:34066034:G:A rs12215053 G A G EBF1_EBF_1 -16 0 + 0 0 . chr6 34098584 34098585 chr6:34098585:C:T rs192772380 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 34098961 34098962 chr6:34098962:G:A rs1489943 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 34099120 34099121 chr6:34099121:T:C rs902193 T C T EBF1_EBF_1 7 1 + 5.355816096372435 3.538311350089812 CCTCCCATGGGCAA chr6 34105629 34105630 chr6:34105630:C:T rs11753413 C T T EBF1_EBF_1 18 0 + 0 0 . chr6 34115484 34115485 chr6:34115485:A:C rs188055048 A C A EBF1_EBF_1 33 0 + 0 0 . chr6 34124234 34124235 chr6:34124235:C:T rs6918514 C T C EBF1_EBF_1 14 0 - 0 0 . chr6 34141249 34141250 chr6:34141250:T:C rs2499732 T C C EBF1_EBF_1 18 0 - 0 0 . chr6 34143429 34143430 chr6:34143430:C:T rs11755375 C T C EBF1_EBF_1 3 1 + 9.103576272374701 2.6981775308213836 AGTCCCCAGGGCCT chr6 34144957 34144958 chr6:34144958:C:T rs6904126 C T C EBF1_EBF_1 33 0 + 0 0 . chr6 34150095 34150096 chr6:34150096:A:T rs75209391 A T T EBF1_EBF_1 15 0 + 0 0 . chr6 34162241 34162242 chr6:34162242:G:A rs9368798 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 34227233 34227234 chr6:34227234:G:T rs1776897 G T G EBF1_EBF_1 21 0 + 0 0 . chr6 34236106 34236107 chr6:34236107:C:G rs144206074 C G C EBF1_EBF_1 5 1 + 6.260097739594075 -0.6447834130410929 AGTCCCAACGGAAG chr6 34236115 34236116 chr6:34236116:G:A rs115177000 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 34236190 34236191 chr6:34236191:G:C rs951202548 G C G EBF1_EBF_1 4 1 - 6.28570001624119 0.6599349150916449 ACACCCAAGGGAGG chr6 34236195 34236196 chr6:34236196:A:C chr6:34236196:A:C A C A EBF1_EBF_1 -1 0 - 0 0 . chr6 34236507 34236508 chr6:34236508:G:A rs6937622 G A G EBF1_EBF_1 4 1 - 3.381086778988581 -0.9883001659563424 CCCCCCCAGGGCGT chr6 34236508 34236509 chr6:34236509:G:A rs191720756 G A G EBF1_EBF_1 3 1 - 3.381086778988581 -3.0243119625647354 CCCCCCCAGGGCGT chr6 34236526 34236527 chr6:34236527:C:T rs114677490 C T C EBF1_EBF_1 -15 0 - 0 0 . chr6 34246892 34246893 chr6:34246893:A:G rs4711389 A G A EBF1_EBF_1 25 0 - 0 0 . chr6 34284971 34284972 chr6:34284972:C:T rs368894666 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 34449115 34449116 chr6:34449116:T:C rs4713791 T C T EBF1_EBF_1 -14 0 + 0 0 . chr6 34449732 34449733 chr6:34449733:T:C rs9469782 T C t EBF1_EBF_1 19 0 + 0 0 . chr6 34487731 34487732 chr6:34487732:T:C rs78380702 T C T EBF1_EBF_1 7 1 - 7.201329002642175 5.88887059735335 CCTCCCCAGAGACA chr6 34513362 34513363 chr6:34513363:T:G rs3800471 T G G EBF1_EBF_1 15 0 - 0 0 . chr6 34519378 34519379 chr6:34519379:A:G rs3843523 A G A EBF1_EBF_1 2 1 - 11.28640791196342 9.39758450052127 AGTCCCCAGGGAGC chr6 34522532 34522533 chr6:34522533:T:C rs116362774 T C T EBF1_EBF_1 23 0 + 0 0 . chr6 34527186 34527187 chr6:34527187:G:A rs2282250 G A G EBF1_EBF_1 -20 0 - 0 0 . chr6 34527186 34527187 chr6:34527187:G:C chr6:34527187:G:C G C G EBF1_EBF_1 -20 0 - 0 0 . chr6 34535739 34535740 chr6:34535740:A:C rs11758471 A C A EBF1_EBF_1 -15 0 - 0 0 . chr6 34535866 34535867 chr6:34535867:T:G rs77587944 T G G EBF1_EBF_1 26 0 + 0 0 . chr6 34549451 34549452 chr6:34549452:G:A rs3798550 G A G EBF1_EBF_1 8 1 + 4.229557542054603 0.0032674531683414276 CACCCCTCGGGGCT chr6 34560171 34560172 chr6:34560172:G:A rs59719562 G A G EBF1_EBF_1 31 0 + 0 0 . chr6 34563723 34563724 chr6:34563724:T:C rs35194757 T C T EBF1_EBF_1 0 1 - 4.368678621161407 2.476394751596572 AGCTCCAAGGGACA chr6 34611873 34611874 chr6:34611874:A:G rs2814980 A G G EBF1_EBF_1 -11 0 + 0 0 . chr6 34645852 34645853 chr6:34645853:C:T rs6909637 C T C EBF1_EBF_1 -13 0 + 0 0 . chr6 34727303 34727304 chr6:34727304:C:T rs2814951 C T T EBF1_EBF_1 0 1 + 9.637376136419086 9.919578197149661 CTTCCCCAGGGACG chr6 34757464 34757465 chr6:34757465:G:A rs112207746 G A G EBF1_EBF_1 2 1 - 6.78289984284713 8.671723254289281 AACCCCACGAGACT chr6 34807544 34807545 chr6:34807545:T:C chr6:34807545:T:C T C T EBF1_EBF_1 32 0 - 0 0 . chr6 34866379 34866380 chr6:34866380:G:A rs78238746 G A G EBF1_EBF_1 12 1 + 5.83065487016828 7.249472212267757 ACTCCCCTGGGCGA chr6 34883356 34883357 chr6:34883357:T:C rs2273006 T C C EBF1_EBF_1 -17 0 + 0 0 . chr6 34889378 34889379 chr6:34889379:G:T rs558651772 G T G EBF1_EBF_1 26 0 - 0 0 . chr6 34889674 34889675 chr6:34889675:T:C rs2273007 T C - EBF1_EBF_1 14 0 + 0 0 . chr6 34909536 34909537 chr6:34909537:A:G rs9380469 A G G EBF1_EBF_1 -12 0 - 0 0 . chr6 35031811 35031812 chr6:35031812:C:T rs139215457 C T C EBF1_EBF_1 17 0 + 0 0 . chr6 35036810 35036811 chr6:35036811:C:G rs937064318 C G C EBF1_EBF_1 -14 0 + 0 0 . chr6 35041260 35041261 chr6:35041261:C:G rs3800428 C G C EBF1_EBF_1 2 1 + 8.462748706034901 3.603246427276585 AGCCCCTAGAGAAC chr6 35041633 35041634 chr6:35041634:G:A rs12206298 G A G EBF1_EBF_1 4 1 - 10.229077284026507 5.859690339081585 AACCCCATGGGATT chr6 35057719 35057720 chr6:35057720:G:A rs58850273 G A G EBF1_EBF_1 17 0 + 0 0 . chr6 35078376 35078377 chr6:35078377:T:C rs820100 T C C EBF1_EBF_1 -2 0 + 0 0 . chr6 35079339 35079340 chr6:35079340:G:A rs12154140 G A G EBF1_EBF_1 17 0 + 0 0 . chr6 35080693 35080694 chr6:35080694:C:T rs114162864 C T C EBF1_EBF_1 19 0 - 0 0 . chr6 35080727 35080728 chr6:35080728:T:A rs12110520 T A T EBF1_EBF_1 -15 0 - 0 0 . chr6 35081696 35081697 chr6:35081697:C:T rs777654823 C T C EBF1_EBF_1 -13 0 - 0 0 . chr6 35088494 35088495 chr6:35088495:G:A rs529738509 G A G EBF1_EBF_1 3 1 - 4.531775012655866 -1.8736237288974513 CCTCCCCGGGGCAC chr6 35091919 35091920 chr6:35091920:A:G rs73403887 A G A EBF1_EBF_1 -7 0 - 0 0 . chr6 35161510 35161511 chr6:35161511:G:A rs10807148 G A G EBF1_EBF_1 11 1 + 6.83126416911871 9.723971012722721 ACTCCCAGGAGGCT chr6 35170472 35170473 chr6:35170473:A:G rs3800403 A G G EBF1_EBF_1 30 0 + 0 0 . chr6 35181957 35181958 chr6:35181958:G:T rs2894400 G T G EBF1_EBF_1 0 1 - 5.612762992841053 7.8680214765376535 CCTCCCTCGAGAAC chr6 35181963 35181964 chr6:35181964:G:A rs186991706 G A G EBF1_EBF_1 -6 0 - 0 0 . chr6 35192344 35192345 chr6:35192345:C:A rs3800396 C A A EBF1_EBF_1 -12 0 - 0 0 . chr6 35199679 35199680 chr6:35199680:C:T rs192655171 C T C EBF1_EBF_1 -17 0 + 0 0 . chr6 35214579 35214580 chr6:35214580:G:T rs115040088 G T G EBF1_EBF_1 11 1 + 8.309061285107912 7.1038538726131994 ATTCCCGAGGGGCA chr6 35215371 35215372 chr6:35215372:T:G rs1888822 T G T EBF1_EBF_1 22 0 - 0 0 . chr6 35249608 35249609 chr6:35249609:T:C chr6:35249609:T:C T C T EBF1_EBF_1 28 0 - 0 0 . chr6 35293080 35293081 chr6:35293081:G:A rs4711413 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 35295774 35295775 chr6:35295775:C:T chr6:35295775:C:T C T C EBF1_EBF_1 19 0 + 0 0 . chr6 35295777 35295778 chr6:35295778:A:G rs1194 A G G EBF1_EBF_1 22 0 + 0 0 . chr6 35297965 35297966 chr6:35297966:G:A rs557319255 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 35297996 35297997 chr6:35297997:A:C rs767027656 A C A EBF1_EBF_1 -17 0 - 0 0 . chr6 35300873 35300874 chr6:35300874:T:C rs73409769 T C T EBF1_EBF_1 -6 0 - 0 0 . chr6 35310680 35310681 chr6:35310681:G:T rs181798723 G T G EBF1_EBF_1 8 1 + 6.414797289041003 -0.47663549993619136 AATCACTTGGGACC chr6 35310687 35310688 chr6:35310688:G:C rs140819793 G C G EBF1_EBF_1 15 0 + 0 0 . chr6 35317765 35317766 chr6:35317766:G:C rs6937088 G C G EBF1_EBF_1 23 0 - 0 0 . chr6 35327378 35327379 chr6:35327379:T:G rs73411720 T G T EBF1_EBF_1 -19 0 + 0 0 . chr6 35377790 35377791 chr6:35377791:G:A rs76690650 G A G EBF1_EBF_1 10 1 + 5.235582470762451 -0.08244598850451494 CCTCCCAGGAGAGC chr6 35386822 35386823 chr6:35386823:G:A rs73413704 G A G EBF1_EBF_1 -10 0 + 0 0 . chr6 35392836 35392837 chr6:35392837:A:T rs9348974 A T A EBF1_EBF_1 13 1 + 5.369379392885303 6.587618956756797 CATCCCCAGGGCCA chr6 35402540 35402541 chr6:35402541:G:A rs572442144 G A G EBF1_EBF_1 13 1 - 6.11383751364693 6.958331628232448 AGCCCCCTAGGAAC chr6 35433129 35433130 chr6:35433130:C:T rs9462083 C T C EBF1_EBF_1 19 0 + 0 0 . chr6 35433141 35433142 chr6:35433142:C:T rs9462084 C T C EBF1_EBF_1 31 0 + 0 0 . chr6 35445979 35445980 chr6:35445980:G:A rs6936165 G A g EBF1_EBF_1 -4 0 + 0 0 . chr6 35448451 35448452 chr6:35448452:T:G rs74792490 T G t EBF1_EBF_1 -17 0 - 0 0 . chr6 35455884 35455885 chr6:35455885:A:C rs4713867 A C c EBF1_EBF_1 27 0 - 0 0 . chr6 35461447 35461448 chr6:35461448:G:A rs6914178 G A C EBF1_EBF_1 -3 0 - 0 0 . chr6 35468148 35468149 chr6:35468149:C:T rs1010228549 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 35469335 35469336 chr6:35469336:C:G rs78498719 C G C EBF1_EBF_1 1 1 - 5.2403296346702115 5.0505579801009945 GGTCCCAAGGGCCG chr6 35489949 35489950 chr6:35489950:C:T rs72894778 C T C EBF1_EBF_1 9 1 - 5.9008709546720555 3.2459316892244505 AGTCCCTAGGTGCC chr6 35495424 35495425 chr6:35495425:G:T rs7744287 G T G EBF1_EBF_1 4 1 - 5.4644833409000375 -0.3600762825534298 CCCCCCCAGGGAGG chr6 35547415 35547416 chr6:35547416:G:A rs10456080 G A G EBF1_EBF_1 21 0 + 0 0 . chr6 35555249 35555250 chr6:35555250:C:A rs75461994 C A C EBF1_EBF_1 -5 0 - 0 0 . chr6 35562472 35562473 chr6:35562473:A:G rs6917895 A G G EBF1_EBF_1 -6 0 - 0 0 . chr6 35584002 35584003 chr6:35584003:C:A rs77715420 C A C EBF1_EBF_1 24 0 + 0 0 . chr6 35642903 35642904 chr6:35642904:T:C rs2143404 T C C EBF1_EBF_1 11 1 - 5.895899664802279 3.0031928211982675 AGTTCCCAGGGACA chr6 35668278 35668279 chr6:35668279:G:A rs554329465 G A G EBF1_EBF_1 18 0 - 0 0 . chr6 35688935 35688936 chr6:35688936:C:T chr6:35688936:C:T C T C EBF1_EBF_1 -3 0 + 0 0 . chr6 35688956 35688957 chr6:35688957:C:T rs550246703 C T C EBF1_EBF_1 18 0 + 0 0 . chr6 35719661 35719662 chr6:35719662:C:T rs140861911 C T C EBF1_EBF_1 20 0 + 0 0 . chr6 35720402 35720403 chr6:35720403:G:T rs147823312 G T G EBF1_EBF_1 22 0 - 0 0 . chr6 35764592 35764593 chr6:35764593:T:G rs139541824 T G T EBF1_EBF_1 -15 0 - 0 0 . chr6 35769242 35769243 chr6:35769243:A:G rs2817061 A G G EBF1_EBF_1 -13 0 + 0 0 . chr6 35777527 35777528 chr6:35777528:G:A rs111605439 G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 35787260 35787261 chr6:35787261:C:G rs182052295 C G C EBF1_EBF_1 20 0 + 0 0 . chr6 35796008 35796009 chr6:35796009:C:A rs56279340 C A C EBF1_EBF_1 32 0 + 0 0 . chr6 35796008 35796009 chr6:35796009:C:T chr6:35796009:C:T C T C EBF1_EBF_1 32 0 + 0 0 . chr6 36027614 36027615 chr6:36027615:A:G rs548104588 A G A EBF1_EBF_1 3 1 - 4.964616985310321 11.370015726863638 AACTCCCAGGGACT chr6 36027624 36027625 chr6:36027625:T:C rs61763095 T C T EBF1_EBF_1 -7 0 - 0 0 . chr6 36027629 36027630 chr6:36027630:T:G rs114940739 T G T EBF1_EBF_1 -12 0 - 0 0 . chr6 36027635 36027636 chr6:36027636:G:A rs566668702 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 36118679 36118680 chr6:36118680:T:C chr6:36118680:T:C T C T EBF1_EBF_1 25 0 + 0 0 . chr6 36130908 36130909 chr6:36130909:T:C rs114218828 T C T EBF1_EBF_1 -17 0 - 0 0 . chr6 36156576 36156577 chr6:36156577:T:C rs12201072 T C T EBF1_EBF_1 24 0 + 0 0 . chr6 36197291 36197292 chr6:36197292:C:T rs570260777 C T C EBF1_EBF_1 -4 0 + 0 0 . chr6 36197295 36197296 chr6:36197296:G:C rs1449198576 G C G EBF1_EBF_1 0 1 + 6.208571489890456 5.845596875758689 GGTCCCCTGGGTCC chr6 36231061 36231062 chr6:36231062:A:T rs111255391 A T T EBF1_EBF_1 -16 0 - 0 0 . chr6 36267458 36267459 chr6:36267459:G:T rs11751208 G T G EBF1_EBF_1 -20 0 + 0 0 . chr6 36302352 36302353 chr6:36302353:C:A rs12199580 C A C EBF1_EBF_1 -6 0 + 0 0 . chr6 36313086 36313087 chr6:36313087:A:C rs6917957 A C A EBF1_EBF_1 -9 0 + 0 0 . chr6 36326422 36326423 chr6:36326423:T:C rs763020 T C T EBF1_EBF_1 -17 0 + 0 0 . chr6 36341051 36341052 chr6:36341052:G:A rs758657476 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 36341136 36341137 chr6:36341137:C:G rs564461316 C G C EBF1_EBF_1 2 1 + 5.264814887183231 0.40531260842491257 ACCCCCAGGGGGCG chr6 36341145 36341146 chr6:36341146:G:A rs78335378 G A G EBF1_EBF_1 11 1 + 5.264814887183231 8.157521730787241 ACCCCCAGGGGGCG chr6 36356479 36356480 chr6:36356480:C:T rs10807168 C T C EBF1_EBF_1 2 1 + 7.071555959270799 8.96037937071295 ACCCTCCAGGGACT chr6 36360958 36360959 chr6:36360959:C:T rs76115802 C T C EBF1_EBF_1 9 1 - 10.912662462677446 8.257723197229842 AGTCCCCAGGGAGA chr6 36371358 36371359 chr6:36371359:G:A rs2234079 G A G EBF1_EBF_1 -20 0 + 0 0 . chr6 36371365 36371366 chr6:36371366:C:T rs61730656 C T C EBF1_EBF_1 -13 0 + 0 0 . chr6 36383036 36383037 chr6:36383037:G:A rs881647 G A A EBF1_EBF_1 27 0 - 0 0 . chr6 36383080 36383081 chr6:36383081:G:C rs916287 G C C EBF1_EBF_1 -17 0 - 0 0 . chr6 36387876 36387877 chr6:36387877:A:C rs2234067 A C C EBF1_EBF_1 -6 0 - 0 0 . chr6 36489576 36489577 chr6:36489577:C:T rs1191 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 36555746 36555747 chr6:36555747:C:T rs57634930 C T t EBF1_EBF_1 -19 0 + 0 0 . chr6 36563714 36563715 chr6:36563715:G:A rs1744648 G A G EBF1_EBF_1 9 1 + 3.842610940742083 1.1876716752944796 CGACCCCAGGGGCC chr6 36612442 36612443 chr6:36612443:T:C rs79612588 T C C EBF1_EBF_1 -19 0 - 0 0 . chr6 36618655 36618656 chr6:36618656:G:A rs73410214 G A G EBF1_EBF_1 -11 0 + 0 0 . chr6 36618694 36618695 chr6:36618695:C:T rs12664053 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 36656333 36656334 chr6:36656334:C:T rs7740181 C T T EBF1_EBF_1 27 0 + 0 0 . chr6 36657604 36657605 chr6:36657605:T:C rs11969445 T C t EBF1_EBF_1 6 1 - 5.5093489382302065 3.3425545069557314 ACTCCCATTGGAAC chr6 36664910 36664911 chr6:36664911:C:T rs13196885 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 36679620 36679621 chr6:36679621:C:T rs4647894 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 36680041 36680042 chr6:36680042:G:T chr6:36680042:G:T G T G EBF1_EBF_1 -6 0 + 0 0 . chr6 36684351 36684352 chr6:36684352:G:A rs34916193 G A G EBF1_EBF_1 19 0 + 0 0 . chr6 36701731 36701732 chr6:36701732:A:G rs10947624 A G G EBF1_EBF_1 12 1 - 5.6189364610392465 6.645203031590096 CTCCCCTAGGGGTC chr6 36701741 36701742 chr6:36701742:G:A rs58109844 G A G EBF1_EBF_1 2 1 - 5.6189364610392465 7.507759872481395 CTCCCCTAGGGGTC chr6 36702413 36702414 chr6:36702414:G:A rs6923899 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 36710657 36710658 chr6:36710658:A:G rs113304493 A G G EBF1_EBF_1 -12 0 - 0 0 . chr6 36714054 36714055 chr6:36714055:C:T rs6916443 C T C EBF1_EBF_1 23 0 + 0 0 . chr6 36730382 36730383 chr6:36730383:G:A rs236463 G A A EBF1_EBF_1 4 1 - 4.773307030072752 0.40392008512782845 TGCCCCTGGAGACA chr6 36754141 36754142 chr6:36754142:T:G rs73414229 T G T EBF1_EBF_1 0 1 - 7.244934259353877 4.989675775657277 AGCCCCCAGGGCAT chr6 36754789 36754790 chr6:36754790:G:C rs78525002 G C G EBF1_EBF_1 26 0 - 0 0 . chr6 36760933 36760934 chr6:36760934:T:C rs236435 T C T EBF1_EBF_1 27 0 + 0 0 . chr6 36787442 36787443 chr6:36787443:A:G rs236411 A G A EBF1_EBF_1 11 1 + 9.22813485366516 6.33542801006115 ATTCCCCAGGTAAC chr6 36804766 36804767 chr6:36804767:A:G rs9296197 A G A EBF1_EBF_1 9 1 + 7.160812461321046 9.81575172676865 ATACCCTAGAGATT chr6 36823147 36823148 chr6:36823148:C:T rs41272190 C T C EBF1_EBF_1 14 0 - 0 0 . chr6 36823578 36823579 chr6:36823579:T:C rs4714013 T C T EBF1_EBF_1 -5 0 + 0 0 . chr6 36824503 36824504 chr6:36824504:G:A rs115510238 G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 36830229 36830230 chr6:36830230:G:A rs9368963 G A G EBF1_EBF_1 -16 0 - 0 0 . chr6 36846112 36846113 chr6:36846113:G:C rs3846871 G C G EBF1_EBF_1 -18 0 + 0 0 . chr6 36908782 36908783 chr6:36908783:T:C rs6904504 T C C EBF1_EBF_1 24 0 - 0 0 . chr6 36938826 36938827 chr6:36938827:T:C rs144056721 T C T EBF1_EBF_1 31 0 - 0 0 . chr6 36946905 36946906 chr6:36946906:G:A rs57623630 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 36958635 36958636 chr6:36958636:A:T rs697746 A T T EBF1_EBF_1 11 1 - 4.58997592972185 8.687890185820574 CTCCCCTTGGGTCA chr6 36961784 36961785 chr6:36961785:A:G rs73422765 A G A EBF1_EBF_1 0 1 + 5.810892450998428 3.9186085814335945 AGACCCAAGGGCAT chr6 36971751 36971752 chr6:36971752:C:G rs9462250 C G C EBF1_EBF_1 32 0 - 0 0 . chr6 36974640 36974641 chr6:36974641:G:A rs748406 G A A EBF1_EBF_1 26 0 - 0 0 . chr6 36975914 36975915 chr6:36975915:T:C rs6927651 T C C EBF1_EBF_1 -20 0 + 0 0 . chr6 36977616 36977617 chr6:36977617:G:A rs76406709 G A G EBF1_EBF_1 3 1 - 7.021223020542234 0.6158242789889163 CTTCCCCCGAGACT chr6 36986458 36986459 chr6:36986459:G:A rs188601897 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 37007412 37007413 chr6:37007413:C:T rs72848055 C T C EBF1_EBF_1 -5 0 + 0 0 . chr6 37026491 37026492 chr6:37026492:G:A rs9462253 G A G EBF1_EBF_1 3 1 - 4.1344108259175485 -2.2709879156357684 GGCCCCCAGGGCAA chr6 37038919 37038920 chr6:37038920:T:C chr6:37038920:T:C T C T EBF1_EBF_1 2 1 + 7.857847388207029 5.96902397676488 AATCCCCAGGTACA chr6 37038938 37038939 chr6:37038939:G:A chr6:37038939:G:A G A G EBF1_EBF_1 21 0 + 0 0 . chr6 37048137 37048138 chr6:37048138:C:A rs78170419 C A C EBF1_EBF_1 -17 0 - 0 0 . chr6 37060006 37060007 chr6:37060007:C:T rs145505764 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 37060007 37060008 chr6:37060008:C:G rs7750717 C G C EBF1_EBF_1 31 0 + 0 0 . chr6 37102905 37102906 chr6:37102906:A:G rs561814243 A G A EBF1_EBF_1 2 1 - 7.040952987205193 5.152129575763044 CTTCCCTAGGGCAC chr6 37102919 37102920 chr6:37102920:T:C rs183698375 T C T EBF1_EBF_1 -12 0 - 0 0 . chr6 37110457 37110458 chr6:37110458:G:A rs147123844 G A G EBF1_EBF_1 4 1 - 5.040408417417859 0.671021472472935 ATTCCCATGTGAGC chr6 37126184 37126185 chr6:37126185:C:T chr6:37126185:C:T C T C EBF1_EBF_1 23 0 + 0 0 . chr6 37126185 37126186 chr6:37126186:C:T chr6:37126186:C:T C T C EBF1_EBF_1 24 0 + 0 0 . chr6 37144567 37144568 chr6:37144568:C:T rs141737658 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 37164109 37164110 chr6:37164110:A:G rs262906 A G G EBF1_EBF_1 22 0 + 0 0 . chr6 37202645 37202646 chr6:37202646:G:A rs17570917 G A G EBF1_EBF_1 30 0 + 0 0 . chr6 37206016 37206017 chr6:37206017:C:T rs7739790 C T C EBF1_EBF_1 9 1 - 5.927667924383006 3.272728658935403 GTACCCCTGGGATA chr6 37325955 37325956 chr6:37325956:C:T rs73732941 C T C EBF1_EBF_1 26 0 - 0 0 . chr6 37325956 37325957 chr6:37325957:G:A rs4711493 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 37325987 37325988 chr6:37325988:G:T rs4714055 G T G EBF1_EBF_1 -6 0 - 0 0 . chr6 37326001 37326002 chr6:37326002:G:C rs4714056 G C C EBF1_EBF_1 -20 0 - 0 0 . chr6 37484214 37484215 chr6:37484215:T:C rs78862533 T C T EBF1_EBF_1 -3 0 + 0 0 . chr6 37484229 37484230 chr6:37484230:G:A rs1323482859 G A G EBF1_EBF_1 12 1 + 5.910154936212507 7.328972278311984 TCCCCCAGGGGAGA chr6 37491391 37491392 chr6:37491392:G:A rs183063757 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 37498455 37498456 chr6:37498456:A:G rs12211110 A G A EBF1_EBF_1 16 0 - 0 0 . chr6 37499648 37499649 chr6:37499649:G:C rs143099064 G C C EBF1_EBF_1 -10 0 + 0 0 . chr6 37512195 37512196 chr6:37512196:G:A rs10947675 G A G EBF1_EBF_1 33 0 - 0 0 . chr6 37523230 37523231 chr6:37523231:G:C rs74980290 G C G EBF1_EBF_1 14 0 + 0 0 . chr6 37525884 37525885 chr6:37525885:T:A rs12199336 T A T EBF1_EBF_1 14 0 - 0 0 . chr6 37539331 37539332 chr6:37539332:G:A rs533620560 G A G EBF1_EBF_1 13 1 - 6.199110189923865 7.043604304509383 CTCCCCTTGGGGAC chr6 37546124 37546125 chr6:37546125:C:G rs1629432 C G C EBF1_EBF_1 -20 0 + 0 0 . chr6 37546168 37546169 chr6:37546169:A:G rs7774013 A G a EBF1_EBF_1 24 0 + 0 0 . chr6 37557694 37557695 chr6:37557695:A:T rs113170446 A T A EBF1_EBF_1 -13 0 - 0 0 . chr6 37559609 37559610 chr6:37559610:G:A rs62398368 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 37560000 37560001 chr6:37560001:T:C rs2776890 T C T EBF1_EBF_1 -18 0 + 0 0 . chr6 37560015 37560016 chr6:37560016:G:C rs1891612 G C C EBF1_EBF_1 -3 0 + 0 0 . chr6 37565285 37565286 chr6:37565286:G:T rs7743514 G T T EBF1_EBF_1 28 0 - 0 0 . chr6 37577380 37577381 chr6:37577381:G:A rs138499802 G A G EBF1_EBF_1 30 0 - 0 0 . chr6 37600032 37600033 chr6:37600033:A:G rs7765821 A G G EBF1_EBF_1 -4 0 - 0 0 . chr6 37613423 37613424 chr6:37613424:G:A rs13219089 G A G EBF1_EBF_1 18 0 + 0 0 . chr6 37616134 37616135 chr6:37616135:T:C rs810855 T C T EBF1_EBF_1 -7 0 + 0 0 . chr6 37622408 37622409 chr6:37622409:A:G rs6918733 A G A EBF1_EBF_1 -17 0 + 0 0 . chr6 37622454 37622455 chr6:37622455:A:G rs9380694 A G A EBF1_EBF_1 29 0 + 0 0 . chr6 37638976 37638977 chr6:37638977:C:A rs147551297 C A C EBF1_EBF_1 32 0 - 0 0 . chr6 37639018 37639019 chr6:37639019:G:A rs140710348 G A G EBF1_EBF_1 -10 0 - 0 0 . chr6 37639028 37639029 chr6:37639029:G:A rs10947686 G A A EBF1_EBF_1 -20 0 - 0 0 . chr6 37652053 37652054 chr6:37652054:G:A rs62398393 G A G EBF1_EBF_1 15 0 - 0 0 . chr6 37655083 37655084 chr6:37655084:G:A rs9369015 G A G EBF1_EBF_1 10 1 + 5.737450150613148 0.41942169134618223 AGCCCCAGGGGTCC chr6 37657261 37657262 chr6:37657262:A:G rs73734235 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 37657785 37657786 chr6:37657786:C:T rs10755699 C T T EBF1_EBF_1 8 1 - 4.73105243157822 0.5047623426919583 ACTCCCCAGGTCCT chr6 37657949 37657950 chr6:37657950:G:A rs79249337 G A G EBF1_EBF_1 -7 0 + 0 0 . chr6 37695999 37696000 chr6:37696000:G:T rs571585946 G T G EBF1_EBF_1 33 0 + 0 0 . chr6 37697492 37697493 chr6:37697493:A:G chr6:37697493:A:G A G A EBF1_EBF_1 1 1 - 4.551981459340263 3.6565480189415176 CTTCCCCCGGGTTT chr6 37698123 37698124 chr6:37698124:A:G rs11968296 A G A EBF1_EBF_1 28 0 + 0 0 . chr6 37705943 37705944 chr6:37705944:G:T rs141833671 G T G EBF1_EBF_1 11 1 - 7.232815587238333 11.648777285090722 AGTCCCCAGGGCTC chr6 37705965 37705966 chr6:37705966:T:C rs77082754 T C T EBF1_EBF_1 -11 0 - 0 0 . chr6 37706053 37706054 chr6:37706054:C:T rs766006752 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 37706066 37706067 chr6:37706067:T:C rs73415453 T C T EBF1_EBF_1 -7 0 + 0 0 . chr6 37791284 37791285 chr6:37791285:T:C rs6931637 T C T EBF1_EBF_1 23 0 - 0 0 . chr6 37809396 37809397 chr6:37809397:C:A rs186230580 C A C EBF1_EBF_1 3 1 + 5.439112246829304 -1.4670617826365027 TCACCCCAGGGAGC chr6 37816826 37816827 chr6:37816827:G:A rs72849350 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 37986376 37986377 chr6:37986377:G:T rs2645117 G T G EBF1_EBF_1 -18 0 - 0 0 . chr6 38027980 38027981 chr6:38027981:G:A rs75252515 G A G EBF1_EBF_1 -6 0 + 0 0 . chr6 38124380 38124381 chr6:38124381:G:A rs6921475 G A G EBF1_EBF_1 16 0 - 0 0 . chr6 38124408 38124409 chr6:38124409:G:A rs147391061 G A G EBF1_EBF_1 -12 0 - 0 0 . chr6 38160691 38160692 chr6:38160692:C:T rs11759858 C T T EBF1_EBF_1 -2 0 - 0 0 . chr6 38160692 38160693 chr6:38160693:C:T rs11759859 C T C EBF1_EBF_1 -3 0 - 0 0 . chr6 38162578 38162579 chr6:38162579:A:G rs2281265 A G A EBF1_EBF_1 29 0 - 0 0 . chr6 38172286 38172287 chr6:38172287:C:T rs45457994 C T C EBF1_EBF_1 27 0 - 0 0 . chr6 38180133 38180134 chr6:38180134:G:C rs10947715 G C G EBF1_EBF_1 26 0 - 0 0 . chr6 38183866 38183867 chr6:38183867:C:T rs79334662 C T C EBF1_EBF_1 -11 0 - 0 0 . chr6 38215975 38215976 chr6:38215976:G:C rs72849815 G C G EBF1_EBF_1 5 1 - 5.776099274085383 -1.1287818785497858 TTCCCCCTGGGGCA chr6 38216493 38216494 chr6:38216494:C:T rs115511958 C T c EBF1_EBF_1 33 0 - 0 0 . chr6 38217931 38217932 chr6:38217932:A:G rs4714130 A G G EBF1_EBF_1 7 1 - 5.91636557112047 4.098860824837847 CATCCCTTGGGTAC chr6 38241206 38241207 chr6:38241207:G:A rs9380726 G A A EBF1_EBF_1 32 0 + 0 0 . chr6 38282731 38282732 chr6:38282732:G:C rs4714138 G C C EBF1_EBF_1 -10 0 + 0 0 . chr6 38350543 38350544 chr6:38350544:A:C rs9462430 A C A EBF1_EBF_1 23 0 - 0 0 . chr6 38433215 38433216 chr6:38433216:A:C rs4591851 A C A EBF1_EBF_1 11 1 + 6.507125974424192 2.091164276571803 TTCCCCTTGAGATT chr6 38495813 38495814 chr6:38495814:G:A rs61088409 G A A EBF1_EBF_1 7 1 - 7.511492882441553 9.328997628724174 ATCCCCACGGGGCT chr6 38619731 38619732 chr6:38619732:C:T rs192512680 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 38672065 38672066 chr6:38672066:C:G rs142886086 C G T EBF1_EBF_1 -5 0 - 0 0 . chr6 38673937 38673938 chr6:38673938:C:T rs61221102 C T C EBF1_EBF_1 -15 0 + 0 0 . chr6 38699622 38699623 chr6:38699623:G:T rs2455773 G T G EBF1_EBF_1 -14 0 - 0 0 . chr6 38703268 38703269 chr6:38703269:A:G chr6:38703269:A:G A G A EBF1_EBF_1 -8 0 + 0 0 . chr6 38710876 38710877 chr6:38710877:C:G rs10947757 C G C EBF1_EBF_1 5 1 + 7.474242353368223 0.5693612007330549 CTCCCCCAGGGAGA chr6 38714001 38714002 chr6:38714002:G:A rs1699011 G A A EBF1_EBF_1 32 0 + 0 0 . chr6 38773542 38773543 chr6:38773543:A:G rs116722928 A G A EBF1_EBF_1 -14 0 + 0 0 . chr6 38773554 38773555 chr6:38773555:G:A rs113013618 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 38799782 38799783 chr6:38799783:A:G rs13194757 A G G EBF1_EBF_1 6 1 + 4.287201823092551 2.120407391818076 AGCCCCAAGGCAAC chr6 38814870 38814871 chr6:38814871:T:C rs1678693 T C C EBF1_EBF_1 19 0 + 0 0 . chr6 38977225 38977226 chr6:38977226:C:T rs7754034 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 39010056 39010057 chr6:39010057:G:T rs12212950 G T G EBF1_EBF_1 14 0 + 0 0 . chr6 39035776 39035777 chr6:39035777:G:A rs11963172 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 39074675 39074676 chr6:39074676:C:T rs10305483 C T C EBF1_EBF_1 7 1 - 4.788672770750282 6.101131176039107 AGTCCCCGGAGGTC chr6 39079641 39079642 chr6:39079642:C:T rs12212036 C T C EBF1_EBF_1 12 1 - 3.5288774727615353 4.947694814861013 GGTCCCCCGGGCGT chr6 39080441 39080442 chr6:39080442:T:C rs9296289 T C t EBF1_EBF_1 28 0 - 0 0 . chr6 39080442 39080443 chr6:39080443:G:A rs9296290 G A G EBF1_EBF_1 27 0 - 0 0 . chr6 39085433 39085434 chr6:39085434:G:A rs151250782 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 39086361 39086362 chr6:39086362:G:T rs10305514 G T G EBF1_EBF_1 30 0 - 0 0 . chr6 39086407 39086408 chr6:39086408:G:A rs201601010 G A G EBF1_EBF_1 -16 0 - 0 0 . chr6 39090047 39090048 chr6:39090048:A:G rs200665771 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 39141048 39141049 chr6:39141049:A:T rs150765043 A T A EBF1_EBF_1 15 0 - 0 0 . chr6 39158380 39158381 chr6:39158381:C:A rs2561410 C A A EBF1_EBF_1 17 0 + 0 0 . chr6 39202621 39202622 chr6:39202622:A:G rs2815109 A G G EBF1_EBF_1 30 0 + 0 0 . chr6 39208338 39208339 chr6:39208339:C:T rs182784794 C T C EBF1_EBF_1 -19 0 + 0 0 . chr6 39210410 39210411 chr6:39210411:G:A rs9462493 G A G EBF1_EBF_1 8 1 + 8.92467849552594 4.69838840663968 TCCCCCTAGGGACC chr6 39215693 39215694 chr6:39215694:C:T rs10456100 C T C EBF1_EBF_1 5 1 + 6.714543091152478 1.3927558756118081 TAACCCTAGGGAAA chr6 39215708 39215709 chr6:39215709:G:A rs11969417 G A G EBF1_EBF_1 20 0 + 0 0 . chr6 39217828 39217829 chr6:39217829:G:A rs2815114 G A A EBF1_EBF_1 25 0 + 0 0 . chr6 39229705 39229706 chr6:39229706:C:T rs9462495 C T C EBF1_EBF_1 18 0 - 0 0 . chr6 39251632 39251633 chr6:39251633:A:T rs146985695 A T A EBF1_EBF_1 33 0 - 0 0 . chr6 39276437 39276438 chr6:39276438:C:T rs2758870 C T C EBF1_EBF_1 -5 0 - 0 0 . chr6 39295625 39295626 chr6:39295626:G:C rs4714234 G C G EBF1_EBF_1 -6 0 - 0 0 . chr6 39369607 39369608 chr6:39369608:A:T rs913472 A T T EBF1_EBF_1 7 1 + 7.085260768557853 6.608986528470296 GTCCCCCAGGGATG chr6 39421675 39421676 chr6:39421676:T:G rs79197796 T G T EBF1_EBF_1 27 0 - 0 0 . chr6 39439768 39439769 chr6:39439769:C:T rs9380863 C T C EBF1_EBF_1 33 0 - 0 0 . chr6 39487383 39487384 chr6:39487384:C:T rs112726809 C T C EBF1_EBF_1 1 1 + 6.963561424485768 7.858994864884513 CCTCCCCAGGGGCA chr6 39489879 39489880 chr6:39489880:A:G rs75675537 A G G EBF1_EBF_1 -18 0 - 0 0 . chr6 39493105 39493106 chr6:39493106:T:G rs78952656 T G T EBF1_EBF_1 25 0 - 0 0 . chr6 39723716 39723717 chr6:39723717:G:T rs149242 G T G EBF1_EBF_1 -9 0 + 0 0 . chr6 39794883 39794884 chr6:39794884:C:T rs302622 C T C EBF1_EBF_1 4 1 + 5.205352722320573 0.8359657773756495 ACTACCCAGGGACA chr6 39801527 39801528 chr6:39801528:T:C rs2987592 T C t EBF1_EBF_1 18 0 + 0 0 . chr6 39801808 39801809 chr6:39801809:G:C rs115914714 G C G EBF1_EBF_1 3 1 - 7.214134269349949 1.3863698212347342 GACCCCCCGGGAAT chr6 39801817 39801818 chr6:39801818:T:C rs1467699 T C C EBF1_EBF_1 -6 0 - 0 0 . chr6 39803513 39803514 chr6:39803514:T:C rs1467696 T C T EBF1_EBF_1 12 1 + 7.130396294080122 8.15666286463097 AATCCCATGAGATG chr6 39841377 39841378 chr6:39841378:G:C rs75019550 G C G EBF1_EBF_1 -1 0 - 0 0 . chr6 39880542 39880543 chr6:39880543:A:G rs2504084 A G a EBF1_EBF_1 -11 0 + 0 0 . chr6 39882401 39882402 chr6:39882402:G:T chr6:39882402:G:T G T G EBF1_EBF_1 -9 0 - 0 0 . chr6 39887727 39887728 chr6:39887728:C:T rs927158548 C T C EBF1_EBF_1 6 1 - 6.654544993699489 8.821339424973964 GTTCCCGAGAGACA chr6 39907055 39907056 chr6:39907056:C:G rs11969233 C G G EBF1_EBF_1 -2 0 + 0 0 . chr6 39966810 39966811 chr6:39966811:A:G rs2224631 A G G EBF1_EBF_1 33 0 - 0 0 . chr6 39973729 39973730 chr6:39973730:T:A rs62403595 T A T EBF1_EBF_1 2 1 + 9.124601066765036 5.4401334791661595 ACTCCCCGGGGGCT chr6 40011969 40011970 chr6:40011970:T:C rs115697811 T C C EBF1_EBF_1 -20 0 - 0 0 . chr6 40112471 40112472 chr6:40112472:C:T rs9471241 C T C EBF1_EBF_1 4 1 + 5.376024141905375 1.0066371969604506 CCCCCCTAGGGGCA chr6 40146995 40146996 chr6:40146996:C:T rs7759622 C T C EBF1_EBF_1 23 0 + 0 0 . chr6 40191848 40191849 chr6:40191849:G:C rs6939491 G C G EBF1_EBF_1 -5 0 - 0 0 . chr6 40195630 40195631 chr6:40195631:A:G rs7765884 A G G EBF1_EBF_1 21 0 - 0 0 . chr6 40293164 40293165 chr6:40293165:T:C rs4486006 T C C EBF1_EBF_1 -18 0 - 0 0 . chr6 40293422 40293423 chr6:40293423:T:C rs4714324 T C C EBF1_EBF_1 -12 0 - 0 0 . chr6 40294187 40294188 chr6:40294188:G:T rs57052243 G T G EBF1_EBF_1 -17 0 - 0 0 . chr6 40329515 40329516 chr6:40329516:G:A rs12192539 G A G EBF1_EBF_1 -2 0 - 0 0 . chr6 40330612 40330613 chr6:40330613:G:C rs1408970 G C G EBF1_EBF_1 28 0 - 0 0 . chr6 40334644 40334645 chr6:40334645:T:C rs3896180 T C C EBF1_EBF_1 11 1 - 5.404862348841262 2.512155505237251 ACTCCCCAGGAAGA chr6 40337770 40337771 chr6:40337771:G:A rs2296459 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 40337771 40337772 chr6:40337772:C:T rs2296458 C T C EBF1_EBF_1 -18 0 + 0 0 . chr6 40338421 40338422 chr6:40338422:G:A rs4714333 G A A EBF1_EBF_1 4 1 - 6.026758530866756 1.6573715859218323 CTCCCCAAGGGCCT chr6 40340767 40340768 chr6:40340768:A:C rs141256704 A C A EBF1_EBF_1 23 0 - 0 0 . chr6 40341548 40341549 chr6:40341549:A:G rs72664246 A G A EBF1_EBF_1 21 0 + 0 0 . chr6 40341559 40341560 chr6:40341560:G:A rs2477758 G A G EBF1_EBF_1 32 0 + 0 0 . chr6 40345295 40345296 chr6:40345296:G:A rs73734624 G A G EBF1_EBF_1 3 1 - 6.20002008077971 -0.2053786607736059 GCTCCCTGAGGACT chr6 40356554 40356555 chr6:40356555:C:T rs966082 C T T EBF1_EBF_1 32 0 + 0 0 . chr6 40358654 40358655 chr6:40358655:C:T rs113756108 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 40379518 40379519 chr6:40379519:C:T rs9312 C T C EBF1_EBF_1 28 0 - 0 0 . chr6 40444993 40444994 chr6:40444994:C:T rs4714348 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 40451505 40451506 chr6:40451506:G:C rs9369204 G C G EBF1_EBF_1 1 1 - 6.2146467062425454 6.404418360811762 TCCCCCAGGGGAAG chr6 40477376 40477377 chr6:40477377:A:G rs60442865 A G G EBF1_EBF_1 -4 0 - 0 0 . chr6 40485990 40485991 chr6:40485991:A:C rs9471356 A C A EBF1_EBF_1 23 0 + 0 0 . chr6 40486446 40486447 chr6:40486447:C:T rs61228961 C T C EBF1_EBF_1 -2 0 - 0 0 . chr6 40492277 40492278 chr6:40492278:G:A rs9357330 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 40537232 40537233 chr6:40537233:T:G rs7750333 T G T EBF1_EBF_1 15 0 - 0 0 . chr6 40541632 40541633 chr6:40541633:G:A rs2504833 G A G EBF1_EBF_1 31 0 - 0 0 . chr6 40541729 40541730 chr6:40541730:C:A rs205513 C A A EBF1_EBF_1 8 1 - 4.155860360016239 -2.7355724289609546 ATTCCCACGGGCGG chr6 40542915 40542916 chr6:40542916:A:G rs2196100 A G A EBF1_EBF_1 17 0 + 0 0 . chr6 40562872 40562873 chr6:40562873:T:C rs846509 T C C EBF1_EBF_1 -4 0 + 0 0 . chr6 40599949 40599950 chr6:40599950:A:G rs950247741 A G A EBF1_EBF_1 -1 0 + 0 0 . chr6 40612093 40612094 chr6:40612094:C:G rs846516 C G C EBF1_EBF_1 -12 0 - 0 0 . chr6 40612415 40612416 chr6:40612416:G:T rs60329464 G T G EBF1_EBF_1 31 0 - 0 0 . chr6 40612421 40612422 chr6:40612422:G:A rs34388291 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 40620336 40620337 chr6:40620337:A:G rs1737674 A G G EBF1_EBF_1 19 0 - 0 0 . chr6 40623948 40623949 chr6:40623949:T:C rs72858327 T C T EBF1_EBF_1 24 0 - 0 0 . chr6 40623952 40623953 chr6:40623953:A:G rs846541 A G G EBF1_EBF_1 20 0 - 0 0 . chr6 40624198 40624199 chr6:40624199:G:A rs2473568 G A G EBF1_EBF_1 28 0 + 0 0 . chr6 40627403 40627404 chr6:40627404:G:A rs147747108 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 40635317 40635318 chr6:40635318:T:C rs4301303 T C T EBF1_EBF_1 27 0 + 0 0 . chr6 40650008 40650009 chr6:40650009:C:T rs12526157 C T C EBF1_EBF_1 14 0 - 0 0 . chr6 40710731 40710732 chr6:40710732:A:G rs555918029 A G A EBF1_EBF_1 -11 0 - 0 0 . chr6 40721157 40721158 chr6:40721158:G:A rs4340999 G A G EBF1_EBF_1 20 0 + 0 0 . chr6 40721716 40721717 chr6:40721717:G:A rs116188400 G A G EBF1_EBF_1 16 0 + 0 0 . chr6 40721726 40721727 chr6:40721727:T:C rs1039342863 T C T EBF1_EBF_1 26 0 + 0 0 . chr6 40721990 40721991 chr6:40721991:C:A rs12529308 C A C EBF1_EBF_1 26 0 + 0 0 . chr6 40729987 40729988 chr6:40729988:G:T rs7740198 G T G EBF1_EBF_1 30 0 + 0 0 . chr6 40782637 40782638 chr6:40782638:G:A rs55796312 G A G EBF1_EBF_1 23 0 - 0 0 . chr6 40796993 40796994 chr6:40796994:G:A rs76480692 G A G EBF1_EBF_1 10 1 + 7.943080537147039 2.625052077880073 GGCCCCAGGGGACC chr6 40824751 40824752 chr6:40824752:T:A rs6923463 T A A EBF1_EBF_1 -15 0 - 0 0 . chr6 40860545 40860546 chr6:40860546:C:T rs6917512 C T C EBF1_EBF_1 6 1 - 4.383294226908504 6.550088658182978 AGCCCCGAGGGCTT chr6 40877419 40877420 chr6:40877420:C:T rs950633266 C T C EBF1_EBF_1 30 0 - 0 0 . chr6 40892036 40892037 chr6:40892037:C:T rs78662126 C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 40895121 40895122 chr6:40895122:A:C rs12203078 A C A EBF1_EBF_1 -16 0 + 0 0 . chr6 40895271 40895272 chr6:40895272:T:G rs76212356 T G T EBF1_EBF_1 -16 0 + 0 0 . chr6 40997909 40997910 chr6:40997910:C:T rs77394865 C T C EBF1_EBF_1 22 0 + 0 0 . chr6 41013845 41013846 chr6:41013846:T:A chr6:41013846:T:A T A T EBF1_EBF_1 -8 0 + 0 0 . chr6 41013870 41013871 chr6:41013871:G:C rs9471465 G C G EBF1_EBF_1 17 0 + 0 0 . chr6 41021763 41021764 chr6:41021764:T:C rs9369255 T C T EBF1_EBF_1 32 0 - 0 0 . chr6 41021785 41021786 chr6:41021786:C:T rs9349176 C T C EBF1_EBF_1 10 1 - 7.658071421193683 2.340042961926717 ATTCCCTTGAGGCC chr6 41022037 41022038 chr6:41022038:T:C rs115419552 T C T EBF1_EBF_1 22 0 + 0 0 . chr6 41039162 41039163 chr6:41039163:G:A rs74443078 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 41071831 41071832 chr6:41071832:G:C rs575041917 G C G EBF1_EBF_1 -20 0 - 0 0 . chr6 41074089 41074090 chr6:41074090:A:G rs4140578 A G G EBF1_EBF_1 14 0 + 0 0 . chr6 41074106 41074107 chr6:41074107:G:T rs182176178 G T T EBF1_EBF_1 31 0 + 0 0 . chr6 41081768 41081769 chr6:41081769:T:C rs9381034 T C T EBF1_EBF_1 -15 0 - 0 0 . chr6 41100840 41100841 chr6:41100841:G:C rs62396300 G C C EBF1_EBF_1 5 1 - 8.050607359521548 1.145726206886379 CTTCCCCGGGGAGA chr6 41100860 41100861 chr6:41100861:A:G rs76209811 A G G EBF1_EBF_1 -15 0 - 0 0 . chr6 41100861 41100862 chr6:41100862:A:C rs62396301 A C C EBF1_EBF_1 -16 0 - 0 0 . chr6 41101255 41101256 chr6:41101256:G:T rs62396302 G T G EBF1_EBF_1 10 1 + 4.22740205872074 0.0446498724934742 AGCCCCTGGAGGCC chr6 41164405 41164406 chr6:41164406:A:G rs112285500 A G A EBF1_EBF_1 7 1 + 5.603298805767806 4.29084040047898 TGCCCCAAGGGCCT chr6 41186097 41186098 chr6:41186098:G:A rs9471492 G A G EBF1_EBF_1 -1 0 - 0 0 . chr6 41186120 41186121 chr6:41186121:G:A rs9471493 G A G EBF1_EBF_1 12 1 - 4.255053820815864 3.2287872502650146 CATCCCCAGGGCCG chr6 41192166 41192167 chr6:41192167:A:G rs9394767 A G A EBF1_EBF_1 25 0 - 0 0 . chr6 41194321 41194322 chr6:41194322:G:T rs13216201 G T G EBF1_EBF_1 12 1 - 5.274959872240556 5.305141270661882 GCTCCCCAGGAACC chr6 41203215 41203216 chr6:41203216:G:A rs35047410 G A N EBF1_EBF_1 23 0 - 0 0 . chr6 41216120 41216121 chr6:41216121:C:A rs6924816 C A c EBF1_EBF_1 29 0 + 0 0 . chr6 41226825 41226826 chr6:41226826:T:C rs6938947 T C - EBF1_EBF_1 33 0 - 0 0 . chr6 41229149 41229150 chr6:41229150:A:C rs2627557 A C N EBF1_EBF_1 23 0 - 0 0 . chr6 41275233 41275234 chr6:41275234:T:C rs6914090 T C C EBF1_EBF_1 -10 0 - 0 0 . chr6 41294052 41294053 chr6:41294053:T:C rs9471539 T C C EBF1_EBF_1 28 0 - 0 0 . chr6 41319338 41319339 chr6:41319339:A:C rs13192134 A C A EBF1_EBF_1 11 1 + 5.696304544616478 1.2803428467640883 AATCCCCAGGAATA chr6 41328721 41328722 chr6:41328722:T:C rs13194188 T C T EBF1_EBF_1 31 0 - 0 0 . chr6 41328771 41328772 chr6:41328772:A:C rs13208406 A C C EBF1_EBF_1 -19 0 - 0 0 . chr6 41332508 41332509 chr6:41332509:C:T rs12204965 C T T EBF1_EBF_1 -7 0 - 0 0 . chr6 41333705 41333706 chr6:41333706:G:A rs112456835 G A A EBF1_EBF_1 13 1 - 9.581816055080452 10.426310169665971 GCTCCCTGGGGACC chr6 41333942 41333943 chr6:41333943:C:A rs74572569 C A C EBF1_EBF_1 10 1 - 7.9120222595588245 3.729270073331561 CTCCCCAGGGGACA chr6 41352583 41352584 chr6:41352584:C:A rs9381052 C A C EBF1_EBF_1 -13 0 + 0 0 . chr6 41355785 41355786 chr6:41355786:G:A rs60621551 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 41355797 41355798 chr6:41355798:T:C rs1484269 T C C EBF1_EBF_1 16 0 - 0 0 . chr6 41371514 41371515 chr6:41371515:G:C rs891126231 G C G EBF1_EBF_1 29 0 + 0 0 . chr6 41371805 41371806 chr6:41371806:C:T rs546360005 C T C EBF1_EBF_1 13 1 + 3.7271681246785584 4.571662239264077 ACTCCCCCGCGAGC chr6 41375183 41375184 chr6:41375184:A:G rs74980220 A G A EBF1_EBF_1 11 1 + 9.713411106854394 6.820704263250384 GTTCCCGAGGGAAC chr6 41395401 41395402 chr6:41395402:T:C rs58324137 T C T EBF1_EBF_1 -11 0 - 0 0 . chr6 41408000 41408001 chr6:41408001:G:T rs149630873 G T G EBF1_EBF_1 -14 0 + 0 0 . chr6 41413361 41413362 chr6:41413362:C:G rs56272207 C G C EBF1_EBF_1 -19 0 + 0 0 . chr6 41413439 41413440 chr6:41413440:G:A rs6938801 G A G EBF1_EBF_1 -8 0 + 0 0 . chr6 41413462 41413463 chr6:41413463:G:T rs115570667 G T G EBF1_EBF_1 15 0 + 0 0 . chr6 41429183 41429184 chr6:41429184:A:C rs61044629 A C A EBF1_EBF_1 28 0 - 0 0 . chr6 41429729 41429730 chr6:41429730:G:A rs57324204 G A G EBF1_EBF_1 -14 0 - 0 0 . chr6 41443162 41443163 chr6:41443163:G:A rs556713259 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 41443571 41443572 chr6:41443572:G:A rs189247762 G A G EBF1_EBF_1 10 1 + 4.412012966167982 -0.906015493098985 ATCCCGTTGGGACC chr6 41451265 41451266 chr6:41451266:G:A rs1401074926 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 41504411 41504412 chr6:41504412:G:A rs72664256 G A G EBF1_EBF_1 32 0 + 0 0 . chr6 41518422 41518423 chr6:41518423:G:A rs75703082 G A g EBF1_EBF_1 -7 0 - 0 0 . chr6 41528347 41528348 chr6:41528348:G:C rs184398256 G C G EBF1_EBF_1 32 0 + 0 0 . chr6 41550147 41550148 chr6:41550148:A:G rs114873710 A G A EBF1_EBF_1 -3 0 + 0 0 . chr6 41588209 41588210 chr6:41588210:G:A rs9462723 G A G EBF1_EBF_1 6 1 - 4.242514506024875 4.543800634886633 ACTCCCCGGGGCTG chr6 41590535 41590536 chr6:41590536:A:G rs72859000 A G G EBF1_EBF_1 24 0 - 0 0 . chr6 41602511 41602512 chr6:41602512:T:A rs9381083 T A A EBF1_EBF_1 -18 0 + 0 0 . chr6 41602512 41602513 chr6:41602513:A:G rs9369296 A G G EBF1_EBF_1 -17 0 + 0 0 . chr6 41602516 41602517 chr6:41602517:A:C chr6:41602517:A:C A C A EBF1_EBF_1 -13 0 + 0 0 . chr6 41614725 41614726 chr6:41614726:G:A rs2765943 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 41640663 41640664 chr6:41640664:G:A rs73735904 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 41640939 41640940 chr6:41640940:G:C rs57083605 G C G EBF1_EBF_1 -3 0 - 0 0 . chr6 41640941 41640942 chr6:41640942:A:G rs61704205 A G G EBF1_EBF_1 -5 0 - 0 0 . chr6 41641199 41641200 chr6:41641200:G:A rs2268411 G A G EBF1_EBF_1 16 0 - 0 0 . chr6 41641780 41641781 chr6:41641781:T:C rs78065015 T C C EBF1_EBF_1 -12 0 + 0 0 . chr6 41651737 41651738 chr6:41651738:A:G chr6:41651738:A:G A G A EBF1_EBF_1 6 1 + 6.386450836284196 4.2196564050097205 CCTCCCAGGGGGCC chr6 41694280 41694281 chr6:41694281:A:G rs56390021 A G A EBF1_EBF_1 22 0 - 0 0 . chr6 41705938 41705939 chr6:41705939:C:T rs781633008 C T C EBF1_EBF_1 3 1 + 6.562148980421793 0.15675023886847578 ACACCCCTGGGAGA chr6 41713240 41713241 chr6:41713241:C:T chr6:41713241:C:T C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 41713272 41713273 chr6:41713273:C:T rs114801271 C T C EBF1_EBF_1 24 0 + 0 0 . chr6 41713591 41713592 chr6:41713592:G:A rs11969692 G A g EBF1_EBF_1 19 0 + 0 0 . chr6 41713601 41713602 chr6:41713602:G:A rs9462740 G A G EBF1_EBF_1 29 0 + 0 0 . chr6 41718854 41718855 chr6:41718855:G:A rs59483853 G A g EBF1_EBF_1 17 0 + 0 0 . chr6 41723637 41723638 chr6:41723638:T:C rs144446789 T C T EBF1_EBF_1 7 1 + 10.54943483995456 8.731930093671938 AATCCCCTGGGAGC chr6 41728560 41728561 chr6:41728561:C:T rs60442837 C T C EBF1_EBF_1 25 0 + 0 0 . chr6 41734120 41734121 chr6:41734121:G:A chr6:41734121:G:A G A G EBF1_EBF_1 31 0 + 0 0 . chr6 41734687 41734688 chr6:41734688:C:T rs1052493270 C T C EBF1_EBF_1 -13 0 + 0 0 . chr6 41734802 41734803 chr6:41734803:C:G rs985865192 C G C EBF1_EBF_1 -14 0 + 0 0 . chr6 41734823 41734824 chr6:41734824:G:C rs528530815 G C G EBF1_EBF_1 7 1 + 4.513658511965574 3.5323379308842187 AGCCCCCGGGGCGT chr6 41734843 41734844 chr6:41734844:C:T rs62396735 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 41740754 41740755 chr6:41740755:C:A rs560970985 C A c EBF1_EBF_1 -5 0 + 0 0 . chr6 41740925 41740926 chr6:41740926:C:T rs1316979 C T C EBF1_EBF_1 -7 0 - 0 0 . chr6 41764460 41764461 chr6:41764461:C:T rs72865495 C T C EBF1_EBF_1 17 0 + 0 0 . chr6 41770508 41770509 chr6:41770509:G:T rs45493499 G T G EBF1_EBF_1 33 0 - 0 0 . chr6 41806601 41806602 chr6:41806602:G:T rs111948785 G T G EBF1_EBF_1 -12 0 - 0 0 . chr6 41921166 41921167 chr6:41921167:T:C rs867223751 T C T EBF1_EBF_1 1 1 + 7.773832581895084 6.878399141496337 CTTCCCCAAGGAAT chr6 41941699 41941700 chr6:41941700:G:A rs1051129 G A G EBF1_EBF_1 4 1 - 5.071755272620256 0.7023683276753321 CCTGCCTTGGGACT chr6 41970922 41970923 chr6:41970923:T:C rs185295461 T C T EBF1_EBF_1 -5 0 + 0 0 . chr6 41970925 41970926 chr6:41970926:T:C rs12204399 T C t EBF1_EBF_1 -2 0 + 0 0 . chr6 41973147 41973148 chr6:41973148:G:A rs66867810 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 41997772 41997773 chr6:41997773:C:T rs1428098298 C T c EBF1_EBF_1 21 0 - 0 0 . chr6 42019712 42019713 chr6:42019713:C:T rs4711698 C T T EBF1_EBF_1 -19 0 - 0 0 . chr6 42025268 42025269 chr6:42025269:G:A rs9369324 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 42030806 42030807 chr6:42030807:C:T rs200402382 C T C EBF1_EBF_1 28 0 - 0 0 . chr6 42041367 42041368 chr6:42041368:T:G rs78790875 T G T EBF1_EBF_1 1 1 + 4.968352696649824 4.262690910820297 GTTCCCCCAGGACC chr6 42088267 42088268 chr6:42088268:C:G rs79661299 C G G EBF1_EBF_1 15 0 + 0 0 . chr6 42094192 42094193 chr6:42094193:G:C rs78229895 G C G EBF1_EBF_1 6 1 - 5.756479372694307 3.95128730922111 CCTCCCCAGGAACT chr6 42103946 42103947 chr6:42103947:G:C rs80140526 G C C EBF1_EBF_1 6 1 + 7.431431682859152 9.236623746332349 CTCCCCGAGGGACC chr6 42105502 42105503 chr6:42105503:C:T rs28666916 C T T EBF1_EBF_1 -5 0 - 0 0 . chr6 42122903 42122904 chr6:42122904:G:A rs4532438 G A G EBF1_EBF_1 -8 0 + 0 0 . chr6 42137710 42137711 chr6:42137711:G:A rs9471782 G A G EBF1_EBF_1 -10 0 + 0 0 . chr6 42140076 42140077 chr6:42140077:G:T rs2492942 G T G EBF1_EBF_1 10 1 + 6.93870214232997 2.7559499561027057 GCACCCCAGGGAAC chr6 42156858 42156859 chr6:42156859:G:C rs9471787 G C C EBF1_EBF_1 26 0 - 0 0 . chr6 42276891 42276892 chr6:42276892:G:A rs4714586 G A A EBF1_EBF_1 -5 0 - 0 0 . chr6 42280357 42280358 chr6:42280358:G:T rs13192297 G T G EBF1_EBF_1 14 0 - 0 0 . chr6 42280365 42280366 chr6:42280366:G:A rs61074350 G A G EBF1_EBF_1 6 1 - 6.894148076211228 7.195434205072986 ATCCCCCAGGGCTT chr6 42280369 42280370 chr6:42280370:G:A rs147534260 G A G EBF1_EBF_1 2 1 - 6.894148076211228 8.782971487653379 ATCCCCCAGGGCTT chr6 42300024 42300025 chr6:42300025:G:A rs4714596 G A G EBF1_EBF_1 1 1 - 9.003056756106004 9.89849019650475 TCTCCCTAGAGACT chr6 42313231 42313232 chr6:42313232:G:T rs190837017 G T G EBF1_EBF_1 27 0 + 0 0 . chr6 42336344 42336345 chr6:42336345:G:A rs180896352 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 42355526 42355527 chr6:42355527:A:G rs192364984 A G A EBF1_EBF_1 -14 0 - 0 0 . chr6 42406850 42406851 chr6:42406851:T:C rs260287 T C T EBF1_EBF_1 12 1 - 5.762962719262384 4.344145377162906 ATCCCATAGGGAAT chr6 42453015 42453016 chr6:42453016:G:A rs141787169 G A G EBF1_EBF_1 4 1 - 6.186438508249999 1.8170515633050754 CCTCCCCAGAGAGC chr6 42547484 42547485 chr6:42547485:C:T rs6458292 C T T EBF1_EBF_1 28 0 - 0 0 . chr6 42547489 42547490 chr6:42547490:C:G rs116235092 C G C EBF1_EBF_1 23 0 - 0 0 . chr6 42697293 42697294 chr6:42697294:G:T rs45550933 G T G EBF1_EBF_1 33 0 - 0 0 . chr6 42710316 42710317 chr6:42710317:T:C rs2268683 T C C EBF1_EBF_1 -20 0 + 0 0 . chr6 42716130 42716131 chr6:42716131:A:C rs530659833 A C A EBF1_EBF_1 -7 0 - 0 0 . chr6 42717838 42717839 chr6:42717839:A:C rs115709622 A C A EBF1_EBF_1 15 0 + 0 0 . chr6 42769188 42769189 chr6:42769189:A:C rs1888865 A C C EBF1_EBF_1 -6 0 - 0 0 . chr6 42771066 42771067 chr6:42771067:T:C rs569370166 T C T EBF1_EBF_1 -15 0 - 0 0 . chr6 42846552 42846553 chr6:42846553:A:C rs3846894 A C C EBF1_EBF_1 -8 0 + 0 0 . chr6 42846586 42846587 chr6:42846587:C:G rs77138402 C G C EBF1_EBF_1 26 0 + 0 0 . chr6 42879556 42879557 chr6:42879557:G:A rs72864268 G A G EBF1_EBF_1 -16 0 + 0 0 . chr6 42879577 42879578 chr6:42879578:C:T rs113830687 C T C EBF1_EBF_1 5 1 + 6.087003430572736 0.7652162150320656 CCTCCCCAGAGACG chr6 42879578 42879579 chr6:42879579:C:G rs150458911 C G C EBF1_EBF_1 6 1 + 6.087003430572736 4.281811367099539 CCTCCCCAGAGACG chr6 42900033 42900034 chr6:42900034:C:T rs9471948 C T C EBF1_EBF_1 10 1 - 7.4305247155195335 2.1124962562525664 ACTCCCTGGAGAGC chr6 42901914 42901915 chr6:42901915:C:A rs62416298 C A C EBF1_EBF_1 -17 0 - 0 0 . chr6 42905090 42905091 chr6:42905091:G:A rs7765132 G A A EBF1_EBF_1 5 1 + 4.7134430958718045 4.7134430958718045 ATTCCGCAGGGAGA chr6 42911587 42911588 chr6:42911588:C:T rs6938994 C T T EBF1_EBF_1 14 0 + 0 0 . chr6 42911812 42911813 chr6:42911813:C:T rs34498470 C T C EBF1_EBF_1 12 1 - 3.4529064152604345 4.871723757359912 TCCCCCCCGGGAGG chr6 42916636 42916637 chr6:42916637:A:T rs6937172 A T T EBF1_EBF_1 -11 0 + 0 0 . chr6 42966881 42966882 chr6:42966882:C:T rs3818554 C T C EBF1_EBF_1 -15 0 - 0 0 . chr6 42969387 42969388 chr6:42969388:C:T rs3805952 C T C EBF1_EBF_1 0 1 + 6.361955219664966 6.644157280395544 CTCCCCAAGGGGAA chr6 42985176 42985177 chr6:42985177:C:T rs560928708 C T C EBF1_EBF_1 33 0 + 0 0 . chr6 43055632 43055633 chr6:43055633:T:C rs9471999 T C C EBF1_EBF_1 -6 0 - 0 0 . chr6 43058753 43058754 chr6:43058754:G:A rs3793021 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 43070324 43070325 chr6:43070325:G:A rs869069 G A G EBF1_EBF_1 28 0 + 0 0 . chr6 43076755 43076756 chr6:43076756:C:T rs151016919 C T C EBF1_EBF_1 6 1 + 4.928762477899084 5.230048606760842 AGCCTCCAGGGACG chr6 43086362 43086363 chr6:43086363:G:A rs9472004 G A G EBF1_EBF_1 -7 0 - 0 0 . chr6 43091034 43091035 chr6:43091035:C:G rs35732735 C G C EBF1_EBF_1 -14 0 + 0 0 . chr6 43091075 43091076 chr6:43091076:C:T rs188817454 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 43121254 43121255 chr6:43121255:G:C rs73736705 G C G EBF1_EBF_1 24 0 - 0 0 . chr6 43142012 43142013 chr6:43142013:G:A rs6905948 G A G EBF1_EBF_1 3 1 - 5.551856537109688 -0.85354220444363 GTCCCCCTGGGCCT chr6 43147931 43147932 chr6:43147932:C:A rs6458325 C A A EBF1_EBF_1 -20 0 + 0 0 . chr6 43147934 43147935 chr6:43147935:C:T rs6458326 C T C EBF1_EBF_1 -17 0 + 0 0 . chr6 43171404 43171405 chr6:43171405:G:A chr6:43171405:G:A G A g EBF1_EBF_1 28 0 + 0 0 . chr6 43174223 43174224 chr6:43174224:C:T rs146562071 C T C EBF1_EBF_1 20 0 - 0 0 . chr6 43174224 43174225 chr6:43174225:G:A rs140390001 G A G EBF1_EBF_1 19 0 - 0 0 . chr6 43174231 43174232 chr6:43174232:G:A rs150385837 G A G EBF1_EBF_1 12 1 - 4.511352082346316 3.485085511795466 TGTCCCCTAGGACG chr6 43179766 43179767 chr6:43179767:G:A rs9462872 G A G EBF1_EBF_1 -17 0 + 0 0 . chr6 43211916 43211917 chr6:43211917:T:C rs78903320 T C T EBF1_EBF_1 -14 0 - 0 0 . chr6 43216233 43216234 chr6:43216234:T:C rs61741999 T C T EBF1_EBF_1 21 0 + 0 0 . chr6 43225487 43225488 chr6:43225488:G:C rs1323559572 G C G EBF1_EBF_1 3 1 - 4.538239808822813 -1.289524639292404 CCTCCCCAGGAACA chr6 43243628 43243629 chr6:43243629:C:G rs1479019296 C G c EBF1_EBF_1 17 0 - 0 0 . chr6 43243637 43243638 chr6:43243638:C:G rs1050569342 C G c EBF1_EBF_1 8 1 - 4.972600710049478 -0.3460581508652093 CATCCCCCGGGGCC chr6 43270353 43270354 chr6:43270354:G:A rs1570222 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 43275319 43275320 chr6:43275320:C:A rs955773452 C A C EBF1_EBF_1 -14 0 + 0 0 . chr6 43427213 43427214 chr6:43427214:C:T rs148003503 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 43427251 43427252 chr6:43427252:C:A rs534820989 C A C EBF1_EBF_1 22 0 + 0 0 . chr6 43445342 43445343 chr6:43445343:G:T rs9381242 G T G EBF1_EBF_1 25 0 - 0 0 . chr6 43445355 43445356 chr6:43445356:G:A rs116738220 G A G EBF1_EBF_1 12 1 - 3.532178319418694 2.5059117488678444 ACTCCACAGGGGCT chr6 43477172 43477173 chr6:43477173:T:C rs73426714 T C C EBF1_EBF_1 11 1 - 5.414533930764599 2.521827087160588 CCTCTCCTGGGAAC chr6 43489113 43489114 chr6:43489114:C:T rs116469289 C T c EBF1_EBF_1 0 1 + 7.206360473729298 7.488562534459875 CGCCCCAGGGGACA chr6 43495658 43495659 chr6:43495659:A:C rs73426727 A C C EBF1_EBF_1 -9 0 + 0 0 . chr6 43510342 43510343 chr6:43510343:A:G rs184744678 A G A EBF1_EBF_1 33 0 - 0 0 . chr6 43510390 43510391 chr6:43510391:C:T chr6:43510391:C:T C T C EBF1_EBF_1 -15 0 - 0 0 . chr6 43645347 43645348 chr6:43645348:C:T rs374725678 C T C EBF1_EBF_1 1 1 + 4.501200393363273 5.396633833762019 GCTCCCCAGAGGGT chr6 43645442 43645443 chr6:43645443:G:A rs1617105 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 43665709 43665710 chr6:43665710:A:T rs142210090 A T A EBF1_EBF_1 18 0 - 0 0 . chr6 43666636 43666637 chr6:43666637:C:T rs115507210 C T T EBF1_EBF_1 18 0 - 0 0 . chr6 43667736 43667737 chr6:43667737:C:T rs77474579 C T C EBF1_EBF_1 -18 0 - 0 0 . chr6 43672326 43672327 chr6:43672327:A:G rs2277124 A G G EBF1_EBF_1 -11 0 + 0 0 . chr6 43672361 43672362 chr6:43672362:A:G rs2277125 A G G EBF1_EBF_1 24 0 + 0 0 . chr6 43674190 43674191 chr6:43674191:T:G chr6:43674191:T:G T G T EBF1_EBF_1 25 0 + 0 0 . chr6 43677369 43677370 chr6:43677370:C:A rs113149369 C A C EBF1_EBF_1 3 1 + 6.86610309702361 -0.040070932442195395 GATCCCATGGGCAT chr6 43743367 43743368 chr6:43743368:A:G rs141324392 A G A EBF1_EBF_1 26 0 + 0 0 . chr6 43744314 43744315 chr6:43744315:A:C rs61639198 A C A EBF1_EBF_1 -2 0 - 0 0 . chr6 43746876 43746877 chr6:43746877:T:C chr6:43746877:T:C T C T EBF1_EBF_1 21 0 - 0 0 . chr6 43764931 43764932 chr6:43764932:A:G rs699946 A G A EBF1_EBF_1 16 0 - 0 0 . chr6 43768941 43768942 chr6:43768942:G:T rs36208049 G T G EBF1_EBF_1 -7 0 - 0 0 . chr6 43773713 43773714 chr6:43773714:G:T rs3025042 G T G EBF1_EBF_1 -3 0 + 0 0 . chr6 43774841 43774842 chr6:43774842:T:C rs833069 T C C EBF1_EBF_1 33 0 - 0 0 . chr6 43774888 43774889 chr6:43774889:T:C rs833070 T C C EBF1_EBF_1 -14 0 - 0 0 . chr6 43775478 43775479 chr6:43775479:G:A rs141820742 G A C EBF1_EBF_1 -7 0 + 0 0 . chr6 43799176 43799177 chr6:43799177:A:G rs9462927 A G G EBF1_EBF_1 24 0 - 0 0 . chr6 43799191 43799192 chr6:43799192:C:T rs114142949 C T C EBF1_EBF_1 9 1 - 4.792268804683733 2.137329539236128 CATCCCAGGGGCCC chr6 43799213 43799214 chr6:43799214:C:T rs187131909 C T C EBF1_EBF_1 -13 0 - 0 0 . chr6 43799602 43799603 chr6:43799603:C:G rs11758083 C G C EBF1_EBF_1 19 0 - 0 0 . chr6 43803264 43803265 chr6:43803265:G:A rs12523963 G A G EBF1_EBF_1 -20 0 + 0 0 . chr6 43820117 43820118 chr6:43820118:G:A rs10948097 G A G EBF1_EBF_1 -18 0 + 0 0 . chr6 43833916 43833917 chr6:43833917:C:T rs62401198 C T C EBF1_EBF_1 10 1 - 5.937294853934918 0.6192663946679515 CCTCCCCAGGGGTA chr6 43837624 43837625 chr6:43837625:T:A rs744103 T A T EBF1_EBF_1 -7 0 + 0 0 . chr6 43838346 43838347 chr6:43838347:C:T rs74854195 C T C EBF1_EBF_1 7 1 - 4.577726102585964 5.89018450787479 TTTCCCCGGAGGAC chr6 43851384 43851385 chr6:43851385:G:A rs116119566 G A G EBF1_EBF_1 18 0 + 0 0 . chr6 43865103 43865104 chr6:43865104:G:A rs11968594 G A G EBF1_EBF_1 1 1 + 4.867717935708626 4.607019103787323 AGCCCCCTGGGCTC chr6 43866477 43866478 chr6:43866478:C:T rs9367179 C T T EBF1_EBF_1 17 0 + 0 0 . chr6 43867365 43867366 chr6:43867366:G:A rs9472146 G A G EBF1_EBF_1 4 1 - 4.950324412054196 0.580937467109274 AGTCCACTGGGAAA chr6 43867457 43867458 chr6:43867458:G:T rs9462936 G T T EBF1_EBF_1 -19 0 + 0 0 . chr6 43870301 43870302 chr6:43870302:C:T rs13209358 C T C EBF1_EBF_1 9 1 - 5.169314815350747 2.514375549903142 ACCCCCTGGGGCCC chr6 43870315 43870316 chr6:43870316:C:T rs77167180 C T C EBF1_EBF_1 -5 0 - 0 0 . chr6 43874581 43874582 chr6:43874582:C:T rs77393187 C T C EBF1_EBF_1 8 1 - 4.621107287130966 0.3948171982447046 CCTCCCTGGGGCTT chr6 43877547 43877548 chr6:43877548:C:G rs7771581 C G G EBF1_EBF_1 -17 0 - 0 0 . chr6 43879033 43879034 chr6:43879034:C:T rs1369585428 C T C EBF1_EBF_1 12 1 + 8.686520098931535 7.660253528380687 CTTCCCAGGGGACG chr6 43879244 43879245 chr6:43879245:A:T rs183231115 A T A EBF1_EBF_1 -18 0 - 0 0 . chr6 43889195 43889196 chr6:43889196:C:T rs9357425 C T C EBF1_EBF_1 12 1 + 4.895893376225605 3.8696268056747556 GTTCCCTCAGGACA chr6 43938078 43938079 chr6:43938079:G:C rs9296422 G C G EBF1_EBF_1 16 0 + 0 0 . chr6 43951424 43951425 chr6:43951425:G:A rs6916314 G A G EBF1_EBF_1 31 0 - 0 0 . chr6 43954788 43954789 chr6:43954789:G:C rs113321466 G C G EBF1_EBF_1 21 0 - 0 0 . chr6 43955094 43955095 chr6:43955095:G:A rs79391940 G A G EBF1_EBF_1 11 1 + 7.1939203759954395 10.08662721959945 CATCCCTAGGGGCA chr6 43972464 43972465 chr6:43972465:A:G rs9472183 A G G EBF1_EBF_1 -15 0 + 0 0 . chr6 43981858 43981859 chr6:43981859:G:A rs72867220 G A G EBF1_EBF_1 9 1 + 4.239481201242849 1.5845419357952444 GGTCCAAAGGGACC chr6 43981879 43981880 chr6:43981880:T:C rs150932899 T C T EBF1_EBF_1 30 0 + 0 0 . chr6 43983647 43983648 chr6:43983648:C:G rs9349265 C G C EBF1_EBF_1 -7 0 - 0 0 . chr6 43985258 43985259 chr6:43985259:T:A rs910607 T A T EBF1_EBF_1 24 0 + 0 0 . chr6 43990942 43990943 chr6:43990943:G:A rs1295059913 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 43994411 43994412 chr6:43994412:C:G rs12528687 C G c EBF1_EBF_1 16 0 - 0 0 . chr6 44003234 44003235 chr6:44003235:C:G rs931989573 C G C EBF1_EBF_1 -3 0 + 0 0 . chr6 44004991 44004992 chr6:44004992:C:G rs2273307 C G C EBF1_EBF_1 22 0 + 0 0 . chr6 44004995 44004996 chr6:44004996:C:T rs2273308 C T C EBF1_EBF_1 26 0 + 0 0 . chr6 44007231 44007232 chr6:44007232:T:C rs73424360 T C T EBF1_EBF_1 29 0 + 0 0 . chr6 44010834 44010835 chr6:44010835:C:G rs565794367 C G C EBF1_EBF_1 3 1 + 7.782807917701624 1.955043469586408 AGTCCCCTGGGCCC chr6 44010848 44010849 chr6:44010849:A:G rs73736914 A G A EBF1_EBF_1 17 0 + 0 0 . chr6 44025090 44025091 chr6:44025091:C:T rs79354581 C T C EBF1_EBF_1 -20 0 - 0 0 . chr6 44031331 44031332 chr6:44031332:T:C rs1008847 T C C EBF1_EBF_1 29 0 + 0 0 . chr6 44038353 44038354 chr6:44038354:G:T rs73736926 G T G EBF1_EBF_1 33 0 + 0 0 . chr6 44040587 44040588 chr6:44040588:C:A rs9381282 C A C EBF1_EBF_1 -1 0 - 0 0 . chr6 44043141 44043142 chr6:44043142:C:G rs4711762 C G G EBF1_EBF_1 -13 0 - 0 0 . chr6 44052370 44052371 chr6:44052371:C:T rs72867257 C T C EBF1_EBF_1 17 0 + 0 0 . chr6 44054159 44054160 chr6:44054160:C:T rs55849430 C T C EBF1_EBF_1 29 0 - 0 0 . chr6 44054166 44054167 chr6:44054167:C:T rs56181984 C T C EBF1_EBF_1 22 0 - 0 0 . chr6 44059003 44059004 chr6:44059004:C:A rs376145668 C A C EBF1_EBF_1 25 0 - 0 0 . chr6 44059036 44059037 chr6:44059037:G:A rs373840958 G A G EBF1_EBF_1 -8 0 - 0 0 . chr6 44072902 44072903 chr6:44072903:G:C rs9381287 G C G EBF1_EBF_1 30 0 - 0 0 . chr6 44075754 44075755 chr6:44075755:C:A rs9349270 C A C EBF1_EBF_1 4 1 + 4.226514736942972 -1.5980448865104955 CCCCCCTAGGGCCC chr6 44079491 44079492 chr6:44079492:C:T rs9369447 C T C EBF1_EBF_1 6 1 + 12.017307910369047 12.318594039230804 ACTCCCCGGGGACT chr6 44089350 44089351 chr6:44089351:C:T rs9472209 C T C EBF1_EBF_1 25 0 - 0 0 . chr6 44133592 44133593 chr6:44133593:C:G rs538988558 C G C EBF1_EBF_1 23 0 + 0 0 . chr6 44135281 44135282 chr6:44135282:G:C rs59028814 G C G EBF1_EBF_1 9 1 + 11.546481547261067 6.470792400915604 TGTCCCCAGGGACT chr6 44140828 44140829 chr6:44140829:G:A rs6458370 G A A EBF1_EBF_1 -15 0 + 0 0 . chr6 44159069 44159070 chr6:44159070:C:T rs4562138 C T C EBF1_EBF_1 26 0 + 0 0 . chr6 44171419 44171420 chr6:44171420:C:T rs9472223 C T C EBF1_EBF_1 24 0 - 0 0 . chr6 44172316 44172317 chr6:44172317:T:C rs111320370 T C T EBF1_EBF_1 -10 0 - 0 0 . chr6 44201499 44201500 chr6:44201500:A:G rs9369465 A G G EBF1_EBF_1 -7 0 + 0 0 . chr6 44203175 44203176 chr6:44203176:A:G rs541608299 A G A EBF1_EBF_1 20 0 + 0 0 . chr6 44219335 44219336 chr6:44219336:C:T rs1489026011 C T C EBF1_EBF_1 19 0 - 0 0 . chr6 44229268 44229269 chr6:44229269:A:G rs41282660 A G A EBF1_EBF_1 -10 0 + 0 0 . chr6 44234614 44234615 chr6:44234615:C:T rs6458375 C T C EBF1_EBF_1 24 0 - 0 0 . chr6 44238656 44238657 chr6:44238657:A:T rs6905285 A T A EBF1_EBF_1 -16 0 + 0 0 . chr6 44257083 44257084 chr6:44257084:G:C rs191832517 G C G EBF1_EBF_1 9 1 + 4.536777447127504 -0.538911699217961 CCTCCCCGGGGGCG chr6 44257253 44257254 chr6:44257254:C:T rs520639 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 44263741 44263742 chr6:44263742:G:C rs3799963 G C C EBF1_EBF_1 13 1 - 8.631468646515817 7.143397625160403 AGTCCCCAGAGAGC chr6 44266801 44266802 chr6:44266802:G:C rs28362856 G C C EBF1_EBF_1 4 1 + 6.25790089510201 11.883665996251551 ATCCGCAAGGGAAC chr6 44268631 44268632 chr6:44268632:A:G rs529948 A G G EBF1_EBF_1 -7 0 - 0 0 . chr6 44273587 44273588 chr6:44273588:G:C rs78255700 G C G EBF1_EBF_1 6 1 - 5.746685401630618 3.941493338157419 TCCCCCCAGGGAGG chr6 44276972 44276973 chr6:44276973:G:T rs148520848 G T G EBF1_EBF_1 11 1 + 9.116291117380783 7.911083704886071 ACTCCCCTGGGGCC chr6 44279028 44279029 chr6:44279029:C:T rs12943 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 44309475 44309476 chr6:44309476:A:G chr6:44309476:A:G A G A EBF1_EBF_1 17 0 - 0 0 . chr6 44316770 44316771 chr6:44316771:C:T rs76153210 C T C EBF1_EBF_1 15 0 - 0 0 . chr6 44342826 44342827 chr6:44342827:C:T rs575364800 C T C EBF1_EBF_1 -13 0 - 0 0 . chr6 44367992 44367993 chr6:44367993:G:A rs2065361 G A A EBF1_EBF_1 5 1 - 4.248586887845242 -1.0732003276954274 TGTCCCTGGGGCTC chr6 44382075 44382076 chr6:44382076:C:T rs12527726 C T T EBF1_EBF_1 29 0 - 0 0 . chr6 44382288 44382289 chr6:44382289:A:G rs9395011 A G A EBF1_EBF_1 14 0 + 0 0 . chr6 44388020 44388021 chr6:44388021:T:C rs112172664 T C C EBF1_EBF_1 -14 0 - 0 0 . chr6 44473043 44473044 chr6:44473044:C:T rs9349288 C T T EBF1_EBF_1 -19 0 + 0 0 . chr6 44473090 44473091 chr6:44473091:A:T chr6:44473091:A:T A T A EBF1_EBF_1 28 0 + 0 0 . chr6 44510163 44510164 chr6:44510164:G:C rs2025230 G C G EBF1_EBF_1 9 1 + 4.877427809222082 -0.1982613371233818 TGTCACCAGGGACC chr6 44528322 44528323 chr6:44528323:C:A rs75011156 C A C EBF1_EBF_1 10 1 - 6.108750773607236 1.9259985873799705 GACCCCCTGGGGCT chr6 44530645 44530646 chr6:44530646:G:A rs80104930 G A G EBF1_EBF_1 5 1 - 4.062450599167583 -1.2593366163730881 CTCCCCGTGGGGCC chr6 44534639 44534640 chr6:44534640:G:C rs76747111 G C G EBF1_EBF_1 12 1 - 10.230013717375753 8.841377773697603 CCTCCCCAGGGACC chr6 44541061 44541062 chr6:44541062:C:T rs56067449 C T C EBF1_EBF_1 -14 0 - 0 0 . chr6 44569100 44569101 chr6:44569101:G:C rs1407562 G C C EBF1_EBF_1 1 1 + 6.055260729752376 5.865489075183158 CGTCCCCAGGTATT chr6 44630546 44630547 chr6:44630547:C:T chr6:44630547:C:T C T C EBF1_EBF_1 22 0 + 0 0 . chr6 44651758 44651759 chr6:44651759:G:A rs545249006 G A g EBF1_EBF_1 -9 0 + 0 0 . chr6 44656149 44656150 chr6:44656150:G:A rs6942191 G A g EBF1_EBF_1 -13 0 - 0 0 . chr6 44663300 44663301 chr6:44663301:C:T rs73734731 C T c EBF1_EBF_1 -9 0 + 0 0 . chr6 44668084 44668085 chr6:44668085:C:T rs187219227 C T c EBF1_EBF_1 17 0 - 0 0 . chr6 44668382 44668383 chr6:44668383:G:C rs554413523 G C g EBF1_EBF_1 -3 0 - 0 0 . chr6 44712145 44712146 chr6:44712146:C:T rs1022731 C T C EBF1_EBF_1 -7 0 - 0 0 . chr6 44712817 44712818 chr6:44712818:G:C rs227830 G C C EBF1_EBF_1 3 1 - 6.349919097995712 0.5221546498804959 ACTCCCTGGGGTTC chr6 44720437 44720438 chr6:44720438:T:G rs79355103 T G T EBF1_EBF_1 20 0 + 0 0 . chr6 44720438 44720439 chr6:44720439:G:C rs79441074 G C G EBF1_EBF_1 21 0 + 0 0 . chr6 44738672 44738673 chr6:44738673:C:T rs113100625 C T C EBF1_EBF_1 -20 0 + 0 0 . chr6 44738961 44738962 chr6:44738962:G:C rs9472333 G C G EBF1_EBF_1 -11 0 - 0 0 . chr6 44784386 44784387 chr6:44784387:C:T rs9463039 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 44855946 44855947 chr6:44855947:G:A rs560765 G A G EBF1_EBF_1 13 1 - 4.74433572089943 5.588829835484948 TCTCCTCTGGGAAC chr6 44932515 44932516 chr6:44932516:A:G rs3799986 A G A EBF1_EBF_1 15 0 + 0 0 . chr6 45226905 45226906 chr6:45226906:G:C rs12209175 G C G EBF1_EBF_1 28 0 + 0 0 . chr6 45271286 45271287 chr6:45271287:G:A rs115470246 G A G EBF1_EBF_1 -16 0 - 0 0 . chr6 45310124 45310125 chr6:45310125:C:T rs4714850 C T C EBF1_EBF_1 10 1 - 5.9090829513846606 0.5910544921176951 GCTCCCAAGCGAAC chr6 45421466 45421467 chr6:45421467:C:G rs138802833 C G C EBF1_EBF_1 8 1 - 12.503310518008982 7.184651657094297 ACTCCCAAGGGAAA chr6 45421487 45421488 chr6:45421488:G:C rs142699450 G C G EBF1_EBF_1 -13 0 - 0 0 . chr6 45424589 45424590 chr6:45424590:C:A rs78814361 C A C EBF1_EBF_1 -12 0 + 0 0 . chr6 45497173 45497174 chr6:45497174:T:G rs16873437 T G T EBF1_EBF_1 31 0 + 0 0 . chr6 45609199 45609200 chr6:45609200:G:A rs73738513 G A G EBF1_EBF_1 -5 0 - 0 0 . chr6 45640089 45640090 chr6:45640090:T:G rs7744486 T G G EBF1_EBF_1 -19 0 + 0 0 . chr6 45644121 45644122 chr6:45644122:C:T rs77043293 C T C EBF1_EBF_1 29 0 - 0 0 . chr6 45647461 45647462 chr6:45647462:A:C rs143486410 A C A EBF1_EBF_1 25 0 - 0 0 . chr6 45758913 45758914 chr6:45758914:G:A rs114452221 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 45780746 45780747 chr6:45780747:A:C rs9395118 A C A EBF1_EBF_1 -17 0 + 0 0 . chr6 45801680 45801681 chr6:45801681:G:C rs9296469 G C C EBF1_EBF_1 -6 0 - 0 0 . chr6 45801690 45801691 chr6:45801691:G:A rs116527650 G A G EBF1_EBF_1 -16 0 - 0 0 . chr6 45803047 45803048 chr6:45803048:T:G rs9472573 T G T EBF1_EBF_1 19 0 - 0 0 . chr6 45813083 45813084 chr6:45813084:C:A rs570488844 C A C EBF1_EBF_1 12 1 + 8.226523078241012 8.256704476662339 ATTCCCTGGAGACG chr6 45839248 45839249 chr6:45839249:A:G rs9369581 A G G EBF1_EBF_1 -20 0 - 0 0 . chr6 45854329 45854330 chr6:45854330:A:G rs56355209 A G A EBF1_EBF_1 -17 0 - 0 0 . chr6 45880288 45880289 chr6:45880289:G:A rs148271951 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 45880346 45880347 chr6:45880347:T:C rs1025414408 T C T EBF1_EBF_1 -12 0 + 0 0 . chr6 45927357 45927358 chr6:45927358:T:C rs2105168 T C C EBF1_EBF_1 24 0 + 0 0 . chr6 45927942 45927943 chr6:45927943:T:G rs4714890 T G g EBF1_EBF_1 23 0 + 0 0 . chr6 45934514 45934515 chr6:45934515:G:A rs3777572 G A A EBF1_EBF_1 4 1 - 4.203468533542742 -0.1659184114021809 CCTCCCAGGCGACC chr6 45946602 45946603 chr6:45946603:G:C rs917366202 G C G EBF1_EBF_1 28 0 + 0 0 . chr6 45946654 45946655 chr6:45946655:G:A chr6:45946655:G:A G A g EBF1_EBF_1 12 1 - 6.894252126014555 5.867985555463705 CTTCCCCAGCGACT chr6 45951231 45951232 chr6:45951232:C:T rs3777585 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 45969872 45969873 chr6:45969873:G:C rs2057148 G C C EBF1_EBF_1 20 0 - 0 0 . chr6 45974728 45974729 chr6:45974729:A:C rs34495744 A C A EBF1_EBF_1 12 1 + 8.58507072331692 8.554889324895592 AAACCCTTGGGAAC chr6 46013891 46013892 chr6:46013892:C:T rs185837785 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 46022356 46022357 chr6:46022357:C:T rs147480790 C T C EBF1_EBF_1 18 0 - 0 0 . chr6 46053796 46053797 chr6:46053797:G:C rs189292440 G C G EBF1_EBF_1 20 0 - 0 0 . chr6 46080503 46080504 chr6:46080504:G:T rs6909590 G T G EBF1_EBF_1 5 1 - 9.16092488220706 2.256043729571895 AATCCCCAGGGTCT chr6 46170877 46170878 chr6:46170878:G:T rs3822892 G T C EBF1_EBF_1 8 1 + 4.688181702033391 -2.203251086943805 ACTCCCTCGGGCGC chr6 46184010 46184011 chr6:46184011:T:A chr6:46184011:T:A T A G EBF1_EBF_1 -14 0 + 0 0 . chr6 46184010 46184011 chr6:46184011:T:G rs6458495 T G G EBF1_EBF_1 -14 0 + 0 0 . chr6 46329915 46329916 chr6:46329916:A:G rs2799365 A G A EBF1_EBF_1 -15 0 + 0 0 . chr6 46342673 46342674 chr6:46342674:C:T rs9381441 C T C EBF1_EBF_1 -9 0 + 0 0 . chr6 46347835 46347836 chr6:46347836:C:T rs9296492 C T C EBF1_EBF_1 33 0 + 0 0 . chr6 46529534 46529535 chr6:46529535:G:T rs79267861 G T G EBF1_EBF_1 -5 0 - 0 0 . chr6 46575724 46575725 chr6:46575725:C:T rs6911034 C T C EBF1_EBF_1 22 0 - 0 0 . chr6 46587161 46587162 chr6:46587162:G:A rs141809814 G A G EBF1_EBF_1 13 1 - 4.997161848740661 5.841655963326179 CTTCCCACAGGAAC chr6 46650186 46650187 chr6:46650187:C:T rs534226484 C T C EBF1_EBF_1 23 0 - 0 0 . chr6 46796225 46796226 chr6:46796226:C:T rs11753888 C T C EBF1_EBF_1 -4 0 + 0 0 . chr6 46815112 46815113 chr6:46815113:T:C rs1854432 T C T EBF1_EBF_1 -13 0 - 0 0 . chr6 46946931 46946932 chr6:46946932:G:A rs1446960673 G A G EBF1_EBF_1 -15 0 - 0 0 . chr6 46964045 46964046 chr6:46964046:T:C rs9472935 T C C EBF1_EBF_1 -20 0 + 0 0 . chr6 46964266 46964267 chr6:46964267:T:C rs9472936 T C C EBF1_EBF_1 27 0 - 0 0 . chr6 46965013 46965014 chr6:46965014:G:C rs73478558 G C G EBF1_EBF_1 -12 0 - 0 0 . chr6 46989639 46989640 chr6:46989640:G:A rs115696031 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 47047588 47047589 chr6:47047589:G:T rs1226509 G T T EBF1_EBF_1 -4 0 - 0 0 . chr6 47062242 47062243 chr6:47062243:C:A rs116473941 C A C EBF1_EBF_1 11 1 + 7.383471286875292 11.79943298472768 TTTCCCAAGGGCAC chr6 47084679 47084680 chr6:47084680:G:A rs819517 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 47109499 47109500 chr6:47109500:G:A rs62401835 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 47126815 47126816 chr6:47126816:C:T rs1738819 C T C EBF1_EBF_1 7 1 + 4.360008466019619 6.177513212302242 CTTCCCCCGGGTCA chr6 47126825 47126826 chr6:47126826:A:G rs12209940 A G A EBF1_EBF_1 17 0 + 0 0 . chr6 47126832 47126833 chr6:47126833:G:A chr6:47126833:G:A G A G EBF1_EBF_1 24 0 + 0 0 . chr6 47177635 47177636 chr6:47177636:C:T rs2144019 C T C EBF1_EBF_1 32 0 + 0 0 . chr6 47180857 47180858 chr6:47180858:C:T rs528088724 C T C EBF1_EBF_1 17 0 - 0 0 . chr6 47180886 47180887 chr6:47180887:T:C rs149178141 T C T EBF1_EBF_1 -12 0 - 0 0 . chr6 47209870 47209871 chr6:47209871:C:A rs116600718 C A C EBF1_EBF_1 32 0 + 0 0 . chr6 47210277 47210278 chr6:47210278:T:C rs147585564 T C T EBF1_EBF_1 -16 0 - 0 0 . chr6 47234604 47234605 chr6:47234605:G:A rs150848278 G A G EBF1_EBF_1 -4 0 + 0 0 . chr6 47247299 47247300 chr6:47247300:C:T rs190714427 C T C EBF1_EBF_1 24 0 + 0 0 . chr6 47252968 47252969 chr6:47252969:C:T rs9473039 C T T EBF1_EBF_1 -13 0 + 0 0 . chr6 47309249 47309250 chr6:47309250:G:A rs531244746 G A G EBF1_EBF_1 -18 0 + 0 0 . chr6 47342293 47342294 chr6:47342294:A:G rs6907341 A G A EBF1_EBF_1 22 0 - 0 0 . chr6 47380455 47380456 chr6:47380456:G:A rs9349401 G A A EBF1_EBF_1 21 0 + 0 0 . chr6 47478240 47478241 chr6:47478241:C:T rs1056410566 C T C EBF1_EBF_1 17 0 + 0 0 . chr6 47535803 47535804 chr6:47535804:C:T rs9349412 C T C EBF1_EBF_1 8 1 - 4.377079830548128 0.15078974166186665 CCTCCCGTGGGCCT chr6 47622739 47622740 chr6:47622740:C:T rs7754971 C T G EBF1_EBF_1 15 0 - 0 0 . chr6 47729654 47729655 chr6:47729655:C:T rs6926305 C T T EBF1_EBF_1 27 0 + 0 0 . chr6 47851404 47851405 chr6:47851405:G:A rs517025 G A G EBF1_EBF_1 12 1 - 7.010771588783867 5.984505018233017 CTTCCCTAGGGCCC chr6 48038317 48038318 chr6:48038318:G:A rs2814482 G A G EBF1_EBF_1 -8 0 - 0 0 . chr6 48078273 48078274 chr6:48078274:G:A rs113525006 G A G EBF1_EBF_1 -10 0 - 0 0 . chr6 48078277 48078278 chr6:48078278:A:T rs2150585 A T A EBF1_EBF_1 -14 0 - 0 0 . chr6 48194627 48194628 chr6:48194628:T:A rs1411805 T A T EBF1_EBF_1 -13 0 + 0 0 . chr6 48233587 48233588 chr6:48233588:G:A rs13220201 G A G EBF1_EBF_1 19 0 - 0 0 . chr6 48475812 48475813 chr6:48475813:C:G rs2396867 C G C EBF1_EBF_1 10 1 - 5.70559454359746 -1.1852078437320144 AGCCCCAAGGGCTC chr6 48703161 48703162 chr6:48703162:T:C rs2495890 T C T EBF1_EBF_1 6 1 - 8.417637010426938 6.250842579152463 TTTCCCAAAGGAAT chr6 48797446 48797447 chr6:48797447:C:T rs9381699 C T C EBF1_EBF_1 -2 0 - 0 0 . chr6 48826919 48826920 chr6:48826920:G:T chr6:48826920:G:T G T G EBF1_EBF_1 -2 0 - 0 0 . chr6 48842739 48842740 chr6:48842740:A:T rs1938178 A T A EBF1_EBF_1 -8 0 - 0 0 . chr6 48979552 48979553 chr6:48979553:G:A rs12198713 G A G EBF1_EBF_1 19 0 - 0 0 . chr6 48986758 48986759 chr6:48986759:A:G rs7773546 A G G EBF1_EBF_1 14 0 + 0 0 . chr6 49026065 49026066 chr6:49026066:G:T rs4580868 G T T EBF1_EBF_1 14 0 - 0 0 . chr6 49463855 49463856 chr6:49463856:A:G rs3806988 A G G EBF1_EBF_1 29 0 + 0 0 . chr6 49629692 49629693 chr6:49629693:A:G rs4495269 A G G EBF1_EBF_1 7 1 - 5.577528561323758 3.7600238150411345 CTTCCCGTGGGGCA chr6 49662815 49662816 chr6:49662816:A:G rs13198350 A G G EBF1_EBF_1 33 0 + 0 0 . chr6 49797931 49797932 chr6:49797932:A:G rs187206848 A G A EBF1_EBF_1 -8 0 - 0 0 . chr6 49805421 49805422 chr6:49805422:G:A rs76812778 G A G EBF1_EBF_1 19 0 - 0 0 . chr6 49849766 49849767 chr6:49849767:T:G rs116718098 T G T EBF1_EBF_1 22 0 - 0 0 . chr6 49849795 49849796 chr6:49849796:T:G rs79464243 T G T EBF1_EBF_1 -7 0 - 0 0 . chr6 49866731 49866732 chr6:49866732:T:A rs7757933 T A A EBF1_EBF_1 -1 0 - 0 0 . chr6 50213696 50213697 chr6:50213697:C:G rs17168 C G C EBF1_EBF_1 18 0 - 0 0 . chr6 50452164 50452165 chr6:50452165:A:C rs116215144 A C A EBF1_EBF_1 11 1 + 6.200368251613075 1.7844065537606861 TTTCCCTGAGGAAC chr6 50569776 50569777 chr6:50569777:G:T rs280340 G T G EBF1_EBF_1 27 0 - 0 0 . chr6 50712116 50712117 chr6:50712117:C:A rs77938042 C A C EBF1_EBF_1 5 1 + 4.582941860231051 -2.3219392924041156 TTTCCCAGGGGCAG chr6 50751610 50751611 chr6:50751611:A:G rs540762311 A G A EBF1_EBF_1 -20 0 + 0 0 . chr6 50825836 50825837 chr6:50825837:G:A rs77273840 G A G EBF1_EBF_1 12 1 - 4.929826099249112 3.9035595286982625 GGTCCCGTGGGCCT chr6 51078226 51078227 chr6:51078227:A:T rs1185478 A T T EBF1_EBF_1 22 0 - 0 0 . chr6 51234102 51234103 chr6:51234103:G:A rs4132395 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 51411927 51411928 chr6:51411928:C:G rs2894787 C G C EBF1_EBF_1 -7 0 + 0 0 . chr6 51473290 51473291 chr6:51473291:C:A rs186700569 C A C EBF1_EBF_1 -6 0 - 0 0 . chr6 51619162 51619163 chr6:51619163:T:C rs9381994 T C T EBF1_EBF_1 6 1 - 7.656422697924664 5.489628266650188 TTTCCCAAGAGAAG chr6 51679471 51679472 chr6:51679472:G:A rs78755933 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 51684851 51684852 chr6:51684852:T:C rs72892145 T C T EBF1_EBF_1 15 0 + 0 0 . chr6 51792808 51792809 chr6:51792809:C:A rs1326563 C A C EBF1_EBF_1 20 0 - 0 0 . chr6 51806069 51806070 chr6:51806070:T:C rs6920518 T C c EBF1_EBF_1 -12 0 - 0 0 . chr6 51892981 51892982 chr6:51892982:C:T rs7769794 C T C EBF1_EBF_1 4 1 + 9.949759595570988 5.580372650626066 TTTCCCCAGGGAAG chr6 51993471 51993472 chr6:51993472:C:T rs77855336 C T C EBF1_EBF_1 16 0 + 0 0 . chr6 52054832 52054833 chr6:52054833:G:A rs736186 G A G EBF1_EBF_1 -20 0 - 0 0 . chr6 52140206 52140207 chr6:52140207:G:T rs11966138 G T G EBF1_EBF_1 4 1 - 4.300739799500473 -1.523819823952993 CTTCCCAGGGGCAG chr6 52154753 52154754 chr6:52154754:C:A rs12153884 C A C EBF1_EBF_1 21 0 - 0 0 . chr6 52157148 52157149 chr6:52157149:C:T rs75980782 C T C EBF1_EBF_1 19 0 - 0 0 . chr6 52157153 52157154 chr6:52157154:C:G rs76617719 C G c EBF1_EBF_1 14 0 - 0 0 . chr6 52200353 52200354 chr6:52200354:A:G rs13192113 A G G EBF1_EBF_1 29 0 + 0 0 . chr6 52253890 52253891 chr6:52253891:C:T rs499401 C T T EBF1_EBF_1 22 0 + 0 0 . chr6 52409728 52409729 chr6:52409729:A:C rs551967550 A C A EBF1_EBF_1 15 0 - 0 0 . chr6 52458671 52458672 chr6:52458672:T:C rs524944 T C C EBF1_EBF_1 -19 0 + 0 0 . chr6 52502745 52502746 chr6:52502746:C:T rs559176280 C T C EBF1_EBF_1 17 0 - 0 0 . chr6 52508185 52508186 chr6:52508186:G:C rs79109258 G C G EBF1_EBF_1 -11 0 - 0 0 . chr6 52512363 52512364 chr6:52512364:C:T rs117419239 C T C EBF1_EBF_1 10 1 - 5.315646775961071 -0.002381683305895832 AGTCCCCGTGGACT chr6 52536026 52536027 chr6:52536027:T:C rs1883929 T C T EBF1_EBF_1 17 0 - 0 0 . chr6 52558174 52558175 chr6:52558175:G:A rs2268713 G A G EBF1_EBF_1 7 1 + 3.793374485437355 5.105832890726179 CCTCCCCGGGGTTC chr6 52558180 52558181 chr6:52558181:C:A rs148374432 C A C EBF1_EBF_1 13 1 + 3.793374485437355 3.4196290361513793 CCTCCCCGGGGTTC chr6 52558192 52558193 chr6:52558193:G:A rs554211923 G A G EBF1_EBF_1 25 0 + 0 0 . chr6 52571686 52571687 chr6:52571687:G:C rs118089852 G C G EBF1_EBF_1 -13 0 - 0 0 . chr6 52576396 52576397 chr6:52576397:A:G chr6:52576397:A:G A G A EBF1_EBF_1 13 1 + 4.8288227244657325 3.7144971523962935 CACCCCTTGGGGCA chr6 52576560 52576561 chr6:52576561:G:A rs559452311 G A G EBF1_EBF_1 11 1 - 4.143396509408508 4.461443951162173 GCCCCCTTGGGCAC chr6 52577483 52577484 chr6:52577484:G:C rs34793449 G C G EBF1_EBF_1 27 0 - 0 0 . chr6 52590245 52590246 chr6:52590246:G:C rs73742620 G C G EBF1_EBF_1 -1 0 - 0 0 . chr6 52590585 52590586 chr6:52590586:G:C chr6:52590586:G:C G C G EBF1_EBF_1 -4 0 - 0 0 . chr6 52591977 52591978 chr6:52591978:C:T rs67706850 C T C EBF1_EBF_1 5 1 + 9.401164889249971 4.0793776737093035 ATTCCCAGGAGACA chr6 52603586 52603587 chr6:52603587:A:T rs149856103 A T A EBF1_EBF_1 -18 0 - 0 0 . chr6 52742124 52742125 chr6:52742125:G:C rs74543656 G C g EBF1_EBF_1 10 1 + 9.576866296981311 2.6860639096518364 AATCCCAAGAGAGT chr6 52747592 52747593 chr6:52747593:A:G rs2608619 A G a EBF1_EBF_1 16 0 - 0 0 . chr6 52856569 52856570 chr6:52856570:C:T rs1382622743 C T c EBF1_EBF_1 -13 0 - 0 0 . chr6 52918282 52918283 chr6:52918283:C:G rs479635 C G c EBF1_EBF_1 -4 0 + 0 0 . chr6 52929906 52929907 chr6:52929907:T:G rs520870 T G T EBF1_EBF_1 6 1 - 4.548530899038111 4.186928531236834 ATCCCCAGGTGACT chr6 52983401 52983402 chr6:52983402:G:A rs316130 G A G EBF1_EBF_1 1 1 - 5.144846556341653 6.040279996740399 ACACCCATGGGCAT chr6 53044288 53044289 chr6:53044289:A:G rs192554604 A G A EBF1_EBF_1 27 0 - 0 0 . chr6 53066117 53066118 chr6:53066118:G:C chr6:53066118:G:C G C G EBF1_EBF_1 4 1 - 6.707710682013301 1.0819455808637564 AATCCCTTGAGAGG chr6 53188853 53188854 chr6:53188854:G:C rs79985185 G C G EBF1_EBF_1 25 0 - 0 0 . chr6 53188884 53188885 chr6:53188885:G:A rs4512224 G A G EBF1_EBF_1 -6 0 - 0 0 . chr6 53287798 53287799 chr6:53287799:A:G rs116414502 A G A EBF1_EBF_1 32 0 - 0 0 . chr6 53287843 53287844 chr6:53287844:G:A rs6458913 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 53338295 53338296 chr6:53338296:T:A rs9349664 T A A EBF1_EBF_1 -12 0 + 0 0 . chr6 53504686 53504687 chr6:53504687:G:C rs3799693 G C G EBF1_EBF_1 16 0 - 0 0 . chr6 53517571 53517572 chr6:53517572:G:C rs600033 G C C EBF1_EBF_1 26 0 - 0 0 . chr6 53548494 53548495 chr6:53548495:G:A rs956946794 G A G EBF1_EBF_1 15 0 - 0 0 . chr6 53548501 53548502 chr6:53548502:C:T rs675908 C T T EBF1_EBF_1 8 1 - 7.81298931612295 3.58669922723669 AGTCCCCTGGGCAC chr6 53646118 53646119 chr6:53646119:G:A rs13195357 G A G EBF1_EBF_1 -1 0 - 0 0 . chr6 53741196 53741197 chr6:53741197:C:T chr6:53741197:C:T C T C EBF1_EBF_1 1 1 - 4.369383677843555 4.1086848459222525 AGTCCAAAGGGAGA chr6 53741202 53741203 chr6:53741203:A:T rs9463971 A T A EBF1_EBF_1 -5 0 - 0 0 . chr6 53795793 53795794 chr6:53795794:C:G rs368297792 C G C EBF1_EBF_1 -6 0 + 0 0 . chr6 53795812 53795813 chr6:53795813:G:T rs62397070 G T G EBF1_EBF_1 13 1 + 5.586828518329389 7.919393654270322 ACTCCCCGGGGTCG chr6 53796371 53796372 chr6:53796372:A:G rs550524007 A G A EBF1_EBF_1 24 0 + 0 0 . chr6 53861329 53861330 chr6:53861330:C:T rs9370237 C T C EBF1_EBF_1 1 1 - 8.163247379416655 7.9025485474953525 CGTCCCCTGAGAAT chr6 53916861 53916862 chr6:53916862:G:A rs9382256 G A G EBF1_EBF_1 2 1 - 4.31083340391189 6.19965681535404 AGCCCCAAGTGACC chr6 53920157 53920158 chr6:53920158:T:C rs2473599 T C C EBF1_EBF_1 11 1 - 6.486891116928682 3.5941842733246707 ATTCCCCCAGGACA chr6 53929630 53929631 chr6:53929631:G:T rs60862993 G T G EBF1_EBF_1 -7 0 + 0 0 . chr6 53929678 53929679 chr6:53929679:A:G rs534693826 A G A EBF1_EBF_1 28 0 - 0 0 . chr6 53986959 53986960 chr6:53986960:T:A rs78370627 T A T EBF1_EBF_1 -11 0 + 0 0 . chr6 53987000 53987001 chr6:53987001:C:T rs111873535 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 54009722 54009723 chr6:54009723:C:A rs6929778 C A A EBF1_EBF_1 9 1 - 6.7905778817112346 -0.04641152637757423 ATCCCCTTGGTAAA chr6 54073024 54073025 chr6:54073025:T:C rs10484650 T C T EBF1_EBF_1 21 0 - 0 0 . chr6 54124727 54124728 chr6:54124728:G:A rs4712056 G A G EBF1_EBF_1 -16 0 - 0 0 . chr6 54336027 54336028 chr6:54336028:G:A rs77960864 G A A EBF1_EBF_1 3 1 - 5.625646242542203 -0.779752499011114 CTTCCCCAGGAATT chr6 54362821 54362822 chr6:54362822:A:G rs2063238 A G A EBF1_EBF_1 18 0 - 0 0 . chr6 54575100 54575101 chr6:54575101:C:T rs79929807 C T C EBF1_EBF_1 14 0 - 0 0 . chr6 54614920 54614921 chr6:54614921:C:A rs9474930 C A C EBF1_EBF_1 22 0 + 0 0 . chr6 54719795 54719796 chr6:54719796:T:A rs7759470 T A A EBF1_EBF_1 -12 0 + 0 0 . chr6 54719825 54719826 chr6:54719826:G:T rs7739425 G T T EBF1_EBF_1 18 0 + 0 0 . chr6 54758546 54758547 chr6:54758547:T:G rs4712070 T G T EBF1_EBF_1 27 0 + 0 0 . chr6 54846969 54846970 chr6:54846970:C:G rs989870121 C G C EBF1_EBF_1 12 1 - 9.192209306344672 10.580845250022822 GCTCCCAAGGGAGA chr6 54846987 54846988 chr6:54846988:C:A rs72954829 C A C EBF1_EBF_1 -6 0 - 0 0 . chr6 54847099 54847100 chr6:54847100:C:T rs62412649 C T C EBF1_EBF_1 -13 0 + 0 0 . chr6 54900299 54900300 chr6:54900300:C:T rs6930544 C T C EBF1_EBF_1 30 0 - 0 0 . chr6 55109185 55109186 chr6:55109186:G:A rs62416417 G A G EBF1_EBF_1 3 1 - 7.297558341807526 0.892159600254209 CTTCCCCTAGGAAT chr6 55127010 55127011 chr6:55127011:G:A rs6936015 G A G EBF1_EBF_1 4 1 - 5.251603396295803 0.8822164513508783 ACTCCCATAGGGCC chr6 55158330 55158331 chr6:55158331:G:T rs35835518 G T G EBF1_EBF_1 3 1 - 5.978004193442291 -0.9281698360235151 GCACCCGAGGGAAT chr6 55177818 55177819 chr6:55177819:C:T rs55885196 C T C EBF1_EBF_1 33 0 - 0 0 . chr6 55304884 55304885 chr6:55304885:T:C rs2811238 T C C EBF1_EBF_1 27 0 + 0 0 . chr6 55372713 55372714 chr6:55372714:T:C rs56362312 T C C EBF1_EBF_1 14 0 - 0 0 . chr6 55609226 55609227 chr6:55609227:C:A rs78676462 C A C EBF1_EBF_1 12 1 - 7.847987802654199 8.2103571757815 CTCCCCCAGGGAGC chr6 55609796 55609797 chr6:55609797:T:C rs6918628 T C C EBF1_EBF_1 23 0 - 0 0 . chr6 55674211 55674212 chr6:55674212:A:C rs7772313 A C A EBF1_EBF_1 30 0 + 0 0 . chr6 55758730 55758731 chr6:55758731:T:C rs73453343 T C T EBF1_EBF_1 31 0 + 0 0 . chr6 55780779 55780780 chr6:55780780:G:C rs228151 G C c EBF1_EBF_1 28 0 + 0 0 . chr6 55808433 55808434 chr6:55808434:C:T rs7763797 C T c EBF1_EBF_1 -8 0 + 0 0 . chr6 55916332 55916333 chr6:55916333:C:T rs9464305 C T C EBF1_EBF_1 32 0 + 0 0 . chr6 56027042 56027043 chr6:56027043:G:A rs2223661 G A A EBF1_EBF_1 8 1 + 4.9880347581792295 0.7617446692929681 AGTCCCCTGTGACA chr6 56033190 56033191 chr6:56033191:G:A rs2143519 G A A EBF1_EBF_1 29 0 + 0 0 . chr6 56041207 56041208 chr6:56041208:A:T rs7749409 A T A EBF1_EBF_1 33 0 - 0 0 . chr6 56073462 56073463 chr6:56073463:T:C rs9370480 T C C EBF1_EBF_1 -11 0 + 0 0 . chr6 56101045 56101046 chr6:56101046:T:C rs9464342 T C C EBF1_EBF_1 14 0 + 0 0 . chr6 56159012 56159013 chr6:56159013:G:A rs994667 G A A EBF1_EBF_1 -16 0 - 0 0 . chr6 56224154 56224155 chr6:56224155:G:A rs78627019 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 56262822 56262823 chr6:56262823:A:G rs1925163 A G a EBF1_EBF_1 -17 0 + 0 0 . chr6 56268038 56268039 chr6:56268039:T:C rs6940268 T C C EBF1_EBF_1 0 1 - 7.789321586266047 5.897037716701211 AGACCCTTGGGATC chr6 56268058 56268059 chr6:56268059:C:G rs9382599 C G C EBF1_EBF_1 -20 0 - 0 0 . chr6 56282565 56282566 chr6:56282566:G:A rs116272759 G A G EBF1_EBF_1 4 1 - 6.766661109126883 2.3972741641819604 TTTCCCATGGTAAA chr6 56291701 56291702 chr6:56291702:T:C rs4712122 T C C EBF1_EBF_1 22 0 + 0 0 . chr6 56314914 56314915 chr6:56314915:C:T rs1444488503 C T C EBF1_EBF_1 9 1 - 7.457918932254582 4.802979666806979 AATCCCAAGGAACC chr6 56321168 56321169 chr6:56321169:G:A rs9475670 G A G EBF1_EBF_1 19 0 + 0 0 . chr6 56347335 56347336 chr6:56347336:G:A rs1883600 G A G EBF1_EBF_1 -10 0 + 0 0 . chr6 56347634 56347635 chr6:56347635:G:A rs1543350 G A A EBF1_EBF_1 8 1 + 6.921937044155333 2.6956469552690727 CCTCCCCAGGTAAT chr6 56386653 56386654 chr6:56386654:A:G rs79033255 A G A EBF1_EBF_1 29 0 - 0 0 . chr6 56404121 56404122 chr6:56404122:T:C rs75852582 T C T EBF1_EBF_1 24 0 - 0 0 . chr6 56408247 56408248 chr6:56408248:C:T rs604857 C T T EBF1_EBF_1 23 0 + 0 0 . chr6 56450814 56450815 chr6:56450815:G:A rs16887921 G A G EBF1_EBF_1 1 1 - 4.482010138478009 5.377443578876754 TCTCCACAGGGAAT chr6 56511292 56511293 chr6:56511293:C:T rs41271864 C T C EBF1_EBF_1 -17 0 + 0 0 . chr6 56525167 56525168 chr6:56525168:G:A rs111964768 G A G EBF1_EBF_1 15 0 + 0 0 . chr6 56575847 56575848 chr6:56575848:T:C rs9349831 T C T EBF1_EBF_1 31 0 - 0 0 . chr6 56606603 56606604 chr6:56606604:G:A rs9382658 G A A EBF1_EBF_1 -3 0 + 0 0 . chr6 56752203 56752204 chr6:56752204:A:C rs113797163 A C A EBF1_EBF_1 33 0 - 0 0 . chr6 56794661 56794662 chr6:56794662:G:A rs71564854 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 56809394 56809395 chr6:56809395:A:G rs9475732 A G A EBF1_EBF_1 -4 0 - 0 0 . chr6 57150251 57150252 chr6:57150252:A:G rs72867059 A G A EBF1_EBF_1 -4 0 - 0 0 . chr6 57221959 57221960 chr6:57221960:C:A rs563784403 C A C EBF1_EBF_1 -2 0 + 0 0 . chr6 57248743 57248744 chr6:57248744:T:C rs78565066 T C T EBF1_EBF_1 7 1 + 4.986950005907607 3.169445259624984 CTTCCCCTCGGAAA chr6 57300049 57300050 chr6:57300050:G:A rs58651731 G A A EBF1_EBF_1 29 0 + 0 0 . chr6 57304685 57304686 chr6:57304686:C:T rs6459188 C T T EBF1_EBF_1 21 0 - 0 0 . chr6 57322622 57322623 chr6:57322623:G:A rs4715653 G A G EBF1_EBF_1 20 0 - 0 0 . chr6 57433559 57433560 chr6:57433560:T:G rs75154366 T G T EBF1_EBF_1 12 1 - 5.718634875149903 5.6884534767285775 ATACTCAAGGGAAC chr6 57792886 57792887 chr6:57792887:C:A rs1425054352 C A . EBF1_EBF_1 -13 0 + 0 0 . chr6 57792911 57792912 chr6:57792912:G:A rs897183073 G A . EBF1_EBF_1 12 1 + 5.951252350625603 7.370069692725081 TTCCCCGAGGGAGA chr6 57946344 57946345 chr6:57946345:T:C rs13777 T C C EBF1_EBF_1 2 1 + 6.181233512869581 4.29241010142743 ACTGCCCTGGGACC chr6 58164105 58164106 chr6:58164106:T:A rs7770844 T A A EBF1_EBF_1 7 1 - 3.949231036110613 3.4729567960230545 AGCCCCCAGTGACC chr6 58208080 58208081 chr6:58208081:G:C rs17092095 G C . EBF1_EBF_1 16 0 + 0 0 . chr6 60248004 60248005 chr6:60248005:T:A rs1446668988 T A . EBF1_EBF_1 -9 0 - 0 0 . chr6 60557549 60557550 chr6:60557550:T:A rs1830743 T A T EBF1_EBF_1 -2 0 + 0 0 . chr6 60605390 60605391 chr6:60605391:T:A rs9357996 T A T EBF1_EBF_1 -11 0 + 0 0 . chr6 60814513 60814514 chr6:60814514:C:T rs7773770 C T C EBF1_EBF_1 -6 0 - 0 0 . chr6 60952488 60952489 chr6:60952489:T:C rs4537127 T C T EBF1_EBF_1 6 1 + 4.322678978591445 4.021392849729687 AATCCATAGGGACG chr6 61043593 61043594 chr6:61043594:G:A rs74907731 G A G EBF1_EBF_1 -20 0 - 0 0 . chr6 61569892 61569893 chr6:61569893:G:A chr6:61569893:G:A G A G EBF1_EBF_1 -4 0 + 0 0 . chr6 61869058 61869059 chr6:61869059:A:G rs62414690 A G A EBF1_EBF_1 -15 0 - 0 0 . chr6 61885707 61885708 chr6:61885708:G:A rs10455584 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 62089210 62089211 chr6:62089211:C:T chr6:62089211:C:T C T C EBF1_EBF_1 14 0 - 0 0 . chr6 62338241 62338242 chr6:62338242:T:C rs62414836 T C C EBF1_EBF_1 2 1 + 5.431967465985134 3.5431440545429846 ACTCCCCCGGGCAA chr6 62339352 62339353 chr6:62339353:G:A rs73477255 G A G EBF1_EBF_1 12 1 - 5.106052492170625 4.079785921619775 ACTCCCATGGCACA chr6 62492037 62492038 chr6:62492038:T:C rs62416857 T C T EBF1_EBF_1 12 1 - 8.144798624716767 6.725981282617289 GCACCCAAGGGAAT chr6 62670755 62670756 chr6:62670756:T:G rs9343048 T G T EBF1_EBF_1 7 1 + 4.618967278058619 3.7827831128573512 CTTCCACTGGGAAT chr6 62802414 62802415 chr6:62802415:C:A rs12190759 C A C EBF1_EBF_1 -8 0 - 0 0 . chr6 62947634 62947635 chr6:62947635:G:T rs12194799 G T G EBF1_EBF_1 -1 0 - 0 0 . chr6 62994194 62994195 chr6:62994195:A:G rs9447750 A G A EBF1_EBF_1 18 0 + 0 0 . chr6 63196057 63196058 chr6:63196058:A:G rs1947650 A G G EBF1_EBF_1 23 0 - 0 0 . chr6 63197870 63197871 chr6:63197871:T:C rs16893875 T C T EBF1_EBF_1 25 0 + 0 0 . chr6 63440161 63440162 chr6:63440162:A:T rs2622286 A T T EBF1_EBF_1 11 1 - 7.309734962406353 11.407649218505076 TTTCCCCAGGGTCC chr6 63527733 63527734 chr6:63527734:G:T rs536887062 G T G EBF1_EBF_1 17 0 - 0 0 . chr6 63621050 63621051 chr6:63621051:C:T rs66741590 C T C EBF1_EBF_1 7 1 + 8.932422086210583 10.749926832493205 AGTCCCACGAGACT chr6 63806100 63806101 chr6:63806101:T:C rs188089894 T C T EBF1_EBF_1 32 0 - 0 0 . chr6 63806134 63806135 chr6:63806135:T:G rs191508648 T G T EBF1_EBF_1 -2 0 - 0 0 . chr6 63813802 63813803 chr6:63813803:G:A rs56360535 G A A EBF1_EBF_1 -6 0 + 0 0 . chr6 63871951 63871952 chr6:63871952:A:G rs114956014 A G A EBF1_EBF_1 21 0 + 0 0 . chr6 63887192 63887193 chr6:63887193:G:A rs1482451 G A G EBF1_EBF_1 9 1 + 6.664516824274179 4.009577558826575 TTTCTCCAGGGACA chr6 64114922 64114923 chr6:64114923:C:T rs577887163 C T C EBF1_EBF_1 20 0 + 0 0 . chr6 64266779 64266780 chr6:64266780:A:G rs9362656 A G A EBF1_EBF_1 -9 0 - 0 0 . chr6 64290070 64290071 chr6:64290071:G:A rs1922958 G A A EBF1_EBF_1 -14 0 - 0 0 . chr6 64517661 64517662 chr6:64517662:A:G rs9342308 A G N EBF1_EBF_1 28 0 + 0 0 . chr6 64803205 64803206 chr6:64803206:G:C rs189732391 G C G EBF1_EBF_1 20 0 + 0 0 . chr6 64803206 64803207 chr6:64803207:G:T rs79312624 G T G EBF1_EBF_1 21 0 + 0 0 . chr6 64803641 64803642 chr6:64803642:G:A rs12523929 G A G EBF1_EBF_1 20 0 - 0 0 . chr6 64830132 64830133 chr6:64830133:C:T rs62415509 C T c EBF1_EBF_1 10 1 - 7.741966077413593 2.4239376181466277 GTTCCCTTGGGCCT chr6 64830907 64830908 chr6:64830908:C:G rs9363265 C G C EBF1_EBF_1 22 0 + 0 0 . chr6 64955648 64955649 chr6:64955649:G:A rs9360100 G A G EBF1_EBF_1 20 0 - 0 0 . chr6 64999602 64999603 chr6:64999603:G:A rs62416486 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 65113193 65113194 chr6:65113194:C:T rs146886731 C T C EBF1_EBF_1 -13 0 - 0 0 . chr6 65399092 65399093 chr6:65399093:A:G rs773318282 A G A EBF1_EBF_1 -19 0 + 0 0 . chr6 65570171 65570172 chr6:65570172:A:G rs66812553 A G A EBF1_EBF_1 -12 0 - 0 0 . chr6 65627840 65627841 chr6:65627841:C:T rs34000571 C T C EBF1_EBF_1 -14 0 + 0 0 . chr6 65627842 65627843 chr6:65627843:T:C rs9453350 T C T EBF1_EBF_1 -12 0 + 0 0 . chr6 65627884 65627885 chr6:65627885:C:T rs12211385 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 65802557 65802558 chr6:65802558:T:C rs2802044 T C T EBF1_EBF_1 -12 0 - 0 0 . chr6 65999428 65999429 chr6:65999429:G:A rs77764120 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 66094666 66094667 chr6:66094667:A:G rs62401100 A G - EBF1_EBF_1 24 0 - 0 0 . chr6 66276585 66276586 chr6:66276586:A:G rs9345803 A G A EBF1_EBF_1 -2 0 - 0 0 . chr6 66277594 66277595 chr6:66277595:C:G rs12216109 C G C EBF1_EBF_1 24 0 + 0 0 . chr6 66489178 66489179 chr6:66489179:A:G rs138843645 A G A EBF1_EBF_1 -20 0 - 0 0 . chr6 66655547 66655548 chr6:66655548:G:T rs2100492 G T G EBF1_EBF_1 12 1 - 4.037994834873459 4.068176233294785 CTCCCCACGGGGCA chr6 66702857 66702858 chr6:66702858:T:C rs6928259 T C C EBF1_EBF_1 -19 0 + 0 0 . chr6 66702858 66702859 chr6:66702859:G:C rs74707227 G C G EBF1_EBF_1 -18 0 + 0 0 . chr6 67066709 67066710 chr6:67066710:G:A chr6:67066710:G:A G A G EBF1_EBF_1 10 1 + 10.474273873470962 5.156245414203995 GTCCCCCAGGGAAT chr6 67066721 67066722 chr6:67066722:A:G rs1031256974 A G A EBF1_EBF_1 22 0 + 0 0 . chr6 67143944 67143945 chr6:67143945:C:A rs9345966 C A C EBF1_EBF_1 9 1 - 9.10626835049985 2.26927894241104 AATCCCCAGGTAAT chr6 67266243 67266244 chr6:67266244:G:C rs1841109 G C C EBF1_EBF_1 -14 0 - 0 0 . chr6 67300261 67300262 chr6:67300262:C:T rs142647761 C T C EBF1_EBF_1 9 1 - 4.236463799102099 1.5815245336544952 ATCTCCCTGGGACA chr6 67408409 67408410 chr6:67408410:T:C rs7745421 T C C EBF1_EBF_1 1 1 + 5.847010688488894 4.9515772480901505 ATTCCCATGGTGAA chr6 67408415 67408416 chr6:67408416:T:C rs78864750 T C T EBF1_EBF_1 7 1 + 5.847010688488894 4.02950594220627 ATTCCCATGGTGAA chr6 67558328 67558329 chr6:67558329:C:T rs2818095 C T C EBF1_EBF_1 17 0 - 0 0 . chr6 67607902 67607903 chr6:67607903:G:T rs6911763 G T G EBF1_EBF_1 15 0 - 0 0 . chr6 67628412 67628413 chr6:67628413:T:C rs753858502 T C T EBF1_EBF_1 -10 0 + 0 0 . chr6 67781971 67781972 chr6:67781972:T:C rs77586456 T C T EBF1_EBF_1 18 0 + 0 0 . chr6 67783663 67783664 chr6:67783664:G:C rs10455641 G C G EBF1_EBF_1 5 1 - 6.743231256320945 -0.16164989631422227 ATTCCCTGAGGATA chr6 67795445 67795446 chr6:67795446:A:T rs12200076 A T A EBF1_EBF_1 28 0 - 0 0 . chr6 67825657 67825658 chr6:67825658:G:A rs112496282 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 68011835 68011836 chr6:68011836:A:G rs192159145 A G A EBF1_EBF_1 13 1 + 6.506995707001072 5.392670134931633 AGTCCCCTGGAACA chr6 68197103 68197104 chr6:68197104:C:A chr6:68197104:C:A C A c EBF1_EBF_1 20 0 + 0 0 . chr6 68238424 68238425 chr6:68238425:G:A rs73458736 G A G EBF1_EBF_1 -17 0 + 0 0 . chr6 68337389 68337390 chr6:68337390:A:G rs77055884 A G A EBF1_EBF_1 -20 0 + 0 0 . chr6 68436860 68436861 chr6:68436861:C:A rs1388044 C A C EBF1_EBF_1 32 0 + 0 0 . chr6 68455925 68455926 chr6:68455926:G:C rs13220125 G C G EBF1_EBF_1 20 0 - 0 0 . chr6 68533716 68533717 chr6:68533717:T:C rs6455287 T C c EBF1_EBF_1 13 1 + 4.147123394108035 3.3026292795225163 CCTCCCCGAGGAGT chr6 68573456 68573457 chr6:68573457:C:A rs7747988 C A C EBF1_EBF_1 -5 0 + 0 0 . chr6 68635255 68635256 chr6:68635256:G:C rs778377880 G C g EBF1_EBF_1 19 0 - 0 0 . chr6 68635712 68635713 chr6:68635713:C:T rs1214979620 C T C EBF1_EBF_1 -19 0 - 0 0 . chr6 68676688 68676689 chr6:68676689:G:C rs2746136 G C G EBF1_EBF_1 20 0 + 0 0 . chr6 68679943 68679944 chr6:68679944:G:A rs12194992 G A G EBF1_EBF_1 9 1 + 5.999398907672773 3.3444596422251696 AATCCCAACGGAAG chr6 68778225 68778226 chr6:68778226:G:C rs555001183 G C G EBF1_EBF_1 -2 0 - 0 0 . chr6 68778242 68778243 chr6:68778243:T:A rs117747000 T A T EBF1_EBF_1 -19 0 - 0 0 . chr6 68840530 68840531 chr6:68840531:G:A rs73458284 G A G EBF1_EBF_1 -8 0 + 0 0 . chr6 69012881 69012882 chr6:69012882:A:C rs10945150 A C A EBF1_EBF_1 32 0 - 0 0 . chr6 69059177 69059178 chr6:69059178:C:A rs635863 C A A EBF1_EBF_1 6 1 + 8.449749964934995 8.811352332736273 CCTCCCCAGAGAAT chr6 69060328 69060329 chr6:69060329:A:G rs509043 A G T EBF1_EBF_1 -17 0 - 0 0 . chr6 69099062 69099063 chr6:69099063:G:T rs9454693 G T G EBF1_EBF_1 -8 0 + 0 0 . chr6 69181068 69181069 chr6:69181069:G:A rs1606293 G A G EBF1_EBF_1 28 0 + 0 0 . chr6 69288227 69288228 chr6:69288228:T:C rs147893811 T C T EBF1_EBF_1 0 1 - 3.916878624917781 2.0245947553529478 ACACCCCGGGGCCT chr6 69445465 69445466 chr6:69445466:A:G rs1967451 A G G EBF1_EBF_1 21 0 + 0 0 . chr6 69506939 69506940 chr6:69506940:G:A rs1743760 G A A EBF1_EBF_1 -17 0 + 0 0 . chr6 69560654 69560655 chr6:69560655:A:G rs2757781 A G G EBF1_EBF_1 28 0 + 0 0 . chr6 69796323 69796324 chr6:69796324:A:T rs759595868 A T A EBF1_EBF_1 -18 0 + 0 0 . chr6 69796327 69796328 chr6:69796328:G:A rs116041265 G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 69796355 69796356 chr6:69796356:G:A rs760674856 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 69796518 69796519 chr6:69796519:C:G rs536700844 C G C EBF1_EBF_1 23 0 + 0 0 . chr6 69796523 69796524 chr6:69796524:C:T rs9446162 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 69798427 69798428 chr6:69798428:C:T rs3947930 C T C EBF1_EBF_1 12 1 + 6.775177058879575 5.748910488328725 TATCCCTGGAGACC chr6 69856376 69856377 chr6:69856377:A:G rs2502570 A G G EBF1_EBF_1 -6 0 - 0 0 . chr6 69868456 69868457 chr6:69868457:A:C rs75370197 A C A EBF1_EBF_1 23 0 - 0 0 . chr6 70068404 70068405 chr6:70068405:G:A rs7349861 G A G EBF1_EBF_1 32 0 - 0 0 . chr6 70148036 70148037 chr6:70148037:A:G rs9454993 A G G EBF1_EBF_1 0 1 + 6.8122431656342535 4.91995929606942 AGTCCCCACGGAGT chr6 70252129 70252130 chr6:70252130:T:C rs1135056 T C T EBF1_EBF_1 6 1 + 5.677133911673629 5.3758477828118725 AGCCCCTGGGGTCC chr6 70325952 70325953 chr6:70325953:G:C rs2063929 G C C EBF1_EBF_1 3 1 - 9.723660261942356 3.895895813827141 AGTCCCATGAGATT chr6 70413458 70413459 chr6:70413459:T:C rs75317294 T C T EBF1_EBF_1 29 0 - 0 0 . chr6 70563749 70563750 chr6:70563750:C:T rs9455159 C T C EBF1_EBF_1 2 1 + 7.847987802654199 9.736811214096349 CTCCCCCAGGGAGC chr6 70593483 70593484 chr6:70593484:A:T rs12191321 A T A EBF1_EBF_1 18 0 + 0 0 . chr6 70604824 70604825 chr6:70604825:A:G rs6940081 A G G EBF1_EBF_1 -12 0 - 0 0 . chr6 70651198 70651199 chr6:70651199:C:T rs149654577 C T C EBF1_EBF_1 -18 0 + 0 0 . chr6 70701424 70701425 chr6:70701425:C:T rs139305522 C T C EBF1_EBF_1 -5 0 + 0 0 . chr6 70957308 70957309 chr6:70957309:A:G rs4707872 A G A EBF1_EBF_1 -3 0 - 0 0 . chr6 70957312 70957313 chr6:70957313:C:T rs529304348 C T C EBF1_EBF_1 -7 0 - 0 0 . chr6 71010423 71010424 chr6:71010424:C:G rs10945278 C G C EBF1_EBF_1 33 0 - 0 0 . chr6 71044101 71044102 chr6:71044102:T:C rs67782783 T C C EBF1_EBF_1 28 0 - 0 0 . chr6 71086793 71086794 chr6:71086794:C:A rs72924575 C A C EBF1_EBF_1 10 1 - 7.590536846671217 3.407784660443953 AACCCCTAGGGGAA chr6 71106782 71106783 chr6:71106783:A:G rs6455405 A G G EBF1_EBF_1 15 0 + 0 0 . chr6 71122888 71122889 chr6:71122889:T:C rs2105358 T C T EBF1_EBF_1 -4 0 + 0 0 . chr6 71126665 71126666 chr6:71126666:C:T rs73746998 C T C EBF1_EBF_1 11 1 - 5.938261736928851 8.830968580532863 AGCCCCTTGAGGAT chr6 71195015 71195016 chr6:71195016:C:G rs76909692 C G C EBF1_EBF_1 4 1 + 5.9355103740215736 0.3097452728720303 ACTCCCTAAAGAAC chr6 71196416 71196417 chr6:71196417:C:T rs146508886 C T C EBF1_EBF_1 3 1 + 4.012611757579556 -2.3927869839737608 ATTCCCAAGTGGCC chr6 71278139 71278140 chr6:71278140:T:C rs9364149 T C T EBF1_EBF_1 6 1 - 6.092187895181567 3.9253934639070924 ACTCCCAGGGGCTC chr6 71288677 71288678 chr6:71288678:T:C rs889697283 T C T EBF1_EBF_1 -3 0 - 0 0 . chr6 71324683 71324684 chr6:71324684:T:C rs80099500 T C T EBF1_EBF_1 7 1 - 6.396181248556359 5.083722843267534 TCTCCCTAGAGAGA chr6 71385947 71385948 chr6:71385948:A:C rs12208417 A C A EBF1_EBF_1 2 1 - 8.741797401714678 1.99347171151421 GATCCCCAGAGAAT chr6 71509245 71509246 chr6:71509246:T:C rs199618 T C C EBF1_EBF_1 -15 0 + 0 0 . chr6 71551936 71551937 chr6:71551937:C:T rs181920118 C T C EBF1_EBF_1 -12 0 - 0 0 . chr6 71720798 71720799 chr6:71720799:G:A rs829463 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 71832441 71832442 chr6:71832442:C:T rs118103986 C T C EBF1_EBF_1 19 0 - 0 0 . chr6 71938636 71938637 chr6:71938637:C:A rs4707956 C A C EBF1_EBF_1 20 0 - 0 0 . chr6 71996784 71996785 chr6:71996785:G:A rs2057022 G A G EBF1_EBF_1 24 0 - 0 0 . chr6 72040274 72040275 chr6:72040275:A:C rs481951 A C C EBF1_EBF_1 28 0 + 0 0 . chr6 72405521 72405522 chr6:72405522:T:C rs9446641 T C C EBF1_EBF_1 7 1 + 5.506929364731586 3.689424618448961 CTCCCCCTGGGTCT chr6 72405527 72405528 chr6:72405528:T:C rs9442779 T C t EBF1_EBF_1 13 1 + 5.506929364731586 4.662435250146068 CTCCCCCTGGGTCT chr6 72420544 72420545 chr6:72420545:T:C rs115305347 T C T EBF1_EBF_1 -2 0 - 0 0 . chr6 72464965 72464966 chr6:72464966:A:G rs11758316 A G A EBF1_EBF_1 -12 0 - 0 0 . chr6 72524444 72524445 chr6:72524445:G:A rs1328854 G A G EBF1_EBF_1 30 0 - 0 0 . chr6 72554269 72554270 chr6:72554270:G:A rs76289137 G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 72620127 72620128 chr6:72620128:C:T rs1357757073 C T C EBF1_EBF_1 25 0 - 0 0 . chr6 72622624 72622625 chr6:72622625:G:A rs369487318 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 72622808 72622809 chr6:72622809:A:G rs56254477 A G A EBF1_EBF_1 18 0 + 0 0 . chr6 72636961 72636962 chr6:72636962:A:G rs6931363 A G A EBF1_EBF_1 13 1 + 8.114685580622838 7.0003600085533995 GACCCCTTGGGAAA chr6 72760651 72760652 chr6:72760652:C:T rs1364496716 C T C EBF1_EBF_1 13 1 + 7.978186708339179 8.822680822924697 ATTCCCATGGAAAC chr6 72788330 72788331 chr6:72788331:G:T rs4707992 G T T EBF1_EBF_1 26 0 + 0 0 . chr6 72986956 72986957 chr6:72986957:G:A rs75465154 G A g EBF1_EBF_1 13 1 - 4.139587786677214 4.984081901262732 GCTCCCTGGGGCTC chr6 73085616 73085617 chr6:73085617:C:A rs149519549 C A C EBF1_EBF_1 1 1 + 5.449484061677722 5.378556884325637 ACCCCCAGAGGAAC chr6 73142113 73142114 chr6:73142114:C:A rs7760644 C A G EBF1_EBF_1 4 1 + 5.1015051486511975 -0.7230544748022698 TTCCCCCAGAGAGA chr6 73263532 73263533 chr6:73263533:C:T rs371013252 C T c EBF1_EBF_1 4 1 + 6.376224299535548 2.006837354590623 AAACCCTAGAGACC chr6 73263548 73263549 chr6:73263549:T:A rs502107 T A a EBF1_EBF_1 20 0 + 0 0 . chr6 73269016 73269017 chr6:73269017:C:T rs12529658 C T c EBF1_EBF_1 8 1 - 5.92681824631561 1.7005281574293476 CTTCCCACGGGGCA chr6 73269038 73269039 chr6:73269039:G:A rs13213885 G A g EBF1_EBF_1 -14 0 - 0 0 . chr6 73310376 73310377 chr6:73310377:C:T rs75168318 C T . EBF1_EBF_1 1 1 + 5.554972911313701 6.450406351712446 ACTCCCCAGGGCTG chr6 73310378 73310379 chr6:73310379:C:A rs555725407 C A . EBF1_EBF_1 3 1 + 5.554972911313701 -1.3512011181521055 ACTCCCCAGGGCTG chr6 73393021 73393022 chr6:73393022:A:G rs526416 A G C EBF1_EBF_1 0 1 + 7.5113430940266195 5.619059224461786 ATTCCCAAGGTGCT chr6 73520992 73520993 chr6:73520993:C:T rs376904638 C T C EBF1_EBF_1 -10 0 - 0 0 . chr6 73521651 73521652 chr6:73521652:C:T rs184461155 C T C EBF1_EBF_1 33 0 - 0 0 . chr6 73521667 73521668 chr6:73521668:C:T rs1016629894 C T C EBF1_EBF_1 17 0 - 0 0 . chr6 73579683 73579684 chr6:73579684:G:T rs552823118 G T G EBF1_EBF_1 27 0 - 0 0 . chr6 73688549 73688550 chr6:73688550:G:T rs146590781 G T G EBF1_EBF_1 31 0 + 0 0 . chr6 73768796 73768797 chr6:73768797:A:C rs903161445 A C A EBF1_EBF_1 11 1 + 5.347924123517275 0.9319624256648855 AATCCCTTTGGACC chr6 73795689 73795690 chr6:73795690:T:C rs7772161 T C C EBF1_EBF_1 13 1 - 7.355345190708371 6.241019618638932 CCTCCCAAGGGGAA chr6 73795700 73795701 chr6:73795701:A:G rs7751758 A G A EBF1_EBF_1 2 1 - 7.355345190708371 5.4665217792662215 CCTCCCAAGGGGAA chr6 73874952 73874953 chr6:73874953:C:G rs3005499 C G G EBF1_EBF_1 30 0 - 0 0 . chr6 73933338 73933339 chr6:73933339:C:G rs12212159 C G C EBF1_EBF_1 -15 0 + 0 0 . chr6 73951368 73951369 chr6:73951369:T:C rs9350512 T C T EBF1_EBF_1 25 0 - 0 0 . chr6 73972662 73972663 chr6:73972663:G:A rs117297973 G A G EBF1_EBF_1 -10 0 - 0 0 . chr6 73984683 73984684 chr6:73984684:G:A rs9447089 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 74091321 74091322 chr6:74091322:T:C rs9350522 T C T EBF1_EBF_1 7 1 + 5.723382575266483 3.905877828983858 AGTCCCATGTGACC chr6 74194018 74194019 chr6:74194019:G:C rs1931267 G C G EBF1_EBF_1 -12 0 - 0 0 . chr6 74194024 74194025 chr6:74194025:T:C rs1931268 T C C EBF1_EBF_1 -18 0 - 0 0 . chr6 74254278 74254279 chr6:74254279:A:T chr6:74254279:A:T A T a EBF1_EBF_1 -15 0 - 0 0 . chr6 74314577 74314578 chr6:74314578:A:G rs9293965 A G G EBF1_EBF_1 -4 0 - 0 0 . chr6 74347099 74347100 chr6:74347100:A:G rs16884715 A G A EBF1_EBF_1 -1 0 + 0 0 . chr6 74365660 74365661 chr6:74365661:G:A rs143847141 G A G EBF1_EBF_1 -20 0 - 0 0 . chr6 74529319 74529320 chr6:74529320:A:G rs73450077 A G A EBF1_EBF_1 28 0 - 0 0 . chr6 74608304 74608305 chr6:74608305:C:T rs508557 C T C EBF1_EBF_1 10 1 - 6.595281719183241 1.2772532599162754 ACCCTCCTGGGACT chr6 74639945 74639946 chr6:74639946:A:T rs2485072 A T T EBF1_EBF_1 -1 0 - 0 0 . chr6 74639955 74639956 chr6:74639956:T:G rs2502496 T G T EBF1_EBF_1 -11 0 - 0 0 . chr6 74657555 74657556 chr6:74657556:A:G rs2502473 A G A EBF1_EBF_1 -15 0 + 0 0 . chr6 74731159 74731160 chr6:74731160:G:A rs7776394 G A G EBF1_EBF_1 23 0 + 0 0 . chr6 74732169 74732170 chr6:74732170:G:A rs9352155 G A G EBF1_EBF_1 23 0 + 0 0 . chr6 74741830 74741831 chr6:74741831:C:G rs74743980 C G C EBF1_EBF_1 -2 0 + 0 0 . chr6 74872028 74872029 chr6:74872029:T:C rs9447328 T C T EBF1_EBF_1 -13 0 - 0 0 . chr6 75142771 75142772 chr6:75142772:A:G rs240724 A G A EBF1_EBF_1 -1 0 + 0 0 . chr6 75149995 75149996 chr6:75149996:C:T chr6:75149996:C:T C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 75208842 75208843 chr6:75208843:G:A rs568379936 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 75369108 75369109 chr6:75369109:T:G rs2951945 T G G EBF1_EBF_1 15 0 + 0 0 . chr6 75376263 75376264 chr6:75376264:T:C rs190349325 T C T EBF1_EBF_1 12 1 - 10.140705585487312 8.721888243387836 ATTCCCTAGGGCAT chr6 75424954 75424955 chr6:75424955:T:C rs77821228 T C T EBF1_EBF_1 18 0 + 0 0 . chr6 75568262 75568263 chr6:75568263:T:C rs4708192 T C C EBF1_EBF_1 27 0 - 0 0 . chr6 75601010 75601011 chr6:75601011:A:G rs868227344 A G A EBF1_EBF_1 -18 0 + 0 0 . chr6 75922487 75922488 chr6:75922488:G:A rs188333091 G A G EBF1_EBF_1 10 1 + 5.833841340655156 0.5158128813881903 CTTCCTCAGGGAAC chr6 75932243 75932244 chr6:75932244:G:A rs55814738 G A G EBF1_EBF_1 1 1 + 6.492734575432561 6.232035743511259 AGCCCCAAGGGGGA chr6 75935235 75935236 chr6:75935236:G:T rs142651180 G T G EBF1_EBF_1 21 0 - 0 0 . chr6 75959474 75959475 chr6:75959475:C:G rs1857003 C G C EBF1_EBF_1 30 0 - 0 0 . chr6 75963912 75963913 chr6:75963913:T:G rs62414854 T G T EBF1_EBF_1 11 1 - 7.2550784306991405 2.839116732846751 TTTCCCCAGGTAAC chr6 76338481 76338482 chr6:76338482:T:A rs2451585 T A A EBF1_EBF_1 -2 0 - 0 0 . chr6 76453527 76453528 chr6:76453528:C:A rs78681355 C A C EBF1_EBF_1 -2 0 - 0 0 . chr6 76743086 76743087 chr6:76743087:G:A rs9447777 G A A EBF1_EBF_1 8 1 + 4.1311061766525246 -0.0951839122337359 ACTCCACCGGGACT chr6 76753447 76753448 chr6:76753448:T:G rs369563353 T G T EBF1_EBF_1 -5 0 - 0 0 . chr6 76768531 76768532 chr6:76768532:G:A rs1457946 G A G EBF1_EBF_1 21 0 - 0 0 . chr6 76803145 76803146 chr6:76803146:C:T rs12206594 C T C EBF1_EBF_1 1 1 + 8.117878977895863 9.013312418294609 GCTCCCAAGAGATT chr6 76803162 76803163 chr6:76803163:C:A rs12206598 C A C EBF1_EBF_1 18 0 + 0 0 . chr6 76885963 76885964 chr6:76885964:A:T rs9447872 A T T EBF1_EBF_1 -7 0 + 0 0 . chr6 76887051 76887052 chr6:76887052:C:T rs539612441 C T C EBF1_EBF_1 22 0 - 0 0 . chr6 77374317 77374318 chr6:77374318:T:C rs1342635 T C T EBF1_EBF_1 -6 0 - 0 0 . chr6 77429630 77429631 chr6:77429631:T:C chr6:77429631:T:C T C T EBF1_EBF_1 -7 0 + 0 0 . chr6 77462454 77462455 chr6:77462455:C:G rs199561472 C G C EBF1_EBF_1 8 1 - 8.265595826353604 2.9469369654389177 AGACCCTAGGGATC chr6 77466185 77466186 chr6:77466186:G:A rs61706376 G A A EBF1_EBF_1 26 0 - 0 0 . chr6 77493664 77493665 chr6:77493665:G:A rs7748725 G A G EBF1_EBF_1 -16 0 + 0 0 . chr6 77716586 77716587 chr6:77716587:G:A rs77034842 G A G EBF1_EBF_1 15 0 + 0 0 . chr6 77844008 77844009 chr6:77844009:A:C rs9294090 A C A EBF1_EBF_1 27 0 - 0 0 . chr6 77990822 77990823 chr6:77990823:A:G rs55740177 A G G EBF1_EBF_1 12 1 - 6.638760878523239 7.665027449074088 TTTCCCACGAGATT chr6 78085339 78085340 chr6:78085340:C:T rs62425364 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 78130531 78130532 chr6:78130532:G:T rs1831546 G T G EBF1_EBF_1 5 1 - 4.719509588924619 -2.1853715637105484 CACCCCCTGGGGTT chr6 78234216 78234217 chr6:78234217:C:T rs4706051 C T C EBF1_EBF_1 5 1 + 9.712993698357437 4.391206482816768 CATCCCCTGGGAAC chr6 78396362 78396363 chr6:78396363:T:C rs1029865597 T C . EBF1_EBF_1 6 1 - 8.676529428576771 6.509734997302296 TTTCCCAGGAGAAT chr6 78526384 78526385 chr6:78526385:G:C rs11961886 G C G EBF1_EBF_1 27 0 + 0 0 . chr6 78793700 78793701 chr6:78793701:T:C rs6454083 T C C EBF1_EBF_1 -1 0 - 0 0 . chr6 78793705 78793706 chr6:78793706:T:A rs9352655 T A T EBF1_EBF_1 -6 0 - 0 0 . chr6 78992057 78992058 chr6:78992058:G:T rs7749615 G T G EBF1_EBF_1 2 1 - 4.14141885062611 2.3457746744693826 AACCCCGGGGGGCA chr6 79091990 79091991 chr6:79091991:C:G rs79623945 C G A EBF1_EBF_1 -1 0 - 0 0 . chr6 79233644 79233645 chr6:79233645:C:G rs892691621 C G C EBF1_EBF_1 2 1 + 4.702689672144195 -0.15681260661412294 CACCCCGAGGGAGA chr6 79233817 79233818 chr6:79233818:C:G rs892724486 C G C EBF1_EBF_1 10 1 - 5.9988186856158086 -0.8919837017136656 CCTCCCCAGCGACT chr6 79234086 79234087 chr6:79234087:G:A rs551642813 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 79234087 79234088 chr6:79234088:C:A rs569950161 C A C EBF1_EBF_1 24 0 - 0 0 . chr6 79312264 79312265 chr6:79312265:G:A rs79491169 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 79312950 79312951 chr6:79312951:G:A rs9341770 G A A EBF1_EBF_1 22 0 + 0 0 . chr6 79376014 79376015 chr6:79376015:C:A rs9352728 C A C EBF1_EBF_1 12 1 + 8.197036693342733 8.22721809176406 AATCCCAGGGGGCA chr6 79455533 79455534 chr6:79455534:A:G rs4706095 A G A EBF1_EBF_1 -3 0 + 0 0 . chr6 79537807 79537808 chr6:79537808:A:G rs1333681158 A G A EBF1_EBF_1 -15 0 - 0 0 . chr6 79569423 79569424 chr6:79569424:G:T rs7768724 G T G EBF1_EBF_1 -9 0 + 0 0 . chr6 79584547 79584548 chr6:79584548:C:G rs9885923 C G C EBF1_EBF_1 5 1 + 7.450424837629312 0.5455436849941449 CACCCCCTGGGAAA chr6 79613019 79613020 chr6:79613020:G:T rs2655699 G T G EBF1_EBF_1 -2 0 + 0 0 . chr6 79630516 79630517 chr6:79630517:C:T rs997226 C T C EBF1_EBF_1 5 1 + 6.3026569941318185 0.9808697785911491 ATCCTCCTGGGAAA chr6 79630523 79630524 chr6:79630524:A:G rs1504367 A G A EBF1_EBF_1 12 1 + 6.3026569941318185 4.883839652032341 ATCCTCCTGGGAAA chr6 79668455 79668456 chr6:79668456:C:T rs147819041 C T C EBF1_EBF_1 29 0 + 0 0 . chr6 79718957 79718958 chr6:79718958:A:G rs73478231 A G A EBF1_EBF_1 -10 0 + 0 0 . chr6 79756546 79756547 chr6:79756547:A:G rs6925597 A G A EBF1_EBF_1 -20 0 - 0 0 . chr6 79764969 79764970 chr6:79764970:G:A rs981058007 G A G EBF1_EBF_1 6 1 - 5.209344145765635 5.510630274627393 TTTCACCAGGGACA chr6 79911532 79911533 chr6:79911533:C:T rs343698 C T T EBF1_EBF_1 23 0 - 0 0 . chr6 79947514 79947515 chr6:79947515:G:A rs240307 G A g EBF1_EBF_1 29 0 + 0 0 . chr6 79949331 79949332 chr6:79949332:T:C rs240308 T C C EBF1_EBF_1 0 1 - 4.935421023409996 3.043137153845164 ACCCCCTAGTGAAT chr6 80175901 80175902 chr6:80175902:C:T rs79958848 C T C EBF1_EBF_1 7 1 - 3.7660500780939987 5.078508483382825 CTTCCCCGTGGACT chr6 80186332 80186333 chr6:80186333:C:T rs6930534 C T C EBF1_EBF_1 10 1 - 5.038585735001969 -0.27944272426499717 CTTCCCTGGCGACC chr6 80289738 80289739 chr6:80289739:A:G rs653556 A G G EBF1_EBF_1 22 0 - 0 0 . chr6 80294620 80294621 chr6:80294621:A:G rs6902457 A G G EBF1_EBF_1 -11 0 - 0 0 . chr6 80362537 80362538 chr6:80362538:G:T rs9350854 G T G EBF1_EBF_1 4 1 - 5.486769472082424 -0.33779015137104285 GTCCCCCAGAGAAG chr6 80437498 80437499 chr6:80437499:T:G rs148007652 T G T EBF1_EBF_1 -20 0 + 0 0 . chr6 80437545 80437546 chr6:80437546:C:G rs9359420 C G C EBF1_EBF_1 27 0 + 0 0 . chr6 80545851 80545852 chr6:80545852:T:C rs12526933 T C T EBF1_EBF_1 -11 0 + 0 0 . chr6 80560165 80560166 chr6:80560166:C:A rs75217153 C A C EBF1_EBF_1 -18 0 + 0 0 . chr6 80623286 80623287 chr6:80623287:G:C rs2444795 G C G EBF1_EBF_1 19 0 + 0 0 . chr6 80642421 80642422 chr6:80642422:T:C rs113819877 T C T EBF1_EBF_1 -14 0 - 0 0 . chr6 80790415 80790416 chr6:80790416:C:A rs35052062 C A A EBF1_EBF_1 29 0 + 0 0 . chr6 80922094 80922095 chr6:80922095:C:T rs541910 C T T EBF1_EBF_1 -5 0 - 0 0 . chr6 80936953 80936954 chr6:80936954:T:C rs476018 T C C EBF1_EBF_1 6 1 - 7.7818458070092635 5.615051375734788 CACCCCATGGGACA chr6 80965958 80965959 chr6:80965959:G:C rs13362762 G C G EBF1_EBF_1 -10 0 - 0 0 . chr6 80991664 80991665 chr6:80991665:A:T rs9294195 A T T EBF1_EBF_1 18 0 - 0 0 . chr6 81021369 81021370 chr6:81021370:A:G rs1596426 A G G EBF1_EBF_1 0 1 + 6.512701040152665 4.620417170587831 ACTGCCTTGGGAAC chr6 81187502 81187503 chr6:81187503:A:T rs144394462 A T A EBF1_EBF_1 6 1 + 6.979903694091729 6.91958745515221 TACCCCATGGGAAG chr6 81327353 81327354 chr6:81327354:C:A chr6:81327354:C:A C A C EBF1_EBF_1 -19 0 - 0 0 . chr6 81491664 81491665 chr6:81491665:C:T rs11755003 C T C EBF1_EBF_1 21 0 + 0 0 . chr6 81613824 81613825 chr6:81613825:T:C rs73750607 T C T EBF1_EBF_1 -20 0 + 0 0 . chr6 81731902 81731903 chr6:81731903:C:A rs11968027 C A C EBF1_EBF_1 -7 0 + 0 0 . chr6 81814707 81814708 chr6:81814708:T:G rs194602 T G G EBF1_EBF_1 2 1 - 5.158409852854523 6.95405402901125 AAACCCCAGGGCCT chr6 81869833 81869834 chr6:81869834:A:G rs11758068 A G A EBF1_EBF_1 25 0 + 0 0 . chr6 81930079 81930080 chr6:81930080:C:A rs192641650 C A C EBF1_EBF_1 -10 0 - 0 0 . chr6 82017563 82017564 chr6:82017564:T:G rs757589791 T G T EBF1_EBF_1 11 1 - 6.981712529566354 2.565750831713965 ACTCCTCAGGGATT chr6 82055173 82055174 chr6:82055174:G:C rs58834828 G C G EBF1_EBF_1 25 0 + 0 0 . chr6 82059620 82059621 chr6:82059621:G:A rs77256817 G A G EBF1_EBF_1 -16 0 + 0 0 . chr6 82145122 82145123 chr6:82145123:A:G rs139652770 A G G EBF1_EBF_1 29 0 - 0 0 . chr6 82174118 82174119 chr6:82174119:C:T rs197246 C T C EBF1_EBF_1 -14 0 - 0 0 . chr6 82247875 82247876 chr6:82247876:C:A rs557733286 C A C EBF1_EBF_1 -12 0 + 0 0 . chr6 82247888 82247889 chr6:82247889:G:A rs926830599 G A G EBF1_EBF_1 1 1 + 4.696455637839164 4.435756805917863 CGCCCCAAAGGAAC chr6 82298424 82298425 chr6:82298425:G:A rs555376351 G A G EBF1_EBF_1 20 0 - 0 0 . chr6 82397550 82397551 chr6:82397551:G:A rs114019822 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 82410318 82410319 chr6:82410319:A:G rs6926639 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 82767166 82767167 chr6:82767167:T:G rs2458541 T G T EBF1_EBF_1 2 1 + 4.702746791068162 -2.0455788991323054 CATCACCTGGGACT chr6 82781113 82781114 chr6:82781114:A:G rs1606560 A G A EBF1_EBF_1 17 0 - 0 0 . chr6 82781586 82781587 chr6:82781587:G:T rs7760607 G T G EBF1_EBF_1 13 1 - 5.4949129252311 5.121167475945124 GGTCCCCAGGAAAC chr6 82863994 82863995 chr6:82863995:G:A rs975002 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 82902474 82902475 chr6:82902475:G:A rs159057 G A G EBF1_EBF_1 18 0 - 0 0 . chr6 82922200 82922201 chr6:82922201:T:G rs293499 T G G EBF1_EBF_1 31 0 + 0 0 . chr6 82967582 82967583 chr6:82967583:T:C rs2149535 T C T EBF1_EBF_1 27 0 - 0 0 . chr6 82991217 82991218 chr6:82991218:G:T rs59288690 G T G EBF1_EBF_1 17 0 - 0 0 . chr6 83218598 83218599 chr6:83218599:A:G rs111929588 A G A EBF1_EBF_1 17 0 + 0 0 . chr6 83327454 83327455 chr6:83327455:C:T rs1170482 C T C EBF1_EBF_1 -3 0 + 0 0 . chr6 83366051 83366052 chr6:83366052:C:G rs192058267 C G C EBF1_EBF_1 31 0 + 0 0 . chr6 83418318 83418319 chr6:83418319:G:A rs146016811 G A G EBF1_EBF_1 19 0 + 0 0 . chr6 83421806 83421807 chr6:83421807:G:A rs3798886 G A A EBF1_EBF_1 5 1 - 9.028643470502864 3.706856254962193 ATCCCCGTGGGATT chr6 83459248 83459249 chr6:83459249:G:A rs6903322 G A A EBF1_EBF_1 7 1 - 7.606228445443825 9.423733191726448 AATCCCCCGGGATG chr6 83512360 83512361 chr6:83512361:G:C rs553565671 G C G EBF1_EBF_1 -10 0 + 0 0 . chr6 83708799 83708800 chr6:83708800:G:C rs377758065 G C G EBF1_EBF_1 10 1 + 4.737971914737967 -2.152830472591509 ATCCCCGCGAGACC chr6 83709206 83709207 chr6:83709207:C:T rs182885563 C T c EBF1_EBF_1 -18 0 + 0 0 . chr6 83709252 83709253 chr6:83709253:G:C rs371627738 G C g EBF1_EBF_1 28 0 + 0 0 . chr6 84078453 84078454 chr6:84078454:A:T rs2497142 A T A EBF1_EBF_1 12 1 + 6.353843052815725 5.297395083843549 ACTCCCATTGGAAT chr6 84340302 84340303 chr6:84340303:C:T rs1988112 C T T EBF1_EBF_1 31 0 - 0 0 . chr6 84340858 84340859 chr6:84340859:G:A rs71570717 G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 84408007 84408008 chr6:84408008:T:C rs72896874 T C T EBF1_EBF_1 11 1 - 6.353968696694297 3.4612618530902854 TCTCCCATGAGAGC chr6 84463413 84463414 chr6:84463414:T:C rs72900825 T C T EBF1_EBF_1 31 0 - 0 0 . chr6 84464472 84464473 chr6:84464473:G:A rs4466228 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 84522201 84522202 chr6:84522202:T:C rs558304621 T C T EBF1_EBF_1 15 0 - 0 0 . chr6 84553791 84553792 chr6:84553792:A:G rs9359599 A G A EBF1_EBF_1 16 0 - 0 0 . chr6 84634206 84634207 chr6:84634207:T:G rs9444209 T G g EBF1_EBF_1 19 0 - 0 0 . chr6 84733930 84733931 chr6:84733931:T:C rs4407684 T C C EBF1_EBF_1 -20 0 - 0 0 . chr6 84738846 84738847 chr6:84738847:A:G rs858741 A G G EBF1_EBF_1 -13 0 + 0 0 . chr6 84763178 84763179 chr6:84763179:C:T rs9450019 C T C EBF1_EBF_1 -9 0 + 0 0 . chr6 84767231 84767232 chr6:84767232:G:A rs9450021 G A A EBF1_EBF_1 32 0 - 0 0 . chr6 84767232 84767233 chr6:84767233:G:A rs77047339 G A G EBF1_EBF_1 31 0 - 0 0 . chr6 84772407 84772408 chr6:84772408:C:T rs190762331 C T C EBF1_EBF_1 10 1 - 8.349189504537492 3.031161045270525 CGCCCCCAGGGATT chr6 84987738 84987739 chr6:84987739:G:A rs1575389 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 85006188 85006189 chr6:85006189:T:C rs80345896 T C T EBF1_EBF_1 -19 0 + 0 0 . chr6 85008459 85008460 chr6:85008460:T:C rs9344451 T C T EBF1_EBF_1 25 0 + 0 0 . chr6 85008461 85008462 chr6:85008462:G:A rs16875500 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 85012718 85012719 chr6:85012719:T:C rs62422908 T C T EBF1_EBF_1 20 0 - 0 0 . chr6 85018629 85018630 chr6:85018630:G:A rs16875507 G A G EBF1_EBF_1 7 1 - 4.189152007553916 6.0066567538365385 GCTCCCACGGGTCA chr6 85049563 85049564 chr6:85049564:C:A rs1885631 C A C EBF1_EBF_1 -16 0 - 0 0 . chr6 85097285 85097286 chr6:85097286:A:G rs79607574 A G A EBF1_EBF_1 14 0 + 0 0 . chr6 85097827 85097828 chr6:85097828:G:A rs79642269 G A G EBF1_EBF_1 -3 0 - 0 0 . chr6 85111688 85111689 chr6:85111689:T:C rs6899539 T C C EBF1_EBF_1 -20 0 + 0 0 . chr6 85115462 85115463 chr6:85115463:G:A rs78558068 G A G EBF1_EBF_1 5 1 - 5.202500551816337 -0.1192866637243335 GCTCCCTAGGAACA chr6 85115478 85115479 chr6:85115479:A:G chr6:85115479:A:G A G A EBF1_EBF_1 -11 0 - 0 0 . chr6 85168254 85168255 chr6:85168255:G:C rs4707131 G C C EBF1_EBF_1 25 0 + 0 0 . chr6 85180057 85180058 chr6:85180058:C:T rs6938791 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 85307237 85307238 chr6:85307238:G:A rs573769 G A G EBF1_EBF_1 8 1 + 6.779631236933242 2.5533411480469805 CCTCCCTCGGGAAG chr6 85320937 85320938 chr6:85320938:A:G rs543073 A G A EBF1_EBF_1 -16 0 + 0 0 . chr6 85402936 85402937 chr6:85402937:G:T rs55826238 G T G EBF1_EBF_1 8 1 + 5.607836758681687 -1.2835960302955083 TCTCCCATGGGCCA chr6 85428769 85428770 chr6:85428770:T:G rs1590281 T G G EBF1_EBF_1 33 0 + 0 0 . chr6 85465126 85465127 chr6:85465127:A:C rs7746065 A C C EBF1_EBF_1 -11 0 + 0 0 . chr6 85465141 85465142 chr6:85465142:C:T rs7746186 C T C EBF1_EBF_1 4 1 + 5.855499581156082 1.4861126362111583 CTCCCCATGGGGCA chr6 85485379 85485380 chr6:85485380:C:T rs35478984 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 85580749 85580750 chr6:85580750:A:T chr6:85580750:A:T A T A EBF1_EBF_1 29 0 + 0 0 . chr6 86066017 86066018 chr6:86066018:A:G rs9344568 A G A EBF1_EBF_1 21 0 + 0 0 . chr6 86069651 86069652 chr6:86069652:C:T rs12196175 C T C EBF1_EBF_1 -4 0 - 0 0 . chr6 86153725 86153726 chr6:86153726:G:A rs73497272 G A A EBF1_EBF_1 -3 0 + 0 0 . chr6 86284985 86284986 chr6:86284986:C:T rs6913840 C T C EBF1_EBF_1 -16 0 - 0 0 . chr6 86421695 86421696 chr6:86421696:C:T rs80108817 C T T EBF1_EBF_1 1 1 + 11.130535873780637 12.025969314179381 ACTCCCTGGGGAAA chr6 86475835 86475836 chr6:86475836:G:A rs141273709 G A G EBF1_EBF_1 -17 0 + 0 0 . chr6 86489143 86489144 chr6:86489144:C:T rs9444413 C T C EBF1_EBF_1 14 0 - 0 0 . chr6 87121955 87121956 chr6:87121956:C:A rs184110313 C A C EBF1_EBF_1 30 0 - 0 0 . chr6 87243960 87243961 chr6:87243961:C:T rs3846776 C T C EBF1_EBF_1 30 0 - 0 0 . chr6 87281517 87281518 chr6:87281518:C:T rs6916994 C T T EBF1_EBF_1 6 1 + 4.541660625814285 4.842946754676043 ATTCCACTGGGAAG chr6 87391579 87391580 chr6:87391580:G:C rs145655173 G C G EBF1_EBF_1 14 0 + 0 0 . chr6 87408442 87408443 chr6:87408443:C:T rs11964237 C T C EBF1_EBF_1 17 0 + 0 0 . chr6 87541288 87541289 chr6:87541289:G:A rs7748693 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 87701377 87701378 chr6:87701378:C:G chr6:87701378:C:G C G C EBF1_EBF_1 13 1 + 3.652839286172865 2.1647682648174507 CCACCCCAGGGGCC chr6 87789404 87789405 chr6:87789405:G:A rs9344727 G A G EBF1_EBF_1 12 1 + 4.272021380112899 5.690838722212376 GTTGCCCAGGGAGC chr6 87806333 87806334 chr6:87806334:C:T rs722903 C T C EBF1_EBF_1 4 1 + 5.939831580600997 1.5704446356560748 GTTCCCAGGGGCTT chr6 87848817 87848818 chr6:87848818:A:G rs964659019 A G A EBF1_EBF_1 18 0 - 0 0 . chr6 87908308 87908309 chr6:87908309:A:G rs76040036 A G A EBF1_EBF_1 7 1 + 5.653836473047712 4.341378067758887 GCCCCCTAAGGAAT chr6 88028249 88028250 chr6:88028250:C:T rs476611 C T C EBF1_EBF_1 5 1 + 4.238775932080738 -1.083011283459932 CCTCACTGGGGACT chr6 88068413 88068414 chr6:88068414:T:C rs806443 T C C EBF1_EBF_1 25 0 - 0 0 . chr6 88167455 88167456 chr6:88167456:C:G rs773801957 C G C EBF1_EBF_1 -10 0 + 0 0 . chr6 88261650 88261651 chr6:88261651:A:G rs6454683 A G G EBF1_EBF_1 0 1 - 7.160701247830004 6.878499187099426 TGTCCCCAGGGCAT chr6 88446287 88446288 chr6:88446288:A:C rs11963693 A C A EBF1_EBF_1 26 0 + 0 0 . chr6 88459437 88459438 chr6:88459438:C:T rs7763511 C T C EBF1_EBF_1 5 1 + 7.963144331859866 2.641357116319196 TGCCCCCTGGGACA chr6 88470217 88470218 chr6:88470218:A:G rs1925136 A G G EBF1_EBF_1 -11 0 + 0 0 . chr6 88512240 88512241 chr6:88512241:A:G rs144725069 A G A EBF1_EBF_1 -5 0 - 0 0 . chr6 88513797 88513798 chr6:88513798:G:C rs9353573 G C G EBF1_EBF_1 23 0 - 0 0 . chr6 88535360 88535361 chr6:88535361:G:A rs142452824 G A G EBF1_EBF_1 5 1 - 7.687451008400372 2.365663792859703 AATCCCCAGGTAGT chr6 88585578 88585579 chr6:88585579:C:G rs2756365 C G G EBF1_EBF_1 -18 0 - 0 0 . chr6 88822108 88822109 chr6:88822109:T:C rs6937188 T C C EBF1_EBF_1 -6 0 - 0 0 . chr6 89007723 89007724 chr6:89007724:G:A rs112390905 G A a EBF1_EBF_1 6 1 + 5.007181442174233 7.17397587344871 CACCCCGAGGGAAG chr6 89021784 89021785 chr6:89021785:G:C rs2748177 G C G EBF1_EBF_1 25 0 + 0 0 . chr6 89128839 89128840 chr6:89128840:A:G rs453668 A G G EBF1_EBF_1 -13 0 + 0 0 . chr6 89184386 89184387 chr6:89184387:T:C rs9344911 T C T EBF1_EBF_1 2 1 + 6.64362897770772 4.75480556626557 GCTCCCAGGAGAAA chr6 89184399 89184400 chr6:89184400:G:T rs9362624 G T G EBF1_EBF_1 15 0 + 0 0 . chr6 89210774 89210775 chr6:89210775:T:A rs7771848 T A T EBF1_EBF_1 -7 0 + 0 0 . chr6 89210794 89210795 chr6:89210795:T:C rs2325181 T C T EBF1_EBF_1 13 1 + 5.540874457507243 4.696380342921725 GCCCTCAAGGGACT chr6 89260652 89260653 chr6:89260653:C:A rs80120735 C A C EBF1_EBF_1 3 1 + 6.143692558600571 -0.7624814708652347 AATCACCTGGGAAC chr6 89275623 89275624 chr6:89275624:G:A rs9444682 G A G EBF1_EBF_1 30 0 - 0 0 . chr6 89275659 89275660 chr6:89275660:G:A rs9451194 G A A EBF1_EBF_1 -6 0 - 0 0 . chr6 89284978 89284979 chr6:89284979:C:G rs9362632 C G C EBF1_EBF_1 -9 0 + 0 0 . chr6 89285185 89285186 chr6:89285186:C:T rs6454747 C T C EBF1_EBF_1 -3 0 + 0 0 . chr6 89288023 89288024 chr6:89288024:C:T rs117536393 C T C EBF1_EBF_1 19 0 + 0 0 . chr6 89311802 89311803 chr6:89311803:C:G rs114281818 C G C EBF1_EBF_1 28 0 + 0 0 . chr6 89314961 89314962 chr6:89314962:G:A rs41273313 G A G EBF1_EBF_1 21 0 - 0 0 . chr6 89385680 89385681 chr6:89385681:G:A rs146630898 G A G EBF1_EBF_1 -2 0 - 0 0 . chr6 89563172 89563173 chr6:89563173:A:G rs113841580 A G A EBF1_EBF_1 30 0 + 0 0 . chr6 89768334 89768335 chr6:89768335:T:A chr6:89768335:T:A T A T EBF1_EBF_1 1 1 - 6.119841055626981 7.08620167337781 AATCTCATGAGACT chr6 89783277 89783278 chr6:89783278:G:A rs72919922 G A G EBF1_EBF_1 7 1 - 7.204288893300845 9.02179363958347 TCCCCCCCGGGAAT chr6 89819226 89819227 chr6:89819227:A:C rs1032802233 A C a EBF1_EBF_1 -4 0 - 0 0 . chr6 89827366 89827367 chr6:89827367:A:G rs67542252 A G A EBF1_EBF_1 -8 0 - 0 0 . chr6 90005295 90005296 chr6:90005296:C:T rs1051264012 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 90005297 90005298 chr6:90005298:G:A rs75989741 G A G EBF1_EBF_1 -4 0 + 0 0 . chr6 90029336 90029337 chr6:90029337:G:A rs117583879 G A A EBF1_EBF_1 -5 0 + 0 0 . chr6 90065357 90065358 chr6:90065358:T:C rs555816155 T C T EBF1_EBF_1 31 0 - 0 0 . chr6 90175978 90175979 chr6:90175979:G:A rs2501718 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 90212551 90212552 chr6:90212552:G:T rs930884951 G T G EBF1_EBF_1 30 0 + 0 0 . chr6 90342086 90342087 chr6:90342087:T:C rs6920651 T C T EBF1_EBF_1 22 0 - 0 0 . chr6 90352408 90352409 chr6:90352409:A:T rs535162898 A T A EBF1_EBF_1 16 0 - 0 0 . chr6 90369612 90369613 chr6:90369613:A:G rs77360167 A G G EBF1_EBF_1 -12 0 - 0 0 . chr6 90389684 90389685 chr6:90389685:C:T rs76202779 C T C EBF1_EBF_1 14 0 + 0 0 . chr6 90403931 90403932 chr6:90403932:C:T rs139070558 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 90409116 90409117 chr6:90409117:A:G rs725350 A G G EBF1_EBF_1 2 1 - 6.496749007999598 4.607925596557445 ACTCCCATGGGCAG chr6 90604917 90604918 chr6:90604918:A:G rs1999090 A G A EBF1_EBF_1 23 0 + 0 0 . chr6 90608759 90608760 chr6:90608760:A:G rs9294463 A G A EBF1_EBF_1 -13 0 + 0 0 . chr6 90612262 90612263 chr6:90612263:T:A rs12208935 T A T EBF1_EBF_1 16 0 - 0 0 . chr6 90654434 90654435 chr6:90654435:G:A rs75890769 G A G EBF1_EBF_1 19 0 + 0 0 . chr6 90677534 90677535 chr6:90677535:C:T rs192567574 C T C EBF1_EBF_1 31 0 + 0 0 . chr6 90896729 90896730 chr6:90896730:C:A rs1234697 C A A EBF1_EBF_1 -16 0 - 0 0 . chr6 90907417 90907418 chr6:90907418:A:C rs1145781 A C C EBF1_EBF_1 27 0 + 0 0 . chr6 90936440 90936441 chr6:90936441:G:A rs791842 G A G EBF1_EBF_1 16 0 - 0 0 . chr6 90947984 90947985 chr6:90947985:A:G rs9451542 A G A EBF1_EBF_1 -18 0 - 0 0 . chr6 91042817 91042818 chr6:91042818:T:C rs16883936 T C T EBF1_EBF_1 -3 0 - 0 0 . chr6 91133936 91133937 chr6:91133937:T:C rs9353799 T C T EBF1_EBF_1 7 1 - 12.602584535217353 11.290126129928527 AGTCCCTAGGGACA chr6 91172616 91172617 chr6:91172617:A:G rs6942007 A G G EBF1_EBF_1 12 1 + 5.576751643933847 4.15793430183437 AGTCCCCAGAAAAT chr6 91267303 91267304 chr6:91267304:T:A rs4380725 T A T EBF1_EBF_1 23 0 - 0 0 . chr6 91299156 91299157 chr6:91299157:C:G rs9353818 C G C EBF1_EBF_1 5 1 + 8.195737950529994 1.2908567978948253 GTACCCAAGGGAAC chr6 91813246 91813247 chr6:91813247:A:G rs79022431 A G A EBF1_EBF_1 12 1 - 5.751714362624114 6.777980933174963 GTCCCCTGGAGATT chr6 91926938 91926939 chr6:91926939:A:G rs111325056 A G A EBF1_EBF_1 -10 0 - 0 0 . chr6 92126256 92126257 chr6:92126257:A:T rs9444953 A T A EBF1_EBF_1 -6 0 - 0 0 . chr6 92194794 92194795 chr6:92194795:C:G rs12215949 C G C EBF1_EBF_1 19 0 - 0 0 . chr6 92417046 92417047 chr6:92417047:C:T rs72922677 C T C EBF1_EBF_1 24 0 - 0 0 . chr6 92802193 92802194 chr6:92802194:G:C rs76587384 G C G EBF1_EBF_1 10 1 + 8.758307514860821 1.867505127531345 CATCCCAAGGGAGA chr6 92879739 92879740 chr6:92879740:G:T rs9452152 G T G EBF1_EBF_1 31 0 - 0 0 . chr6 92879781 92879782 chr6:92879782:G:T rs9360001 G T G EBF1_EBF_1 -11 0 - 0 0 . chr6 92930442 92930443 chr6:92930443:G:A rs16870433 G A g EBF1_EBF_1 20 0 - 0 0 . chr6 93088936 93088937 chr6:93088937:T:C rs13219509 T C T EBF1_EBF_1 -4 0 + 0 0 . chr6 93266307 93266308 chr6:93266308:C:T rs345723 C T C EBF1_EBF_1 -5 0 + 0 0 . chr6 93266322 93266323 chr6:93266323:G:A rs345722 G A G EBF1_EBF_1 10 1 + 7.015847977122398 1.6978195178554318 TGTCCCATGGGCCT chr6 94026888 94026889 chr6:94026889:T:C rs12214998 T C T EBF1_EBF_1 7 1 - 5.586527140805257 4.274068735516431 AATCCCTACAGACT chr6 94360602 94360603 chr6:94360603:G:C rs466636 G C G EBF1_EBF_1 -14 0 - 0 0 . chr6 94584364 94584365 chr6:94584365:T:A chr6:94584365:T:A T A T EBF1_EBF_1 -11 0 - 0 0 . chr6 95244469 95244470 chr6:95244470:T:C rs879134725 T C C EBF1_EBF_1 12 1 + 4.787785448972516 5.814052019523365 CCTCCCCAGGGCTC chr6 95294375 95294376 chr6:95294376:C:T rs36141617 C T T EBF1_EBF_1 4 1 + 5.084052958526411 0.7146660135814876 CTCCCCCAGGTAAC chr6 95548927 95548928 chr6:95548928:C:G rs62417789 C G C EBF1_EBF_1 -8 0 - 0 0 . chr6 95643043 95643044 chr6:95643044:C:T rs12529535 C T C EBF1_EBF_1 29 0 - 0 0 . chr6 95643058 95643059 chr6:95643059:T:A rs9401428 T A A EBF1_EBF_1 14 0 - 0 0 . chr6 96389716 96389717 chr6:96389717:A:C rs2499785 A C C EBF1_EBF_1 -7 0 - 0 0 . chr6 96392080 96392081 chr6:96392081:C:T rs76618977 C T C EBF1_EBF_1 29 0 + 0 0 . chr6 96392429 96392430 chr6:96392430:T:C rs2254574 T C C EBF1_EBF_1 9 1 - 6.42114768663092 9.076086952078523 AATCCCCAGATACT chr6 96694176 96694177 chr6:96694177:C:T rs117507447 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 96694522 96694523 chr6:96694523:C:A rs62416064 C A C EBF1_EBF_1 -9 0 - 0 0 . chr6 96694524 96694525 chr6:96694525:C:T rs72937190 C T C EBF1_EBF_1 -11 0 - 0 0 . chr6 96795164 96795165 chr6:96795165:C:T rs1206073 C T C EBF1_EBF_1 9 1 - 10.464848654152075 7.809909388704472 ATCCCCAAGGGAGC chr6 96862773 96862774 chr6:96862774:A:G rs79013025 A G A EBF1_EBF_1 -1 0 + 0 0 . chr6 96885627 96885628 chr6:96885628:A:C rs4839697 A C A EBF1_EBF_1 26 0 + 0 0 . chr6 96890771 96890772 chr6:96890772:T:A rs10499008 T A t EBF1_EBF_1 22 0 - 0 0 . chr6 96897356 96897357 chr6:96897357:G:A rs7771745 G A G EBF1_EBF_1 21 0 + 0 0 . chr6 96917362 96917363 chr6:96917363:A:C rs850577 A C C EBF1_EBF_1 18 0 + 0 0 . chr6 96964017 96964018 chr6:96964018:C:T rs7743034 C T T EBF1_EBF_1 7 1 - 9.030523745697941 10.342982150986765 AACCCCAGGGGAGT chr6 96974804 96974805 chr6:96974805:C:T rs2143389 C T C EBF1_EBF_1 -5 0 + 0 0 . chr6 96992237 96992238 chr6:96992238:C:A rs375413264 C A C EBF1_EBF_1 -17 0 - 0 0 . chr6 96992299 96992300 chr6:96992300:C:T rs9372302 C T T EBF1_EBF_1 -18 0 - 0 0 . chr6 97049279 97049280 chr6:97049280:G:C rs61338503 G C G EBF1_EBF_1 5 1 - 4.699041306922625 -2.2058398457125437 GGCCCCAAGGTACA chr6 97049285 97049286 chr6:97049286:A:G rs1766532 A G A EBF1_EBF_1 -1 0 - 0 0 . chr6 97053290 97053291 chr6:97053291:A:G rs1766466 A G G EBF1_EBF_1 7 1 - 5.512048318435391 3.6945435721527673 ATCCCTCTGGGATT chr6 97053302 97053303 chr6:97053303:A:G rs1766467 A G A EBF1_EBF_1 -5 0 - 0 0 . chr6 97077631 97077632 chr6:97077632:G:A rs2017376 G A G EBF1_EBF_1 9 1 + 5.739417921260921 3.0844786558133173 CATCGCAAGGGACT chr6 97086014 97086015 chr6:97086015:A:G rs553924842 A G C EBF1_EBF_1 25 0 - 0 0 . chr6 97282941 97282942 chr6:97282942:C:T rs113938901 C T T EBF1_EBF_1 2 1 + 6.037100594001512 7.925924005443662 AACCCCTAGGGCCA chr6 97726537 97726538 chr6:97726538:C:A rs545614424 C A C EBF1_EBF_1 8 1 - 6.188431629575927 -0.7030011594012662 GTTCCCAAGGAAAA chr6 97775369 97775370 chr6:97775370:G:C rs34141565 G C G EBF1_EBF_1 -11 0 - 0 0 . chr6 97791209 97791210 chr6:97791210:A:G rs368179176 A G A EBF1_EBF_1 6 1 - 8.373247949385911 8.071961820524153 ACCCCCTTGGGGCT chr6 97957460 97957461 chr6:97957461:C:G rs6917247 C G t EBF1_EBF_1 1 1 - 4.4643085527705955 4.2745368982013785 AGTCCCCAGGAGCC chr6 98785090 98785091 chr6:98785091:A:G rs112854884 A G A EBF1_EBF_1 7 1 + 4.706514750290208 3.394056345001383 ATCCCATAGGGATT chr6 98833074 98833075 chr6:98833075:C:T rs9372956 C T C EBF1_EBF_1 21 0 - 0 0 . chr6 98833090 98833091 chr6:98833091:G:T rs764602592 G T G EBF1_EBF_1 5 1 - 9.020757666464021 2.1158765138288538 AGACCCCAGGGAAC chr6 98842715 98842716 chr6:98842716:C:T rs195852 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 98848080 98848081 chr6:98848081:C:A chr6:98848081:C:A C A C EBF1_EBF_1 -7 0 - 0 0 . chr6 98848090 98848091 chr6:98848091:G:A rs772381865 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 99135182 99135183 chr6:99135183:A:G rs12206964 A G A EBF1_EBF_1 -15 0 - 0 0 . chr6 99194301 99194302 chr6:99194302:G:A rs12201712 G A G EBF1_EBF_1 20 0 - 0 0 . chr6 99194332 99194333 chr6:99194333:C:G rs12215641 C G C EBF1_EBF_1 -11 0 - 0 0 . chr6 99241917 99241918 chr6:99241918:G:A rs80026255 G A G EBF1_EBF_1 33 0 + 0 0 . chr6 99254333 99254334 chr6:99254334:C:T rs649444 C T T EBF1_EBF_1 23 0 + 0 0 . chr6 99326519 99326520 chr6:99326520:T:C rs9494131 T C T EBF1_EBF_1 7 1 + 5.350059654523493 3.5325549082408685 GGTCCCATGGAACC chr6 99338959 99338960 chr6:99338960:C:A rs6569996 C A C EBF1_EBF_1 -16 0 + 0 0 . chr6 99349715 99349716 chr6:99349716:G:C rs1076709 G C - EBF1_EBF_1 28 0 + 0 0 . chr6 99515227 99515228 chr6:99515228:C:G rs985252538 C G C EBF1_EBF_1 -14 0 + 0 0 . chr6 99521159 99521160 chr6:99521160:G:A rs542682751 G A G EBF1_EBF_1 12 1 - 11.407649218505076 10.381382647954227 TTTCCCCAGGGACC chr6 99521167 99521168 chr6:99521168:G:A rs755743035 G A G EBF1_EBF_1 4 1 - 11.407649218505076 7.0382622735601545 TTTCCCCAGGGACC chr6 99613721 99613722 chr6:99613722:C:A rs9494888 C A c EBF1_EBF_1 27 0 - 0 0 . chr6 99789587 99789588 chr6:99789588:C:T rs12198721 C T C EBF1_EBF_1 -2 0 + 0 0 . chr6 99868816 99868817 chr6:99868817:C:G rs61300804 C G C EBF1_EBF_1 -14 0 - 0 0 . chr6 100106356 100106357 chr6:100106357:T:C rs75935412 T C t EBF1_EBF_1 0 1 - 4.899353834348289 3.007069964783456 ATCCCCTCAGGACA chr6 100167878 100167879 chr6:100167879:A:T rs6570559 A T A EBF1_EBF_1 28 0 + 0 0 . chr6 100196714 100196715 chr6:100196715:C:T rs9484798 C T C EBF1_EBF_1 -20 0 + 0 0 . chr6 100221382 100221383 chr6:100221383:G:A rs538402462 G A G EBF1_EBF_1 21 0 - 0 0 . chr6 100342946 100342947 chr6:100342947:C:A rs79215912 C A C EBF1_EBF_1 8 1 - 4.034169946028838 -2.8572628429483573 GCTCCCTGGAGGCC chr6 100342947 100342948 chr6:100342948:C:A rs79771413 C A C EBF1_EBF_1 7 1 - 4.034169946028838 4.870354111230106 GCTCCCTGGAGGCC chr6 100354995 100354996 chr6:100354996:C:T rs9386109 C T t EBF1_EBF_1 18 0 + 0 0 . chr6 100355007 100355008 chr6:100355008:A:C rs12203611 A C a EBF1_EBF_1 30 0 + 0 0 . chr6 100363099 100363100 chr6:100363100:C:A rs9403695 C A C EBF1_EBF_1 -17 0 - 0 0 . chr6 100382343 100382344 chr6:100382344:C:A rs114458070 C A C EBF1_EBF_1 -19 0 + 0 0 . chr6 100382382 100382383 chr6:100382383:A:T rs9390332 A T A EBF1_EBF_1 20 0 + 0 0 . chr6 100447585 100447586 chr6:100447586:G:T rs17060614 G T T EBF1_EBF_1 26 0 + 0 0 . chr6 100464499 100464500 chr6:100464500:C:T rs146792241 C T C EBF1_EBF_1 31 0 - 0 0 . chr6 100467782 100467783 chr6:100467783:G:C rs17060639 G C C EBF1_EBF_1 17 0 + 0 0 . chr6 100593232 100593233 chr6:100593233:A:G rs908742607 A G A EBF1_EBF_1 9 1 + 6.667123385072846 9.322062650520447 AGTCCCCTGAGAGG chr6 100620366 100620367 chr6:100620367:A:C rs9390513 A C A EBF1_EBF_1 -6 0 - 0 0 . chr6 100905353 100905354 chr6:100905354:G:C rs705612 G C G EBF1_EBF_1 11 1 + 5.2576386962476755 3.734383841999297 ATTCCCTAGAGGGG chr6 101000813 101000814 chr6:101000814:C:A rs1923013 C A C EBF1_EBF_1 11 1 - 5.461020613847359 4.255813201352645 CCTCCCCTGGGGTA chr6 101023833 101023834 chr6:101023834:G:T rs1575100 G T T EBF1_EBF_1 19 0 - 0 0 . chr6 101242123 101242124 chr6:101242124:G:A rs283189 G A G EBF1_EBF_1 -19 0 - 0 0 . chr6 101282875 101282876 chr6:101282876:G:A rs139091756 G A A EBF1_EBF_1 10 1 + 5.220944643003354 -0.09708381626361229 CCACCCAGGGGACA chr6 101345235 101345236 chr6:101345236:A:T rs675842 A T A EBF1_EBF_1 33 0 - 0 0 . chr6 101391965 101391966 chr6:101391966:T:C rs9498574 T C C EBF1_EBF_1 27 0 + 0 0 . chr6 101393817 101393818 chr6:101393818:C:G rs139921667 C G C EBF1_EBF_1 33 0 + 0 0 . chr6 101486338 101486339 chr6:101486339:G:A rs12194713 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 102027086 102027087 chr6:102027087:T:C rs36026138 T C T EBF1_EBF_1 -9 0 - 0 0 . chr6 102055441 102055442 chr6:102055442:G:A rs2227283 G A G EBF1_EBF_1 27 0 - 0 0 . chr6 102197433 102197434 chr6:102197434:C:A rs112932598 C A C EBF1_EBF_1 -12 0 + 0 0 . chr6 102838933 102838934 chr6:102838934:C:G rs1340412746 C G C EBF1_EBF_1 20 0 - 0 0 . chr6 103268595 103268596 chr6:103268596:G:A rs7762534 G A G EBF1_EBF_1 3 1 - 7.3220677255388535 0.9166689839855362 TGTCCCTAGAGATC chr6 103292659 103292660 chr6:103292660:T:C rs74372163 T C T EBF1_EBF_1 33 0 - 0 0 . chr6 103292700 103292701 chr6:103292701:T:A rs62432061 T A A EBF1_EBF_1 -8 0 - 0 0 . chr6 103357314 103357315 chr6:103357315:T:A rs7754476 T A T EBF1_EBF_1 15 0 + 0 0 . chr6 103418476 103418477 chr6:103418477:G:A rs62429541 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 103622230 103622231 chr6:103622231:G:C rs9499611 G C G EBF1_EBF_1 -14 0 - 0 0 . chr6 103903755 103903756 chr6:103903756:A:C rs9399857 A C - EBF1_EBF_1 -9 0 + 0 0 . chr6 104074160 104074161 chr6:104074161:G:C rs6571158 G C C EBF1_EBF_1 -19 0 + 0 0 . chr6 104087563 104087564 chr6:104087564:G:A rs9485929 G A G EBF1_EBF_1 20 0 - 0 0 . chr6 104251834 104251835 chr6:104251835:C:T rs7752824 C T C EBF1_EBF_1 -20 0 + 0 0 . chr6 104292305 104292306 chr6:104292306:T:C rs201202 T C T EBF1_EBF_1 -9 0 + 0 0 . chr6 104326355 104326356 chr6:104326356:A:G rs7764670 A G A EBF1_EBF_1 25 0 + 0 0 . chr6 104527501 104527502 chr6:104527502:T:G rs118111413 T G T EBF1_EBF_1 -2 0 - 0 0 . chr6 104532602 104532603 chr6:104532603:T:G rs4142560 T G T EBF1_EBF_1 -12 0 + 0 0 . chr6 104579827 104579828 chr6:104579828:G:A rs7772424 G A G EBF1_EBF_1 -10 0 + 0 0 . chr6 104580556 104580557 chr6:104580557:C:T rs116339098 C T C EBF1_EBF_1 12 1 + 11.570260490609012 10.543993920058163 ATTCCCCAGAGACT chr6 104625800 104625801 chr6:104625801:C:A rs1416041 C A C EBF1_EBF_1 -17 0 - 0 0 . chr6 104639651 104639652 chr6:104639652:C:T rs556222081 C T C EBF1_EBF_1 31 0 + 0 0 . chr6 104720482 104720483 chr6:104720483:C:T rs4132193 C T t EBF1_EBF_1 12 1 + 4.406947653827026 3.380681083276176 GACCCCCAAGGACC chr6 104721554 104721555 chr6:104721555:C:T rs75696063 C T C EBF1_EBF_1 28 0 - 0 0 . chr6 104721602 104721603 chr6:104721603:A:C rs2400051 A C C EBF1_EBF_1 -20 0 - 0 0 . chr6 104940915 104940916 chr6:104940916:C:T rs527493801 C T C EBF1_EBF_1 22 0 - 0 0 . chr6 105002102 105002103 chr6:105002103:C:T rs189070658 C T C EBF1_EBF_1 6 1 - 6.642303404886549 8.809097836161023 AGCCCCGGGGGATC chr6 105137421 105137422 chr6:105137422:G:C rs79048392 G C G EBF1_EBF_1 4 1 - 7.040138216645749 1.414373115496205 GGTCCCACGAGACT chr6 105215873 105215874 chr6:105215874:G:C rs17065660 G C G EBF1_EBF_1 -2 0 + 0 0 . chr6 105357436 105357437 chr6:105357437:C:T rs148157860 C T C EBF1_EBF_1 -11 0 + 0 0 . chr6 105363520 105363521 chr6:105363521:G:A rs78663258 G A G EBF1_EBF_1 -10 0 + 0 0 . chr6 105363548 105363549 chr6:105363549:G:C rs59505217 G C G EBF1_EBF_1 18 0 + 0 0 . chr6 105363558 105363559 chr6:105363559:T:C rs6923413 T C T EBF1_EBF_1 28 0 + 0 0 . chr6 105455017 105455018 chr6:105455018:A:C rs1325419 A C A EBF1_EBF_1 16 0 - 0 0 . chr6 105483124 105483125 chr6:105483125:C:T rs146737299 C T C EBF1_EBF_1 6 1 + 3.6294941506521887 3.9307802795139457 ACTCCCCTTGGACG chr6 105568909 105568910 chr6:105568910:C:T rs73771645 C T C EBF1_EBF_1 17 0 + 0 0 . chr6 105692405 105692406 chr6:105692406:T:G rs1029321 T G G EBF1_EBF_1 11 1 - 7.593708783914562 3.1777470860621726 TTCCCCATGAGACT chr6 105727186 105727187 chr6:105727187:C:T rs75129090 C T C EBF1_EBF_1 -14 0 - 0 0 . chr6 105757421 105757422 chr6:105757422:T:C rs1948999 T C T EBF1_EBF_1 -6 0 - 0 0 . chr6 105769427 105769428 chr6:105769428:T:C rs12201512 T C T EBF1_EBF_1 7 1 + 8.688675793654188 6.871171047371565 GTTCCCTTGAGAAC chr6 105807696 105807697 chr6:105807697:C:T rs1911742 C T C EBF1_EBF_1 10 1 - 7.059337105361248 1.7413086460942822 ATACCCAAGAGAAA chr6 105814973 105814974 chr6:105814974:C:T rs9386474 C T C EBF1_EBF_1 25 0 + 0 0 . chr6 105819780 105819781 chr6:105819781:T:C rs9320133 T C C EBF1_EBF_1 17 0 + 0 0 . chr6 105868678 105868679 chr6:105868679:T:G rs12199117 T G T EBF1_EBF_1 13 1 - 5.569466453263126 5.943211902549102 TTCCCCAGGAGAAA chr6 105884269 105884270 chr6:105884270:T:C rs77109210 T C T EBF1_EBF_1 -3 0 - 0 0 . chr6 105884845 105884846 chr6:105884846:G:T rs80240894 G T G EBF1_EBF_1 10 1 + 7.721939854209245 3.539187667981979 CTCCCCATGGGATA chr6 105896662 105896663 chr6:105896663:C:T rs58491502 C T T EBF1_EBF_1 6 1 + 4.643711895131807 4.9449980239935645 CTTCCCCGGAGATG chr6 105926089 105926090 chr6:105926090:A:G rs4245527 A G A EBF1_EBF_1 27 0 - 0 0 . chr6 105932255 105932256 chr6:105932256:G:A rs4524650 G A a EBF1_EBF_1 6 1 - 6.389965298225474 6.691251427087232 TCACCCCGGGGAAT chr6 105939321 105939322 chr6:105939322:C:T rs9320139 C T T EBF1_EBF_1 28 0 + 0 0 . chr6 105966932 105966933 chr6:105966933:G:A rs4945737 G A A EBF1_EBF_1 21 0 + 0 0 . chr6 105982898 105982899 chr6:105982899:A:G rs9486253 A G G EBF1_EBF_1 33 0 + 0 0 . chr6 105986356 105986357 chr6:105986357:T:C rs574375292 T C C EBF1_EBF_1 30 0 - 0 0 . chr6 105986403 105986404 chr6:105986404:T:C rs9320142 T C T EBF1_EBF_1 -17 0 - 0 0 . chr6 106087380 106087381 chr6:106087381:A:G rs4946723 A G G EBF1_EBF_1 27 0 - 0 0 . chr6 106089914 106089915 chr6:106089915:G:A rs6923608 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 106132055 106132056 chr6:106132056:G:C rs114626105 G C G EBF1_EBF_1 -14 0 - 0 0 . chr6 106132608 106132609 chr6:106132609:C:T rs6922168 C T C EBF1_EBF_1 -4 0 + 0 0 . chr6 106143774 106143775 chr6:106143775:T:C rs11152967 T C T EBF1_EBF_1 -14 0 - 0 0 . chr6 106163690 106163691 chr6:106163691:G:C rs368152249 G C G EBF1_EBF_1 31 0 + 0 0 . chr6 106334539 106334540 chr6:106334540:A:G rs9480653 A G G EBF1_EBF_1 11 1 + 7.209820110876289 4.317113267272278 CCTCCCAAAGGACT chr6 106360963 106360964 chr6:106360964:C:G rs111350805 C G C EBF1_EBF_1 29 0 + 0 0 . chr6 106381661 106381662 chr6:106381662:T:C rs6568433 T C C EBF1_EBF_1 -8 0 - 0 0 . chr6 106411801 106411802 chr6:106411802:C:T rs115111065 C T C EBF1_EBF_1 -2 0 - 0 0 . chr6 106411802 106411803 chr6:106411803:G:A rs140229749 G A G EBF1_EBF_1 -3 0 - 0 0 . chr6 106448458 106448459 chr6:106448459:T:C rs4492224 T C T EBF1_EBF_1 30 0 + 0 0 . chr6 106488749 106488750 chr6:106488750:T:G rs9398091 T G G EBF1_EBF_1 -19 0 - 0 0 . chr6 106510557 106510558 chr6:106510558:C:T rs530430305 C T C EBF1_EBF_1 1 1 - 3.931331687504069 3.6706328555827685 AGCCCCAGGGGCCG chr6 106512084 106512085 chr6:106512085:A:C rs767438803 A C A EBF1_EBF_1 -3 0 + 0 0 . chr6 106512085 106512086 chr6:106512086:A:G rs4946759 A G A EBF1_EBF_1 -2 0 + 0 0 . chr6 106552507 106552508 chr6:106552508:C:T rs2353043 C T C EBF1_EBF_1 2 1 + 4.965732421741807 6.854555833183957 AACCCCAAGAGGCA chr6 106572595 106572596 chr6:106572596:G:A rs17067345 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 106574519 106574520 chr6:106574520:T:C rs11968064 T C T EBF1_EBF_1 1 1 - 4.610496348718401 4.8711951806397025 CATCCCAGGGGCTT chr6 106629721 106629722 chr6:106629722:C:T rs111609677 C T C EBF1_EBF_1 18 0 - 0 0 . chr6 106693852 106693853 chr6:106693853:A:G rs3104041 A G G EBF1_EBF_1 22 0 + 0 0 . chr6 106749120 106749121 chr6:106749121:T:C rs75289868 T C T EBF1_EBF_1 7 1 + 5.105141495703331 3.2876367494207086 CCTCACTTGGGAAT chr6 106821351 106821352 chr6:106821352:G:A rs4946797 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 106857785 106857786 chr6:106857786:C:A rs76544175 C A A EBF1_EBF_1 23 0 + 0 0 . chr6 106872310 106872311 chr6:106872311:G:T rs929558794 G T G EBF1_EBF_1 5 1 - 4.235571107418989 -2.6693100452161804 CCTGCCTGGGGACT chr6 106911207 106911208 chr6:106911208:G:A rs969654440 G A A EBF1_EBF_1 18 0 + 0 0 . chr6 106944716 106944717 chr6:106944717:C:G rs1914876 C G C EBF1_EBF_1 -14 0 + 0 0 . chr6 106945502 106945503 chr6:106945503:G:A chr6:106945503:G:A G A G EBF1_EBF_1 28 0 - 0 0 . chr6 106974175 106974176 chr6:106974176:A:G rs962107307 A G G EBF1_EBF_1 2 1 - 7.579760402538104 5.6909369910959535 TTTCCCCTAGGAAT chr6 107081700 107081701 chr6:107081701:T:A rs9373914 T A T EBF1_EBF_1 19 0 - 0 0 . chr6 107083535 107083536 chr6:107083536:C:A rs311224 C A C EBF1_EBF_1 33 0 - 0 0 . chr6 107083584 107083585 chr6:107083585:C:T rs7753343 C T c EBF1_EBF_1 -16 0 - 0 0 . chr6 107324826 107324827 chr6:107324827:A:G chr6:107324827:A:G A G A EBF1_EBF_1 0 1 + 5.212482872286651 3.3201990027218176 AGTCCCCAAGGGAA chr6 107505260 107505261 chr6:107505261:A:C rs4945778 A C A EBF1_EBF_1 23 0 + 0 0 . chr6 107669979 107669980 chr6:107669980:A:G rs1728114 A G G EBF1_EBF_1 7 1 - 7.114262606583659 5.296757860301035 AACCCCTTGGGGAA chr6 107673482 107673483 chr6:107673483:C:T rs547506765 C T C EBF1_EBF_1 21 0 - 0 0 . chr6 107673502 107673503 chr6:107673503:A:G rs846989 A G A EBF1_EBF_1 1 1 - 7.102641472475202 6.207208032076458 GTTCCCCAGGGCAC chr6 107675001 107675002 chr6:107675002:C:T rs1237365022 C T C EBF1_EBF_1 -8 0 - 0 0 . chr6 107691484 107691485 chr6:107691485:G:A rs189157409 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 107706239 107706240 chr6:107706240:G:T rs9386658 G T G EBF1_EBF_1 8 1 + 5.308009314870332 -1.5834234741068631 ACTCCCAGGAGCCT chr6 107706251 107706252 chr6:107706252:C:G rs11751638 C G C EBF1_EBF_1 20 0 + 0 0 . chr6 107715831 107715832 chr6:107715832:T:G rs117069555 T G T EBF1_EBF_1 28 0 - 0 0 . chr6 107716658 107716659 chr6:107716659:A:G rs28360512 A G G EBF1_EBF_1 -1 0 - 0 0 . chr6 107788356 107788357 chr6:107788357:A:G rs9486697 A G A EBF1_EBF_1 17 0 + 0 0 . chr6 107790446 107790447 chr6:107790447:G:T rs11964340 G T G EBF1_EBF_1 19 0 - 0 0 . chr6 107804382 107804383 chr6:107804383:G:C rs4945793 G C G EBF1_EBF_1 -5 0 - 0 0 . chr6 107848703 107848704 chr6:107848704:C:T rs649309 C T C EBF1_EBF_1 -6 0 - 0 0 . chr6 107958341 107958342 chr6:107958342:T:G rs6903090 T G G EBF1_EBF_1 -11 0 + 0 0 . chr6 107958363 107958364 chr6:107958364:T:C chr6:107958364:T:C T C T EBF1_EBF_1 11 1 + 5.439995619095383 5.121948177341718 CTCCCCTAGGGTCC chr6 108117158 108117159 chr6:108117159:G:C rs7763439 G C G EBF1_EBF_1 -11 0 - 0 0 . chr6 108117264 108117265 chr6:108117265:C:A rs111755321 C A C EBF1_EBF_1 29 0 + 0 0 . chr6 108164840 108164841 chr6:108164841:C:T rs115768824 C T C EBF1_EBF_1 4 1 + 7.272278484889378 2.902891539944453 CTCCCCCTGGGACG chr6 108168395 108168396 chr6:108168396:T:A rs393427 T A T EBF1_EBF_1 15 0 + 0 0 . chr6 108168708 108168709 chr6:108168709:T:C rs390116 T C C EBF1_EBF_1 -3 0 + 0 0 . chr6 108301279 108301280 chr6:108301280:A:G rs75493032 A G a EBF1_EBF_1 15 0 - 0 0 . chr6 108325194 108325195 chr6:108325195:T:C rs111368225 T C T EBF1_EBF_1 -19 0 - 0 0 . chr6 108565555 108565556 chr6:108565556:G:A rs111254195 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 108622732 108622733 chr6:108622733:C:T rs141317296 C T C EBF1_EBF_1 15 0 - 0 0 . chr6 108673229 108673230 chr6:108673230:C:T rs35396874 C T C EBF1_EBF_1 32 0 - 0 0 . chr6 108691140 108691141 chr6:108691141:C:T rs73519981 C T C EBF1_EBF_1 32 0 - 0 0 . chr6 108780179 108780180 chr6:108780180:T:C rs6922911 T C C EBF1_EBF_1 -7 0 - 0 0 . chr6 108811686 108811687 chr6:108811687:C:G rs12530464 C G C EBF1_EBF_1 3 1 + 4.22740205872074 -1.600362389394477 AGCCCCTGGAGGCC chr6 108848831 108848832 chr6:108848832:C:T rs111614465 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 108848870 108848871 chr6:108848871:C:T rs78907492 C T C EBF1_EBF_1 32 0 + 0 0 . chr6 108980969 108980970 chr6:108980970:C:A rs12196010 C A C EBF1_EBF_1 -19 0 + 0 0 . chr6 109060435 109060436 chr6:109060436:C:G rs191061797 C G C EBF1_EBF_1 18 0 - 0 0 . chr6 109060448 109060449 chr6:109060449:G:T rs6927994 G T G EBF1_EBF_1 5 1 - 6.303964006156699 -0.600917146478468 TCTCCCAAAGGAAA chr6 109182772 109182773 chr6:109182773:A:G rs351739 A G A EBF1_EBF_1 30 0 - 0 0 . chr6 109238165 109238166 chr6:109238166:G:T rs71558357 G T G EBF1_EBF_1 -6 0 - 0 0 . chr6 109301433 109301434 chr6:109301434:G:T rs57291120 G T G EBF1_EBF_1 -12 0 + 0 0 . chr6 109348383 109348384 chr6:109348384:G:T rs76290206 G T G EBF1_EBF_1 33 0 + 0 0 . chr6 109382934 109382935 chr6:109382935:A:G rs3757229 A G a EBF1_EBF_1 11 1 + 9.133425210477286 6.240718366873275 GATCCCCAGGGAGC chr6 109391533 109391534 chr6:109391534:G:C rs746794 G C G EBF1_EBF_1 28 0 - 0 0 . chr6 109424419 109424420 chr6:109424420:C:G rs17070590 C G C EBF1_EBF_1 -6 0 + 0 0 . chr6 109440863 109440864 chr6:109440864:C:T rs115963563 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 109450045 109450046 chr6:109450046:G:A rs35495138 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 109450621 109450622 chr6:109450622:C:A rs9374089 C A C EBF1_EBF_1 2 1 + 4.6168630368738635 2.821218860717137 ACCCCCTTGGGCGC chr6 109450623 109450624 chr6:109450624:C:G rs148589234 C G C EBF1_EBF_1 4 1 + 4.6168630368738635 -1.008902064275681 ACCCCCTTGGGCGC chr6 109455628 109455629 chr6:109455629:G:C rs1320723008 G C G EBF1_EBF_1 6 1 + 4.607540562087605 6.412732625560803 GTTCCCGAGCGACC chr6 109455652 109455653 chr6:109455653:G:A rs117257856 G A G EBF1_EBF_1 30 0 + 0 0 . chr6 109470426 109470427 chr6:109470427:G:A rs3778478 G A A EBF1_EBF_1 8 1 + 5.1329518642712095 0.9066617753849484 CTTCCCCAGTGACT chr6 109477208 109477209 chr6:109477209:G:C rs35146243 G C C EBF1_EBF_1 -12 0 + 0 0 . chr6 109500686 109500687 chr6:109500687:A:T rs12197180 A T T EBF1_EBF_1 -4 0 - 0 0 . chr6 109508819 109508820 chr6:109508820:C:A rs6911851 C A A EBF1_EBF_1 10 1 - 9.443669501010454 5.2609173147831925 ATCCCCCAGGGGCT chr6 109672728 109672729 chr6:109672729:T:C rs866685 T C C EBF1_EBF_1 0 1 - 5.783430669850581 3.891146800285747 ACTCCCCCAGGATT chr6 109673106 109673107 chr6:109673107:T:C rs829813 T C T EBF1_EBF_1 -15 0 - 0 0 . chr6 109679478 109679479 chr6:109679479:A:G rs7341297 A G A EBF1_EBF_1 0 1 + 4.236463799102099 2.344179929537265 ATCTCCCTGGGACA chr6 109690496 109690497 chr6:109690497:G:T rs979564347 G T G EBF1_EBF_1 22 0 - 0 0 . chr6 109690505 109690506 chr6:109690506:T:G rs200798170 T G T EBF1_EBF_1 13 1 - 5.742480020876914 6.11622547016289 AGTCCCTGGAGGCA chr6 109738750 109738751 chr6:109738751:G:A rs12201444 G A G EBF1_EBF_1 16 0 - 0 0 . chr6 109870648 109870649 chr6:109870649:G:C rs7774523 G C G EBF1_EBF_1 -19 0 + 0 0 . chr6 109913271 109913272 chr6:109913272:T:C rs7453570 T C C EBF1_EBF_1 -6 0 + 0 0 . chr6 109926123 109926124 chr6:109926124:C:T rs72948758 C T C EBF1_EBF_1 9 1 - 4.753937175431635 2.0989979099840306 GTCCTCCTGGGACC chr6 109938113 109938114 chr6:109938114:C:A rs58459070 C A C EBF1_EBF_1 18 0 + 0 0 . chr6 110037284 110037285 chr6:110037285:A:G rs73762982 A G A EBF1_EBF_1 6 1 + 4.777990139657691 2.6111957083832142 AGACCCATGGGTCC chr6 110122954 110122955 chr6:110122955:G:A rs76843866 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 110295506 110295507 chr6:110295507:C:T rs72935927 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 110342452 110342453 chr6:110342453:C:T rs17569318 C T C EBF1_EBF_1 12 1 + 4.161080741006511 3.1348141704556616 GGTCCCAGGGTACG chr6 110398759 110398760 chr6:110398760:C:G rs1279451778 C G C EBF1_EBF_1 2 1 + 6.238120430020095 1.3786181512617786 CTCCCCCAGAGAAA chr6 110400584 110400585 chr6:110400585:C:A rs144357380 C A C EBF1_EBF_1 -2 0 + 0 0 . chr6 110421984 110421985 chr6:110421985:C:T rs9400389 C T C EBF1_EBF_1 -9 0 + 0 0 . chr6 110421990 110421991 chr6:110421991:T:C rs9398236 T C C EBF1_EBF_1 -3 0 + 0 0 . chr6 110457876 110457877 chr6:110457877:C:A rs1391338090 C A C EBF1_EBF_1 33 0 - 0 0 . chr6 110509902 110509903 chr6:110509903:G:T rs6919739 G T g EBF1_EBF_1 11 1 + 6.700431038966605 5.4952236264718906 ATTCCCAGGAGGTT chr6 110510310 110510311 chr6:110510311:C:T rs71562231 C T c EBF1_EBF_1 32 0 + 0 0 . chr6 110558762 110558763 chr6:110558763:T:G rs74584433 T G G EBF1_EBF_1 -19 0 + 0 0 . chr6 110647116 110647117 chr6:110647117:C:A rs60080465 C A C EBF1_EBF_1 4 1 + 6.388197017680571 0.5636373942271047 ACCCCCAAAGGAAA chr6 110647136 110647137 chr6:110647137:A:G rs1053438320 A G A EBF1_EBF_1 24 0 + 0 0 . chr6 110714411 110714412 chr6:110714412:T:C rs74905507 T C T EBF1_EBF_1 11 1 - 8.277576669232742 5.384869825628731 ATACCCAAGAGAAT chr6 110742590 110742591 chr6:110742591:T:C rs6912889 T C T EBF1_EBF_1 -15 0 + 0 0 . chr6 110823320 110823321 chr6:110823321:A:G rs375260155 A G A EBF1_EBF_1 25 0 + 0 0 . chr6 110875226 110875227 chr6:110875227:G:A rs1263746203 G A G EBF1_EBF_1 8 1 + 3.6657039392573725 -0.5605861496288879 GGTCCCCGGGGCGC chr6 110875461 110875462 chr6:110875462:C:T rs45563639 C T C EBF1_EBF_1 -11 0 - 0 0 . chr6 111057703 111057704 chr6:111057704:A:G rs544085866 A G A EBF1_EBF_1 13 1 - 5.3728163180231086 4.5283222034375905 GATCCCCTGAGGAT chr6 111122885 111122886 chr6:111122886:C:G rs115349713 C G C EBF1_EBF_1 2 1 + 4.9490637231207115 0.08956144436239466 ATCCCCTGGAGAGG chr6 111259398 111259399 chr6:111259399:C:A rs531895654 C A C EBF1_EBF_1 25 0 - 0 0 . chr6 111342259 111342260 chr6:111342260:T:C rs453776 T C t EBF1_EBF_1 13 1 - 5.493897213354805 4.3795716412853665 CTCCCCCTGGGGCA chr6 111441967 111441968 chr6:111441968:T:A rs138344204 T A T EBF1_EBF_1 -6 0 - 0 0 . chr6 111568585 111568586 chr6:111568586:C:T rs6568688 C T T EBF1_EBF_1 -6 0 - 0 0 . chr6 111594360 111594361 chr6:111594361:C:G rs174388 C G C EBF1_EBF_1 -14 0 + 0 0 . chr6 111598258 111598259 chr6:111598259:G:A rs174398 G A A EBF1_EBF_1 17 0 + 0 0 . chr6 111603709 111603710 chr6:111603710:A:G rs174402 A G G EBF1_EBF_1 6 1 + 7.350660097851031 5.183865666576555 AATCCCAGGAGAAG chr6 111603736 111603737 chr6:111603737:T:C rs112464778 T C T EBF1_EBF_1 33 0 + 0 0 . chr6 111608113 111608114 chr6:111608114:G:A rs944942334 G A G EBF1_EBF_1 7 1 - 10.221674613123499 12.039179359406122 ACTCCCCCGGGAAC chr6 111621193 111621194 chr6:111621194:C:T rs11153305 C T T EBF1_EBF_1 28 0 - 0 0 . chr6 111657532 111657533 chr6:111657533:C:T rs1726130 C T C EBF1_EBF_1 5 1 + 4.92426878605021 -0.39751842949045924 ACTCCCGGGGAAAT chr6 111657540 111657541 chr6:111657541:T:C rs4947139 T C T EBF1_EBF_1 13 1 + 4.92426878605021 4.079774671464692 ACTCCCGGGGAAAT chr6 111706256 111706257 chr6:111706257:G:A rs706868 G A G EBF1_EBF_1 16 0 + 0 0 . chr6 111709131 111709132 chr6:111709132:G:C rs706874 G C C EBF1_EBF_1 -4 0 - 0 0 . chr6 111744094 111744095 chr6:111744095:G:C rs6919476 G C G EBF1_EBF_1 -12 0 + 0 0 . chr6 111759599 111759600 chr6:111759600:C:A rs79192451 C A C EBF1_EBF_1 4 1 + 5.567997135226019 -0.2565624882274484 CCCCCCTAGGGGTT chr6 111759600 111759601 chr6:111759601:C:T rs112950424 C T C EBF1_EBF_1 5 1 + 5.567997135226019 0.2462099196853491 CCCCCCTAGGGGTT chr6 111794151 111794152 chr6:111794152:T:C rs1409839 T C C EBF1_EBF_1 -18 0 + 0 0 . chr6 111807743 111807744 chr6:111807744:T:C rs7758660 T C C EBF1_EBF_1 16 0 - 0 0 . chr6 111824355 111824356 chr6:111824356:A:G rs76882291 A G G EBF1_EBF_1 6 1 + 6.439045297371094 4.272250866096619 CCTCCCAAGGTAAC chr6 111858728 111858729 chr6:111858729:G:A rs7768046 G A A EBF1_EBF_1 15 0 + 0 0 . chr6 111873547 111873548 chr6:111873548:G:A rs939193271 G A G EBF1_EBF_1 17 0 + 0 0 . chr6 111919224 111919225 chr6:111919225:G:A rs76473733 G A G EBF1_EBF_1 24 0 - 0 0 . chr6 111919231 111919232 chr6:111919232:G:A rs150917870 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 111951947 111951948 chr6:111951948:T:G rs56146410 T G T EBF1_EBF_1 13 1 + 8.57017049176612 6.2376053558251865 TTTCCCAAGAGAGT chr6 111952520 111952521 chr6:111952521:C:T rs9487766 C T C EBF1_EBF_1 -6 0 - 0 0 . chr6 111952573 111952574 chr6:111952574:C:T rs183783494 C T C EBF1_EBF_1 24 0 + 0 0 . chr6 111958086 111958087 chr6:111958087:C:T rs1471873252 C T C EBF1_EBF_1 19 0 - 0 0 . chr6 111981311 111981312 chr6:111981312:A:G rs142600524 A G G EBF1_EBF_1 25 0 - 0 0 . chr6 112044423 112044424 chr6:112044424:T:C rs73539589 T C C EBF1_EBF_1 -17 0 - 0 0 . chr6 112050241 112050242 chr6:112050242:T:A rs9384818 T A T EBF1_EBF_1 32 0 + 0 0 . chr6 112087908 112087909 chr6:112087909:T:C rs149880190 T C T EBF1_EBF_1 -17 0 + 0 0 . chr6 112112460 112112461 chr6:112112461:T:C rs3777947 T C C EBF1_EBF_1 9 1 - 5.623617290993069 8.278556556440671 GTTCCCAAGAGCCT chr6 112124054 112124055 chr6:112124055:C:A rs11962711 C A C EBF1_EBF_1 14 0 - 0 0 . chr6 112205435 112205436 chr6:112205436:C:T rs75628159 C T C EBF1_EBF_1 -13 0 + 0 0 . chr6 112217500 112217501 chr6:112217501:C:T rs150945369 C T C EBF1_EBF_1 9 1 + 5.038585735001969 3.2772854732586225 CTTCCCTGGCGACC chr6 112239952 112239953 chr6:112239953:G:A rs113792282 G A G EBF1_EBF_1 3 1 - 4.967548627469835 -1.4378501140834816 AGCCTCCCGGGACT chr6 112468492 112468493 chr6:112468493:G:A chr6:112468493:G:A G A G EBF1_EBF_1 21 0 + 0 0 . chr6 112501884 112501885 chr6:112501885:C:G rs73535412 C G C EBF1_EBF_1 24 0 - 0 0 . chr6 112503234 112503235 chr6:112503235:G:A rs192334111 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 112513417 112513418 chr6:112513418:A:G rs1276545 A G G EBF1_EBF_1 -7 0 + 0 0 . chr6 112517190 112517191 chr6:112517191:G:A rs1355494 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 112541322 112541323 chr6:112541323:G:A rs146717622 G A G EBF1_EBF_1 5 1 - 5.978004193442291 0.6562169779016213 GCACCCGAGGGAAT chr6 112541341 112541342 chr6:112541342:G:A rs140902436 G A G EBF1_EBF_1 -14 0 - 0 0 . chr6 112601883 112601884 chr6:112601884:G:A rs79129578 G A G EBF1_EBF_1 -11 0 + 0 0 . chr6 112849542 112849543 chr6:112849543:A:G rs9481288 A G A EBF1_EBF_1 -14 0 + 0 0 . chr6 112956899 112956900 chr6:112956900:G:A rs60058939 G A G EBF1_EBF_1 10 1 + 5.292196321613118 -0.02583213765384762 GTTCCCCAGAGCAT chr6 112967823 112967824 chr6:112967824:C:T rs1095622 C T C EBF1_EBF_1 -11 0 - 0 0 . chr6 113047289 113047290 chr6:113047290:C:G rs1481303 C G G EBF1_EBF_1 -17 0 - 0 0 . chr6 113082999 113083000 chr6:113083000:C:T rs9374372 C T C EBF1_EBF_1 25 0 + 0 0 . chr6 113248685 113248686 chr6:113248686:C:T rs56255801 C T C EBF1_EBF_1 24 0 - 0 0 . chr6 113248719 113248720 chr6:113248720:G:C rs139842549 G C G EBF1_EBF_1 -10 0 - 0 0 . chr6 113376126 113376127 chr6:113376127:T:C rs79282214 T C t EBF1_EBF_1 0 1 - 4.495244112919775 2.602960243354941 ATCCCCCGAGGAAG chr6 113457447 113457448 chr6:113457448:G:C rs7771550 G C G EBF1_EBF_1 -14 0 + 0 0 . chr6 113599623 113599624 chr6:113599624:T:C rs9400642 T C C EBF1_EBF_1 20 0 - 0 0 . chr6 113608471 113608472 chr6:113608472:C:T rs79378617 C T C EBF1_EBF_1 15 0 + 0 0 . chr6 113630090 113630091 chr6:113630091:G:A rs181502445 G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 113669298 113669299 chr6:113669299:A:T rs540711151 A T A EBF1_EBF_1 23 0 + 0 0 . chr6 113755681 113755682 chr6:113755682:T:A rs6940434 T A T EBF1_EBF_1 23 0 - 0 0 . chr6 113789980 113789981 chr6:113789981:C:T rs9488262 C T C EBF1_EBF_1 33 0 - 0 0 . chr6 113833622 113833623 chr6:113833623:A:T rs6931913 A T A EBF1_EBF_1 -2 0 - 0 0 . chr6 113854833 113854834 chr6:113854834:G:C rs187094960 G C G EBF1_EBF_1 -15 0 - 0 0 . chr6 113858841 113858842 chr6:113858842:G:A chr6:113858842:G:A G A G EBF1_EBF_1 22 0 - 0 0 . chr6 113971018 113971019 chr6:113971019:G:T rs745603514 G T G EBF1_EBF_1 16 0 - 0 0 . chr6 113971020 113971021 chr6:113971021:T:C rs77766563 T C T EBF1_EBF_1 14 0 - 0 0 . chr6 114055701 114055702 chr6:114055702:T:C rs17075816 T C T EBF1_EBF_1 27 0 - 0 0 . chr6 114246255 114246256 chr6:114246256:A:C rs2349213 A C G EBF1_EBF_1 30 0 - 0 0 . chr6 114329472 114329473 chr6:114329473:G:T rs73544443 G T T EBF1_EBF_1 31 0 - 0 0 . chr6 114329490 114329491 chr6:114329491:T:G rs785145 T G G EBF1_EBF_1 13 1 - 5.639253163120372 6.012998612406348 ATTCCCCTTGGACA chr6 114342983 114342984 chr6:114342984:G:A rs897489359 G A - EBF1_EBF_1 28 0 - 0 0 . chr6 114651135 114651136 chr6:114651136:T:C rs9398379 T C T EBF1_EBF_1 -13 0 - 0 0 . chr6 114793466 114793467 chr6:114793467:T:C rs9372408 T C T EBF1_EBF_1 20 0 + 0 0 . chr6 114855468 114855469 chr6:114855469:C:G rs9488490 C G G EBF1_EBF_1 1 1 - 7.5728947706111445 7.3831231160419275 GGTCCCAAGGGCCT chr6 115066428 115066429 chr6:115066429:T:C rs28696668 T C T EBF1_EBF_1 31 0 + 0 0 . chr6 115144613 115144614 chr6:115144614:T:C rs1431804 T C T EBF1_EBF_1 -2 0 - 0 0 . chr6 115485585 115485586 chr6:115485586:C:T rs1113944 C T T EBF1_EBF_1 12 1 + 5.067026629504573 4.040760058953723 CGTCCCAAAGGACG chr6 115834867 115834868 chr6:115834868:C:T rs56040201 C T C EBF1_EBF_1 12 1 + 7.27854008904391 6.25227351849306 ATTCCCCGGGGCCA chr6 115871347 115871348 chr6:115871348:T:C rs79033312 T C T EBF1_EBF_1 22 0 - 0 0 . chr6 115996280 115996281 chr6:115996281:T:C rs3798232 T C T EBF1_EBF_1 21 0 - 0 0 . chr6 116030934 116030935 chr6:116030935:C:A rs76485746 C A C EBF1_EBF_1 -17 0 - 0 0 . chr6 116120964 116120965 chr6:116120965:G:A rs199843000 G A G EBF1_EBF_1 12 1 - 6.540487826434007 5.514221255883157 GTTCCCCTGGGTCA chr6 116165796 116165797 chr6:116165797:G:T rs117292505 G T G EBF1_EBF_1 15 0 + 0 0 . chr6 116224544 116224545 chr6:116224545:G:A rs1204801 G A A EBF1_EBF_1 -17 0 + 0 0 . chr6 116240547 116240548 chr6:116240548:T:A rs12664763 T A T EBF1_EBF_1 12 1 + 6.554910960800156 7.611358929772332 AGTCCCAGGAGATG chr6 116278501 116278502 chr6:116278502:T:C rs9400897 T C T EBF1_EBF_1 2 1 + 8.982782260555336 7.093958849113187 ATTCCCAAGGGCAA chr6 116279731 116279732 chr6:116279732:G:A rs61746509 G A G EBF1_EBF_1 30 0 - 0 0 . chr6 116317190 116317191 chr6:116317191:A:G rs73767737 A G G EBF1_EBF_1 16 0 - 0 0 . chr6 116370589 116370590 chr6:116370590:C:T rs142881846 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 116374044 116374045 chr6:116374045:A:G rs67134208 A G A EBF1_EBF_1 33 0 + 0 0 . chr6 116492769 116492770 chr6:116492770:A:G rs9398436 A G A EBF1_EBF_1 22 0 - 0 0 . chr6 116512843 116512844 chr6:116512844:T:C rs2250263 T C C EBF1_EBF_1 16 0 - 0 0 . chr6 116525255 116525256 chr6:116525256:A:G rs12192126 A G G EBF1_EBF_1 13 1 - 9.069337413227606 8.224843298642087 ATTCCCAAGAGGAT chr6 116562882 116562883 chr6:116562883:A:G rs139975297 A G A EBF1_EBF_1 11 1 + 5.847856374374279 2.9551495307702673 AGTCCCTAGAAACT chr6 116570997 116570998 chr6:116570998:G:T rs9488971 G T G EBF1_EBF_1 9 1 + 4.489444787269924 -2.347544620818886 ACTCCCGTAGGACG chr6 116571000 116571001 chr6:116571001:C:A rs117130599 C A C EBF1_EBF_1 12 1 + 4.489444787269924 4.51962618569125 ACTCCCGTAGGACG chr6 116575747 116575748 chr6:116575748:C:T rs1033724 C T C EBF1_EBF_1 12 1 + 7.685172976644454 6.658906406093605 ATTCCTCAGGGACA chr6 116695532 116695533 chr6:116695533:C:T rs117592868 C T C EBF1_EBF_1 9 1 - 5.737192128708295 3.0822528632606914 TCTCCCTTAGGACA chr6 116877324 116877325 chr6:116877325:C:A rs9489056 C A C EBF1_EBF_1 22 0 - 0 0 . chr6 116971869 116971870 chr6:116971870:A:G rs35740871 A G A EBF1_EBF_1 11 1 - 6.796865847102087 6.478818405348423 AGTCCCCAGAGTAT chr6 117089697 117089698 chr6:117089698:A:G rs2498916 A G A EBF1_EBF_1 -13 0 + 0 0 . chr6 117126216 117126217 chr6:117126217:C:G rs1724765 C G G EBF1_EBF_1 10 1 - 5.634324290762905 -1.2564780965665692 AGTCCCCAGAGCAC chr6 117328824 117328825 chr6:117328825:T:C rs581235 T C C EBF1_EBF_1 16 0 + 0 0 . chr6 117393182 117393183 chr6:117393183:C:G rs1535330 C G G EBF1_EBF_1 3 1 + 5.392985597361093 -0.4347788507541206 ATTCCCAATGGAAG chr6 117400297 117400298 chr6:117400298:G:C rs13204089 G C C EBF1_EBF_1 -11 0 - 0 0 . chr6 117485297 117485298 chr6:117485298:G:A rs6914910 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 117512150 117512151 chr6:117512151:A:G rs138979474 A G A EBF1_EBF_1 31 0 + 0 0 . chr6 117535463 117535464 chr6:117535464:G:T rs210653 G T T EBF1_EBF_1 -6 0 - 0 0 . chr6 117548365 117548366 chr6:117548366:C:G rs532430975 C G C EBF1_EBF_1 -16 0 + 0 0 . chr6 117548366 117548367 chr6:117548367:G:A rs11757765 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 117616330 117616331 chr6:117616331:C:T rs10457318 C T T EBF1_EBF_1 0 1 - 5.36625430468721 7.258538174252042 GCCCCCATGGGTAT chr6 117616826 117616827 chr6:117616827:T:G rs185764044 T G - EBF1_EBF_1 6 1 - 6.208487103050157 5.846884735248879 CCCCCCATGGGGAT chr6 117617251 117617252 chr6:117617252:G:C rs28435860 G C G EBF1_EBF_1 -1 0 - 0 0 . chr6 117645645 117645646 chr6:117645646:C:A rs62430989 C A C EBF1_EBF_1 21 0 + 0 0 . chr6 117675238 117675239 chr6:117675239:G:A rs57604359 G A G EBF1_EBF_1 -5 0 - 0 0 . chr6 117746202 117746203 chr6:117746203:T:A rs2459933 T A T EBF1_EBF_1 19 0 + 0 0 . chr6 117845786 117845787 chr6:117845787:T:C rs995284 T C T EBF1_EBF_1 32 0 + 0 0 . chr6 117952348 117952349 chr6:117952349:C:G rs77598542 C G C EBF1_EBF_1 -7 0 - 0 0 . chr6 117999305 117999306 chr6:117999306:G:A rs9401042 G A - EBF1_EBF_1 -2 0 + 0 0 . chr6 118003449 118003450 chr6:118003450:C:T rs9374698 C T T EBF1_EBF_1 -18 0 - 0 0 . chr6 118089786 118089787 chr6:118089787:C:T rs9320640 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 118100941 118100942 chr6:118100942:A:T rs9374717 A T A EBF1_EBF_1 13 1 - 5.776756292803064 4.55851672893157 TTTCCCAAGTGACT chr6 118171825 118171826 chr6:118171826:T:C rs72963636 T C T EBF1_EBF_1 5 1 - 4.92014301363287 4.92014301363287 AGTCCACTGGGACA chr6 118187939 118187940 chr6:118187940:T:C rs17420932 T C T EBF1_EBF_1 27 0 + 0 0 . chr6 118285997 118285998 chr6:118285998:G:T rs4945619 G T G EBF1_EBF_1 -19 0 - 0 0 . chr6 118371802 118371803 chr6:118371803:T:C rs1357011321 T C T EBF1_EBF_1 -19 0 + 0 0 . chr6 118371817 118371818 chr6:118371818:A:T rs7453914 A T T EBF1_EBF_1 -4 0 + 0 0 . chr6 118383803 118383804 chr6:118383804:C:T rs11153732 C T c EBF1_EBF_1 9 1 - 5.965563518642196 3.3106242531945926 GATCCCCAGGTACA chr6 118383830 118383831 chr6:118383831:T:C rs3951044 T C C EBF1_EBF_1 -18 0 - 0 0 . chr6 118574981 118574982 chr6:118574982:G:A rs74618731 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 118649614 118649615 chr6:118649615:C:T rs148740322 C T C EBF1_EBF_1 4 1 + 9.687494553224353 5.318107608279431 AGCCCCTAGGGATA chr6 118650616 118650617 chr6:118650617:T:C rs898763959 T C T EBF1_EBF_1 17 0 - 0 0 . chr6 118651861 118651862 chr6:118651862:C:T rs74967348 C T C EBF1_EBF_1 0 1 + 5.613547299186578 5.895749359917156 CCTCCCTTGGGTAA chr6 118651893 118651894 chr6:118651894:C:G rs79797360 C G C EBF1_EBF_1 32 0 + 0 0 . chr6 118669777 118669778 chr6:118669778:G:A rs9481844 G A A EBF1_EBF_1 16 0 - 0 0 . chr6 118768957 118768958 chr6:118768958:T:G rs187999454 T G T EBF1_EBF_1 25 0 + 0 0 . chr6 119039816 119039817 chr6:119039817:G:A rs9387631 G A g EBF1_EBF_1 26 0 - 0 0 . chr6 119040887 119040888 chr6:119040888:G:A rs115067554 G A G EBF1_EBF_1 2 1 - 6.132553361550309 8.02137677299246 AACCCCTGAGGACT chr6 119162996 119162997 chr6:119162997:C:T rs1150132 C T T EBF1_EBF_1 14 0 + 0 0 . chr6 119176399 119176400 chr6:119176400:G:A rs6916978 G A G EBF1_EBF_1 22 0 - 0 0 . chr6 119209883 119209884 chr6:119209884:C:A rs2267673 C A C EBF1_EBF_1 -6 0 - 0 0 . chr6 119209887 119209888 chr6:119209888:T:C rs2267674 T C T EBF1_EBF_1 -10 0 - 0 0 . chr6 119330896 119330897 chr6:119330897:A:C rs195068 A C C EBF1_EBF_1 -10 0 + 0 0 . chr6 119349188 119349189 chr6:119349189:T:C rs536677033 T C T EBF1_EBF_1 20 0 - 0 0 . chr6 119349207 119349208 chr6:119349208:A:T rs554862044 A T A EBF1_EBF_1 1 1 - 6.642118842029364 5.675758224278532 TTCCCCCAGGGAGG chr6 119349221 119349222 chr6:119349222:G:T rs770266303 G T G EBF1_EBF_1 -13 0 - 0 0 . chr6 119349883 119349884 chr6:119349884:G:C rs552397889 G C G EBF1_EBF_1 -17 0 - 0 0 . chr6 119350092 119350093 chr6:119350093:C:G rs775922425 C G C EBF1_EBF_1 9 1 - 5.233087724488052 0.15739857814258806 CCACCCCGGGGACC chr6 119449227 119449228 chr6:119449228:T:C rs76009140 T C T EBF1_EBF_1 -8 0 - 0 0 . chr6 119514679 119514680 chr6:119514680:T:C rs4946424 T C C EBF1_EBF_1 24 0 - 0 0 . chr6 119521397 119521398 chr6:119521398:T:C rs7740612 T C T EBF1_EBF_1 1 1 + 11.60044188903034 10.705008448631595 ATTCCCCAGAGAAT chr6 119536840 119536841 chr6:119536841:G:A rs9489731 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 119612543 119612544 chr6:119612544:A:G rs79262179 A G G EBF1_EBF_1 21 0 - 0 0 . chr6 119612971 119612972 chr6:119612972:A:G rs1417846 A G A EBF1_EBF_1 13 1 + 8.194224320289404 7.079898748219965 TTCCCCAGGGGACA chr6 119612983 119612984 chr6:119612984:G:A rs13204987 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 119806855 119806856 chr6:119806856:C:T rs2884239 C T T EBF1_EBF_1 -7 0 - 0 0 . chr6 119830775 119830776 chr6:119830776:C:T rs567535876 C T C EBF1_EBF_1 -9 0 - 0 0 . chr6 119925197 119925198 chr6:119925198:G:A rs10872187 G A G EBF1_EBF_1 9 1 + 7.902208414682619 5.2472691492350165 CTTCCCCAGGGTAT chr6 119966680 119966681 chr6:119966681:G:T chr6:119966681:G:T G T G EBF1_EBF_1 27 0 - 0 0 . chr6 120055170 120055171 chr6:120055171:A:G rs761447 A G G EBF1_EBF_1 -10 0 - 0 0 . chr6 120055243 120055244 chr6:120055244:G:A rs761446 G A A EBF1_EBF_1 -4 0 - 0 0 . chr6 120190426 120190427 chr6:120190427:C:A rs80238623 C A C EBF1_EBF_1 -15 0 + 0 0 . chr6 120230221 120230222 chr6:120230222:C:A chr6:120230222:C:A C A C EBF1_EBF_1 8 1 - 7.353256640100903 0.4618238511237078 AGTCCTCAGGGACC chr6 120320864 120320865 chr6:120320865:A:C rs1382516 A C C EBF1_EBF_1 -15 0 - 0 0 . chr6 120393247 120393248 chr6:120393248:G:A rs3013716 G A G EBF1_EBF_1 1 1 + 5.131065536030349 4.870366704109047 AGCCCCAATGGAAT chr6 120497984 120497985 chr6:120497985:A:G rs12212338 A G A EBF1_EBF_1 7 1 + 5.956236371211756 4.64377796592293 AGTCCCAAGGAAGA chr6 120542730 120542731 chr6:120542731:C:T rs2474351 C T C EBF1_EBF_1 16 0 - 0 0 . chr6 120542737 120542738 chr6:120542738:C:G rs76922954 C G C EBF1_EBF_1 9 1 - 5.860412971859718 0.7847238255142553 TCTGCCTAGGGAAT chr6 120747277 120747278 chr6:120747278:A:G rs11153958 A G G EBF1_EBF_1 6 1 - 7.623660947472492 7.322374818610734 CATCCCTAGAGATT chr6 120815828 120815829 chr6:120815829:G:T rs77494494 G T G EBF1_EBF_1 2 1 - 4.236463799102099 2.4408196229453716 ATCTCCCTGGGACA chr6 120873137 120873138 chr6:120873138:G:C rs78713372 G C G EBF1_EBF_1 5 1 - 6.24661153825421 -0.6582696143809577 CTCCCCAAAGGAAT chr6 120931981 120931982 chr6:120931982:C:T rs753436453 C T C EBF1_EBF_1 -10 0 - 0 0 . chr6 121049311 121049312 chr6:121049312:C:T rs9374999 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 121105653 121105654 chr6:121105654:C:A rs76869342 C A C EBF1_EBF_1 9 1 - 5.601455301551428 -1.2355341065373817 AATCCCCGCGGATT chr6 121157993 121157994 chr6:121157994:C:T rs1273754 C T T EBF1_EBF_1 -8 0 - 0 0 . chr6 121235460 121235461 chr6:121235461:A:G rs73768940 A G A EBF1_EBF_1 23 0 - 0 0 . chr6 121260889 121260890 chr6:121260890:C:T rs543738094 C T C EBF1_EBF_1 11 1 + 4.299973368404723 4.618020810158387 GCTCCCATGGGCGA chr6 121398792 121398793 chr6:121398793:G:A rs7775586 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 121437460 121437461 chr6:121437461:G:A rs77444371 G A G EBF1_EBF_1 -19 0 - 0 0 . chr6 121437461 121437462 chr6:121437462:C:T rs74876818 C T C EBF1_EBF_1 -20 0 - 0 0 . chr6 121437541 121437542 chr6:121437542:C:T rs9482164 C T C EBF1_EBF_1 6 1 - 6.0668349527880965 8.23362938406257 CTTCCCGAGGGTCT chr6 121491719 121491720 chr6:121491720:T:C rs10782213 T C T EBF1_EBF_1 -5 0 - 0 0 . chr6 121537179 121537180 chr6:121537180:G:C rs17083751 G C G EBF1_EBF_1 -10 0 + 0 0 . chr6 121563413 121563414 chr6:121563414:T:G rs4245511 T G T EBF1_EBF_1 6 1 + 6.153471601146754 4.046993408811799 TATCCCTTGAGAAG chr6 121582436 121582437 chr6:121582437:A:C rs12195996 A C A EBF1_EBF_1 -6 0 - 0 0 . chr6 121847924 121847925 chr6:121847925:G:A rs77045411 G A A EBF1_EBF_1 6 1 + 9.075243589131095 11.24203802040557 TCTCCCGTGGGACT chr6 121884446 121884447 chr6:121884447:T:C rs145043940 T C T EBF1_EBF_1 23 0 - 0 0 . chr6 121932649 121932650 chr6:121932650:T:C rs150750337 T C T EBF1_EBF_1 27 0 - 0 0 . chr6 121932747 121932748 chr6:121932748:G:A rs6420722 G A A EBF1_EBF_1 -1 0 + 0 0 . chr6 121932768 121932769 chr6:121932769:T:G chr6:121932769:T:G T G T EBF1_EBF_1 20 0 + 0 0 . chr6 122009192 122009193 chr6:122009193:C:T rs7751455 C T C EBF1_EBF_1 -10 0 + 0 0 . chr6 122052762 122052763 chr6:122052763:C:G rs9385232 C G G EBF1_EBF_1 26 0 + 0 0 . chr6 122248088 122248089 chr6:122248089:T:A rs2085401 T A T EBF1_EBF_1 2 1 + 4.488509780197888 0.80404219259901 CTTCGCTGGGGACC chr6 122482908 122482909 chr6:122482909:G:C rs560717 G C C EBF1_EBF_1 -11 0 - 0 0 . chr6 122564243 122564244 chr6:122564244:C:G rs9375148 C G C EBF1_EBF_1 10 1 - 5.091688249616784 -1.7991141377126911 AATCCCGAGAGGAC chr6 122564273 122564274 chr6:122564274:T:C rs9388096 T C C EBF1_EBF_1 -20 0 - 0 0 . chr6 122569987 122569988 chr6:122569988:A:C rs1594421 A C C EBF1_EBF_1 21 0 + 0 0 . chr6 122610274 122610275 chr6:122610275:G:T rs2288661 G T G EBF1_EBF_1 -10 0 - 0 0 . chr6 122611237 122611238 chr6:122611238:G:A rs147172387 G A G EBF1_EBF_1 -11 0 - 0 0 . chr6 122611331 122611332 chr6:122611332:G:A rs113996898 G A G EBF1_EBF_1 8 1 + 9.310711324640023 5.084421235753761 GCTCCCCGGGGAAC chr6 122648048 122648049 chr6:122648049:C:A rs2082197 C A C EBF1_EBF_1 -4 0 + 0 0 . chr6 122735414 122735415 chr6:122735415:T:C rs58551574 T C T EBF1_EBF_1 31 0 + 0 0 . chr6 122789775 122789776 chr6:122789776:T:C rs936801 T C C EBF1_EBF_1 12 1 - 6.929338467309566 5.510521125210088 CTTCCCCAAGGAAC chr6 122803146 122803147 chr6:122803147:C:T rs869480 C T T EBF1_EBF_1 -5 0 - 0 0 . chr6 122929942 122929943 chr6:122929943:G:T rs181064833 G T G EBF1_EBF_1 3 1 - 6.7056262218784015 -0.20054780758740587 ATACCCCTGGGATG chr6 122986570 122986571 chr6:122986571:C:T rs9375202 C T C EBF1_EBF_1 5 1 + 4.924050155839824 -0.39773705970084694 AGCCCCCAGGAAGT chr6 123323624 123323625 chr6:123323625:G:A rs72974644 G A G EBF1_EBF_1 9 1 + 6.306733391202549 3.651794125754945 ATTCCCAATGGAGT chr6 123518673 123518674 chr6:123518674:C:A rs9398733 C A C EBF1_EBF_1 10 1 - 6.372023600004715 2.1892714137774503 TCTCCCTAGGGTAA chr6 123518832 123518833 chr6:123518833:A:G rs1329966116 A G A EBF1_EBF_1 -18 0 - 0 0 . chr6 123568200 123568201 chr6:123568201:C:T rs2317707 C T C EBF1_EBF_1 29 0 - 0 0 . chr6 123803590 123803591 chr6:123803591:C:G rs1057200810 C G - EBF1_EBF_1 -10 0 + 0 0 . chr6 123803598 123803599 chr6:123803599:G:A rs574475416 G A - EBF1_EBF_1 -2 0 + 0 0 . chr6 123803610 123803611 chr6:123803611:G:A rs1246272151 G A - EBF1_EBF_1 10 1 + 3.8479969377610512 -1.4700315215059139 AGCCGCCGGGGACC chr6 123803613 123803614 chr6:123803614:C:G rs1023099021 C G - EBF1_EBF_1 13 1 + 3.8479969377610512 2.3599259164056368 AGCCGCCGGGGACC chr6 123803622 123803623 chr6:123803623:G:C rs1459768 G C - EBF1_EBF_1 22 0 + 0 0 . chr6 124073172 124073173 chr6:124073173:T:C rs114936662 T C T EBF1_EBF_1 32 0 - 0 0 . chr6 124076271 124076272 chr6:124076272:A:T rs113879260 A T A EBF1_EBF_1 26 0 + 0 0 . chr6 124080068 124080069 chr6:124080069:C:T rs2048338 C T C EBF1_EBF_1 12 1 - 7.410496801841052 8.82931414394053 ACTCCCATGGGCGT chr6 124193190 124193191 chr6:124193191:C:G rs11154206 C G G EBF1_EBF_1 -18 0 + 0 0 . chr6 124290888 124290889 chr6:124290889:T:A rs2753148 T A T EBF1_EBF_1 -1 0 + 0 0 . chr6 124349351 124349352 chr6:124349352:G:C rs62434725 G C G EBF1_EBF_1 -8 0 + 0 0 . chr6 124357102 124357103 chr6:124357103:T:C rs12527820 T C T EBF1_EBF_1 32 0 - 0 0 . chr6 124487818 124487819 chr6:124487819:T:C rs9320994 T C T EBF1_EBF_1 -12 0 - 0 0 . chr6 124714819 124714820 chr6:124714820:A:C rs715944 A C C EBF1_EBF_1 1 1 - 5.480501301337539 4.7748395155080114 GTTCCCCTGAGAGG chr6 124770325 124770326 chr6:124770326:G:A rs573932647 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 124888038 124888039 chr6:124888039:C:T rs57535821 C T T EBF1_EBF_1 22 0 + 0 0 . chr6 124955473 124955474 chr6:124955474:G:A rs116039404 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 124962046 124962047 chr6:124962047:C:A rs181325835 C A c EBF1_EBF_1 12 1 + 6.343916902728338 6.374098301149664 CTCCCCCAGGGGCC chr6 125002481 125002482 chr6:125002482:G:C rs9491275 G C G EBF1_EBF_1 27 0 + 0 0 . chr6 125123688 125123689 chr6:125123689:G:C rs10456955 G C G EBF1_EBF_1 -16 0 + 0 0 . chr6 125232170 125232171 chr6:125232171:C:T rs11970632 C T C EBF1_EBF_1 9 1 - 12.07078097285562 9.415841707408015 AATCCCCAGGGAAA chr6 125296701 125296702 chr6:125296702:G:A rs3778449 G A G EBF1_EBF_1 20 0 + 0 0 . chr6 125443069 125443070 chr6:125443070:A:G rs77684532 A G A EBF1_EBF_1 12 1 - 9.725435137937675 10.751701708488524 CTTCCCCAGGGATA chr6 125512274 125512275 chr6:125512275:C:G rs78723936 C G C EBF1_EBF_1 25 0 + 0 0 . chr6 125537658 125537659 chr6:125537659:G:A rs9491435 G A G EBF1_EBF_1 19 0 - 0 0 . chr6 125570803 125570804 chr6:125570804:T:C rs58090131 T C G EBF1_EBF_1 20 0 - 0 0 . chr6 125585719 125585720 chr6:125585720:A:G rs17053373 A G G EBF1_EBF_1 27 0 - 0 0 . chr6 125665735 125665736 chr6:125665736:C:T rs113473141 C T C EBF1_EBF_1 1 1 + 10.248052034312382 11.143485474711127 CCTCCCAAGGGAAA chr6 125676289 125676290 chr6:125676290:A:G rs1832979 A G A EBF1_EBF_1 23 0 - 0 0 . chr6 125676297 125676298 chr6:125676298:T:G rs1832980 T G G EBF1_EBF_1 15 0 - 0 0 . chr6 125688410 125688411 chr6:125688411:G:A rs2797159 G A G EBF1_EBF_1 33 0 - 0 0 . chr6 125699883 125699884 chr6:125699884:C:T rs1777222 C T C EBF1_EBF_1 23 0 - 0 0 . chr6 125757214 125757215 chr6:125757215:A:G rs3799709 A G A EBF1_EBF_1 27 0 - 0 0 . chr6 125776286 125776287 chr6:125776287:T:G rs59845115 T G - EBF1_EBF_1 -11 0 + 0 0 . chr6 125776310 125776311 chr6:125776311:A:G rs1977141 A G a EBF1_EBF_1 13 1 + 7.179195744069909 6.06487017200047 ATTGCCCAGGGACA chr6 125781255 125781256 chr6:125781256:T:C rs41285252 T C T EBF1_EBF_1 13 1 + 5.568677062015865 4.724182947430347 TGACCCAAGAGACT chr6 125838016 125838017 chr6:125838017:C:G rs483912 C G C EBF1_EBF_1 23 0 - 0 0 . chr6 125926864 125926865 chr6:125926865:A:G rs72971817 A G A EBF1_EBF_1 26 0 + 0 0 . chr6 125940883 125940884 chr6:125940884:C:T rs11965647 C T C EBF1_EBF_1 7 1 - 4.599721834645271 5.912180239934096 TCTCCCCGAGGACA chr6 125996202 125996203 chr6:125996203:G:A rs79178369 G A G EBF1_EBF_1 30 0 - 0 0 . chr6 125996236 125996237 chr6:125996237:C:A rs189002355 C A A EBF1_EBF_1 -4 0 - 0 0 . chr6 126144282 126144283 chr6:126144283:A:G rs542820468 A G A EBF1_EBF_1 -18 0 - 0 0 . chr6 126258236 126258237 chr6:126258237:C:T rs4895804 C T C EBF1_EBF_1 31 0 - 0 0 . chr6 126258241 126258242 chr6:126258242:G:A rs4897173 G A g EBF1_EBF_1 26 0 - 0 0 . chr6 126550512 126550513 chr6:126550513:T:A rs948420802 T A T EBF1_EBF_1 -8 0 + 0 0 . chr6 126690458 126690459 chr6:126690459:T:G rs79284120 T G T EBF1_EBF_1 -3 0 + 0 0 . chr6 127120520 127120521 chr6:127120521:C:T rs74495675 C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 128001985 128001986 chr6:128001986:T:C rs118191185 T C T EBF1_EBF_1 -14 0 + 0 0 . chr6 128067653 128067654 chr6:128067654:G:T rs35030557 G T G EBF1_EBF_1 2 1 - 6.599280709097519 4.803636532940792 CTCCCCCCGGGAAA chr6 128067674 128067675 chr6:128067675:C:T rs150460178 C T C EBF1_EBF_1 -19 0 - 0 0 . chr6 128112718 128112719 chr6:128112719:A:C rs4897244 A C A EBF1_EBF_1 -9 0 - 0 0 . chr6 128202307 128202308 chr6:128202308:C:T rs114738309 C T C EBF1_EBF_1 -4 0 - 0 0 . chr6 128202627 128202628 chr6:128202628:G:A rs77811060 G A G EBF1_EBF_1 33 0 - 0 0 . chr6 128491898 128491899 chr6:128491899:A:G chr6:128491899:A:G A G A EBF1_EBF_1 -20 0 + 0 0 . chr6 128491939 128491940 chr6:128491940:C:T rs17460940 C T C EBF1_EBF_1 21 0 + 0 0 . chr6 128519347 128519348 chr6:128519348:G:C rs112817629 G C G EBF1_EBF_1 -12 0 + 0 0 . chr6 128570133 128570134 chr6:128570134:C:G rs17056025 C G C EBF1_EBF_1 -1 0 + 0 0 . chr6 128762311 128762312 chr6:128762312:G:T rs73595660 G T G EBF1_EBF_1 0 1 + 5.0482068629335455 4.967434309532357 GCCCCCATGGGCAT chr6 128836335 128836336 chr6:128836336:G:A rs9492122 G A A EBF1_EBF_1 -7 0 + 0 0 . chr6 128848533 128848534 chr6:128848534:C:T rs4431453 C T C EBF1_EBF_1 10 1 - 6.992180104901972 1.6741516456350058 ACTCACTAGGGAAC chr6 129172893 129172894 chr6:129172894:G:A rs60805887 G A g EBF1_EBF_1 29 0 - 0 0 . chr6 129485879 129485880 chr6:129485880:C:G rs117310755 C G C EBF1_EBF_1 20 0 - 0 0 . chr6 129485880 129485881 chr6:129485881:A:T rs552461103 A T A EBF1_EBF_1 19 0 - 0 0 . chr6 129584103 129584104 chr6:129584104:A:G rs12197456 A G A EBF1_EBF_1 11 1 + 7.2779912341803525 4.3852843905763415 ACTCCCTGAGGAAC chr6 129699665 129699666 chr6:129699666:C:T rs2187896 C T T EBF1_EBF_1 1 1 + 5.466087398267864 6.36152083866661 TCTCCCCTAGGAAA chr6 129726788 129726789 chr6:129726789:C:T rs17057708 C T C EBF1_EBF_1 5 1 + 4.073627362958413 -1.2481598525822577 AATCCCCCTGGACT chr6 129731449 129731450 chr6:129731450:A:G rs113984688 A G A EBF1_EBF_1 2 1 - 5.768869826175766 3.8800464147336147 AGTACCCAGGGACC chr6 129775125 129775126 chr6:129775126:C:A rs773324953 C A C EBF1_EBF_1 31 0 + 0 0 . chr6 129801985 129801986 chr6:129801986:A:G rs72990009 A G A EBF1_EBF_1 -7 0 - 0 0 . chr6 129817779 129817780 chr6:129817780:G:C rs728110 G C C EBF1_EBF_1 -2 0 - 0 0 . chr6 129946761 129946762 chr6:129946762:T:C rs71570987 T C T EBF1_EBF_1 11 1 - 7.535463616303349 4.642756772699338 ACTCCCCTGGAACT chr6 130215892 130215893 chr6:130215893:G:C rs117962523 G C G EBF1_EBF_1 5 1 - 6.012815380213923 -0.8920657724212442 ATTCTCCAGAGAAA chr6 130320963 130320964 chr6:130320964:C:T rs4897410 C T C EBF1_EBF_1 -11 0 + 0 0 . chr6 130525259 130525260 chr6:130525260:C:A rs146939578 C A C EBF1_EBF_1 11 1 - 5.382055010105184 4.17684759761047 CCTCCCTTGAGGAT chr6 130697275 130697276 chr6:130697276:T:A rs2030023 T A A EBF1_EBF_1 26 0 + 0 0 . chr6 130701645 130701646 chr6:130701646:C:T rs189928123 C T C EBF1_EBF_1 -4 0 - 0 0 . chr6 130810417 130810418 chr6:130810418:G:A rs11968124 G A G EBF1_EBF_1 29 0 + 0 0 . chr6 130827503 130827504 chr6:130827504:G:A rs61741242 G A G EBF1_EBF_1 7 1 - 3.838535678961698 5.6560404252443215 GACCCCACGGGGAC chr6 130869416 130869417 chr6:130869417:T:C rs1026016547 T C T EBF1_EBF_1 -12 0 + 0 0 . chr6 130873942 130873943 chr6:130873943:T:C rs6569711 T C C EBF1_EBF_1 22 0 - 0 0 . chr6 130890866 130890867 chr6:130890867:A:G rs3777446 A G G EBF1_EBF_1 2 1 - 7.176087803974698 5.287264392532548 CTTCCCAGGAGAAA chr6 130997246 130997247 chr6:130997247:C:T rs9483204 C T C EBF1_EBF_1 33 0 + 0 0 . chr6 131002384 131002385 chr6:131002385:C:G rs74802828 C G C EBF1_EBF_1 16 0 - 0 0 . chr6 131003627 131003628 chr6:131003628:T:C rs10499180 T C T EBF1_EBF_1 -4 0 - 0 0 . chr6 131006939 131006940 chr6:131006940:G:C rs6921542 G C G EBF1_EBF_1 0 1 + 5.991427584016766 5.628452969884999 GATCCCATGGGCCC chr6 131255486 131255487 chr6:131255487:C:T rs147435365 C T C EBF1_EBF_1 -18 0 - 0 0 . chr6 131295045 131295046 chr6:131295046:T:A rs72993171 T A T EBF1_EBF_1 22 0 - 0 0 . chr6 131295046 131295047 chr6:131295047:C:A rs72993172 C A C EBF1_EBF_1 21 0 - 0 0 . chr6 131295068 131295069 chr6:131295069:T:C rs116188687 T C T EBF1_EBF_1 -1 0 - 0 0 . chr6 131295083 131295084 chr6:131295084:G:C rs9483245 G C C EBF1_EBF_1 -16 0 - 0 0 . chr6 131300973 131300974 chr6:131300974:T:A rs143565029 T A T EBF1_EBF_1 23 0 - 0 0 . chr6 131430716 131430717 chr6:131430717:G:A rs72995289 G A G EBF1_EBF_1 3 1 - 7.644748948117404 1.2393502065640876 CATCCCCAGGGATG chr6 131493433 131493434 chr6:131493434:A:G rs2807292 A G G EBF1_EBF_1 21 0 + 0 0 . chr6 131493438 131493439 chr6:131493439:T:C rs2781644 T C C EBF1_EBF_1 26 0 + 0 0 . chr6 131811697 131811698 chr6:131811698:A:C rs117806897 A C A EBF1_EBF_1 19 0 - 0 0 . chr6 131860012 131860013 chr6:131860013:C:T rs73778350 C T C EBF1_EBF_1 21 0 + 0 0 . chr6 131892482 131892483 chr6:131892483:G:C rs1931006 G C C EBF1_EBF_1 14 0 - 0 0 . chr6 131947231 131947232 chr6:131947232:G:A rs79044527 G A G EBF1_EBF_1 20 0 - 0 0 . chr6 132003461 132003462 chr6:132003462:C:T rs554050061 C T C EBF1_EBF_1 22 0 + 0 0 . chr6 132011860 132011861 chr6:132011861:G:A rs141900425 G A G EBF1_EBF_1 -5 0 - 0 0 . chr6 132058499 132058500 chr6:132058500:T:G rs10542004 T G T EBF1_EBF_1 -1 0 - 0 0 . chr6 132062812 132062813 chr6:132062813:G:A rs9373010 G A G EBF1_EBF_1 -15 0 - 0 0 . chr6 132132105 132132106 chr6:132132106:T:C rs9493180 T C C EBF1_EBF_1 32 0 + 0 0 . chr6 132211318 132211319 chr6:132211319:G:A rs7758221 G A G EBF1_EBF_1 -11 0 - 0 0 . chr6 132334541 132334542 chr6:132334542:G:A rs73546027 G A G EBF1_EBF_1 4 1 + 5.829823517173191 5.631028994869268 ATTCGCATGAGACT chr6 132360118 132360119 chr6:132360119:G:A rs7763146 G A A EBF1_EBF_1 9 1 + 5.80365994223383 3.148720676786226 CTTCCTCAGGGACC chr6 132373611 132373612 chr6:132373612:A:G rs6569792 A G G EBF1_EBF_1 22 0 + 0 0 . chr6 132401451 132401452 chr6:132401452:A:C chr6:132401452:A:C A C A EBF1_EBF_1 23 0 - 0 0 . chr6 132405088 132405089 chr6:132405089:A:G rs1338936 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 132512735 132512736 chr6:132512736:T:G chr6:132512736:T:G T G T EBF1_EBF_1 -9 0 - 0 0 . chr6 132527904 132527905 chr6:132527905:A:G rs5022793 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 132527965 132527966 chr6:132527966:T:G rs190820066 T G T EBF1_EBF_1 19 0 + 0 0 . chr6 132721272 132721273 chr6:132721273:A:G rs11962560 A G . EBF1_EBF_1 12 1 + 8.888563419138007 7.469746077038529 ATTCCCAGGGGCAT chr6 132768026 132768027 chr6:132768027:C:T rs73548529 C T C EBF1_EBF_1 26 0 + 0 0 . chr6 132819943 132819944 chr6:132819944:C:T rs12204863 C T . EBF1_EBF_1 31 0 - 0 0 . chr6 132936922 132936923 chr6:132936923:G:A rs58982527 G A A EBF1_EBF_1 -16 0 - 0 0 . chr6 132961721 132961722 chr6:132961722:G:A rs116250354 G A G EBF1_EBF_1 5 1 - 3.6279551874086655 -1.6938320281320054 GGCCCCCTGGGCCA chr6 133040317 133040318 chr6:133040318:A:G rs572160296 A G A EBF1_EBF_1 7 1 + 7.867670004083739 6.555211598794913 GGCCCCCAGGGATC chr6 133208549 133208550 chr6:133208550:A:G rs115036946 A G A EBF1_EBF_1 26 0 - 0 0 . chr6 133242018 133242019 chr6:133242019:C:T rs111967263 C T C EBF1_EBF_1 17 0 + 0 0 . chr6 133584744 133584745 chr6:133584745:C:T rs9402525 C T T EBF1_EBF_1 5 1 + 6.0223579604759045 0.7005707449352343 TTCCCCATAGGACT chr6 133623345 133623346 chr6:133623346:C:T rs11154739 C T C EBF1_EBF_1 3 1 + 7.3346176472735936 0.9292189057202762 CTACCCAGGGGACT chr6 133623888 133623889 chr6:133623889:G:A rs2677832 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 133635712 133635713 chr6:133635713:A:G rs4410743 A G A EBF1_EBF_1 33 0 - 0 0 . chr6 133705247 133705248 chr6:133705248:T:C rs542942761 T C T EBF1_EBF_1 -15 0 - 0 0 . chr6 133763927 133763928 chr6:133763928:T:C rs141644637 T C T EBF1_EBF_1 19 0 - 0 0 . chr6 133833842 133833843 chr6:133833843:C:G rs142198314 C G C EBF1_EBF_1 24 0 - 0 0 . chr6 133865026 133865027 chr6:133865027:C:G rs1832181 C G C EBF1_EBF_1 7 1 - 4.724624167844244 3.743303586762887 GCCCCCAGGAGACA chr6 133890143 133890144 chr6:133890144:C:T rs3777891 C T C EBF1_EBF_1 27 0 - 0 0 . chr6 133890180 133890181 chr6:133890181:T:C rs142792516 T C T EBF1_EBF_1 -10 0 - 0 0 . chr6 133894018 133894019 chr6:133894019:G:A rs6932322 G A A EBF1_EBF_1 -19 0 + 0 0 . chr6 133952216 133952217 chr6:133952217:T:C rs56011629 T C C EBF1_EBF_1 2 1 + 10.680080137599155 8.791256726157005 ACTCCCGAGGGACC chr6 133952265 133952266 chr6:133952266:C:T rs146710523 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 133952266 133952267 chr6:133952267:G:A rs55972523 G A G EBF1_EBF_1 -11 0 + 0 0 . chr6 133952425 133952426 chr6:133952426:C:T rs961641291 C T C EBF1_EBF_1 -2 0 + 0 0 . chr6 133953429 133953430 chr6:133953430:C:T rs1029387835 C T C EBF1_EBF_1 13 1 + 5.040699751399658 5.885193865985176 CTTCCCACGGTAAC chr6 134002508 134002509 chr6:134002509:T:C rs229912 T C C EBF1_EBF_1 24 0 - 0 0 . chr6 134074369 134074370 chr6:134074370:G:A rs150594268 G A G EBF1_EBF_1 -19 0 - 0 0 . chr6 134184105 134184106 chr6:134184106:G:C rs113357522 G C G EBF1_EBF_1 11 1 - 4.80869967734667 6.3319545315950485 AGTCCCTCGGGCAG chr6 134242017 134242018 chr6:134242018:G:A rs17063563 G A A EBF1_EBF_1 -2 0 + 0 0 . chr6 134297406 134297407 chr6:134297407:C:T rs9493887 C T C EBF1_EBF_1 31 0 - 0 0 . chr6 134317735 134317736 chr6:134317736:T:C rs55961794 T C T EBF1_EBF_1 -7 0 - 0 0 . chr6 134333601 134333602 chr6:134333602:G:C rs191741645 G C G EBF1_EBF_1 22 0 - 0 0 . chr6 134347442 134347443 chr6:134347443:G:A rs6929793 G A G EBF1_EBF_1 -7 0 - 0 0 . chr6 134373707 134373708 chr6:134373708:T:G rs75775566 T G T EBF1_EBF_1 22 0 + 0 0 . chr6 134415033 134415034 chr6:134415034:G:A rs373459501 G A G EBF1_EBF_1 -3 0 - 0 0 . chr6 134415732 134415733 chr6:134415733:C:G rs7753096 C G C EBF1_EBF_1 1 1 - 5.344738596887948 5.154966942318731 AGTGCCCTGGGATC chr6 134446126 134446127 chr6:134446127:G:A rs9493961 G A A EBF1_EBF_1 4 1 - 7.05542644138113 2.686039496436206 AATCCCAGAGGATT chr6 134466065 134466066 chr6:134466066:T:C rs72978402 T C T EBF1_EBF_1 24 0 - 0 0 . chr6 134482200 134482201 chr6:134482201:T:C rs6902825 T C C EBF1_EBF_1 6 1 - 4.548530899038111 2.381736467763636 ATCCCCAGGTGACT chr6 134541380 134541381 chr6:134541381:A:C rs78748047 A C A EBF1_EBF_1 27 0 + 0 0 . chr6 134603823 134603824 chr6:134603824:C:T rs1977140 C T C EBF1_EBF_1 -13 0 - 0 0 . chr6 134604580 134604581 chr6:134604581:G:A rs75263047 G A G EBF1_EBF_1 17 0 + 0 0 . chr6 134663665 134663666 chr6:134663666:A:T rs75249199 A T A EBF1_EBF_1 28 0 - 0 0 . chr6 134676355 134676356 chr6:134676356:A:C rs6569959 A C C EBF1_EBF_1 26 0 + 0 0 . chr6 134714982 134714983 chr6:134714983:C:T rs6903141 C T T EBF1_EBF_1 -15 0 + 0 0 . chr6 134714992 134714993 chr6:134714993:G:A rs148743561 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 134740889 134740890 chr6:134740890:G:A rs187117476 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 134790003 134790004 chr6:134790004:C:A rs9483748 C A C EBF1_EBF_1 6 1 + 4.424641884716 4.786244252517278 ACCCCTCTGGGACA chr6 134910413 134910414 chr6:134910414:T:A rs61681753 T A T EBF1_EBF_1 -8 0 + 0 0 . chr6 134939022 134939023 chr6:134939023:C:T rs185119184 C T C EBF1_EBF_1 32 0 - 0 0 . chr6 135091243 135091244 chr6:135091244:C:T rs41294856 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 135117912 135117913 chr6:135117913:A:C rs28373149 A C A EBF1_EBF_1 20 0 + 0 0 . chr6 135129616 135129617 chr6:135129617:T:C rs9376095 T C T EBF1_EBF_1 6 1 - 5.542097427895132 3.3753029966206562 AATCCCAGGAGGCA chr6 135254605 135254606 chr6:135254606:G:C rs9494188 G C C EBF1_EBF_1 -13 0 + 0 0 . chr6 135281384 135281385 chr6:135281385:A:G chr6:135281385:A:G A G A EBF1_EBF_1 6 1 - 8.791204584455771 8.489918455594013 GTTCCCTAGAGAAA chr6 135497381 135497382 chr6:135497382:T:A rs770928055 T A T EBF1_EBF_1 24 0 + 0 0 . chr6 135497454 135497455 chr6:135497455:G:A rs1450656648 G A g EBF1_EBF_1 31 0 + 0 0 . chr6 135781148 135781149 chr6:135781149:G:A rs2104133 G A G EBF1_EBF_1 18 0 + 0 0 . chr6 135813598 135813599 chr6:135813599:A:C rs1012336981 A C A EBF1_EBF_1 2 1 - 5.3635067888666255 -1.3848189013338426 GGTCCCCAGCGAAA chr6 135897828 135897829 chr6:135897829:G:A rs80040292 G A G EBF1_EBF_1 3 1 - 5.8455641272615 -0.5598346142918172 ATCCCCATGAGAGG chr6 135901668 135901669 chr6:135901669:A:G rs10872448 A G A EBF1_EBF_1 20 0 + 0 0 . chr6 135922410 135922411 chr6:135922411:G:A rs1036620593 G A G EBF1_EBF_1 5 1 - 11.296136191411248 5.974348975870578 ACCCCCTTGGGAAT chr6 135975029 135975030 chr6:135975030:T:C rs7744526 T C T EBF1_EBF_1 16 0 - 0 0 . chr6 135988023 135988024 chr6:135988024:A:T rs12175118 A T A EBF1_EBF_1 25 0 + 0 0 . chr6 135995115 135995116 chr6:135995116:G:A rs113111807 G A A EBF1_EBF_1 10 1 + 4.288949643304747 -1.029078815962219 GCTGCCCTGGGACC chr6 136073017 136073018 chr6:136073018:T:C rs115872911 T C C EBF1_EBF_1 14 0 + 0 0 . chr6 136129482 136129483 chr6:136129483:C:T rs745383315 C T C EBF1_EBF_1 -15 0 - 0 0 . chr6 136161920 136161921 chr6:136161921:T:C rs55732248 T C T EBF1_EBF_1 18 0 - 0 0 . chr6 136189334 136189335 chr6:136189335:G:A rs6570071 G A g EBF1_EBF_1 -5 0 + 0 0 . chr6 136250735 136250736 chr6:136250736:G:A rs975342904 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 136250750 136250751 chr6:136250751:C:T chr6:136250751:C:T C T C EBF1_EBF_1 2 1 + 4.51157001409295 6.4003934255351 ACCCCCGAGGGTTT chr6 136250777 136250778 chr6:136250778:G:C rs181073417 G C G EBF1_EBF_1 29 0 + 0 0 . chr6 136289968 136289969 chr6:136289969:T:G rs868757335 T G T EBF1_EBF_1 11 1 - 4.3715368424835175 -0.044424855368872515 CTTCCCGCGAGACC chr6 136389339 136389340 chr6:136389340:G:A rs9389386 G A G EBF1_EBF_1 7 1 - 4.779293351198551 6.596798097481176 CGCCCCCCGGGAAG chr6 136435300 136435301 chr6:136435301:A:T rs3799421 A T A EBF1_EBF_1 -2 0 - 0 0 . chr6 136510981 136510982 chr6:136510982:G:A rs7748200 G A A EBF1_EBF_1 -20 0 - 0 0 . chr6 136566119 136566120 chr6:136566120:G:A rs75273972 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 136608966 136608967 chr6:136608967:C:T rs72979521 C T C EBF1_EBF_1 6 1 - 4.561606224751152 6.728400656025626 GGTCCCGAGGGCCC chr6 136613735 136613736 chr6:136613736:C:T rs75549874 C T C EBF1_EBF_1 4 1 + 5.573342902337652 1.2039559573927279 GATCCCCCGAGACC chr6 136613740 136613741 chr6:136613741:A:G rs79160969 A G A EBF1_EBF_1 9 1 + 5.573342902337652 8.228282167785254 GATCCCCCGAGACC chr6 136623781 136623782 chr6:136623782:C:T rs575800351 C T C EBF1_EBF_1 3 1 + 6.751920634991012 0.3465218934376932 AGACCCCTGGGAGA chr6 136642751 136642752 chr6:136642752:C:T rs9376219 C T C EBF1_EBF_1 20 0 + 0 0 . chr6 136685789 136685790 chr6:136685790:C:A rs6570087 C A A EBF1_EBF_1 -17 0 + 0 0 . chr6 136798537 136798538 chr6:136798538:A:G rs569581970 A G A EBF1_EBF_1 7 1 - 6.945445950790879 5.1279412045082555 AGTCCCATAGGAGC chr6 136804994 136804995 chr6:136804995:G:A rs9399194 G A G EBF1_EBF_1 5 1 - 5.168455949194477 -0.15333126634619376 AATCCCTTGAGCCC chr6 136804996 136804997 chr6:136804997:G:A rs9399195 G A G EBF1_EBF_1 3 1 - 5.168455949194477 -1.2369427923588407 AATCCCTTGAGCCC chr6 136963439 136963440 chr6:136963440:C:T rs7767520 C T C EBF1_EBF_1 31 0 - 0 0 . chr6 136966563 136966564 chr6:136966564:A:G rs6918311 A G A EBF1_EBF_1 -2 0 + 0 0 . chr6 137044128 137044129 chr6:137044129:G:A rs114954770 G A G EBF1_EBF_1 4 1 - 4.784919690261289 0.4155327453163658 AGCCCCGAAGGAAC chr6 137070308 137070309 chr6:137070309:C:G rs276527 C G C EBF1_EBF_1 18 0 + 0 0 . chr6 137133321 137133322 chr6:137133322:G:A rs72761 G A A EBF1_EBF_1 8 1 + 4.8867440594413125 0.6604539705550508 CTTCCCAGGGAAAC chr6 137191946 137191947 chr6:137191947:A:C rs531230763 A C A EBF1_EBF_1 -19 0 - 0 0 . chr6 137270271 137270272 chr6:137270272:A:G rs72979650 A G A EBF1_EBF_1 14 0 - 0 0 . chr6 137282304 137282305 chr6:137282305:A:G rs4896251 A G A EBF1_EBF_1 2 1 - 7.5113007914085665 5.6224773799664165 AGTCCCTGGGTAAC chr6 137289470 137289471 chr6:137289471:C:T rs140925527 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 137418285 137418286 chr6:137418286:C:T rs58638350 C T T EBF1_EBF_1 24 0 - 0 0 . chr6 137653561 137653562 chr6:137653562:C:T rs1855985 C T C EBF1_EBF_1 -14 0 + 0 0 . chr6 137660390 137660391 chr6:137660391:T:C rs489738 T C T EBF1_EBF_1 -9 0 - 0 0 . chr6 137661806 137661807 chr6:137661807:A:G rs11758213 A G A EBF1_EBF_1 20 0 + 0 0 . chr6 137695759 137695760 chr6:137695760:C:T rs113335884 C T C EBF1_EBF_1 33 0 + 0 0 . chr6 137722172 137722173 chr6:137722173:C:T rs72978739 C T C EBF1_EBF_1 7 1 - 5.416437617900402 6.728896023189226 GTTCCCCGGGGCAA chr6 137769047 137769048 chr6:137769048:C:T rs117661514 C T C EBF1_EBF_1 4 1 + 6.764951292214907 2.395564347269983 TGCCCCCAGGGGCT chr6 137790679 137790680 chr6:137790680:T:C rs115361370 T C T EBF1_EBF_1 -18 0 - 0 0 . chr6 137794128 137794129 chr6:137794129:T:C rs654039 T C T EBF1_EBF_1 -16 0 - 0 0 . chr6 137832337 137832338 chr6:137832338:T:C chr6:137832338:T:C T C T EBF1_EBF_1 26 0 - 0 0 . chr6 137955326 137955327 chr6:137955327:G:A rs9389542 G A G EBF1_EBF_1 11 1 - 4.86716982033272 5.185217262086384 AATCCCCTGAGCCC chr6 138087630 138087631 chr6:138087631:A:G rs34375712 A G A EBF1_EBF_1 14 0 - 0 0 . chr6 138106051 138106052 chr6:138106052:A:G rs72984652 A G A EBF1_EBF_1 18 0 + 0 0 . chr6 138107964 138107965 chr6:138107965:T:A rs190138529 T A T EBF1_EBF_1 15 0 + 0 0 . chr6 138119700 138119701 chr6:138119701:T:C rs1931867 T C C EBF1_EBF_1 1 1 + 5.624248835568459 4.728815395169713 CTTCCCAACGGAGT chr6 138141099 138141100 chr6:138141100:T:G rs9389563 T G T EBF1_EBF_1 26 0 + 0 0 . chr6 138287451 138287452 chr6:138287452:G:T chr6:138287452:G:T G T G EBF1_EBF_1 -11 0 - 0 0 . chr6 138307811 138307812 chr6:138307812:G:A rs34178134 G A G EBF1_EBF_1 1 1 - 3.9085686755335303 4.804002115932277 ACACCCCTGGGCCC chr6 138307823 138307824 chr6:138307824:G:T rs34094815 G T G EBF1_EBF_1 -11 0 - 0 0 . chr6 138311380 138311381 chr6:138311381:G:T rs2272889 G T G EBF1_EBF_1 30 0 - 0 0 . chr6 138348795 138348796 chr6:138348796:C:A rs58375505 C A C EBF1_EBF_1 16 0 + 0 0 . chr6 138402631 138402632 chr6:138402632:G:A rs3756890 G A A EBF1_EBF_1 6 1 + 6.181628067936991 8.348422499211468 CGCCCCGAGGGAGT chr6 138416415 138416416 chr6:138416416:A:G rs113977211 A G A EBF1_EBF_1 29 0 - 0 0 . chr6 138547378 138547379 chr6:138547379:T:G rs9399245 T G T EBF1_EBF_1 -14 0 + 0 0 . chr6 138559265 138559266 chr6:138559266:A:G rs72975247 A G A EBF1_EBF_1 -16 0 + 0 0 . chr6 138569466 138569467 chr6:138569467:C:T rs79911845 C T C EBF1_EBF_1 4 1 + 5.2924356428225305 0.9230486978776065 ATTCCCATCAGACC chr6 138578287 138578288 chr6:138578288:A:G rs6570243 A G G EBF1_EBF_1 6 1 + 8.458659917626987 6.291865486352512 GTCCCCATGGGATC chr6 138591030 138591031 chr6:138591031:T:C rs181853287 T C T EBF1_EBF_1 6 1 - 8.02178705913196 5.854992627857484 ATTCCCAGAGGATT chr6 138607717 138607718 chr6:138607718:A:G rs4896376 A G G EBF1_EBF_1 -10 0 + 0 0 . chr6 138613914 138613915 chr6:138613915:C:A rs7761956 C A C EBF1_EBF_1 33 0 - 0 0 . chr6 138617803 138617804 chr6:138617804:C:A rs73566619 C A C EBF1_EBF_1 22 0 + 0 0 . chr6 138818193 138818194 chr6:138818194:G:A rs566876457 G A G EBF1_EBF_1 29 0 + 0 0 . chr6 139029027 139029028 chr6:139029028:C:T rs6902369 C T T EBF1_EBF_1 31 0 - 0 0 . chr6 139029044 139029045 chr6:139029045:G:A rs12196247 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 139029061 139029062 chr6:139029062:C:T rs12196167 C T C EBF1_EBF_1 -3 0 - 0 0 . chr6 139058183 139058184 chr6:139058184:T:C rs4895552 T C C EBF1_EBF_1 14 0 - 0 0 . chr6 139113271 139113272 chr6:139113272:G:A rs34742641 G A g EBF1_EBF_1 -16 0 - 0 0 . chr6 139343335 139343336 chr6:139343336:A:C rs9495443 A C A EBF1_EBF_1 -18 0 + 0 0 . chr6 139350171 139350172 chr6:139350172:A:T rs115412599 A T A EBF1_EBF_1 -18 0 + 0 0 . chr6 139374184 139374185 chr6:139374185:C:G rs188541960 C G C EBF1_EBF_1 17 0 + 0 0 . chr6 139424514 139424515 chr6:139424515:T:C rs17068623 T C T EBF1_EBF_1 7 1 - 7.334397368727143 6.021938963438319 ATTCCCCAGGCACT chr6 139436367 139436368 chr6:139436368:A:C rs10085315 A C A EBF1_EBF_1 7 1 + 3.8246149758224366 1.530835989452256 CCTTCCCAGGGACC chr6 139518261 139518262 chr6:139518262:G:A rs145967145 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 139518285 139518286 chr6:139518286:C:A rs643381 C A C EBF1_EBF_1 5 1 + 3.95541000650254 -2.9494711461326273 CCCCCCCAGGGCAC chr6 139518306 139518307 chr6:139518307:G:A rs608662 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 139518308 139518309 chr6:139518309:G:A rs9376427 G A G EBF1_EBF_1 28 0 + 0 0 . chr6 139520145 139520146 chr6:139520146:G:A rs140974172 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 139598799 139598800 chr6:139598800:C:T rs9495538 C T C EBF1_EBF_1 -12 0 - 0 0 . chr6 139645535 139645536 chr6:139645536:G:T rs9321744 G T G EBF1_EBF_1 -6 0 - 0 0 . chr6 139698050 139698051 chr6:139698051:T:C rs60870127 T C C EBF1_EBF_1 15 0 - 0 0 . chr6 139742955 139742956 chr6:139742956:C:T rs9385874 C T C EBF1_EBF_1 -20 0 - 0 0 . chr6 139889298 139889299 chr6:139889299:C:A rs139181799 C A C EBF1_EBF_1 -10 0 - 0 0 . chr6 139903451 139903452 chr6:139903452:T:C rs17069477 T C T EBF1_EBF_1 -16 0 - 0 0 . chr6 139919748 139919749 chr6:139919749:C:G rs654917 C G C EBF1_EBF_1 9 1 - 4.311511208884957 -0.7641779374605069 CCTCCCCTGGGCTC chr6 139927631 139927632 chr6:139927632:G:T rs7756555 G T G EBF1_EBF_1 -8 0 + 0 0 . chr6 139927918 139927919 chr6:139927919:T:C rs565204227 T C T EBF1_EBF_1 8 1 + 3.7801157829359466 5.352889710998456 AGCCCCATTGGACC chr6 139927930 139927931 chr6:139927931:A:G rs627148 A G G EBF1_EBF_1 20 0 + 0 0 . chr6 139962895 139962896 chr6:139962896:T:C rs145597931 T C C EBF1_EBF_1 32 0 + 0 0 . chr6 140091022 140091023 chr6:140091023:A:C rs9389744 A C C EBF1_EBF_1 -16 0 + 0 0 . chr6 140138877 140138878 chr6:140138878:G:A rs9389750 G A G EBF1_EBF_1 -2 0 - 0 0 . chr6 140183968 140183969 chr6:140183969:T:A rs9403153 T A T EBF1_EBF_1 30 0 - 0 0 . chr6 140773610 140773611 chr6:140773611:G:C rs143026782 G C G EBF1_EBF_1 12 1 - 5.90029554550429 4.511659601826138 TTTCCCAGGGTACA chr6 140904513 140904514 chr6:140904514:C:G rs7746847 C G C EBF1_EBF_1 -2 0 - 0 0 . chr6 141153072 141153073 chr6:141153073:A:G rs80093739 A G A EBF1_EBF_1 -5 0 - 0 0 . chr6 141173540 141173541 chr6:141173541:A:G rs1349710 A G G EBF1_EBF_1 -3 0 + 0 0 . chr6 141174546 141174547 chr6:141174547:A:G rs4896520 A G G EBF1_EBF_1 -15 0 + 0 0 . chr6 141185406 141185407 chr6:141185407:G:A rs9385939 G A G EBF1_EBF_1 5 1 - 5.8158284111932135 0.4940411956525436 AGTCCCAGGAGTCT chr6 141484100 141484101 chr6:141484101:C:T rs1189396048 C T C EBF1_EBF_1 -10 0 - 0 0 . chr6 141484104 141484105 chr6:141484105:C:T rs992114292 C T T EBF1_EBF_1 -14 0 - 0 0 . chr6 141548705 141548706 chr6:141548706:T:C rs2225906 T C T EBF1_EBF_1 -3 0 + 0 0 . chr6 141703325 141703326 chr6:141703326:G:A rs9321833 G A G EBF1_EBF_1 15 0 - 0 0 . chr6 141789268 141789269 chr6:141789269:T:C rs80250521 T C T EBF1_EBF_1 -15 0 + 0 0 . chr6 141933220 141933221 chr6:141933221:T:C rs1163358175 T C T EBF1_EBF_1 13 1 - 7.691467784085846 6.577142212016407 AAACCCAAGGGATA chr6 142067538 142067539 chr6:142067539:C:G rs9496248 C G C EBF1_EBF_1 8 1 - 9.022627216114765 3.7039683552000806 TATCCCAAGAGAAT chr6 142089008 142089009 chr6:142089009:T:C rs9484598 T C T EBF1_EBF_1 7 1 - 11.47973914854425 10.167280743255425 ATCCCCAAGGGACA chr6 142165347 142165348 chr6:142165348:A:G rs225654 A G G EBF1_EBF_1 -16 0 + 0 0 . chr6 142236280 142236281 chr6:142236281:C:A rs225735 C A A EBF1_EBF_1 -11 0 - 0 0 . chr6 142301205 142301206 chr6:142301206:G:T chr6:142301206:G:T G T G EBF1_EBF_1 -1 0 - 0 0 . chr6 142315602 142315603 chr6:142315603:C:T rs12200161 C T C EBF1_EBF_1 -10 0 - 0 0 . chr6 142654074 142654075 chr6:142654075:G:A rs188658999 G A G EBF1_EBF_1 8 1 + 7.866363033659533 3.640072944773273 TTTCCCCAGGGCAT chr6 142692964 142692965 chr6:142692965:T:C rs559696639 T C T EBF1_EBF_1 28 0 - 0 0 . chr6 142693264 142693265 chr6:142693265:A:T rs115014377 A T A EBF1_EBF_1 -16 0 + 0 0 . chr6 142746667 142746668 chr6:142746668:G:A rs371893301 G A G EBF1_EBF_1 19 0 - 0 0 . chr6 142761925 142761926 chr6:142761926:G:T rs638880 G T T EBF1_EBF_1 -6 0 - 0 0 . chr6 142823364 142823365 chr6:142823365:G:C rs2328387 G C C EBF1_EBF_1 6 1 + 8.637464329861576 10.442656393334772 AGCCCCGAGGGAAA chr6 142845748 142845749 chr6:142845749:C:T rs561218606 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 142854838 142854839 chr6:142854839:T:A rs80089254 T A T EBF1_EBF_1 -12 0 + 0 0 . chr6 142854839 142854840 chr6:142854840:A:G rs78539908 A G A EBF1_EBF_1 -11 0 + 0 0 . chr6 142899616 142899617 chr6:142899617:G:A rs7381997 G A G EBF1_EBF_1 14 0 + 0 0 . chr6 142926928 142926929 chr6:142926929:C:T rs140019886 C T c EBF1_EBF_1 25 0 - 0 0 . chr6 142927223 142927224 chr6:142927224:G:A rs192404708 G A G EBF1_EBF_1 4 1 - 6.611593391906944 2.242206446962021 CTCCCCGTGGGAAA chr6 142934753 142934754 chr6:142934754:G:C rs13211315 G C G EBF1_EBF_1 19 0 + 0 0 . chr6 142946636 142946637 chr6:142946637:C:T chr6:142946637:C:T C T C EBF1_EBF_1 3 1 + 7.129238586657776 0.7238398451044573 ACTCCCCGGAGAGC chr6 142946639 142946640 chr6:142946640:C:A rs79450669 C A C EBF1_EBF_1 6 1 + 7.129238586657776 7.490840954459053 ACTCCCCGGAGAGC chr6 143002964 143002965 chr6:143002965:C:T rs199252 C T C EBF1_EBF_1 5 1 + 6.4301496997325955 1.1083624841919262 GATCCCGTGAGACT chr6 143060746 143060747 chr6:143060747:G:A rs142712943 G A G EBF1_EBF_1 30 0 - 0 0 . chr6 143126459 143126460 chr6:143126460:G:A rs117484986 G A G EBF1_EBF_1 -9 0 - 0 0 . chr6 143279994 143279995 chr6:143279995:T:C rs11155287 T C C EBF1_EBF_1 -15 0 - 0 0 . chr6 143293362 143293363 chr6:143293363:C:T rs11752523 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 143345294 143345295 chr6:143345295:A:T rs6932294 A T A EBF1_EBF_1 7 1 - 10.91923189693282 11.395506137020378 TTTCCCATGGGACA chr6 143400310 143400311 chr6:143400311:G:A rs117190575 G A G EBF1_EBF_1 -15 0 - 0 0 . chr6 143451038 143451039 chr6:143451039:A:G rs116692495 A G A EBF1_EBF_1 14 0 + 0 0 . chr6 143598013 143598014 chr6:143598014:C:G rs7764231 C G G EBF1_EBF_1 -3 0 - 0 0 . chr6 143675740 143675741 chr6:143675741:A:G rs7738603 A G A EBF1_EBF_1 24 0 + 0 0 . chr6 143805073 143805074 chr6:143805074:C:T rs742958 C T T EBF1_EBF_1 -7 0 + 0 0 . chr6 143882639 143882640 chr6:143882640:A:G rs73588612 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 143885327 143885328 chr6:143885328:A:C rs9496799 A C C EBF1_EBF_1 -10 0 + 0 0 . chr6 143935087 143935088 chr6:143935088:C:T rs7768375 C T C EBF1_EBF_1 -10 0 + 0 0 . chr6 143935121 143935122 chr6:143935122:C:T rs78592790 C T C EBF1_EBF_1 24 0 + 0 0 . chr6 143952622 143952623 chr6:143952623:C:T rs112764508 C T C EBF1_EBF_1 1 1 - 10.255894591009318 9.995195759088014 TGTCCCCTGGGAAC chr6 144063691 144063692 chr6:144063692:T:G rs573868812 T G T EBF1_EBF_1 26 0 - 0 0 . chr6 144063707 144063708 chr6:144063708:C:T rs1037413879 C T C EBF1_EBF_1 10 1 - 7.171751084766173 1.8537226254992067 ATACCCCTGGGGCT chr6 144063712 144063713 chr6:144063713:G:A rs57325163 G A G EBF1_EBF_1 5 1 - 7.171751084766173 1.8499638692255027 ATACCCCTGGGGCT chr6 144150203 144150204 chr6:144150204:G:A rs78846654 G A G EBF1_EBF_1 15 0 - 0 0 . chr6 144209817 144209818 chr6:144209818:A:T rs7751614 A T T EBF1_EBF_1 -4 0 + 0 0 . chr6 144244898 144244899 chr6:144244899:C:A rs56746355 C A C EBF1_EBF_1 -16 0 - 0 0 . chr6 144249590 144249591 chr6:144249591:T:C rs7758725 T C T EBF1_EBF_1 -20 0 - 0 0 . chr6 144269511 144269512 chr6:144269512:T:C rs2502626 T C C EBF1_EBF_1 -19 0 + 0 0 . chr6 144278094 144278095 chr6:144278095:G:A rs56059215 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 144286406 144286407 chr6:144286407:A:G rs374125613 A G A EBF1_EBF_1 6 1 - 4.981922074872926 4.680635946011168 CCACCCTTGGGAGC chr6 144286419 144286420 chr6:144286420:G:A rs680093 G A A EBF1_EBF_1 -7 0 - 0 0 . chr6 144344052 144344053 chr6:144344053:T:G rs73780534 T G T EBF1_EBF_1 24 0 - 0 0 . chr6 144408325 144408326 chr6:144408326:A:G rs60717992 A G A EBF1_EBF_1 6 1 - 8.292644213185827 7.9913580843240695 CCTCCCTTGGGAGA chr6 144419161 144419162 chr6:144419162:A:T rs73780565 A T A EBF1_EBF_1 27 0 - 0 0 . chr6 144638424 144638425 chr6:144638425:C:A rs9390182 C A A EBF1_EBF_1 11 1 - 7.145436063526584 5.94022865103187 AGTCCCAAGAGGAA chr6 144690006 144690007 chr6:144690007:G:A rs9390194 G A G EBF1_EBF_1 14 0 - 0 0 . chr6 144836569 144836570 chr6:144836570:C:T rs59324373 C T C EBF1_EBF_1 -19 0 + 0 0 . chr6 144844798 144844799 chr6:144844799:A:G rs73596379 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 144844799 144844800 chr6:144844800:A:G rs117786508 A G A EBF1_EBF_1 -4 0 + 0 0 . chr6 144855577 144855578 chr6:144855578:C:T rs56268705 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 144892514 144892515 chr6:144892515:T:G rs9688347 T G G EBF1_EBF_1 -4 0 + 0 0 . chr6 144892924 144892925 chr6:144892925:A:C rs35946718 A C A EBF1_EBF_1 -8 0 - 0 0 . chr6 145044766 145044767 chr6:145044767:C:T rs73779526 C T C EBF1_EBF_1 21 0 + 0 0 . chr6 145113190 145113191 chr6:145113191:G:C rs143264486 G C G EBF1_EBF_1 26 0 + 0 0 . chr6 145245248 145245249 chr6:145245249:G:A rs6935914 G A G EBF1_EBF_1 4 1 - 11.504419381546716 7.135032436601794 ATTCCCCTGGGATA chr6 145397109 145397110 chr6:145397110:G:A rs9497291 G A A EBF1_EBF_1 6 1 + 7.205302581199346 9.372097012473821 ACTCCCGTGAGAAA chr6 145405446 145405447 chr6:145405447:C:A rs9390319 C A C EBF1_EBF_1 -20 0 - 0 0 . chr6 145532466 145532467 chr6:145532467:T:C rs396681 T C t EBF1_EBF_1 31 0 - 0 0 . chr6 145815299 145815300 chr6:145815300:C:T rs972514015 C T C EBF1_EBF_1 -14 0 + 0 0 . chr6 145815319 145815320 chr6:145815320:C:G rs892809814 C G C EBF1_EBF_1 6 1 + 5.180463366998041 3.375271303524843 CTCCCCCCGGGAGA chr6 145900616 145900617 chr6:145900617:A:G rs2265919 A G G EBF1_EBF_1 15 0 - 0 0 . chr6 146012893 146012894 chr6:146012894:C:A rs2814867 C A C EBF1_EBF_1 21 0 + 0 0 . chr6 146354561 146354562 chr6:146354562:G:T rs362880 G T G EBF1_EBF_1 9 1 + 7.677954836904314 0.8409654288155042 TTTCCCCTGGGTCT chr6 146543380 146543381 chr6:146543381:C:T rs149373131 C T C EBF1_EBF_1 -3 0 - 0 0 . chr6 146543798 146543799 chr6:146543799:C:T rs144205394 C T C EBF1_EBF_1 -19 0 - 0 0 . chr6 146596364 146596365 chr6:146596365:C:A rs1535525 C A C EBF1_EBF_1 8 1 - 7.921161067853845 1.0297282788766502 ATTGCCCTGGGACT chr6 146598930 146598931 chr6:146598931:T:C rs558691653 T C T EBF1_EBF_1 23 0 + 0 0 . chr6 146651761 146651762 chr6:146651762:T:C rs9377014 T C C EBF1_EBF_1 -13 0 - 0 0 . chr6 146727606 146727607 chr6:146727607:T:C rs1327670 T C C EBF1_EBF_1 15 0 + 0 0 . chr6 146813958 146813959 chr6:146813959:C:T rs140459693 C T C EBF1_EBF_1 8 1 - 6.348146752578205 2.1218566636919447 AATCCCTTGAGGAA chr6 146855594 146855595 chr6:146855595:T:C rs76335309 T C T EBF1_EBF_1 -16 0 + 0 0 . chr6 146857417 146857418 chr6:146857418:T:G rs9497654 T G T EBF1_EBF_1 -15 0 + 0 0 . chr6 146857418 146857419 chr6:146857419:G:T rs187304187 G T G EBF1_EBF_1 -14 0 + 0 0 . chr6 146869662 146869663 chr6:146869663:T:G rs9390435 T G T EBF1_EBF_1 -12 0 - 0 0 . chr6 146880680 146880681 chr6:146880681:C:T rs12208632 C T C EBF1_EBF_1 -2 0 - 0 0 . chr6 147003413 147003414 chr6:147003414:G:A rs77411198 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 147279065 147279066 chr6:147279066:T:C rs2786184 T C T EBF1_EBF_1 16 0 + 0 0 . chr6 147501289 147501290 chr6:147501290:C:T rs111565961 C T C EBF1_EBF_1 6 1 - 3.2526082775850087 5.419402708859484 AGCCCCGGGGGCCC chr6 147528144 147528145 chr6:147528145:A:G rs4896921 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 147592404 147592405 chr6:147592405:G:T rs13218203 G T G EBF1_EBF_1 -3 0 - 0 0 . chr6 147592414 147592415 chr6:147592415:C:T rs79539476 C T C EBF1_EBF_1 -13 0 - 0 0 . chr6 147605894 147605895 chr6:147605895:G:A rs9377061 G A . EBF1_EBF_1 2 1 - 8.094229266161168 9.983052677603316 TACCCCATGGGAAA chr6 147615966 147615967 chr6:147615967:G:C rs6926100 G C G EBF1_EBF_1 -3 0 - 0 0 . chr6 147704841 147704842 chr6:147704842:A:C rs116941679 A C A EBF1_EBF_1 -6 0 - 0 0 . chr6 147765654 147765655 chr6:147765655:C:T rs9377079 C T C EBF1_EBF_1 22 0 - 0 0 . chr6 147935937 147935938 chr6:147935938:G:A rs564848 G A A EBF1_EBF_1 -18 0 + 0 0 . chr6 147972745 147972746 chr6:147972746:G:C rs9485234 G C G EBF1_EBF_1 -17 0 - 0 0 . chr6 147987737 147987738 chr6:147987738:C:T rs17077838 C T c EBF1_EBF_1 30 0 - 0 0 . chr6 148022139 148022140 chr6:148022140:C:T rs79699339 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 148068806 148068807 chr6:148068807:G:A rs9497927 G A G EBF1_EBF_1 22 0 - 0 0 . chr6 148143100 148143101 chr6:148143101:C:T rs9386217 C T t EBF1_EBF_1 5 1 + 9.260536974008792 3.9387497584681204 CTTCCCCTGGGAGC chr6 148178008 148178009 chr6:148178009:A:C rs906115896 A C A EBF1_EBF_1 -5 0 + 0 0 . chr6 148203571 148203572 chr6:148203572:A:G rs77458523 A G A EBF1_EBF_1 13 1 + 6.56285642430653 5.448530852237091 TCTCCCAGGAGAAA chr6 148212165 148212166 chr6:148212166:G:A rs77231462 G A G EBF1_EBF_1 19 0 + 0 0 . chr6 148212166 148212167 chr6:148212167:T:G rs9377117 T G T EBF1_EBF_1 20 0 + 0 0 . chr6 148244667 148244668 chr6:148244668:T:C rs75951188 T C T EBF1_EBF_1 28 0 - 0 0 . chr6 148248335 148248336 chr6:148248336:C:T rs10872613 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 148272510 148272511 chr6:148272511:C:T rs76036621 C T C EBF1_EBF_1 -8 0 - 0 0 . chr6 148272820 148272821 chr6:148272821:A:G rs75964424 A G A EBF1_EBF_1 20 0 + 0 0 . chr6 148306540 148306541 chr6:148306541:C:T rs74993222 C T C EBF1_EBF_1 20 0 - 0 0 . chr6 148306571 148306572 chr6:148306572:G:A rs75172085 G A G EBF1_EBF_1 -11 0 - 0 0 . chr6 148346173 148346174 chr6:148346174:A:C rs2493913 A C C EBF1_EBF_1 26 0 - 0 0 . chr6 148367558 148367559 chr6:148367559:C:A chr6:148367559:C:A C A C EBF1_EBF_1 9 1 - 5.660159577624843 -1.176829830463966 CCTCCCCAAGGAAA chr6 148367907 148367908 chr6:148367908:G:A rs4131287 G A G EBF1_EBF_1 -14 0 - 0 0 . chr6 148398622 148398623 chr6:148398623:C:T rs59539999 C T C EBF1_EBF_1 30 0 + 0 0 . chr6 148407585 148407586 chr6:148407586:A:G rs73011332 A G A EBF1_EBF_1 -1 0 - 0 0 . chr6 148426499 148426500 chr6:148426500:C:T rs2473561 C T C EBF1_EBF_1 7 1 - 5.289103045342982 6.601561450631808 ATTTCCCGGGGACA chr6 148451903 148451904 chr6:148451904:A:G rs9377137 A G G EBF1_EBF_1 -13 0 + 0 0 . chr6 148451941 148451942 chr6:148451942:C:T rs77371903 C T C EBF1_EBF_1 25 0 + 0 0 . chr6 148451947 148451948 chr6:148451948:G:A rs113557300 G A G EBF1_EBF_1 31 0 + 0 0 . chr6 148503004 148503005 chr6:148503005:C:T rs28385581 C T C EBF1_EBF_1 -16 0 - 0 0 . chr6 148560162 148560163 chr6:148560163:C:T rs142493340 C T C EBF1_EBF_1 3 1 + 7.490840954459053 1.085442212905735 ACTCCCAGGAGAGC chr6 148568823 148568824 chr6:148568824:A:G rs13201707 A G A EBF1_EBF_1 20 0 - 0 0 . chr6 148591678 148591679 chr6:148591679:G:C rs62434592 G C C EBF1_EBF_1 -9 0 + 0 0 . chr6 148608950 148608951 chr6:148608951:C:A rs2208833 C A A EBF1_EBF_1 6 1 + 4.9744150451038225 5.3360174129050995 GATCCCCAGAGGCC chr6 148648907 148648908 chr6:148648908:T:C rs13205486 T C T EBF1_EBF_1 18 0 + 0 0 . chr6 148649939 148649940 chr6:148649940:C:T rs3885666 C T C EBF1_EBF_1 3 1 - 5.082852602910402 4.004443021559813 TCTGCCCTGGGAAT chr6 148671509 148671510 chr6:148671510:G:T rs7749460 G T G EBF1_EBF_1 27 0 - 0 0 . chr6 148743849 148743850 chr6:148743850:C:T rs11155591 C T C EBF1_EBF_1 27 0 + 0 0 . chr6 148745331 148745332 chr6:148745332:T:C rs6920109 T C C EBF1_EBF_1 13 1 - 6.257035626123612 5.142710054054173 GGCCCCAAGAGAAA chr6 148747490 148747491 chr6:148747491:A:T rs9498146 A T T EBF1_EBF_1 17 0 + 0 0 . chr6 148748132 148748133 chr6:148748133:C:T rs185986604 C T C EBF1_EBF_1 -15 0 - 0 0 . chr6 148759164 148759165 chr6:148759165:T:C rs11751562 T C T EBF1_EBF_1 26 0 - 0 0 . chr6 148760745 148760746 chr6:148760746:C:A rs73791004 C A C EBF1_EBF_1 -9 0 + 0 0 . chr6 148761202 148761203 chr6:148761203:C:T rs76518035 C T C EBF1_EBF_1 -18 0 + 0 0 . chr6 148761203 148761204 chr6:148761204:G:T rs563981520 G T G EBF1_EBF_1 -17 0 + 0 0 . chr6 148761222 148761223 chr6:148761223:T:A rs57260678 T A T EBF1_EBF_1 2 1 + 6.163475459186163 2.479007871587285 GGTCCCCTGAGACG chr6 148761251 148761252 chr6:148761252:A:C chr6:148761252:A:C A C A EBF1_EBF_1 31 0 + 0 0 . chr6 148764985 148764986 chr6:148764986:G:A rs113910292 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 148912939 148912940 chr6:148912940:A:G rs17665489 A G A EBF1_EBF_1 12 1 + 5.907865144004388 4.489047801904911 AATCCACTGGGAAT chr6 148935214 148935215 chr6:148935215:A:C rs12110724 A C A EBF1_EBF_1 -16 0 - 0 0 . chr6 148982438 148982439 chr6:148982439:G:A rs950812692 G A G EBF1_EBF_1 8 1 + 6.459858762884822 2.2335686739985614 ATTCACAAGGGAAG chr6 149038692 149038693 chr6:149038693:G:A rs10457074 G A a EBF1_EBF_1 -18 0 - 0 0 . chr6 149059954 149059955 chr6:149059955:G:A rs76289534 G A G EBF1_EBF_1 29 0 + 0 0 . chr6 149060438 149060439 chr6:149060439:A:T rs142896637 A T A EBF1_EBF_1 27 0 - 0 0 . chr6 149060622 149060623 chr6:149060623:C:T rs201018213 C T C EBF1_EBF_1 20 0 - 0 0 . chr6 149081431 149081432 chr6:149081432:C:G rs114234122 C G C EBF1_EBF_1 4 1 + 6.0223579604759045 0.3965928593263614 TTCCCCATAGGACT chr6 149108448 149108449 chr6:149108449:T:C rs6906914 T C C EBF1_EBF_1 30 0 - 0 0 . chr6 149133018 149133019 chr6:149133019:G:A rs13220484 G A G EBF1_EBF_1 -8 0 - 0 0 . chr6 149161023 149161024 chr6:149161024:G:C rs61338555 G C G EBF1_EBF_1 -7 0 - 0 0 . chr6 149211703 149211704 chr6:149211704:A:G rs9485358 A G A EBF1_EBF_1 -6 0 - 0 0 . chr6 149260042 149260043 chr6:149260043:A:G rs9377200 A G A EBF1_EBF_1 6 1 + 5.962274437913963 3.7954800066394876 ATCCCCAAGAGGAA chr6 149266227 149266228 chr6:149266228:G:A rs6908247 G A G EBF1_EBF_1 21 0 - 0 0 . chr6 149275215 149275216 chr6:149275216:G:C rs9404024 G C G EBF1_EBF_1 13 1 + 5.017615426960599 6.5056864483160135 ACTCCCTTGGGCGG chr6 149341854 149341855 chr6:149341855:G:C rs6942381 G C G EBF1_EBF_1 14 0 - 0 0 . chr6 149425506 149425507 chr6:149425507:A:G rs2143075 A G A EBF1_EBF_1 -11 0 + 0 0 . chr6 149445071 149445072 chr6:149445072:G:A rs4897125 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 149448930 149448931 chr6:149448931:C:T rs62621196 C T C EBF1_EBF_1 -15 0 + 0 0 . chr6 149450997 149450998 chr6:149450998:G:C rs368424369 G C G EBF1_EBF_1 18 0 - 0 0 . chr6 149451292 149451293 chr6:149451293:G:T rs150937045 G T G EBF1_EBF_1 14 0 - 0 0 . chr6 149452192 149452193 chr6:149452193:C:T rs113372611 C T C EBF1_EBF_1 6 1 + 6.005332030252072 6.30661815911383 ATCCCCCAGGGTGC chr6 149476583 149476584 chr6:149476584:T:G rs367133 T G G EBF1_EBF_1 -12 0 - 0 0 . chr6 149482045 149482046 chr6:149482046:A:G rs2789504 A G G EBF1_EBF_1 -8 0 + 0 0 . chr6 149546843 149546844 chr6:149546844:C:G rs540386805 C G C EBF1_EBF_1 5 1 + 4.679458103279539 -2.225423049355628 ATTCCCCAGACACT chr6 149566244 149566245 chr6:149566245:G:C rs968795705 G C G EBF1_EBF_1 -9 0 - 0 0 . chr6 149566247 149566248 chr6:149566248:G:C chr6:149566248:G:C G C G EBF1_EBF_1 -12 0 - 0 0 . chr6 149567127 149567128 chr6:149567128:G:A rs9498372 G A A EBF1_EBF_1 10 1 + 11.325204068187398 6.007175608920434 ATCCCCTGGGGACT chr6 149718011 149718012 chr6:149718012:G:A rs12174349 G A G EBF1_EBF_1 -2 0 - 0 0 . chr6 149721193 149721194 chr6:149721194:G:A rs62441279 G A A EBF1_EBF_1 8 1 + 5.02533408064013 0.7990439917538694 CAACCCCCGGGACT chr6 149750139 149750140 chr6:149750140:G:A rs933194911 G A g EBF1_EBF_1 22 0 + 0 0 . chr6 149840734 149840735 chr6:149840735:C:T rs958953 C T T EBF1_EBF_1 15 0 - 0 0 . chr6 149864465 149864466 chr6:149864466:G:T chr6:149864466:G:T G T G EBF1_EBF_1 0 1 - 5.346087728820058 7.601346212516658 CCTCCCCGGGGCCT chr6 149864471 149864472 chr6:149864472:C:T rs932488924 C T C EBF1_EBF_1 -6 0 - 0 0 . chr6 149864647 149864648 chr6:149864648:G:A rs573316870 G A G EBF1_EBF_1 8 1 + 5.56823497329201 1.3419448844057484 TGACCCCCGGGACT chr6 149908136 149908137 chr6:149908137:G:C rs114561158 G C - EBF1_EBF_1 -3 0 + 0 0 . chr6 149910790 149910791 chr6:149910791:C:T rs901231290 C T - EBF1_EBF_1 16 0 - 0 0 . chr6 149914054 149914055 chr6:149914055:A:T rs6900184 A T - EBF1_EBF_1 16 0 - 0 0 . chr6 149917361 149917362 chr6:149917362:G:A rs6904335 G A G EBF1_EBF_1 31 0 + 0 0 . chr6 149919692 149919693 chr6:149919693:G:C rs9397449 G C C EBF1_EBF_1 27 0 + 0 0 . chr6 149926417 149926418 chr6:149926418:C:A chr6:149926418:C:A C A C EBF1_EBF_1 -16 0 - 0 0 . chr6 149938564 149938565 chr6:149938565:G:A rs186429173 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 149938590 149938591 chr6:149938591:C:A rs4518509 C A C EBF1_EBF_1 14 0 + 0 0 . chr6 149965400 149965401 chr6:149965401:A:G rs376264381 A G A EBF1_EBF_1 18 0 - 0 0 . chr6 149965510 149965511 chr6:149965511:G:A rs78207606 G A G EBF1_EBF_1 23 0 - 0 0 . chr6 149977126 149977127 chr6:149977127:G:T rs6557220 G T G EBF1_EBF_1 11 1 + 10.626831126623077 9.421623714128364 AGTCCCCAGGGGCT chr6 149983172 149983173 chr6:149983173:G:A rs10457857 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 149984715 149984716 chr6:149984716:C:T rs4870176 C T C EBF1_EBF_1 30 0 - 0 0 . chr6 150015874 150015875 chr6:150015875:G:C rs113226162 G C G EBF1_EBF_1 5 1 - 5.106815354964053 -1.7980657976711147 TTTCACCTGGGACC chr6 150020403 150020404 chr6:150020404:C:T rs13218129 C T C EBF1_EBF_1 25 0 - 0 0 . chr6 150025074 150025075 chr6:150025075:T:C rs56761194 T C T EBF1_EBF_1 -13 0 - 0 0 . chr6 150028278 150028279 chr6:150028279:G:C rs763574 G C G EBF1_EBF_1 4 1 - 5.996513297061057 0.3707481959115125 AGCCCCCAGGGCCA chr6 150029132 150029133 chr6:150029133:C:A rs6916695 C A C EBF1_EBF_1 27 0 - 0 0 . chr6 150032886 150032887 chr6:150032887:T:C rs494825 T C C EBF1_EBF_1 33 0 - 0 0 . chr6 150037725 150037726 chr6:150037726:T:A rs150676748 T A A EBF1_EBF_1 -4 0 - 0 0 . chr6 150060397 150060398 chr6:150060398:T:A rs4870258 T A A EBF1_EBF_1 23 0 + 0 0 . chr6 150063960 150063961 chr6:150063961:T:C rs2010440 T C C EBF1_EBF_1 -8 0 + 0 0 . chr6 150095696 150095697 chr6:150095697:A:G rs7769319 A G G EBF1_EBF_1 27 0 - 0 0 . chr6 150176004 150176005 chr6:150176005:G:A rs6936348 G A A EBF1_EBF_1 23 0 - 0 0 . chr6 150176043 150176044 chr6:150176044:C:G rs6910439 C G C EBF1_EBF_1 -16 0 - 0 0 . chr6 150204914 150204915 chr6:150204915:C:T chr6:150204915:C:T C T C EBF1_EBF_1 16 0 + 0 0 . chr6 150211015 150211016 chr6:150211016:T:G rs877906 T G T EBF1_EBF_1 0 1 - 6.925483010536041 4.670224526839442 ACTCCCAAGAGGCA chr6 150238982 150238983 chr6:150238983:G:A rs17079490 G A G EBF1_EBF_1 -20 0 + 0 0 . chr6 150269647 150269648 chr6:150269648:C:A rs7741814 C A C EBF1_EBF_1 -11 0 + 0 0 . chr6 150270292 150270293 chr6:150270293:T:C rs11760085 T C C EBF1_EBF_1 30 0 - 0 0 . chr6 150278851 150278852 chr6:150278852:C:A rs789491 C A C EBF1_EBF_1 -17 0 + 0 0 . chr6 150290875 150290876 chr6:150290876:T:C rs4409190 T C T EBF1_EBF_1 19 0 - 0 0 . chr6 150294422 150294423 chr6:150294423:C:A rs75962000 C A C EBF1_EBF_1 -18 0 + 0 0 . chr6 150313246 150313247 chr6:150313247:A:C rs9397264 A C A EBF1_EBF_1 -14 0 - 0 0 . chr6 150322464 150322465 chr6:150322465:G:A rs2153205 G A A EBF1_EBF_1 4 1 - 7.187868459241041 2.818481514296117 TATCCCCAGGGTCT chr6 150324845 150324846 chr6:150324846:G:C rs4870474 G C g EBF1_EBF_1 -14 0 + 0 0 . chr6 150347295 150347296 chr6:150347296:C:T rs78908217 C T C EBF1_EBF_1 -9 0 + 0 0 . chr6 150373570 150373571 chr6:150373571:G:A rs11969964 G A A EBF1_EBF_1 -5 0 - 0 0 . chr6 150377266 150377267 chr6:150377267:C:G rs1339108 C G G EBF1_EBF_1 -2 0 - 0 0 . chr6 150390917 150390918 chr6:150390918:G:A rs3734734 G A G EBF1_EBF_1 30 0 + 0 0 . chr6 150398243 150398244 chr6:150398244:C:T rs2076286 C T C EBF1_EBF_1 0 1 + 8.158650844642251 8.440852905372829 CCCCCCAAGGGAGT chr6 150398267 150398268 chr6:150398268:T:C rs2076285 T C C EBF1_EBF_1 24 0 + 0 0 . chr6 150436159 150436160 chr6:150436160:T:C rs6557517 T C C EBF1_EBF_1 22 0 + 0 0 . chr6 150456594 150456595 chr6:150456595:C:T rs183969955 C T C EBF1_EBF_1 -7 0 - 0 0 . chr6 150457023 150457024 chr6:150457024:C:G rs12193056 C G C EBF1_EBF_1 7 1 - 4.603969995707561 3.622649414626205 CTTCCCCGGGTATC chr6 150502406 150502407 chr6:150502407:A:T rs6925650 A T A EBF1_EBF_1 -14 0 + 0 0 . chr6 150516420 150516421 chr6:150516421:T:A rs6557542 T A A EBF1_EBF_1 24 0 + 0 0 . chr6 150547854 150547855 chr6:150547855:T:C rs17079852 T C C EBF1_EBF_1 21 0 - 0 0 . chr6 150568084 150568085 chr6:150568085:G:T rs115530868 G T G EBF1_EBF_1 11 1 + 5.303676749525119 4.098469337030405 CCCCCCTTGGGGAC chr6 150568087 150568088 chr6:150568088:T:C rs535273504 T C T EBF1_EBF_1 14 0 + 0 0 . chr6 150662794 150662795 chr6:150662795:T:C rs9480511 T C T EBF1_EBF_1 0 1 - 6.534718733628689 4.642434864063857 ACCCCCGTGAGAAT chr6 150686707 150686708 chr6:150686708:T:C rs55646755 T C c EBF1_EBF_1 19 0 + 0 0 . chr6 150687700 150687701 chr6:150687701:A:T rs62434129 A T a EBF1_EBF_1 -4 0 + 0 0 . chr6 150687737 150687738 chr6:150687738:C:A rs59155780 C A c EBF1_EBF_1 33 0 + 0 0 . chr6 150811056 150811057 chr6:150811057:G:A rs712214 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 150818938 150818939 chr6:150818939:C:A rs76678181 C A C EBF1_EBF_1 3 1 + 7.830183964504217 0.9240099350384101 ACTCCCCAGGGGGA chr6 150865686 150865687 chr6:150865687:G:C rs896544251 G C G EBF1_EBF_1 10 1 + 6.112744205323118 -0.7780581820063579 GCCCCCTAGGGGCC chr6 150895341 150895342 chr6:150895342:G:T rs547669922 G T G EBF1_EBF_1 18 0 - 0 0 . chr6 150909837 150909838 chr6:150909838:G:A rs112287501 G A G EBF1_EBF_1 6 1 + 8.97756792260354 11.144362353878014 GGTCCCGAGGGACC chr6 150916114 150916115 chr6:150916115:C:T rs141497870 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 150916118 150916119 chr6:150916119:A:G rs117406004 A G A EBF1_EBF_1 32 0 + 0 0 . chr6 150925254 150925255 chr6:150925255:G:A rs12662170 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 150925269 150925270 chr6:150925270:G:A rs910540562 G A G EBF1_EBF_1 2 1 - 4.752931878068494 6.641755289510644 AACCCCGGGAGACC chr6 150925385 150925386 chr6:150925386:C:G rs77819344 C G C EBF1_EBF_1 18 0 + 0 0 . chr6 150967929 150967930 chr6:150967930:C:T rs1738568 C T c EBF1_EBF_1 22 0 - 0 0 . chr6 150992780 150992781 chr6:150992781:G:A rs117106795 G A G EBF1_EBF_1 -5 0 - 0 0 . chr6 151045702 151045703 chr6:151045703:T:G rs6925760 T G G EBF1_EBF_1 -16 0 + 0 0 . chr6 151072698 151072699 chr6:151072699:G:A rs146348756 G A G EBF1_EBF_1 -9 0 + 0 0 . chr6 151086179 151086180 chr6:151086180:A:G rs13214947 A G A EBF1_EBF_1 -4 0 + 0 0 . chr6 151090892 151090893 chr6:151090893:T:C rs34134755 T C T EBF1_EBF_1 0 1 + 4.467297117187173 4.185095056456595 TGCCCCATGCGACT chr6 151101277 151101278 chr6:151101278:T:C rs13212150 T C t EBF1_EBF_1 7 1 - 8.650337804162843 7.3378793988740165 CTTCCCAAGAGATT chr6 151236918 151236919 chr6:151236919:T:C rs7738698 T C C EBF1_EBF_1 6 1 - 7.131091612930065 4.96429718165559 TCTCCCATGGGGCA chr6 151240076 151240077 chr6:151240077:A:C rs1288639420 A C A EBF1_EBF_1 0 1 + 6.949613683680634 4.6943551999840345 AAACCCCAGAGAAT chr6 151240107 151240108 chr6:151240108:C:A chr6:151240108:C:A C A C EBF1_EBF_1 31 0 + 0 0 . chr6 151240977 151240978 chr6:151240978:G:C rs7773781 G C C EBF1_EBF_1 -14 0 - 0 0 . chr6 151261881 151261882 chr6:151261882:A:T rs17756953 A T A EBF1_EBF_1 -5 0 + 0 0 . chr6 151291458 151291459 chr6:151291459:G:C rs58491165 G C G EBF1_EBF_1 27 0 - 0 0 . chr6 151311522 151311523 chr6:151311523:C:A rs58460719 C A C EBF1_EBF_1 6 1 + 6.6142406724280445 6.975843040229322 CACCCCCGGGGAAA chr6 151311544 151311545 chr6:151311545:A:C rs78580968 A C A EBF1_EBF_1 28 0 + 0 0 . chr6 151311763 151311764 chr6:151311764:T:C rs926561 T C T EBF1_EBF_1 6 1 - 6.2606844905525945 4.09389005927812 TTTCCCAGAGGAAC chr6 151323942 151323943 chr6:151323943:A:G rs2297778 A G A EBF1_EBF_1 1 1 - 5.9561703004206334 5.060736860021886 CTTCCCCAGAGATG chr6 151325426 151325427 chr6:151325427:C:T rs115799898 C T C EBF1_EBF_1 -15 0 + 0 0 . chr6 151390378 151390379 chr6:151390379:G:A rs1018462857 G A G EBF1_EBF_1 -4 0 - 0 0 . chr6 151441418 151441419 chr6:151441419:C:T rs77548094 C T c EBF1_EBF_1 3 1 + 6.739555997262171 0.33415725570885524 AATCCCTTGGAATT chr6 151608789 151608790 chr6:151608790:G:C rs12663501 G C G EBF1_EBF_1 6 1 - 4.3665814827930465 2.5613894193198483 GCTCCCCAGAGCCT chr6 151608806 151608807 chr6:151608807:A:G rs6557158 A G G EBF1_EBF_1 -11 0 - 0 0 . chr6 151717020 151717021 chr6:151717021:T:G rs2347638 T G G EBF1_EBF_1 31 0 + 0 0 . chr6 151717104 151717105 chr6:151717105:G:A rs6932703 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 151948728 151948729 chr6:151948729:C:T chr6:151948729:C:T C T C EBF1_EBF_1 -8 0 - 0 0 . chr6 152126370 152126371 chr6:152126371:G:T rs2747656 G T T EBF1_EBF_1 -19 0 - 0 0 . chr6 152142352 152142353 chr6:152142353:C:T rs10484863 C T C EBF1_EBF_1 18 0 - 0 0 . chr6 152271920 152271921 chr6:152271921:T:A rs117323357 T A T EBF1_EBF_1 2 1 + 6.648691579714939 2.964223992116063 ACTCCCTTGGAAAA chr6 152271931 152271932 chr6:152271932:A:C rs6904737 A C C EBF1_EBF_1 13 1 + 6.648691579714939 7.022437029000915 ACTCCCTTGGAAAA chr6 152272258 152272259 chr6:152272259:A:T rs76541810 A T A EBF1_EBF_1 -18 0 - 0 0 . chr6 152272338 152272339 chr6:152272339:G:A rs114292548 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 152350839 152350840 chr6:152350840:A:G rs1387549 A G A EBF1_EBF_1 6 1 + 6.568943991051291 4.402149559776816 ATTCCCATGGTATG chr6 152480978 152480979 chr6:152480979:A:G rs7759578 A G G EBF1_EBF_1 7 1 - 6.0006795618699895 4.183174815587366 GCTCCCTTGAGAGA chr6 152636952 152636953 chr6:152636953:C:T chr6:152636953:C:T C T C EBF1_EBF_1 18 0 - 0 0 . chr6 152650150 152650151 chr6:152650151:C:G rs2038618 C G g EBF1_EBF_1 1 1 - 8.118696008543266 7.928924353974047 AGCCCCCCGGGACA chr6 152663225 152663226 chr6:152663226:G:C rs1322510 G C G EBF1_EBF_1 -6 0 - 0 0 . chr6 152702048 152702049 chr6:152702049:A:G rs7774405 A G G EBF1_EBF_1 21 0 - 0 0 . chr6 152723495 152723496 chr6:152723496:G:T rs74382288 G T G EBF1_EBF_1 -7 0 - 0 0 . chr6 152775628 152775629 chr6:152775629:C:G rs117676107 C G C EBF1_EBF_1 5 1 + 7.392034159620713 0.4871530069855438 ATTCCCCAAGGAGA chr6 152777035 152777036 chr6:152777036:A:T rs73014898 A T A EBF1_EBF_1 -4 0 - 0 0 . chr6 152818859 152818860 chr6:152818860:A:G rs74970446 A G A EBF1_EBF_1 21 0 + 0 0 . chr6 152947116 152947117 chr6:152947117:A:C rs2180123 A C C EBF1_EBF_1 0 1 - 7.39391075945513 7.474683312856319 TGTCCCCAGGTAAT chr6 152948646 152948647 chr6:152948647:T:A rs9384055 T A A EBF1_EBF_1 -8 0 - 0 0 . chr6 152982420 152982421 chr6:152982421:T:C rs139442728 T C T EBF1_EBF_1 27 0 - 0 0 . chr6 152983687 152983688 chr6:152983688:C:T rs560369869 C T C EBF1_EBF_1 -4 0 + 0 0 . chr6 152983918 152983919 chr6:152983919:C:T rs796326596 C T C EBF1_EBF_1 8 1 - 6.390385454955694 2.1640953660694326 AAACCCAGGGGAGC chr6 153089959 153089960 chr6:153089960:T:C rs17712040 T C T EBF1_EBF_1 26 0 - 0 0 . chr6 153111123 153111124 chr6:153111124:C:T rs4267963 C T C EBF1_EBF_1 24 0 + 0 0 . chr6 153158590 153158591 chr6:153158591:G:T rs12191018 G T G EBF1_EBF_1 15 0 - 0 0 . chr6 153294418 153294419 chr6:153294419:A:G rs1479432 A G G EBF1_EBF_1 -5 0 - 0 0 . chr6 153606112 153606113 chr6:153606113:C:G rs4492204 C G C EBF1_EBF_1 9 1 - 6.858204497682281 1.7825153513368177 CTTCCCATGGTAAC chr6 153813836 153813837 chr6:153813837:C:A rs10457896 C A A EBF1_EBF_1 9 1 - 3.2252813024427684 -3.6117081056460414 GCTCCCCTTGGACC chr6 153907751 153907752 chr6:153907752:T:A rs79421676 T A A EBF1_EBF_1 0 1 + 6.387612301527766 8.360668724493788 TTCCCCCTGAGACC chr6 153944886 153944887 chr6:153944887:A:G rs17084721 A G A EBF1_EBF_1 -10 0 - 0 0 . chr6 153957589 153957590 chr6:153957590:C:A rs530864 C A A EBF1_EBF_1 5 1 + 6.2985850900044404 -0.6062960626307272 TAACCCATGGGAAA chr6 154197755 154197756 chr6:154197756:A:G rs9478523 A G A EBF1_EBF_1 12 1 - 7.714300301021927 8.740566871572776 TTTCCCAAGAGATA chr6 154246531 154246532 chr6:154246532:T:G rs9479798 T G T EBF1_EBF_1 -8 0 - 0 0 . chr6 154246541 154246542 chr6:154246542:G:A rs7759388 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 154249516 154249517 chr6:154249517:G:A rs9322454 G A G EBF1_EBF_1 -15 0 - 0 0 . chr6 154307945 154307946 chr6:154307946:C:A rs7739525 C A A EBF1_EBF_1 -2 0 + 0 0 . chr6 154401666 154401667 chr6:154401667:T:C rs3822927 T C T EBF1_EBF_1 -4 0 + 0 0 . chr6 154474648 154474649 chr6:154474649:C:T rs1338511 C T c EBF1_EBF_1 -15 0 - 0 0 . chr6 154503981 154503982 chr6:154503982:C:T rs1538340 C T C EBF1_EBF_1 10 1 - 5.223248351291086 -0.09478010797587944 ATCCCCTGGCGATT chr6 154508700 154508701 chr6:154508701:A:G rs60707704 A G G EBF1_EBF_1 -20 0 - 0 0 . chr6 154516235 154516236 chr6:154516236:A:C rs80035921 A C C EBF1_EBF_1 0 1 + 4.963576873171747 2.7083183894751475 ATTCTCCTGGGCCT chr6 154516379 154516380 chr6:154516380:C:A rs871068 C A C EBF1_EBF_1 -18 0 + 0 0 . chr6 154539025 154539026 chr6:154539026:G:A rs80349936 G A G EBF1_EBF_1 7 1 + 4.548168367142005 5.860626772430831 CCTCTCCGGGGACC chr6 154597255 154597256 chr6:154597256:A:G rs113700458 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 154597266 154597267 chr6:154597267:G:C rs9479892 G C C EBF1_EBF_1 6 1 + 5.163380259219756 6.968572322692954 GTTCCCGTGAGAGC chr6 154815366 154815367 chr6:154815367:G:T rs7766968 G T G EBF1_EBF_1 23 0 - 0 0 . chr6 154889007 154889008 chr6:154889008:C:T rs12527982 C T C EBF1_EBF_1 6 1 + 5.633780617852806 5.935066746714564 ACTTCCCTGGGAAC chr6 154909774 154909775 chr6:154909775:A:G rs17085793 A G A EBF1_EBF_1 -16 0 + 0 0 . chr6 154950518 154950519 chr6:154950519:A:G rs62432821 A G A EBF1_EBF_1 -11 0 - 0 0 . chr6 155006090 155006091 chr6:155006091:G:C rs9478607 G C G EBF1_EBF_1 -16 0 + 0 0 . chr6 155120850 155120851 chr6:155120851:A:C rs6557402 A C A EBF1_EBF_1 15 0 - 0 0 . chr6 155120875 155120876 chr6:155120876:T:C rs2062409 T C C EBF1_EBF_1 -10 0 - 0 0 . chr6 155137672 155137673 chr6:155137673:C:T rs371975682 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 155189101 155189102 chr6:155189102:T:C rs139144348 T C T EBF1_EBF_1 -6 0 - 0 0 . chr6 155223972 155223973 chr6:155223973:G:A rs9384302 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 155223992 155223993 chr6:155223993:G:C rs77980636 G C G EBF1_EBF_1 5 1 - 5.678696242579271 -1.2261849100558964 ACCCCCCTGGGTAA chr6 155250562 155250563 chr6:155250563:T:C rs1041474 T C T EBF1_EBF_1 24 0 - 0 0 . chr6 155266287 155266288 chr6:155266288:A:G rs912718 A G G EBF1_EBF_1 33 0 - 0 0 . chr6 155273640 155273641 chr6:155273641:A:T rs9397798 A T t EBF1_EBF_1 7 1 + 11.506460088842893 11.030185848755336 GTTCCCAAGGGAAA chr6 155273647 155273648 chr6:155273648:C:G rs77840497 C G C EBF1_EBF_1 14 0 + 0 0 . chr6 155314046 155314047 chr6:155314047:T:C rs12527128 T C C EBF1_EBF_1 14 0 + 0 0 . chr6 155314834 155314835 chr6:155314835:C:T rs57486069 C T C EBF1_EBF_1 15 0 + 0 0 . chr6 155440874 155440875 chr6:155440875:G:A rs555123299 G A G EBF1_EBF_1 0 1 - 8.892564328304099 9.174766389034676 CGCCCCAAGGGACC chr6 155449873 155449874 chr6:155449874:C:T rs2235674 C T C EBF1_EBF_1 10 1 - 5.992521963113681 0.6744935038467151 ATTCACTTGGGAGC chr6 155495309 155495310 chr6:155495310:G:A rs114240819 G A G EBF1_EBF_1 -13 0 - 0 0 . chr6 155542123 155542124 chr6:155542124:G:A rs80326249 G A G EBF1_EBF_1 19 0 + 0 0 . chr6 155623402 155623403 chr6:155623403:A:C rs7750472 A C A EBF1_EBF_1 -18 0 - 0 0 . chr6 155850573 155850574 chr6:155850574:T:C rs59002994 T C C EBF1_EBF_1 26 0 - 0 0 . chr6 155980591 155980592 chr6:155980592:C:T rs3884541 C T T EBF1_EBF_1 25 0 - 0 0 . chr6 156030681 156030682 chr6:156030682:C:T rs1349239 C T T EBF1_EBF_1 4 1 + 8.34256869032255 3.973181745377624 ACACCCATGGGAAA chr6 156176291 156176292 chr6:156176292:G:A chr6:156176292:G:A G A G EBF1_EBF_1 25 0 - 0 0 . chr6 156228033 156228034 chr6:156228034:C:T rs2818055 C T T EBF1_EBF_1 17 0 + 0 0 . chr6 156360164 156360165 chr6:156360165:G:A rs997441001 G A G EBF1_EBF_1 31 0 - 0 0 . chr6 156376320 156376321 chr6:156376321:T:C rs10457099 T C T EBF1_EBF_1 32 0 - 0 0 . chr6 156420574 156420575 chr6:156420575:G:T rs4074386 G T G EBF1_EBF_1 28 0 + 0 0 . chr6 156474906 156474907 chr6:156474907:T:A rs7761880 T A T EBF1_EBF_1 -7 0 - 0 0 . chr6 156535880 156535881 chr6:156535881:C:G rs9480343 C G C EBF1_EBF_1 -8 0 + 0 0 . chr6 156543677 156543678 chr6:156543678:C:T rs2045137 C T C EBF1_EBF_1 28 0 + 0 0 . chr6 156617370 156617371 chr6:156617371:T:C rs2817445 T C T EBF1_EBF_1 24 0 - 0 0 . chr6 156617371 156617372 chr6:156617372:A:G rs2817444 A G G EBF1_EBF_1 23 0 - 0 0 . chr6 156633083 156633084 chr6:156633084:A:G rs1246172 A G G EBF1_EBF_1 2 1 - 6.454560283396706 4.565736871954556 TTTGCCCAGGGAAT chr6 156637483 156637484 chr6:156637484:C:T rs73575094 C T C EBF1_EBF_1 6 1 - 4.891605218199317 7.058399649473792 AGACCCGCGGGACC chr6 156719139 156719140 chr6:156719140:C:T rs9480380 C T C EBF1_EBF_1 21 0 + 0 0 . chr6 156720141 156720142 chr6:156720142:C:G rs75047362 C G C EBF1_EBF_1 -12 0 - 0 0 . chr6 156791570 156791571 chr6:156791571:G:T rs143150669 G T G EBF1_EBF_1 -19 0 + 0 0 . chr6 156887834 156887835 chr6:156887835:A:G rs9322588 A G A EBF1_EBF_1 28 0 - 0 0 . chr6 156942482 156942483 chr6:156942483:A:C rs79243554 A C A EBF1_EBF_1 25 0 + 0 0 . chr6 156988408 156988409 chr6:156988409:A:G rs75275599 A G A EBF1_EBF_1 12 1 - 7.883119498348284 8.909386068899133 AATCCCCTGAGATA chr6 157001864 157001865 chr6:157001865:G:T rs12205414 G T G EBF1_EBF_1 24 0 + 0 0 . chr6 157017193 157017194 chr6:157017194:T:C rs9285548 T C T EBF1_EBF_1 -6 0 - 0 0 . chr6 157021342 157021343 chr6:157021343:C:T rs140202012 C T C EBF1_EBF_1 4 1 + 9.576424208257457 5.207037263312532 AATCCCCCGGGAGT chr6 157021365 157021366 chr6:157021366:A:T rs2281391 A T T EBF1_EBF_1 27 0 + 0 0 . chr6 157084795 157084796 chr6:157084796:G:A rs3734441 G A G EBF1_EBF_1 -18 0 + 0 0 . chr6 157097787 157097788 chr6:157097788:G:A rs148106979 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 157104487 157104488 chr6:157104488:A:T rs7745352 A T T EBF1_EBF_1 -8 0 + 0 0 . chr6 157117547 157117548 chr6:157117548:A:G rs115094057 A G A EBF1_EBF_1 -6 0 + 0 0 . chr6 157123295 157123296 chr6:157123296:G:A rs9480443 G A G EBF1_EBF_1 -20 0 - 0 0 . chr6 157123539 157123540 chr6:157123540:T:C rs573195221 T C T EBF1_EBF_1 2 1 + 9.520106037044124 7.631282625601974 ACTCCCTAGGGGCA chr6 157123563 157123564 chr6:157123564:G:A rs117236388 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 157186974 157186975 chr6:157186975:T:C rs78728439 T C C EBF1_EBF_1 -11 0 - 0 0 . chr6 157223179 157223180 chr6:157223180:G:A rs587751880 G A g EBF1_EBF_1 9 1 - 4.987646409188741 3.226346147445396 CCTCCCTGGCGACT chr6 157224388 157224389 chr6:157224389:G:A rs150858561 G A G EBF1_EBF_1 18 0 - 0 0 . chr6 157228753 157228754 chr6:157228754:G:A rs9406315 G A A EBF1_EBF_1 12 1 - 4.6857827494065685 3.6595161788557187 ATCCCCCAGGAACG chr6 157236084 157236085 chr6:157236085:G:A rs148723273 G A G EBF1_EBF_1 16 0 + 0 0 . chr6 157258777 157258778 chr6:157258778:T:C rs149007136 T C t EBF1_EBF_1 1 1 - 4.761400311344923 5.022099143266225 AACCCCCTGGAAAC chr6 157277030 157277031 chr6:157277031:G:T rs28695567 G T . EBF1_EBF_1 29 0 - 0 0 . chr6 157281723 157281724 chr6:157281724:T:C rs6605500 T C . EBF1_EBF_1 19 0 + 0 0 . chr6 157287843 157287844 chr6:157287844:T:C rs144540924 T C . EBF1_EBF_1 -5 0 - 0 0 . chr6 157302734 157302735 chr6:157302735:C:T rs146187892 C T T EBF1_EBF_1 -8 0 - 0 0 . chr6 157323062 157323063 chr6:157323063:C:T rs143730993 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 157381509 157381510 chr6:157381510:G:A chr6:157381510:G:A G A G EBF1_EBF_1 0 1 - 4.861837021476475 5.144039082207053 CCTCCCAGGAGAGA chr6 157385643 157385644 chr6:157385644:C:T rs2749666 C T C EBF1_EBF_1 5 1 + 6.776544851460101 1.4547576359194312 AGCCCCTGGAGAAA chr6 157409243 157409244 chr6:157409244:T:C rs2015544 T C C EBF1_EBF_1 0 1 - 9.527536968585977 7.635253099021145 ATCCCCCTGGGACG chr6 157418144 157418145 chr6:157418145:C:T rs76305838 C T c EBF1_EBF_1 0 1 + 6.551258661588218 6.8334607223187955 CTTCCCCTGGGTCC chr6 157438551 157438552 chr6:157438552:C:A rs35363221 C A C EBF1_EBF_1 2 1 + 4.157860654460682 2.3622164783039556 ACCCCCCTGTGAAT chr6 157438570 157438571 chr6:157438571:T:C rs62423894 T C T EBF1_EBF_1 21 0 + 0 0 . chr6 157438575 157438576 chr6:157438576:G:A rs113361873 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 157456704 157456705 chr6:157456705:G:A rs147528813 G A G EBF1_EBF_1 18 0 - 0 0 . chr6 157471856 157471857 chr6:157471857:C:A rs113731856 C A C EBF1_EBF_1 13 1 + 5.34481401626332 4.971068566977344 CCTCCCTCGGGGCC chr6 157479002 157479003 chr6:157479003:G:A rs183794700 G A G EBF1_EBF_1 3 1 - 3.881098511902821 -2.524300229650496 TCTCCCCGCGGACC chr6 157514861 157514862 chr6:157514862:G:A rs145798091 G A G EBF1_EBF_1 12 1 - 7.3810022725711715 6.354735702020322 TCTCCCCTGAGACC chr6 157572323 157572324 chr6:157572324:G:A rs111419828 G A G EBF1_EBF_1 -7 0 - 0 0 . chr6 157573791 157573792 chr6:157573792:C:T rs142760773 C T C EBF1_EBF_1 -15 0 + 0 0 . chr6 157597781 157597782 chr6:157597782:C:T rs146575711 C T c EBF1_EBF_1 -3 0 - 0 0 . chr6 157632148 157632149 chr6:157632149:G:A rs9355834 G A G EBF1_EBF_1 -6 0 + 0 0 . chr6 157634903 157634904 chr6:157634904:G:A rs9347442 G A A EBF1_EBF_1 29 0 - 0 0 . chr6 157644192 157644193 chr6:157644193:G:A rs747719 G A g EBF1_EBF_1 18 0 - 0 0 . chr6 157651910 157651911 chr6:157651911:C:G rs663900 C G C EBF1_EBF_1 14 0 + 0 0 . chr6 157652383 157652384 chr6:157652384:G:T rs9347469 G T T EBF1_EBF_1 28 0 - 0 0 . chr6 157659011 157659012 chr6:157659012:C:T rs602237 C T T EBF1_EBF_1 15 0 - 0 0 . chr6 157669386 157669387 chr6:157669387:T:C rs77648900 T C T EBF1_EBF_1 18 0 + 0 0 . chr6 157669386 157669387 chr6:157669387:T:G chr6:157669387:T:G T G T EBF1_EBF_1 18 0 + 0 0 . chr6 157684858 157684859 chr6:157684859:C:T rs9295141 C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 157689577 157689578 chr6:157689578:C:T rs909199360 C T C EBF1_EBF_1 20 0 - 0 0 . chr6 157758696 157758697 chr6:157758697:C:G rs2886227 C G C EBF1_EBF_1 -10 0 + 0 0 . chr6 157760436 157760437 chr6:157760437:C:G rs73794855 C G C EBF1_EBF_1 17 0 + 0 0 . chr6 157760444 157760445 chr6:157760445:C:G rs73794856 C G C EBF1_EBF_1 25 0 + 0 0 . chr6 157764743 157764744 chr6:157764744:T:G rs142680884 T G T EBF1_EBF_1 28 0 - 0 0 . chr6 157768084 157768085 chr6:157768085:G:A rs653075 G A G EBF1_EBF_1 -2 0 - 0 0 . chr6 157811665 157811666 chr6:157811666:G:A rs16900458 G A G EBF1_EBF_1 31 0 + 0 0 . chr6 157821841 157821842 chr6:157821842:C:T rs35424980 C T T EBF1_EBF_1 5 1 + 5.493567551253785 0.17178033571311607 ATTCCCAGGAGGGC chr6 157821846 157821847 chr6:157821847:G:A rs35602044 G A G EBF1_EBF_1 10 1 + 5.493567551253785 0.17553909198681966 ATTCCCAGGAGGGC chr6 157821868 157821869 chr6:157821869:G:A rs73573809 G A G EBF1_EBF_1 32 0 + 0 0 . chr6 157822615 157822616 chr6:157822616:T:C rs9295197 T C C EBF1_EBF_1 13 1 + 4.8711951806397025 4.026701066054184 CGTCCCAGGGGCTT chr6 157841626 157841627 chr6:157841627:C:T rs140293474 C T C EBF1_EBF_1 -9 0 - 0 0 . chr6 157900464 157900465 chr6:157900465:C:A rs777537992 C A C EBF1_EBF_1 4 1 - 5.420024287213174 6.676402443417794 ATCCGCCTGGGAAC chr6 157911964 157911965 chr6:157911965:G:T rs753680864 G T G EBF1_EBF_1 -18 0 - 0 0 . chr6 157938442 157938443 chr6:157938443:A:G rs6455919 A G A EBF1_EBF_1 -15 0 + 0 0 . chr6 157956295 157956296 chr6:157956296:G:A rs16900531 G A G EBF1_EBF_1 -3 0 + 0 0 . chr6 158027086 158027087 chr6:158027087:C:T rs11962719 C T C EBF1_EBF_1 -7 0 - 0 0 . chr6 158061311 158061312 chr6:158061312:G:A rs78693252 G A G EBF1_EBF_1 16 0 - 0 0 . chr6 158068271 158068272 chr6:158068272:C:T rs12205429 C T C EBF1_EBF_1 10 1 - 6.132099461277029 0.814071002010063 CCTCCCCAGGGTCC chr6 158069111 158069112 chr6:158069112:T:A rs149000534 T A T EBF1_EBF_1 -13 0 - 0 0 . chr6 158079469 158079470 chr6:158079470:A:T rs2986006 A T T EBF1_EBF_1 12 1 + 5.047024385489639 3.990576416517463 CACCCCCAGGGTAT chr6 158079470 158079471 chr6:158079471:T:C rs2986005 T C C EBF1_EBF_1 13 1 + 5.047024385489639 4.202530270904121 CACCCCCAGGGTAT chr6 158167883 158167884 chr6:158167884:C:T rs369385184 C T C EBF1_EBF_1 -10 0 + 0 0 . chr6 158167890 158167891 chr6:158167891:T:G rs936199429 T G T EBF1_EBF_1 -3 0 + 0 0 . chr6 158214545 158214546 chr6:158214546:C:T rs199605815 C T c EBF1_EBF_1 28 0 - 0 0 . chr6 158230943 158230944 chr6:158230944:C:T rs75272161 C T c EBF1_EBF_1 -5 0 - 0 0 . chr6 158261506 158261507 chr6:158261507:G:C rs4710168 G C G EBF1_EBF_1 16 0 + 0 0 . chr6 158300484 158300485 chr6:158300485:C:T rs776001449 C T C EBF1_EBF_1 23 0 - 0 0 . chr6 158311183 158311184 chr6:158311184:C:A rs4534009 C A a EBF1_EBF_1 27 0 - 0 0 . chr6 158311283 158311284 chr6:158311284:C:T rs6907623 C T T EBF1_EBF_1 -9 0 + 0 0 . chr6 158312854 158312855 chr6:158312855:T:G rs4478433 T G G EBF1_EBF_1 17 0 - 0 0 . chr6 158313242 158313243 chr6:158313243:C:T rs4433000 C T T EBF1_EBF_1 -19 0 + 0 0 . chr6 158313282 158313283 chr6:158313283:A:G rs9456286 A G A EBF1_EBF_1 21 0 + 0 0 . chr6 158382101 158382102 chr6:158382102:G:T rs79745679 G T G EBF1_EBF_1 28 0 - 0 0 . chr6 158412902 158412903 chr6:158412903:G:A rs341159 G A A EBF1_EBF_1 -4 0 - 0 0 . chr6 158489710 158489711 chr6:158489711:G:A rs61742077 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 158518304 158518305 chr6:158518305:G:A rs78857025 G A G EBF1_EBF_1 22 0 + 0 0 . chr6 158518306 158518307 chr6:158518307:A:C rs138645711 A C A EBF1_EBF_1 24 0 + 0 0 . chr6 158559850 158559851 chr6:158559851:G:C rs557441950 G C G EBF1_EBF_1 19 0 - 0 0 . chr6 158560750 158560751 chr6:158560751:C:T rs188004361 C T C EBF1_EBF_1 3 1 + 4.564293658581946 -1.841105082971372 AGCCCCTTTGGACT chr6 158560776 158560777 chr6:158560777:T:A rs73012185 T A T EBF1_EBF_1 29 0 + 0 0 . chr6 158573107 158573108 chr6:158573108:T:C rs9457406 T C T EBF1_EBF_1 7 1 - 4.78519150591051 3.4727331006216846 GCCCCCCAGGGGTC chr6 158596878 158596879 chr6:158596879:G:A rs12662329 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 158596886 158596887 chr6:158596887:C:G rs9456328 C G C EBF1_EBF_1 3 1 + 3.838320474906848 -1.989443973208369 CCTCCCCCGGGTCC chr6 158622555 158622556 chr6:158622556:C:T rs73796525 C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 158624786 158624787 chr6:158624787:G:A rs4709238 G A G EBF1_EBF_1 9 1 + 4.150184095543397 1.4952448300957921 CGCCCCCAGGGGCG chr6 158625408 158625409 chr6:158625409:G:A rs35210925 G A G EBF1_EBF_1 21 0 - 0 0 . chr6 158636016 158636017 chr6:158636017:A:G rs62432934 A G A EBF1_EBF_1 -17 0 - 0 0 . chr6 158640589 158640590 chr6:158640590:C:A rs762696200 C A C EBF1_EBF_1 -5 0 - 0 0 . chr6 158665210 158665211 chr6:158665211:C:A rs189754774 C A C EBF1_EBF_1 3 1 + 6.744444174033507 -0.16172985543229923 AAACCCTTGAGACT chr6 158722689 158722690 chr6:158722690:C:T rs117532170 C T C EBF1_EBF_1 26 0 - 0 0 . chr6 158753053 158753054 chr6:158753054:A:G rs142708454 A G A EBF1_EBF_1 -5 0 - 0 0 . chr6 158756955 158756956 chr6:158756956:G:C rs4708803 G C G EBF1_EBF_1 14 0 - 0 0 . chr6 158761039 158761040 chr6:158761040:G:C rs778554473 G C G EBF1_EBF_1 4 1 - 8.752709953057474 3.12694485190793 TTTCCCCAGAGACC chr6 158761182 158761183 chr6:158761183:G:A rs58007859 G A A EBF1_EBF_1 -5 0 + 0 0 . chr6 158765659 158765660 chr6:158765660:G:A rs877823 G A A EBF1_EBF_1 28 0 + 0 0 . chr6 158767249 158767250 chr6:158767250:T:G rs9364994 T G T EBF1_EBF_1 6 1 + 7.03976474970675 4.933286557371796 AGCCCCTTGGGCCT chr6 158772208 158772209 chr6:158772209:G:T rs73799903 G T G EBF1_EBF_1 16 0 + 0 0 . chr6 158772362 158772363 chr6:158772363:A:G rs3127182 A G G EBF1_EBF_1 24 0 - 0 0 . chr6 158817971 158817972 chr6:158817972:G:T rs1994350 G T G EBF1_EBF_1 -16 0 + 0 0 . chr6 158818445 158818446 chr6:158818446:G:A rs147596021 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 158818475 158818476 chr6:158818476:G:A rs9457474 G A G EBF1_EBF_1 18 0 + 0 0 . chr6 158869630 158869631 chr6:158869631:C:A rs113453876 C A C EBF1_EBF_1 2 1 + 5.615323863133916 3.8196796869771887 CACCCCCAGAGACC chr6 158869649 158869650 chr6:158869650:T:C rs550596288 T C T EBF1_EBF_1 21 0 + 0 0 . chr6 158869661 158869662 chr6:158869662:G:A rs115041984 G A G EBF1_EBF_1 33 0 + 0 0 . chr6 158886505 158886506 chr6:158886506:C:T rs147933096 C T c EBF1_EBF_1 -15 0 + 0 0 . chr6 158910112 158910113 chr6:158910113:C:T rs199951771 C T C EBF1_EBF_1 20 0 + 0 0 . chr6 158911731 158911732 chr6:158911732:T:A rs76505719 T A A EBF1_EBF_1 7 1 - 5.131191179908922 4.654916939821364 TGCCCCAAGAGAGC chr6 158924630 158924631 chr6:158924631:T:A rs9456364 T A A EBF1_EBF_1 -4 0 - 0 0 . chr6 158924644 158924645 chr6:158924645:A:G rs7772304 A G A EBF1_EBF_1 -18 0 - 0 0 . chr6 159004674 159004675 chr6:159004675:C:T rs2016588 C T C EBF1_EBF_1 23 0 - 0 0 . chr6 159004703 159004704 chr6:159004704:A:G rs187659570 A G A EBF1_EBF_1 -6 0 - 0 0 . chr6 159053591 159053592 chr6:159053592:C:A rs212397 C A C EBF1_EBF_1 14 0 + 0 0 . chr6 159101918 159101919 chr6:159101919:T:C rs9355700 T C C EBF1_EBF_1 -18 0 - 0 0 . chr6 159102392 159102393 chr6:159102393:C:T rs4708810 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 159103589 159103590 chr6:159103590:G:A rs9355260 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 159103590 159103591 chr6:159103591:T:G rs9346777 T G G EBF1_EBF_1 27 0 + 0 0 . chr6 159115310 159115311 chr6:159115311:C:T rs2451986 C T T EBF1_EBF_1 -16 0 + 0 0 . chr6 159149716 159149717 chr6:159149717:C:T rs1809658 C T T EBF1_EBF_1 22 0 - 0 0 . chr6 159162049 159162050 chr6:159162050:G:A rs2451246 G A G EBF1_EBF_1 31 0 - 0 0 . chr6 159162062 159162063 chr6:159162063:T:C rs2451967 T C T EBF1_EBF_1 18 0 - 0 0 . chr6 159167320 159167321 chr6:159167321:C:G rs75697394 C G C EBF1_EBF_1 -19 0 - 0 0 . chr6 159169944 159169945 chr6:159169945:G:A rs116831202 G A G EBF1_EBF_1 30 0 - 0 0 . chr6 159203647 159203648 chr6:159203648:G:A rs402045 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 159234293 159234294 chr6:159234294:T:C rs3003174 T C C EBF1_EBF_1 32 0 + 0 0 . chr6 159234640 159234641 chr6:159234641:G:C rs2932987 G C G EBF1_EBF_1 5 1 - 9.031163564983151 2.126282412347982 AAACCCTAGGGACC chr6 159254040 159254041 chr6:159254041:A:G rs73799734 A G A EBF1_EBF_1 12 1 + 5.19870432867051 3.779886986571032 CTCCTCTAGGGAAC chr6 159273337 159273338 chr6:159273338:C:A rs588688 C A C EBF1_EBF_1 -19 0 - 0 0 . chr6 159299923 159299924 chr6:159299924:C:A rs1875817 C A A EBF1_EBF_1 23 0 + 0 0 . chr6 159307582 159307583 chr6:159307583:C:T rs9457587 C T T EBF1_EBF_1 7 1 - 7.154333678403113 8.46679208369194 AGTCCCAGAGGAAA chr6 159315872 159315873 chr6:159315873:C:G rs1875820 C G G EBF1_EBF_1 -3 0 - 0 0 . chr6 159328079 159328080 chr6:159328080:C:T rs4388308 C T C EBF1_EBF_1 8 1 - 4.435711018021231 0.2094209291349688 CCTCCCCTGGAACC chr6 159400105 159400106 chr6:159400106:A:G rs16890258 A G G EBF1_EBF_1 -7 0 + 0 0 . chr6 159441264 159441265 chr6:159441265:G:C rs2066103 G C G EBF1_EBF_1 7 1 - 4.948489012040527 5.929809593121884 AGTCACACGGGAAC chr6 159444724 159444725 chr6:159444725:G:A rs1890582 G A A EBF1_EBF_1 33 0 + 0 0 . chr6 159461358 159461359 chr6:159461359:G:A rs143917329 G A G EBF1_EBF_1 -5 0 + 0 0 . chr6 159505963 159505964 chr6:159505964:C:T rs7772414 C T C EBF1_EBF_1 2 1 + 5.694842292409712 7.583665703851861 CACCCCTAGGGAGG chr6 159540418 159540419 chr6:159540419:G:A rs9355738 G A A EBF1_EBF_1 23 0 - 0 0 . chr6 159682081 159682082 chr6:159682082:C:T rs5746135 C T C EBF1_EBF_1 19 0 - 0 0 . chr6 159726435 159726436 chr6:159726436:C:T chr6:159726436:C:T C T C EBF1_EBF_1 29 0 - 0 0 . chr6 159726474 159726475 chr6:159726475:T:C rs1048021 T C T EBF1_EBF_1 -10 0 - 0 0 . chr6 159728023 159728024 chr6:159728024:C:T rs545732189 C T C EBF1_EBF_1 -19 0 + 0 0 . chr6 159728036 159728037 chr6:159728037:C:G rs59250477 C G C EBF1_EBF_1 -6 0 + 0 0 . chr6 159760704 159760705 chr6:159760705:G:C rs11759329 G C G EBF1_EBF_1 -2 0 - 0 0 . chr6 159795185 159795186 chr6:159795186:C:T rs78069359 C T C EBF1_EBF_1 5 1 + 7.179195744069909 1.857408528529239 ATTGCCCAGGGACA chr6 159813592 159813593 chr6:159813593:G:C rs13220869 G C C EBF1_EBF_1 29 0 - 0 0 . chr6 159826216 159826217 chr6:159826217:G:T rs6902339 G T T EBF1_EBF_1 8 1 + 6.887455120946245 -0.003977668030949344 TGTCCCCTGGTACT chr6 159836242 159836243 chr6:159836243:A:G rs116174006 A G A EBF1_EBF_1 21 0 - 0 0 . chr6 159836641 159836642 chr6:159836642:A:G rs7753455 A G A EBF1_EBF_1 27 0 - 0 0 . chr6 159836670 159836671 chr6:159836671:G:A rs75819776 G A A EBF1_EBF_1 -2 0 - 0 0 . chr6 159838698 159838699 chr6:159838699:C:T rs62437090 C T c EBF1_EBF_1 25 0 + 0 0 . chr6 159845795 159845796 chr6:159845796:C:T rs9355279 C T T EBF1_EBF_1 -7 0 + 0 0 . chr6 159871419 159871420 chr6:159871420:C:T rs9365110 C T C EBF1_EBF_1 18 0 + 0 0 . chr6 159875579 159875580 chr6:159875580:T:C rs73030848 T C T EBF1_EBF_1 -14 0 + 0 0 . chr6 159952076 159952077 chr6:159952077:A:C rs1001357 A C A EBF1_EBF_1 -5 0 + 0 0 . chr6 159968670 159968671 chr6:159968671:A:C chr6:159968671:A:C A C A EBF1_EBF_1 0 1 + 4.251523358164669 1.9962648744680684 ACCCCCCACGGATC chr6 159978862 159978863 chr6:159978863:G:A rs3777417 G A G EBF1_EBF_1 13 1 - 6.727042312026973 7.571536426612491 GCCCCCAGGGGATC chr6 159989465 159989466 chr6:159989466:T:A rs3822845 T A A EBF1_EBF_1 -13 0 + 0 0 . chr6 159996172 159996173 chr6:159996173:G:C rs13220412 G C G EBF1_EBF_1 -11 0 + 0 0 . chr6 159997212 159997213 chr6:159997213:G:A rs117032049 G A G EBF1_EBF_1 -9 0 + 0 0 . chr6 160003081 160003082 chr6:160003082:C:T rs3798193 C T C EBF1_EBF_1 26 0 - 0 0 . chr6 160004665 160004666 chr6:160004666:G:A rs8191713 G A G EBF1_EBF_1 -9 0 - 0 0 . chr6 160024460 160024461 chr6:160024461:G:A rs2277071 G A G EBF1_EBF_1 -7 0 + 0 0 . chr6 160086265 160086266 chr6:160086266:A:G rs3798179 A G G EBF1_EBF_1 2 1 - 8.725684501841771 6.83686109039962 AGTCCCAGGAGAAA chr6 160131221 160131222 chr6:160131222:T:G rs614890 T G - EBF1_EBF_1 21 0 + 0 0 . chr6 160136482 160136483 chr6:160136483:G:A rs2282142 G A G EBF1_EBF_1 11 1 + 8.181800988357262 11.074507831961272 CCTCCCCAGGGGCT chr6 160236670 160236671 chr6:160236671:A:G rs657051 A G A EBF1_EBF_1 -3 0 + 0 0 . chr6 160238941 160238942 chr6:160238942:T:G rs315987 T G T EBF1_EBF_1 -18 0 + 0 0 . chr6 160262531 160262532 chr6:160262532:C:T rs145259190 C T C EBF1_EBF_1 6 1 - 3.79087027514662 5.957664706421097 GCACCCGGGGGACC chr6 160330824 160330825 chr6:160330825:C:T rs79002865 C T C EBF1_EBF_1 13 1 + 11.407391756163994 12.251885870749513 ATCCCCATGGGAAC chr6 160354984 160354985 chr6:160354985:C:A rs543159 C A C EBF1_EBF_1 10 1 - 6.654530994380719 2.471778808153455 ATCCTCCGGGGACT chr6 160384636 160384637 chr6:160384637:C:T rs1510229 C T C EBF1_EBF_1 -14 0 + 0 0 . chr6 160394481 160394482 chr6:160394482:C:A rs145769096 C A C EBF1_EBF_1 25 0 + 0 0 . chr6 160417615 160417616 chr6:160417616:G:A rs2246531 G A A EBF1_EBF_1 -1 0 - 0 0 . chr6 160429681 160429682 chr6:160429682:C:A chr6:160429682:C:A C A C EBF1_EBF_1 -14 0 - 0 0 . chr6 160510442 160510443 chr6:160510443:A:G rs74795806 A G A EBF1_EBF_1 6 1 - 6.431613008014925 6.130326879153169 TATCCCTGGAGAAA chr6 160520098 160520099 chr6:160520099:T:G rs73784268 T G G EBF1_EBF_1 -15 0 + 0 0 . chr6 160520110 160520111 chr6:160520111:T:G rs1034519649 T G T EBF1_EBF_1 -3 0 + 0 0 . chr6 160634978 160634979 chr6:160634979:A:G rs111657849 A G . EBF1_EBF_1 14 0 + 0 0 . chr6 160679524 160679525 chr6:160679525:G:C chr6:160679525:G:C G C G EBF1_EBF_1 9 1 - 6.571695936795579 11.647385083141042 TATCCCAAGCGACT chr6 160679524 160679525 chr6:160679525:G:T rs61179056 G T G EBF1_EBF_1 9 1 - 6.571695936795579 8.992445817693438 TATCCCAAGCGACT chr6 160679525 160679526 chr6:160679526:C:T rs60171130 C T C EBF1_EBF_1 8 1 - 6.571695936795579 2.3454058479093183 TATCCCAAGCGACT chr6 160690653 160690654 chr6:160690654:A:C rs59872631 A C C EBF1_EBF_1 19 0 + 0 0 . chr6 160733410 160733411 chr6:160733411:C:T rs1253351971 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 160733417 160733418 chr6:160733418:G:A rs4252137 G A G EBF1_EBF_1 -1 0 + 0 0 . chr6 160775529 160775530 chr6:160775530:T:C rs62436702 T C T EBF1_EBF_1 7 1 - 5.682920015592647 4.370461610303822 ACCCTCCAGGGAGT chr6 160789172 160789173 chr6:160789173:G:T rs9458032 G T T EBF1_EBF_1 11 1 - 5.632279563558034 10.048241261410423 CCTCCCCAGGGCTT chr6 160789422 160789423 chr6:160789423:A:G rs11758404 A G A EBF1_EBF_1 12 1 - 10.34374915631279 11.370015726863638 AACCCCCAGGGATT chr6 160789437 160789438 chr6:160789438:A:G rs1740432 A G G EBF1_EBF_1 -3 0 - 0 0 . chr6 160789489 160789490 chr6:160789490:C:A rs28408689 C A A EBF1_EBF_1 -14 0 + 0 0 . chr6 160789589 160789590 chr6:160789590:C:T rs11756055 C T C EBF1_EBF_1 7 1 - 6.776032267327363 8.088490672616189 CACCCCCGGGGATT chr6 160789878 160789879 chr6:160789879:C:G rs1613037 C G - EBF1_EBF_1 0 1 - 4.583451427426973 4.220476813295206 GCTGCCCAGGGATT chr6 160790062 160790063 chr6:160790063:G:A rs1615527 G A A EBF1_EBF_1 -19 0 - 0 0 . chr6 160791531 160791532 chr6:160791532:C:G rs9456588 C G G EBF1_EBF_1 29 0 - 0 0 . chr6 160862145 160862146 chr6:160862146:G:T rs2953494 G T C EBF1_EBF_1 -10 0 + 0 0 . chr6 160862150 160862151 chr6:160862151:C:A rs2953495 C A C EBF1_EBF_1 -5 0 + 0 0 . chr6 160862171 160862172 chr6:160862172:A:G rs2997095 A G G EBF1_EBF_1 16 0 + 0 0 . chr6 160900061 160900062 chr6:160900062:G:A rs2489962 G A A EBF1_EBF_1 -12 0 - 0 0 . chr6 160918618 160918619 chr6:160918619:A:G rs56160793 A G A EBF1_EBF_1 -16 0 + 0 0 . chr6 160918624 160918625 chr6:160918625:A:G rs73782347 A G A EBF1_EBF_1 -10 0 + 0 0 . chr6 160918914 160918915 chr6:160918915:G:A rs73019797 G A G EBF1_EBF_1 9 1 + 6.874164432076139 4.219225166628536 AGTCCCTGGGGCCA chr6 160919984 160919985 chr6:160919985:A:G rs73782350 A G A EBF1_EBF_1 31 0 - 0 0 . chr6 160920021 160920022 chr6:160920022:G:A rs73782351 G A G EBF1_EBF_1 -6 0 - 0 0 . chr6 160951431 160951432 chr6:160951432:G:A rs71565782 G A G EBF1_EBF_1 18 0 - 0 0 . chr6 160973628 160973629 chr6:160973629:G:A rs143868352 G A G EBF1_EBF_1 16 0 + 0 0 . chr6 160992239 160992240 chr6:160992240:C:T rs564078817 C T C EBF1_EBF_1 10 1 - 10.30648574598918 4.988457286722216 GGTCCCCTGGGACC chr6 160992246 160992247 chr6:160992247:G:C rs543275467 G C G EBF1_EBF_1 3 1 - 10.30648574598918 4.478721297873964 GGTCCCCTGGGACC chr6 161076281 161076282 chr6:161076282:A:G chr6:161076282:A:G A G A EBF1_EBF_1 -17 0 - 0 0 . chr6 161143356 161143357 chr6:161143357:T:C rs9458140 T C t EBF1_EBF_1 13 1 + 6.602553149390205 5.758059034804687 ACTCCCAAAGGGAT chr6 161152444 161152445 chr6:161152445:A:G rs910724 A G g EBF1_EBF_1 24 0 - 0 0 . chr6 161152445 161152446 chr6:161152446:A:C rs910723 A C c EBF1_EBF_1 23 0 - 0 0 . chr6 161153736 161153737 chr6:161153737:G:T rs910722 G T - EBF1_EBF_1 10 1 + 5.44958410728081 1.266831921053546 AGCCCCAGGGGCAC chr6 161154132 161154133 chr6:161154133:T:G rs2277092 T G t EBF1_EBF_1 -8 0 - 0 0 . chr6 161163086 161163087 chr6:161163087:T:A rs1404270 T A t EBF1_EBF_1 -11 0 - 0 0 . chr6 161168334 161168335 chr6:161168335:A:G rs547476178 A G a EBF1_EBF_1 19 0 + 0 0 . chr6 161180129 161180130 chr6:161180130:A:G rs3798923 A G g EBF1_EBF_1 -8 0 + 0 0 . chr6 161217786 161217787 chr6:161217787:G:A rs112541844 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 161226929 161226930 chr6:161226930:A:G rs3798937 A G A EBF1_EBF_1 -13 0 - 0 0 . chr6 161242511 161242512 chr6:161242512:A:G rs7765964 A G G EBF1_EBF_1 7 1 - 5.968396577447045 4.150891831164421 AGCCCCATGAGGCT chr6 161267050 161267051 chr6:161267051:C:T rs3798950 C T C EBF1_EBF_1 -2 0 + 0 0 . chr6 161274321 161274322 chr6:161274322:C:A rs16892376 C A C EBF1_EBF_1 2 1 + 4.447775915752266 2.6521317395955393 AGCCCCCTGGAAGT chr6 161275130 161275131 chr6:161275131:A:G rs3757033 A G A EBF1_EBF_1 33 0 - 0 0 . chr6 161286314 161286315 chr6:161286315:T:C rs9365262 T C T EBF1_EBF_1 14 0 - 0 0 . chr6 161286333 161286334 chr6:161286334:C:G rs12195436 C G C EBF1_EBF_1 -5 0 - 0 0 . chr6 161353774 161353775 chr6:161353775:C:T rs117687416 C T T EBF1_EBF_1 24 0 - 0 0 . chr6 161358673 161358674 chr6:161358674:T:C rs7752498 T C C EBF1_EBF_1 16 0 - 0 0 . chr6 161497096 161497097 chr6:161497097:C:T rs58130083 C T C EBF1_EBF_1 27 0 - 0 0 . chr6 161497101 161497102 chr6:161497102:C:G rs35115995 C G C EBF1_EBF_1 22 0 - 0 0 . chr6 161504305 161504306 chr6:161504306:C:T rs517656 C T G EBF1_EBF_1 20 0 + 0 0 . chr6 161504306 161504307 chr6:161504307:A:G rs517658 A G G EBF1_EBF_1 21 0 + 0 0 . chr6 161533821 161533822 chr6:161533822:G:A rs34703849 G A G EBF1_EBF_1 26 0 - 0 0 . chr6 161569306 161569307 chr6:161569307:G:A rs10945756 G A G EBF1_EBF_1 32 0 + 0 0 . chr6 161636586 161636587 chr6:161636587:C:T rs149888760 C T C EBF1_EBF_1 26 0 - 0 0 . chr6 161707383 161707384 chr6:161707384:T:C rs13209225 T C T EBF1_EBF_1 29 0 - 0 0 . chr6 161713853 161713854 chr6:161713854:T:G rs60135428 T G T EBF1_EBF_1 24 0 - 0 0 . chr6 161736539 161736540 chr6:161736540:G:A rs4708925 G A G EBF1_EBF_1 -4 0 + 0 0 . chr6 161760480 161760481 chr6:161760481:C:A rs113745422 C A C EBF1_EBF_1 -7 0 - 0 0 . chr6 161798952 161798953 chr6:161798953:G:A rs3019425 G A G EBF1_EBF_1 6 1 - 6.491495779018565 6.792781907880323 GTACCCCGGGGACC chr6 161817400 161817401 chr6:161817401:G:A rs3016535 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 161890650 161890651 chr6:161890651:A:G rs12193920 A G A EBF1_EBF_1 33 0 - 0 0 . chr6 161891229 161891230 chr6:161891230:G:A rs9365339 G A G EBF1_EBF_1 4 1 - 7.549949549730761 3.180562604785838 AGCCCCCAGGGGAA chr6 161984959 161984960 chr6:161984960:A:T rs1784606 A T T EBF1_EBF_1 0 1 - 5.762098121063652 7.735154544029673 TTTCCCCTGAGATG chr6 162051430 162051431 chr6:162051431:C:T rs4348296 C T C EBF1_EBF_1 -10 0 + 0 0 . chr6 162056930 162056931 chr6:162056931:G:A rs73030490 G A G EBF1_EBF_1 -15 0 + 0 0 . chr6 162068500 162068501 chr6:162068501:A:G rs4709566 A G G EBF1_EBF_1 24 0 + 0 0 . chr6 162173013 162173014 chr6:162173014:G:A rs12201119 G A G EBF1_EBF_1 6 1 - 8.738649556279741 9.039935685141499 ATTCCCCAGGGTGT chr6 162248050 162248051 chr6:162248051:C:T rs4709587 C T C EBF1_EBF_1 3 1 + 5.1644953966687215 -1.2409033448845948 GCTCCCTGGAGAGA chr6 162291141 162291142 chr6:162291142:A:G rs2002682 A G G EBF1_EBF_1 17 0 + 0 0 . chr6 162291154 162291155 chr6:162291155:G:C rs115783267 G C G EBF1_EBF_1 30 0 + 0 0 . chr6 162364467 162364468 chr6:162364468:A:T rs1954951 A T A EBF1_EBF_1 -14 0 - 0 0 . chr6 162378446 162378447 chr6:162378447:C:A rs1555013 C A - EBF1_EBF_1 0 1 - 6.001229731030577 5.920457177629389 GGCCCCCAGGGGCC chr6 162387976 162387977 chr6:162387977:C:A rs10945825 C A C EBF1_EBF_1 28 0 - 0 0 . chr6 162410303 162410304 chr6:162410304:A:G rs6455824 A G G EBF1_EBF_1 -20 0 - 0 0 . chr6 162421391 162421392 chr6:162421392:A:T rs765751083 A T G EBF1_EBF_1 6 1 + 7.0157299530038095 6.9554137140642895 CATCCCATGAGACA chr6 162450264 162450265 chr6:162450265:T:C rs6914080 T C T EBF1_EBF_1 25 0 + 0 0 . chr6 162510491 162510492 chr6:162510492:C:T rs1016922443 C T C EBF1_EBF_1 29 0 - 0 0 . chr6 162532860 162532861 chr6:162532861:T:A rs34141091 T A T EBF1_EBF_1 -4 0 - 0 0 . chr6 162557370 162557371 chr6:162557371:C:A rs4527674 C A C EBF1_EBF_1 1 1 + 4.23761206723312 4.166684889881034 TCCCCCCAGGGCAC chr6 162562810 162562811 chr6:162562811:T:C rs2846561 T C T EBF1_EBF_1 -2 0 + 0 0 . chr6 162725872 162725873 chr6:162725873:T:C rs60176253 T C T EBF1_EBF_1 1 1 + 6.9330289779148915 6.037595537516146 ATTTCCTAGGGAAA chr6 162725903 162725904 chr6:162725904:A:G rs62429135 A G A EBF1_EBF_1 32 0 + 0 0 . chr6 162728031 162728032 chr6:162728032:C:T chr6:162728032:C:T C T C EBF1_EBF_1 -14 0 + 0 0 . chr6 162728037 162728038 chr6:162728038:T:C rs1172924099 T C T EBF1_EBF_1 -8 0 + 0 0 . chr6 162963915 162963916 chr6:162963916:T:C rs4709664 T C C EBF1_EBF_1 -14 0 + 0 0 . chr6 162966344 162966345 chr6:162966345:C:T rs9458689 C T T EBF1_EBF_1 -12 0 + 0 0 . chr6 163054537 163054538 chr6:163054538:G:A rs577874 G A G EBF1_EBF_1 -5 0 - 0 0 . chr6 163165536 163165537 chr6:163165537:C:A rs4709679 C A C EBF1_EBF_1 29 0 - 0 0 . chr6 163181561 163181562 chr6:163181562:C:T rs73014993 C T C EBF1_EBF_1 32 0 + 0 0 . chr6 163226759 163226760 chr6:163226760:C:G rs12210922 C G G EBF1_EBF_1 -10 0 - 0 0 . chr6 163240140 163240141 chr6:163240141:C:T rs13211538 C T C EBF1_EBF_1 -17 0 + 0 0 . chr6 163323400 163323401 chr6:163323401:G:A rs141942655 G A G EBF1_EBF_1 28 0 - 0 0 . chr6 163332258 163332259 chr6:163332259:T:C rs56654356 T C T EBF1_EBF_1 -14 0 + 0 0 . chr6 163335274 163335275 chr6:163335275:G:T rs12214900 G T G EBF1_EBF_1 30 0 + 0 0 . chr6 163338723 163338724 chr6:163338724:G:C rs7754281 G C G EBF1_EBF_1 11 1 + 4.94244075767656 3.4191859034281817 ACCCCCAGGAGGCT chr6 163338744 163338745 chr6:163338745:G:T rs62430072 G T G EBF1_EBF_1 32 0 + 0 0 . chr6 163355682 163355683 chr6:163355683:G:C rs118083922 G C G EBF1_EBF_1 31 0 + 0 0 . chr6 163373926 163373927 chr6:163373927:A:G rs2064226 A G A EBF1_EBF_1 -11 0 + 0 0 . chr6 163375324 163375325 chr6:163375325:A:C rs1764009 A C C EBF1_EBF_1 23 0 + 0 0 . chr6 163402054 163402055 chr6:163402055:C:T rs73013591 C T C EBF1_EBF_1 12 1 + 5.438805768277434 4.412539197726584 GCTCCCTGGAGACG chr6 163579509 163579510 chr6:163579510:A:C rs577745218 A C A EBF1_EBF_1 18 0 - 0 0 . chr6 163653478 163653479 chr6:163653479:G:A rs12526417 G A G EBF1_EBF_1 3 1 - 4.741517752962226 -1.6638809885910915 TCTCCCTGGGGCAA chr6 163668058 163668059 chr6:163668059:T:C rs4709739 T C C EBF1_EBF_1 -19 0 + 0 0 . chr6 163668077 163668078 chr6:163668078:G:A rs12191767 G A G EBF1_EBF_1 0 1 + 11.437482446093039 13.329766315657873 GCTCCCCAGGGACT chr6 163668098 163668099 chr6:163668099:G:T rs76475780 G T G EBF1_EBF_1 21 0 + 0 0 . chr6 163695876 163695877 chr6:163695877:A:G rs76110466 A G A EBF1_EBF_1 -16 0 - 0 0 . chr6 163695878 163695879 chr6:163695879:A:G rs113672534 A G A EBF1_EBF_1 -18 0 - 0 0 . chr6 163743200 163743201 chr6:163743201:T:C rs9458896 T C T EBF1_EBF_1 -14 0 + 0 0 . chr6 163790429 163790430 chr6:163790430:C:G rs149328765 C G C EBF1_EBF_1 -10 0 - 0 0 . chr6 163854407 163854408 chr6:163854408:C:T rs4709773 C T C EBF1_EBF_1 6 1 + 8.324530373385919 8.625816502247677 ACACCCCTGGGACC chr6 163890595 163890596 chr6:163890596:T:G rs6914459 T G T EBF1_EBF_1 -18 0 + 0 0 . chr6 163901702 163901703 chr6:163901703:T:C rs13215377 T C C EBF1_EBF_1 -10 0 - 0 0 . chr6 163941676 163941677 chr6:163941677:T:C rs4709025 T C C EBF1_EBF_1 -14 0 + 0 0 . chr6 163948631 163948632 chr6:163948632:G:A rs73027017 G A G EBF1_EBF_1 -10 0 - 0 0 . chr6 163971273 163971274 chr6:163971274:G:A rs6455930 G A G EBF1_EBF_1 3 1 - 6.760922515581905 0.35552377402858726 CTTCCCCAGGTATT chr6 163971294 163971295 chr6:163971295:C:T rs75886177 C T C EBF1_EBF_1 -18 0 - 0 0 . chr6 164066565 164066566 chr6:164066566:G:A rs9364715 G A G EBF1_EBF_1 -5 0 - 0 0 . chr6 164103349 164103350 chr6:164103350:G:A rs191796373 G A G EBF1_EBF_1 10 1 + 9.689933189066611 4.3719047297996445 AGCCCCAAGGGAAG chr6 164185501 164185502 chr6:164185502:C:T rs705773 C T C EBF1_EBF_1 22 0 - 0 0 . chr6 164185511 164185512 chr6:164185512:G:A rs79147196 G A G EBF1_EBF_1 12 1 - 9.856268268089778 8.830001697538929 CCTCCCCAGGGACA chr6 164207031 164207032 chr6:164207032:A:G rs9456936 A G A EBF1_EBF_1 16 0 - 0 0 . chr6 164303331 164303332 chr6:164303332:A:G rs76063781 A G A EBF1_EBF_1 16 0 + 0 0 . chr6 164521111 164521112 chr6:164521112:C:T rs73033933 C T C EBF1_EBF_1 11 1 + 8.476326622046454 8.794374063800117 ATTCCCATGGGCCA chr6 164534220 164534221 chr6:164534221:A:G rs73023458 A G A EBF1_EBF_1 7 1 + 6.456378687929725 5.1439202826409 TTTCCCTAAGGATC chr6 164641218 164641219 chr6:164641219:A:G rs571098337 A G A EBF1_EBF_1 25 0 + 0 0 . chr6 164692946 164692947 chr6:164692947:G:A rs9459177 G A G EBF1_EBF_1 16 0 + 0 0 . chr6 164838162 164838163 chr6:164838163:C:T rs79125578 C T C EBF1_EBF_1 10 1 - 5.154083861282853 -0.16394459798411337 AGCCCCATGAGGAC chr6 164947270 164947271 chr6:164947271:A:G rs6914484 A G g EBF1_EBF_1 -15 0 + 0 0 . chr6 164947285 164947286 chr6:164947286:T:C rs6919069 T C c EBF1_EBF_1 0 1 + 7.066057289222204 6.7838552284916265 TGTCCCTGGAGAAC chr6 164955336 164955337 chr6:164955337:T:G rs79916122 T G T EBF1_EBF_1 7 1 + 6.207933500388802 5.371749335187534 ATCCCCATGAGATG chr6 165091656 165091657 chr6:165091657:G:A rs2675743 G A A EBF1_EBF_1 -3 0 + 0 0 . chr6 165378729 165378730 chr6:165378730:T:C rs60201680 T C T EBF1_EBF_1 29 0 + 0 0 . chr6 165457946 165457947 chr6:165457947:C:T rs528424959 C T C EBF1_EBF_1 5 1 + 4.961492385207563 -0.36029483033310594 AGTCCCGAGAGTCT chr6 165488851 165488852 chr6:165488852:C:T rs221729 C T C EBF1_EBF_1 3 1 + 8.447843732968357 2.0424449914150387 GGCCCCCTGGGAAC chr6 165488862 165488863 chr6:165488863:G:C rs113961488 G C G EBF1_EBF_1 14 0 + 0 0 . chr6 165641081 165641082 chr6:165641082:C:A rs9347083 C A C EBF1_EBF_1 -20 0 + 0 0 . chr6 165662766 165662767 chr6:165662767:G:T chr6:165662767:G:T G T G EBF1_EBF_1 14 0 - 0 0 . chr6 165790706 165790707 chr6:165790707:T:C rs9365920 T C T EBF1_EBF_1 -17 0 - 0 0 . chr6 165799926 165799927 chr6:165799927:T:C rs7747967 T C C EBF1_EBF_1 -12 0 + 0 0 . chr6 165813389 165813390 chr6:165813390:G:C rs10455963 G C G EBF1_EBF_1 21 0 + 0 0 . chr6 165828758 165828759 chr6:165828759:G:A rs73031969 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 165835579 165835580 chr6:165835580:T:C rs7741397 T C T EBF1_EBF_1 27 0 + 0 0 . chr6 165882295 165882296 chr6:165882296:G:A rs2461717 G A G EBF1_EBF_1 10 1 + 6.88838623373403 1.5703577744670638 GTTCCCCAAGGACA chr6 165933077 165933078 chr6:165933078:A:T rs74610544 A T A EBF1_EBF_1 22 0 - 0 0 . chr6 165973640 165973641 chr6:165973641:A:C rs705804 A C A EBF1_EBF_1 15 0 - 0 0 . chr6 166005725 166005726 chr6:166005726:C:G rs300134 C G C EBF1_EBF_1 -10 0 + 0 0 . chr6 166005758 166005759 chr6:166005759:G:C rs58214771 G C G EBF1_EBF_1 23 0 + 0 0 . chr6 166047046 166047047 chr6:166047047:T:C rs7769526 T C C EBF1_EBF_1 24 0 - 0 0 . chr6 166076852 166076853 chr6:166076853:C:T rs7745325 C T C EBF1_EBF_1 6 1 + 5.300887534321033 5.602173663182791 CTTCACCAGGGACC chr6 166076854 166076855 chr6:166076855:G:A rs6456035 G A G EBF1_EBF_1 8 1 + 5.300887534321033 1.0745974454347713 CTTCACCAGGGACC chr6 166076856 166076857 chr6:166076857:G:A rs944888174 G A G EBF1_EBF_1 10 1 + 5.300887534321033 -0.01714092494593343 CTTCACCAGGGACC chr6 166127887 166127888 chr6:166127888:T:G rs9348076 T G G EBF1_EBF_1 31 0 + 0 0 . chr6 166158090 166158091 chr6:166158091:T:G rs1056051 T G T EBF1_EBF_1 -2 0 + 0 0 . chr6 166166311 166166312 chr6:166166312:C:A rs3127337 C A C EBF1_EBF_1 -7 0 + 0 0 . chr6 166182214 166182215 chr6:166182215:C:A rs9459606 C A c EBF1_EBF_1 3 1 + 5.2894952296742375 -1.6166787997915693 CCCCCCTTGGGAGG chr6 166233327 166233328 chr6:166233328:C:T rs9356442 C T C EBF1_EBF_1 -3 0 + 0 0 . chr6 166237018 166237019 chr6:166237019:A:G rs6940605 A G G EBF1_EBF_1 -8 0 + 0 0 . chr6 166237963 166237964 chr6:166237964:A:G rs10946138 A G G EBF1_EBF_1 -20 0 + 0 0 . chr6 166244210 166244211 chr6:166244211:C:T rs4710029 C T C EBF1_EBF_1 13 1 + 4.88201868197093 5.726512796556448 ACTCCTGTGGGACC chr6 166258808 166258809 chr6:166258809:A:C rs115371803 A C A EBF1_EBF_1 28 0 - 0 0 . chr6 166258809 166258810 chr6:166258810:G:A rs911202 G A G EBF1_EBF_1 27 0 - 0 0 . chr6 166258830 166258831 chr6:166258831:A:T rs911201 A T A EBF1_EBF_1 6 1 - 4.160122135207942 4.220438374147461 AGCCCCTGAGGAGC chr6 166271866 166271867 chr6:166271867:C:T rs4709110 C T C EBF1_EBF_1 22 0 + 0 0 . chr6 166275455 166275456 chr6:166275456:G:A rs56033945 G A G EBF1_EBF_1 25 0 + 0 0 . chr6 166285431 166285432 chr6:166285432:G:T rs73043970 G T G EBF1_EBF_1 2 1 - 7.0308101803400405 5.235166004183314 AACCTCCAGGGAAT chr6 166286830 166286831 chr6:166286831:A:T rs113844924 A T A EBF1_EBF_1 -20 0 - 0 0 . chr6 166297104 166297105 chr6:166297105:C:T rs77893337 C T C EBF1_EBF_1 1 1 + 4.992533264770388 5.887966705169133 GCTCCCCTGGGTTC chr6 166301862 166301863 chr6:166301863:G:A rs79383890 G A G EBF1_EBF_1 23 0 + 0 0 . chr6 166308683 166308684 chr6:166308684:A:C rs4075451 A C A EBF1_EBF_1 -20 0 - 0 0 . chr6 166337108 166337109 chr6:166337109:A:G rs10455977 A G G EBF1_EBF_1 22 0 - 0 0 . chr6 166360771 166360772 chr6:166360772:G:A rs138699919 G A G EBF1_EBF_1 8 1 + 12.056104154697575 7.8298140658113144 ATTCCCAGGGGACA chr6 166360787 166360788 chr6:166360788:A:T rs61532162 A T A EBF1_EBF_1 24 0 + 0 0 . chr6 166388810 166388811 chr6:166388811:T:C rs6456081 T C C EBF1_EBF_1 17 0 + 0 0 . chr6 166402720 166402721 chr6:166402721:T:C rs78920357 T C C EBF1_EBF_1 7 1 - 6.918072264991755 5.605613859702929 GGTCCCAAAGGACC chr6 166412532 166412533 chr6:166412533:C:T rs2984 C T T EBF1_EBF_1 10 1 - 5.454564948697496 0.13653648943053093 TCTCCCAAGCGAAA chr6 166413270 166413271 chr6:166413271:A:T rs2235297 A T T EBF1_EBF_1 -18 0 - 0 0 . chr6 166445591 166445592 chr6:166445592:G:A rs9295346 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 166450235 166450236 chr6:166450236:C:T rs28737237 C T T EBF1_EBF_1 30 0 + 0 0 . chr6 166450513 166450514 chr6:166450514:A:G rs62647931 A G A EBF1_EBF_1 -7 0 + 0 0 . chr6 166450537 166450538 chr6:166450538:A:G rs111218358 A G A EBF1_EBF_1 -20 0 + 0 0 . chr6 166450701 166450702 chr6:166450702:A:G rs10806850 A G - EBF1_EBF_1 -5 0 + 0 0 . chr6 166471045 166471046 chr6:166471046:G:A rs9366015 G A G EBF1_EBF_1 9 1 + 5.094927179875979 2.4399879144283743 GATCCCTGGGGCCC chr6 166479235 166479236 chr6:166479236:C:T rs6456085 C T T EBF1_EBF_1 -2 0 + 0 0 . chr6 166484243 166484244 chr6:166484244:A:G rs6935542 A G G EBF1_EBF_1 -2 0 + 0 0 . chr6 166501224 166501225 chr6:166501225:A:G rs7740060 A G G EBF1_EBF_1 -6 0 - 0 0 . chr6 166512657 166512658 chr6:166512658:G:T rs60586364 G T G EBF1_EBF_1 -16 0 - 0 0 . chr6 166515339 166515340 chr6:166515340:G:A rs566645399 G A G EBF1_EBF_1 19 0 + 0 0 . chr6 166527785 166527786 chr6:166527786:A:C rs11962190 A C A EBF1_EBF_1 -20 0 - 0 0 . chr6 166541957 166541958 chr6:166541958:G:A rs140693925 G A G EBF1_EBF_1 -14 0 + 0 0 . chr6 166567575 166567576 chr6:166567576:A:G rs552760760 A G A EBF1_EBF_1 17 0 - 0 0 . chr6 166570242 166570243 chr6:166570243:C:T rs191567384 C T C EBF1_EBF_1 -6 0 - 0 0 . chr6 166572734 166572735 chr6:166572735:T:A rs3823200 T A T EBF1_EBF_1 7 1 - 5.373308271577856 4.897034031490298 GTCCCCCAAGGACC chr6 166588424 166588425 chr6:166588425:C:T rs3799600 C T C EBF1_EBF_1 33 0 - 0 0 . chr6 166588509 166588510 chr6:166588510:C:T rs3799599 C T C EBF1_EBF_1 17 0 + 0 0 . chr6 166595473 166595474 chr6:166595474:C:T rs530918509 C T C EBF1_EBF_1 28 0 - 0 0 . chr6 166597293 166597294 chr6:166597294:A:G rs3799594 A G A EBF1_EBF_1 29 0 + 0 0 . chr6 166615550 166615551 chr6:166615551:C:A rs873069 C A C EBF1_EBF_1 13 1 + 5.264256659021718 4.890511209735742 GACCCCCTGGGGCC chr6 166619613 166619614 chr6:166619614:C:T rs3778371 C T T EBF1_EBF_1 6 1 + 5.712212050294144 6.0134981791559 AGCCCCCTGGGCTT chr6 166625803 166625804 chr6:166625804:G:C rs75433073 G C G EBF1_EBF_1 15 0 + 0 0 . chr6 166627228 166627229 chr6:166627229:C:G rs527476081 C G C EBF1_EBF_1 -9 0 + 0 0 . chr6 166627256 166627257 chr6:166627257:C:A rs117841788 C A C EBF1_EBF_1 19 0 + 0 0 . chr6 166635338 166635339 chr6:166635339:C:G rs62438669 C G C EBF1_EBF_1 10 1 - 5.630534419313538 -1.2602679680159377 CTACCCCAGAGACT chr6 166637302 166637303 chr6:166637303:G:A rs117294481 G A G EBF1_EBF_1 -2 0 + 0 0 . chr6 166638730 166638731 chr6:166638731:T:G rs9457191 T G T EBF1_EBF_1 -9 0 - 0 0 . chr6 166649755 166649756 chr6:166649756:G:A rs77178838 G A . EBF1_EBF_1 2 1 - 6.0305702428281736 7.919393654270322 ACCCCCCGGGGTCT chr6 166656413 166656414 chr6:166656414:G:A rs62438673 G A . EBF1_EBF_1 -10 0 + 0 0 . chr6 166659014 166659015 chr6:166659015:G:C rs9366037 G C . EBF1_EBF_1 31 0 - 0 0 . chr6 166715156 166715157 chr6:166715157:C:T rs137914155 C T C EBF1_EBF_1 22 0 + 0 0 . chr6 166741386 166741387 chr6:166741387:G:A rs3734601 G A G EBF1_EBF_1 4 1 + 5.811717344943495 5.612922822639572 GCTCGCCAGGGACT chr6 166744073 166744074 chr6:166744074:C:G rs11752971 C G C EBF1_EBF_1 -6 0 + 0 0 . chr6 166746166 166746167 chr6:166746167:C:T rs67538405 C T C EBF1_EBF_1 22 0 + 0 0 . chr6 166746497 166746498 chr6:166746498:C:T rs80298420 C T C EBF1_EBF_1 -17 0 - 0 0 . chr6 166749709 166749710 chr6:166749710:C:T rs148754837 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 166754012 166754013 chr6:166754013:G:A rs7740362 G A G EBF1_EBF_1 6 1 + 7.063717101772985 9.23051153304746 CTTCCCGTGAGAAT chr6 166757498 166757499 chr6:166757499:C:A rs138803914 C A C EBF1_EBF_1 12 1 + 3.904547462558546 3.934728860979873 AGCTCCCTGGGACC chr6 166761797 166761798 chr6:166761798:G:T rs73035523 G T G EBF1_EBF_1 -12 0 - 0 0 . chr6 166764226 166764227 chr6:166764227:C:A rs364938 C A A EBF1_EBF_1 16 0 + 0 0 . chr6 166764237 166764238 chr6:166764238:T:C rs377794 T C t EBF1_EBF_1 27 0 + 0 0 . chr6 166825029 166825030 chr6:166825030:G:A rs11961705 G A g EBF1_EBF_1 23 0 + 0 0 . chr6 166836967 166836968 chr6:166836968:A:G rs9348189 A G G EBF1_EBF_1 20 0 - 0 0 . chr6 166837274 166837275 chr6:166837275:C:T rs9457225 C T C EBF1_EBF_1 10 1 - 3.775198101553963 -1.5428303577130027 CCACCCCGGGGAAG chr6 166853790 166853791 chr6:166853791:C:T rs9459776 C T C EBF1_EBF_1 -4 0 - 0 0 . chr6 166853807 166853808 chr6:166853808:C:T rs12527190 C T C EBF1_EBF_1 33 0 + 0 0 . chr6 166857523 166857524 chr6:166857524:C:T rs4709136 C T T EBF1_EBF_1 25 0 + 0 0 . chr6 166857756 166857757 chr6:166857757:A:G rs9459779 A G A EBF1_EBF_1 7 1 + 4.652275748251405 3.3398173429625793 ACTCCCCACGGATG chr6 166861489 166861490 chr6:166861490:T:C rs7739108 T C T EBF1_EBF_1 -3 0 - 0 0 . chr6 166862352 166862353 chr6:166862353:T:C chr6:166862353:T:C T C T EBF1_EBF_1 30 0 - 0 0 . chr6 166862794 166862795 chr6:166862795:C:T rs1312945116 C T c EBF1_EBF_1 14 0 + 0 0 . chr6 166872215 166872216 chr6:166872216:T:C rs9366067 T C C EBF1_EBF_1 21 0 + 0 0 . chr6 166927806 166927807 chr6:166927807:G:A rs9459807 G A G EBF1_EBF_1 13 1 + 5.759738814403612 6.874064386473051 ACTCCCTGAGGACG chr6 166944744 166944745 chr6:166944745:C:T rs1212550 C T T EBF1_EBF_1 24 0 + 0 0 . chr6 166951475 166951476 chr6:166951476:C:T rs543153823 C T C EBF1_EBF_1 16 0 + 0 0 . chr6 166951490 166951491 chr6:166951491:G:A rs73789710 G A G EBF1_EBF_1 31 0 + 0 0 . chr6 166955725 166955726 chr6:166955726:G:C rs78456214 G C G EBF1_EBF_1 30 0 + 0 0 . chr6 166956896 166956897 chr6:166956897:G:A rs537885023 G A G EBF1_EBF_1 -16 0 + 0 0 . chr6 166956928 166956929 chr6:166956929:C:T rs3756831 C T C EBF1_EBF_1 16 0 + 0 0 . chr6 166973915 166973916 chr6:166973916:A:C rs453184 A C C EBF1_EBF_1 23 0 - 0 0 . chr6 166991737 166991738 chr6:166991738:T:A rs409356 T A A EBF1_EBF_1 31 0 - 0 0 . chr6 166999741 166999742 chr6:166999742:A:G rs239933 A G G EBF1_EBF_1 25 0 - 0 0 . chr6 166999753 166999754 chr6:166999754:A:G rs574055134 A G A EBF1_EBF_1 13 1 - 4.086978612594981 3.2424844980094623 CGCCCCCACGGAAT chr6 166999785 166999786 chr6:166999786:G:A rs927520645 G A G EBF1_EBF_1 -19 0 - 0 0 . chr6 167092059 167092060 chr6:167092060:G:A rs2285147 G A G EBF1_EBF_1 22 0 - 0 0 . chr6 167094169 167094170 chr6:167094170:A:G rs150115 A G A EBF1_EBF_1 -18 0 - 0 0 . chr6 167097447 167097448 chr6:167097448:C:T rs73039174 C T c EBF1_EBF_1 32 0 - 0 0 . chr6 167097482 167097483 chr6:167097483:A:G rs149887 A G A EBF1_EBF_1 -3 0 - 0 0 . chr6 167100992 167100993 chr6:167100993:T:C rs204293 T C C EBF1_EBF_1 -6 0 + 0 0 . chr6 167102458 167102459 chr6:167102459:C:G rs10946212 C G C EBF1_EBF_1 -2 0 - 0 0 . chr6 167112607 167112608 chr6:167112608:T:C rs968334 T C C EBF1_EBF_1 -16 0 - 0 0 . chr6 167112608 167112609 chr6:167112609:G:A rs117912866 G A A EBF1_EBF_1 -17 0 - 0 0 . chr6 167113608 167113609 chr6:167113609:C:T rs6909252 C T T EBF1_EBF_1 -7 0 + 0 0 . chr6 167143420 167143421 chr6:167143421:C:G rs190973620 C G C EBF1_EBF_1 8 1 - 10.626831126623077 5.308172265708394 AGTCCCCAGGGGCT chr6 167146300 167146301 chr6:167146301:A:C rs6905921 A C A EBF1_EBF_1 5 1 - 5.421575388695599 3.8384814516011003 ATTCCTCCGGGAAA chr6 167179336 167179337 chr6:167179337:C:G rs796983937 C G C EBF1_EBF_1 14 0 - 0 0 . chr6 167196039 167196040 chr6:167196040:G:A rs75159046 G A G EBF1_EBF_1 -12 0 + 0 0 . chr6 167211305 167211306 chr6:167211306:A:G rs57748704 A G A EBF1_EBF_1 -11 0 - 0 0 . chr6 167220624 167220625 chr6:167220625:T:C rs4710213 T C T EBF1_EBF_1 -5 0 - 0 0 . chr6 167222059 167222060 chr6:167222060:C:T rs12525654 C T C EBF1_EBF_1 29 0 + 0 0 . chr6 167227322 167227323 chr6:167227323:G:T rs73031344 G T G EBF1_EBF_1 31 0 - 0 0 . chr6 167249184 167249185 chr6:167249185:A:G rs370753316 A G - EBF1_EBF_1 -2 0 + 0 0 . chr6 167249755 167249756 chr6:167249756:C:T rs7749161 C T - EBF1_EBF_1 18 0 - 0 0 . chr6 167249775 167249776 chr6:167249776:G:C rs7748106 G C - EBF1_EBF_1 -2 0 - 0 0 . chr6 167277010 167277011 chr6:167277011:C:A rs60425049 C A c EBF1_EBF_1 12 1 + 6.595281719183241 6.625463117604567 ACCCTCCTGGGACT chr6 167281150 167281151 chr6:167281151:G:A rs529050575 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 167284203 167284204 chr6:167284204:T:C rs206989 T C C EBF1_EBF_1 11 1 + 6.3431168823144395 6.025069440560776 AACCCCATGGGTAC chr6 167286354 167286355 chr6:167286355:A:G rs984914429 A G A EBF1_EBF_1 -5 0 + 0 0 . chr6 167292901 167292902 chr6:167292902:A:T rs2981959 A T A EBF1_EBF_1 -4 0 - 0 0 . chr6 167304365 167304366 chr6:167304366:C:T rs2072762 C T C EBF1_EBF_1 -2 0 - 0 0 . chr6 167322634 167322635 chr6:167322635:A:T rs7749328 A T T EBF1_EBF_1 16 0 + 0 0 . chr6 167375746 167375747 chr6:167375747:G:C rs9331333 G C - EBF1_EBF_1 14 0 + 0 0 . chr6 167382820 167382821 chr6:167382821:C:T rs17855834 C T C EBF1_EBF_1 -13 0 + 0 0 . chr6 167399860 167399861 chr6:167399861:A:G rs4709178 A G g EBF1_EBF_1 -20 0 - 0 0 . chr6 167400341 167400342 chr6:167400342:T:C rs6905928 T C c EBF1_EBF_1 21 0 - 0 0 . chr6 167400364 167400365 chr6:167400365:C:T rs78988207 C T c EBF1_EBF_1 -2 0 - 0 0 . chr6 167404507 167404508 chr6:167404508:A:G rs4709180 A G g EBF1_EBF_1 6 1 + 5.579023409979236 3.4122289787047593 ATTCACAGGGGATC chr6 167404933 167404934 chr6:167404934:G:C rs58588316 G C g EBF1_EBF_1 8 1 + 8.57399126122228 3.255332400307594 CCTCCCCGGGGAAA chr6 167404951 167404952 chr6:167404952:G:A rs4445037 G A g EBF1_EBF_1 26 0 + 0 0 . chr6 167407866 167407867 chr6:167407867:A:G rs13209649 A G a EBF1_EBF_1 -2 0 + 0 0 . chr6 167412625 167412626 chr6:167412626:A:G rs9347221 A G G EBF1_EBF_1 -19 0 + 0 0 . chr6 167429892 167429893 chr6:167429893:A:G rs35228956 A G g EBF1_EBF_1 -13 0 - 0 0 . chr6 167470736 167470737 chr6:167470737:T:C rs9505739 T C C EBF1_EBF_1 -13 0 + 0 0 . chr6 167470774 167470775 chr6:167470775:G:A rs6938234 G A G EBF1_EBF_1 25 0 + 0 0 . chr6 167471027 167471028 chr6:167471028:A:G rs6937714 A G G EBF1_EBF_1 -14 0 - 0 0 . chr6 167505471 167505472 chr6:167505472:G:A rs10434907 G A G EBF1_EBF_1 23 0 + 0 0 . chr6 167509740 167509741 chr6:167509741:A:G rs7451028 A G A EBF1_EBF_1 6 1 - 4.230465982696487 3.9291798538347287 CCTCACTTGGGACC chr6 167515425 167515426 chr6:167515426:G:A rs573798150 G A G EBF1_EBF_1 17 0 + 0 0 . chr6 167544428 167544429 chr6:167544429:G:C rs1043446072 G C G EBF1_EBF_1 -13 0 - 0 0 . chr6 167551164 167551165 chr6:167551165:C:T rs1425430116 C T C EBF1_EBF_1 -1 0 + 0 0 . chr6 167551473 167551474 chr6:167551474:G:A rs118201380 G A a EBF1_EBF_1 -2 0 + 0 0 . chr6 167551482 167551483 chr6:167551483:T:C rs118195816 T C c EBF1_EBF_1 7 1 + 6.511447091172637 4.693942344890013 TTCCCCATGGGGCC chr6 167552568 167552569 chr6:167552569:G:T rs1031360747 G T g EBF1_EBF_1 15 0 - 0 0 . chr6 167564739 167564740 chr6:167564740:T:G rs878871010 T G g EBF1_EBF_1 -16 0 - 0 0 . chr6 167570205 167570206 chr6:167570206:C:T rs7767630 C T C EBF1_EBF_1 14 0 + 0 0 . chr6 167571267 167571268 chr6:167571268:G:A rs28712873 G A g EBF1_EBF_1 -11 0 + 0 0 . chr6 167574728 167574729 chr6:167574729:C:T rs1023870569 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 167644610 167644611 chr6:167644611:T:C rs9393108 T C T EBF1_EBF_1 -7 0 + 0 0 . chr6 167650020 167650021 chr6:167650021:A:G rs9364369 A G G EBF1_EBF_1 -7 0 - 0 0 . chr6 167657362 167657363 chr6:167657363:A:C rs35495905 A C A EBF1_EBF_1 -11 0 + 0 0 . chr6 167659075 167659076 chr6:167659076:C:T rs113254344 C T c EBF1_EBF_1 -15 0 + 0 0 . chr6 167670073 167670074 chr6:167670074:G:A rs189179042 G A g EBF1_EBF_1 31 0 - 0 0 . chr6 167678429 167678430 chr6:167678430:T:A rs991328725 T A T EBF1_EBF_1 9 1 - 4.3665814827930465 0.1845313401518407 GCTCCCCAGAGCCT chr6 167684848 167684849 chr6:167684849:C:T rs147496385 C T C EBF1_EBF_1 8 1 - 11.172813795783528 6.946523706897269 ACTCCCCGGGGACC chr6 167708919 167708920 chr6:167708920:A:G rs113341558 A G A EBF1_EBF_1 2 1 - 4.994448620375924 3.1056252089337733 ACTGCCTTGGGACG chr6 167710288 167710289 chr6:167710289:A:C rs113368272 A C a EBF1_EBF_1 21 0 - 0 0 . chr6 167714095 167714096 chr6:167714096:A:G rs113893515 A G A EBF1_EBF_1 7 1 - 4.670545954797552 2.8530412085149286 ATCCCCATGGAAGC chr6 167720567 167720568 chr6:167720568:G:A rs2073647 G A G EBF1_EBF_1 26 0 + 0 0 . chr6 167736979 167736980 chr6:167736980:C:T rs34746737 C T C EBF1_EBF_1 6 1 - 6.197351538150392 8.364145969424866 CTTCCCGGGAGACT chr6 167748606 167748607 chr6:167748607:G:A rs148873049 G A G EBF1_EBF_1 32 0 - 0 0 . chr6 167761213 167761214 chr6:167761214:G:A rs780195386 G A G EBF1_EBF_1 13 1 - 5.463129176436605 6.307623291022123 CTTCCCATGAGGCC chr6 167775293 167775294 chr6:167775294:A:C rs602661 A C C EBF1_EBF_1 -9 0 + 0 0 . chr6 167775317 167775318 chr6:167775318:T:C rs534002 T C C EBF1_EBF_1 15 0 + 0 0 . chr6 167781664 167781665 chr6:167781665:G:A rs9455839 G A G EBF1_EBF_1 6 1 + 4.819784488536304 6.986578919810779 ACTCCCGAGGGTGA chr6 167781691 167781692 chr6:167781692:T:C rs9455526 T C C EBF1_EBF_1 33 0 + 0 0 . chr6 167789416 167789417 chr6:167789417:T:C rs2285243 T C T EBF1_EBF_1 33 0 - 0 0 . chr6 167795542 167795543 chr6:167795543:C:T rs645464 C T T EBF1_EBF_1 32 0 - 0 0 . chr6 167796656 167796657 chr6:167796657:C:T rs144580553 C T C EBF1_EBF_1 -8 0 + 0 0 . chr6 167812313 167812314 chr6:167812314:G:T rs589006 G T T EBF1_EBF_1 -13 0 + 0 0 . chr6 167826135 167826136 chr6:167826136:C:A rs112774667 C A c EBF1_EBF_1 29 0 + 0 0 . chr6 167990154 167990155 chr6:167990155:C:G rs1844602 C G C EBF1_EBF_1 -5 0 + 0 0 . chr6 167990165 167990166 chr6:167990166:C:G rs12201145 C G G EBF1_EBF_1 6 1 + 5.920357181949507 4.11516511847631 AGTCCCCGGGGCTC chr6 167990173 167990174 chr6:167990174:T:C rs12205494 T C T EBF1_EBF_1 14 0 + 0 0 . chr6 167990306 167990307 chr6:167990307:G:C rs6455474 G C g EBF1_EBF_1 13 1 + 6.982436870971482 8.470507892326896 CTTCCCCAGAGACG chr6 168006003 168006004 chr6:168006004:T:C rs2636353 T C C EBF1_EBF_1 6 1 - 6.071766635963719 3.9049722046892437 CCTCCCATGAGAGC chr6 168018641 168018642 chr6:168018642:C:T rs9355155 C T C EBF1_EBF_1 21 0 - 0 0 . chr6 168041794 168041795 chr6:168041795:C:T rs4708629 C T C EBF1_EBF_1 2 1 + 5.405996918681236 7.294820330123387 TTCCCCCAGAGAAG chr6 168042001 168042002 chr6:168042002:A:G rs58330252 A G A EBF1_EBF_1 11 1 + 8.841377773697603 5.948670930093592 CCTCCCCAGGGAGC chr6 168042006 168042007 chr6:168042007:A:C rs12197062 A C A EBF1_EBF_1 16 0 + 0 0 . chr6 168042164 168042165 chr6:168042165:G:A rs140413393 G A G EBF1_EBF_1 17 0 - 0 0 . chr6 168044026 168044027 chr6:168044027:C:T rs117314385 C T C EBF1_EBF_1 -4 0 - 0 0 . chr6 168045272 168045273 chr6:168045273:G:C rs3814907 G C G EBF1_EBF_1 29 0 + 0 0 . chr6 168045965 168045966 chr6:168045966:C:T rs80174480 C T C EBF1_EBF_1 -15 0 - 0 0 . chr6 168050411 168050412 chr6:168050412:G:A rs9455940 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 168050480 168050481 chr6:168050481:T:G rs73262822 T G T EBF1_EBF_1 6 1 + 5.218699499698354 3.1122213073633995 GCTCCCTCAGGACT chr6 168054423 168054424 chr6:168054424:T:G rs6922904 T G G EBF1_EBF_1 -12 0 - 0 0 . chr6 168062084 168062085 chr6:168062085:C:T rs3823460 C T C EBF1_EBF_1 18 0 + 0 0 . chr6 168083635 168083636 chr6:168083636:T:C rs6917096 T C C EBF1_EBF_1 26 0 - 0 0 . chr6 168084547 168084548 chr6:168084548:T:C rs9346521 T C C EBF1_EBF_1 9 1 - 4.880770597233193 7.535709862680797 TCCCCCACGAGACT chr6 168089319 168089320 chr6:168089320:A:T rs6915427 A T T EBF1_EBF_1 32 0 + 0 0 . chr6 168091479 168091480 chr6:168091480:T:G rs1873337 T G T EBF1_EBF_1 0 1 - 4.4643085527705955 2.2090500690739945 AGTCCCCAGGAGCC chr6 168094950 168094951 chr6:168094951:C:T rs880909 C T C EBF1_EBF_1 6 1 + 7.809280589071538 8.110566717933295 TGTCCCCAGGGGCC chr6 168096393 168096394 chr6:168096394:C:T rs4708433 C T C EBF1_EBF_1 -11 0 - 0 0 . chr6 168101270 168101271 chr6:168101271:G:C rs74760076 G C G EBF1_EBF_1 14 0 + 0 0 . chr6 168101685 168101686 chr6:168101686:C:G rs55783427 C G C EBF1_EBF_1 25 0 - 0 0 . chr6 168130055 168130056 chr6:168130056:C:T rs74598767 C T C EBF1_EBF_1 -15 0 + 0 0 . chr6 168131443 168131444 chr6:168131444:C:T rs55958544 C T C EBF1_EBF_1 -6 0 + 0 0 . chr6 168131453 168131454 chr6:168131454:C:T rs35638825 C T c EBF1_EBF_1 4 1 + 4.753062790119049 0.3836758451741246 ACACCCCTGGGCCT chr6 168146192 168146193 chr6:168146193:G:C rs9355171 G C g EBF1_EBF_1 -6 0 + 0 0 . chr6 168147225 168147226 chr6:168147226:G:A rs76662923 G A G EBF1_EBF_1 15 0 + 0 0 . chr6 168150777 168150778 chr6:168150778:T:G rs6940455 T G G EBF1_EBF_1 18 0 - 0 0 . chr6 168154734 168154735 chr6:168154735:C:T rs7745740 C T C EBF1_EBF_1 25 0 - 0 0 . chr6 168157854 168157855 chr6:168157855:C:G rs1223475507 C G C EBF1_EBF_1 -19 0 + 0 0 . chr6 168157897 168157898 chr6:168157898:C:A chr6:168157898:C:A C A C EBF1_EBF_1 24 0 + 0 0 . chr6 168157897 168157898 chr6:168157898:C:T rs937060 C T C EBF1_EBF_1 24 0 + 0 0 . chr6 168163517 168163518 chr6:168163518:T:C rs11758105 T C C EBF1_EBF_1 -17 0 + 0 0 . chr6 168167881 168167882 chr6:168167882:A:G rs34418433 A G A EBF1_EBF_1 6 1 - 4.672046612944193 4.370760484082435 CTTCCCTGGGGCTC chr6 168178370 168178371 chr6:168178371:A:G rs7758309 A G A EBF1_EBF_1 -4 0 + 0 0 . chr6 168181315 168181316 chr6:168181316:G:C rs368226249 G C G EBF1_EBF_1 3 1 - 11.322810573806757 5.495046125691543 GCTCCCATGGGACT chr6 168181463 168181464 chr6:168181464:T:C rs6902707 T C C EBF1_EBF_1 -19 0 + 0 0 . chr6 168183111 168183112 chr6:168183112:G:A rs73270580 G A G EBF1_EBF_1 9 1 + 7.895368618714864 5.240429353267261 ATTCCCTGCGGACT chr6 168183129 168183130 chr6:168183130:C:T rs73270582 C T T EBF1_EBF_1 27 0 + 0 0 . chr6 168192324 168192325 chr6:168192325:A:G rs118001268 A G A EBF1_EBF_1 23 0 - 0 0 . chr6 168195212 168195213 chr6:168195213:C:T rs12209471 C T C EBF1_EBF_1 4 1 + 6.201201135387786 1.8318141904428618 ACCCCCCTGGGGTC chr6 168195238 168195239 chr6:168195239:C:A rs4708688 C A C EBF1_EBF_1 30 0 + 0 0 . chr6 168201408 168201409 chr6:168201409:T:C rs899520 T C C EBF1_EBF_1 16 0 + 0 0 . chr6 168206676 168206677 chr6:168206677:A:C rs78800634 A C A EBF1_EBF_1 -14 0 - 0 0 . chr6 168214721 168214722 chr6:168214722:C:T rs12198837 C T C EBF1_EBF_1 21 0 - 0 0 . chr6 168215203 168215204 chr6:168215204:C:T rs67778243 C T C EBF1_EBF_1 5 1 + 6.070699672221678 0.7489124566810074 CTTCCCTGGAGAGC chr6 168231001 168231002 chr6:168231002:C:T rs10806677 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 168233559 168233560 chr6:168233560:A:C rs9456005 A C A EBF1_EBF_1 11 1 - 7.389135269477052 8.594342681971764 CTTCCCAAGGGTCC chr6 168233590 168233591 chr6:168233591:A:C rs73028695 A C C EBF1_EBF_1 -20 0 - 0 0 . chr6 168252512 168252513 chr6:168252513:C:G rs78990031 C G T EBF1_EBF_1 15 0 - 0 0 . chr6 168252529 168252530 chr6:168252530:A:G rs9355192 A G A EBF1_EBF_1 -2 0 - 0 0 . chr6 168262198 168262199 chr6:168262199:C:T rs76195415 C T C EBF1_EBF_1 20 0 - 0 0 . chr6 168279417 168279418 chr6:168279418:G:C rs746422 G C G EBF1_EBF_1 19 0 + 0 0 . chr6 168290179 168290180 chr6:168290180:T:C rs2341976 T C T EBF1_EBF_1 27 0 + 0 0 . chr6 168297270 168297271 chr6:168297271:C:A rs4708453 C A A EBF1_EBF_1 -13 0 + 0 0 . chr6 168303423 168303424 chr6:168303424:C:G rs7750589 C G G EBF1_EBF_1 -12 0 + 0 0 . chr6 168308466 168308467 chr6:168308467:G:A rs41266321 G A G EBF1_EBF_1 16 0 + 0 0 . chr6 168311962 168311963 chr6:168311963:T:C rs13207327 T C C EBF1_EBF_1 15 0 - 0 0 . chr6 168314460 168314461 chr6:168314461:C:A rs4549598 C A C EBF1_EBF_1 -15 0 + 0 0 . chr6 168319243 168319244 chr6:168319244:C:T rs4292501 C T C EBF1_EBF_1 -18 0 + 0 0 . chr6 168342985 168342986 chr6:168342986:G:A rs9364438 G A G EBF1_EBF_1 16 0 - 0 0 . chr6 168353407 168353408 chr6:168353408:G:A rs74316879 G A G EBF1_EBF_1 12 1 - 5.226170596167584 4.199904025616735 GCTCCCAGGGGCCC chr6 168355815 168355816 chr6:168355816:G:A rs114722990 G A G EBF1_EBF_1 1 1 + 3.831840105587874 3.571141273666573 GGACCCCAGGGGCA chr6 168355836 168355837 chr6:168355837:G:A rs9364245 G A G EBF1_EBF_1 22 0 + 0 0 . chr6 168364675 168364676 chr6:168364676:G:T rs36095641 G T G EBF1_EBF_1 33 0 - 0 0 . chr6 168370872 168370873 chr6:168370873:G:C rs55671104 G C G EBF1_EBF_1 7 1 - 3.7558749752555296 4.737195556336886 ATCCCCCCGGGCTC chr6 168396712 168396713 chr6:168396713:C:G rs112614112 C G C EBF1_EBF_1 20 0 - 0 0 . chr6 168403068 168403069 chr6:168403069:C:T rs4583953 C T T EBF1_EBF_1 -8 0 - 0 0 . chr6 168417902 168417903 chr6:168417903:A:G rs73789296 A G A EBF1_EBF_1 28 0 + 0 0 . chr6 168494746 168494747 chr6:168494747:A:G rs9364459 A G G EBF1_EBF_1 28 0 + 0 0 . chr6 168518152 168518153 chr6:168518153:A:G rs6455527 A G A EBF1_EBF_1 6 1 + 4.7817744937117395 2.6149800624372643 GTCCCCATGAGGCT chr6 168536428 168536429 chr6:168536429:G:A rs16887100 G A G EBF1_EBF_1 18 0 - 0 0 . chr6 168540943 168540944 chr6:168540944:G:A rs13208776 G A G EBF1_EBF_1 17 0 + 0 0 . chr6 168555115 168555116 chr6:168555116:G:C rs111999460 G C G EBF1_EBF_1 10 1 + 5.324567734209067 -1.5662346531204074 CTTCCCTGGGGCCA chr6 168555569 168555570 chr6:168555570:C:A rs4708750 C A A EBF1_EBF_1 30 0 + 0 0 . chr6 168556122 168556123 chr6:168556123:C:T rs9456183 C T C EBF1_EBF_1 30 0 - 0 0 . chr6 168595561 168595562 chr6:168595562:A:C rs56284947 A C A EBF1_EBF_1 16 0 + 0 0 . chr6 168603452 168603453 chr6:168603453:G:A rs73789145 G A G EBF1_EBF_1 -17 0 - 0 0 . chr6 168610865 168610866 chr6:168610866:T:C rs57749090 T C T EBF1_EBF_1 13 1 - 5.832977658237759 4.71865208616832 AGTCCCAGGAGGAA chr6 168613386 168613387 chr6:168613387:T:C rs77479604 T C C EBF1_EBF_1 6 1 - 7.550013385115134 5.383218953840659 CTCCCCAAGGGGCT chr6 168616975 168616976 chr6:168616976:G:A rs58209084 G A A EBF1_EBF_1 21 0 - 0 0 . chr6 168616993 168616994 chr6:168616994:G:A rs755828722 G A G EBF1_EBF_1 3 1 - 7.458289864705276 1.05289112315196 TTTCCCAGGAGAAA chr6 168630807 168630808 chr6:168630808:C:T rs9456259 C T c EBF1_EBF_1 30 0 + 0 0 . chr6 168632955 168632956 chr6:168632956:G:A rs6922145 G A G EBF1_EBF_1 -9 0 + 0 0 . chr6 168635351 168635352 chr6:168635352:C:T rs111529676 C T C EBF1_EBF_1 22 0 + 0 0 . chr6 168660095 168660096 chr6:168660096:C:T rs9355003 C T C EBF1_EBF_1 -3 0 - 0 0 . chr6 168663206 168663207 chr6:168663207:C:G rs4708485 C G C EBF1_EBF_1 -14 0 - 0 0 . chr6 168684766 168684767 chr6:168684767:C:T rs62423456 C T c EBF1_EBF_1 24 0 - 0 0 . chr6 168687196 168687197 chr6:168687197:A:G rs613251 A G G EBF1_EBF_1 9 1 + 4.68236760832414 7.337306873771744 CCTCCCCAGAGGCC chr6 168687210 168687211 chr6:168687211:T:C rs613269 T C C EBF1_EBF_1 23 0 + 0 0 . chr6 168695208 168695209 chr6:168695209:A:C rs663752 A C A EBF1_EBF_1 -2 0 + 0 0 . chr6 168706189 168706190 chr6:168706190:C:A rs141529796 C A C EBF1_EBF_1 27 0 - 0 0 . chr6 168712015 168712016 chr6:168712016:C:T rs600655 C T T EBF1_EBF_1 22 0 + 0 0 . chr6 168725385 168725386 chr6:168725386:C:T rs77516979 C T C EBF1_EBF_1 23 0 - 0 0 . chr6 168729175 168729176 chr6:168729176:C:T rs62424795 C T C EBF1_EBF_1 6 1 - 7.399160324356172 9.565954755630647 GCTCCCGAGGGAGC chr6 168756258 168756259 chr6:168756259:C:G rs9364284 C G C EBF1_EBF_1 -7 0 - 0 0 . chr6 168761422 168761423 chr6:168761423:C:G rs55802329 C G C EBF1_EBF_1 25 0 - 0 0 . chr6 168761454 168761455 chr6:168761455:G:A rs9364289 G A G EBF1_EBF_1 -7 0 - 0 0 . chr6 168777583 168777584 chr6:168777584:G:T rs4708494 G T T EBF1_EBF_1 -5 0 + 0 0 . chr6 168784463 168784464 chr6:168784464:T:C rs1980678 T C C EBF1_EBF_1 27 0 - 0 0 . chr6 168849001 168849002 chr6:168849002:C:T rs6455429 C T C EBF1_EBF_1 13 1 + 6.846104236231661 7.690598350817179 TCTCCCTGGAGACC chr6 168867352 168867353 chr6:168867353:G:A rs4708512 G A G EBF1_EBF_1 11 1 + 8.544775602489029 11.437482446093039 GCTCCCCAGGGGCT chr6 168871146 168871147 chr6:168871147:G:A rs9455492 G A G EBF1_EBF_1 -17 0 + 0 0 . chr6 168871192 168871193 chr6:168871193:C:G rs542136728 C G C EBF1_EBF_1 29 0 + 0 0 . chr6 168877595 168877596 chr6:168877596:C:T rs12111525 C T C EBF1_EBF_1 -16 0 + 0 0 . chr6 168920455 168920456 chr6:168920456:C:T rs187339460 C T C EBF1_EBF_1 1 1 + 5.049116896720501 5.944550337119245 ACTCCCAAAGGCCT chr6 168922882 168922883 chr6:168922883:G:A rs6455448 G A G EBF1_EBF_1 7 1 - 5.954961206370754 7.772465952653378 CTTCCCCCGGGAGG chr6 168939270 168939271 chr6:168939271:T:C rs539883467 T C T EBF1_EBF_1 17 0 - 0 0 . chr6 168939505 168939506 chr6:168939506:A:C rs4708557 A C C EBF1_EBF_1 -19 0 - 0 0 . chr6 168943317 168943318 chr6:168943318:A:G rs116152615 A G A EBF1_EBF_1 2 1 + 4.521826122652576 1.4579680200509837 TCACCCACGGGACA chr6 168944033 168944034 chr6:168944034:C:T rs7760037 C T C EBF1_EBF_1 9 1 - 6.233036828804614 3.5780975633570105 TATCCCAAAGGAAA chr6 168962905 168962906 chr6:168962906:G:A rs11758317 G A G EBF1_EBF_1 15 0 - 0 0 . chr6 168989722 168989723 chr6:168989723:T:C rs6909842 T C T EBF1_EBF_1 -4 0 - 0 0 . chr6 169000869 169000870 chr6:169000870:G:A rs4708568 G A A EBF1_EBF_1 15 0 - 0 0 . chr6 169008787 169008788 chr6:169008788:G:A rs9346602 G A G EBF1_EBF_1 3 1 - 6.949613683680634 0.5442149421273174 AAACCCCAGAGAAT chr6 169024510 169024511 chr6:169024511:G:T rs587105 G T G EBF1_EBF_1 -9 0 - 0 0 . chr6 169033632 169033633 chr6:169033633:C:T rs1401 C T C EBF1_EBF_1 10 1 - 8.194082604100817 2.8760541448338506 CACCCCAGGGGAAT chr6 169040280 169040281 chr6:169040281:G:T rs4708581 G T G EBF1_EBF_1 21 0 + 0 0 . chr6 169042013 169042014 chr6:169042014:T:C rs13203299 T C T EBF1_EBF_1 27 0 - 0 0 . chr6 169043558 169043559 chr6:169043559:G:A rs387348 G A A EBF1_EBF_1 -9 0 - 0 0 . chr6 169102111 169102112 chr6:169102112:G:A rs12523879 G A G EBF1_EBF_1 3 1 - 5.519774134781758 -0.8856246067715603 ACTCACATGGGATC chr6 169114831 169114832 chr6:169114832:T:A rs9455861 T A T EBF1_EBF_1 -7 0 - 0 0 . chr6 169114837 169114838 chr6:169114838:T:C rs9355129 T C C EBF1_EBF_1 -13 0 - 0 0 . chr6 169155527 169155528 chr6:169155528:A:G rs2343367 A G A EBF1_EBF_1 20 0 - 0 0 . chr6 169156944 169156945 chr6:169156945:A:T rs56229361 A T A EBF1_EBF_1 11 1 + 9.352178635843972 5.254264379745248 AGACCCAAGGGACC chr6 169158679 169158680 chr6:169158680:G:A rs191513149 G A G EBF1_EBF_1 24 0 + 0 0 . chr6 169168148 169168149 chr6:169168149:G:A rs184677767 G A G EBF1_EBF_1 3 1 - 5.467646128921356 -0.9377526126319611 AGTCCCTGGAGCAT chr6 169213701 169213702 chr6:169213702:C:G rs10945400 C G C EBF1_EBF_1 -8 0 + 0 0 . chr6 169213721 169213722 chr6:169213722:A:C rs10945401 A C A EBF1_EBF_1 12 1 + 7.623217661553733 7.593036263132407 ACTCCCCTGGGCAC chr6 169220445 169220446 chr6:169220446:G:A rs36045897 G A G EBF1_EBF_1 27 0 - 0 0 . chr6 169220786 169220787 chr6:169220787:A:G rs142637837 A G A EBF1_EBF_1 -17 0 + 0 0 . chr6 169235510 169235511 chr6:169235511:G:A rs12178943 G A G EBF1_EBF_1 21 0 - 0 0 . chr6 169243589 169243590 chr6:169243590:C:T rs9379341 C T C EBF1_EBF_1 14 0 + 0 0 . chr6 169263542 169263543 chr6:169263543:T:C rs9717830 T C C EBF1_EBF_1 15 0 + 0 0 . chr6 169319630 169319631 chr6:169319631:C:T rs9800437 C T T EBF1_EBF_1 32 0 + 0 0 . chr6 169327780 169327781 chr6:169327781:G:A rs6605536 G A G EBF1_EBF_1 29 0 - 0 0 . chr6 169332180 169332181 chr6:169332181:G:T rs7382308 G T G EBF1_EBF_1 -16 0 - 0 0 . chr6 169373457 169373458 chr6:169373458:C:T rs34645532 C T T EBF1_EBF_1 9 1 - 4.662435250146068 2.0074959846984624 CTCCCCCTGGGTCC chr6 169425847 169425848 chr6:169425848:C:T rs73251402 C T T EBF1_EBF_1 16 0 - 0 0 . chr6 169553193 169553194 chr6:169553194:T:C rs760949 T C t EBF1_EBF_1 30 0 + 0 0 . chr6 169562557 169562558 chr6:169562558:C:A rs2179401 C A C EBF1_EBF_1 8 1 - 5.639063908297564 -1.2523688806796303 CCTCCCTTGGGCCC chr6 169564684 169564685 chr6:169564685:G:A rs555231320 G A G EBF1_EBF_1 -13 0 + 0 0 . chr6 169577693 169577694 chr6:169577694:T:G rs9383500 T G T EBF1_EBF_1 17 0 - 0 0 . chr6 169598624 169598625 chr6:169598625:C:T rs76453256 C T C EBF1_EBF_1 25 0 + 0 0 . chr6 169614091 169614092 chr6:169614092:T:C rs9371153 T C T EBF1_EBF_1 -9 0 + 0 0 . chr6 169666830 169666831 chr6:169666831:A:G rs75999653 A G A EBF1_EBF_1 -14 0 + 0 0 . chr6 169668738 169668739 chr6:169668739:A:C rs9396993 A C A EBF1_EBF_1 25 0 + 0 0 . chr6 169671121 169671122 chr6:169671122:A:C rs4716375 A C A EBF1_EBF_1 -16 0 - 0 0 . chr6 169701639 169701640 chr6:169701640:C:T rs76080704 C T C EBF1_EBF_1 -7 0 + 0 0 . chr6 169702271 169702272 chr6:169702272:G:C rs377368563 G C G EBF1_EBF_1 -17 0 + 0 0 . chr6 169702651 169702652 chr6:169702652:A:G rs139874883 A G A EBF1_EBF_1 31 0 - 0 0 . chr6 169763558 169763559 chr6:169763559:C:T rs547385426 C T C EBF1_EBF_1 12 1 + 7.705199517850182 6.678932947299333 CTTCCCACGGGACG chr6 169780332 169780333 chr6:169780333:T:C rs6459663 T C C EBF1_EBF_1 0 1 - 9.473850899431781 7.5815670298669495 ATCCCCCAGGGGAT chr6 169784736 169784737 chr6:169784737:C:T rs371958453 C T C EBF1_EBF_1 11 1 + 3.669164397380056 3.9872118391337206 AGCCCCCGGGGCGC chr6 169791013 169791014 chr6:169791014:C:T rs9396955 C T T EBF1_EBF_1 -5 0 + 0 0 . chr6 169792837 169792838 chr6:169792838:C:T rs9371176 C T C EBF1_EBF_1 -3 0 - 0 0 . chr6 169793476 169793477 chr6:169793477:T:G rs9397008 T G G EBF1_EBF_1 0 1 - 5.874665850399909 3.6194073667033106 ATCCCCTTGGGCTC chr6 169810450 169810451 chr6:169810451:C:A rs77084017 C A C EBF1_EBF_1 -6 0 - 0 0 . chr6 169812286 169812287 chr6:169812287:G:A rs75239435 G A G EBF1_EBF_1 -18 0 - 0 0 . chr6 169824360 169824361 chr6:169824361:C:T rs188704805 C T c EBF1_EBF_1 7 1 + 8.117436889172009 9.934941635454631 GCTCCCCCGGGATT chr6 169826039 169826040 chr6:169826040:G:A rs73250890 G A g EBF1_EBF_1 33 0 + 0 0 . chr6 169828312 169828313 chr6:169828313:G:A rs9396956 G A G EBF1_EBF_1 6 1 - 5.438239802773237 5.7395259316349945 CGCCCCCGGAGAAT chr6 169838131 169838132 chr6:169838132:C:A rs2179805 C A C EBF1_EBF_1 -19 0 + 0 0 . chr6 169838706 169838707 chr6:169838707:G:A rs9478016 G A G EBF1_EBF_1 25 0 - 0 0 . chr6 169838714 169838715 chr6:169838715:C:T rs117539939 C T G EBF1_EBF_1 17 0 - 0 0 . chr6 169842075 169842076 chr6:169842076:G:A rs6900882 G A G EBF1_EBF_1 6 1 - 8.917555312086929 9.218841440948687 CCTCCCCGGGGACC chr6 169846374 169846375 chr6:169846375:C:T rs59333803 C T C EBF1_EBF_1 18 0 - 0 0 . chr6 169860473 169860474 chr6:169860474:G:A rs116101678 G A g EBF1_EBF_1 -3 0 + 0 0 . chr6 169898072 169898073 chr6:169898073:G:A rs36195350 G A G EBF1_EBF_1 -8 0 + 0 0 . chr6 169898095 169898096 chr6:169898096:C:T rs36185158 C T C EBF1_EBF_1 15 0 + 0 0 . chr6 169899702 169899703 chr6:169899703:A:G rs968419597 A G G EBF1_EBF_1 -3 0 - 0 0 . chr6 169902504 169902505 chr6:169902505:T:C rs1000246211 T C C EBF1_EBF_1 19 0 - 0 0 . chr6 169907013 169907014 chr6:169907014:A:G rs1014705979 A G A EBF1_EBF_1 -3 0 - 0 0 . chr6 169922577 169922578 chr6:169922578:C:T rs907335970 C T c EBF1_EBF_1 28 0 + 0 0 . chr6 169931026 169931027 chr6:169931027:G:A rs1025135424 G A G EBF1_EBF_1 -3 0 + 0 0 . chr6 169953958 169953959 chr6:169953959:C:T rs933453694 C T C EBF1_EBF_1 7 1 + 6.868627994904575 8.686132741187198 ACACCCACGGGACC chr6 169954658 169954659 chr6:169954659:T:C rs1052163874 T C C EBF1_EBF_1 6 1 - 6.599722797821373 4.432928366546898 CTCCCCAAGAGAAA chr6 169957494 169957495 chr6:169957495:G:C rs1008475809 G C G EBF1_EBF_1 4 1 - 5.202064759811997 -0.4237003413375471 TCTCCCGTGAGACA chr6 169964588 169964589 chr6:169964589:C:T rs892807524 C T C EBF1_EBF_1 3 1 + 7.964309697491847 1.5589109559385281 AGACCCCAGGGATC chr6 169969131 169969132 chr6:169969132:C:G rs901374638 C G G EBF1_EBF_1 29 0 - 0 0 . chr6 169970016 169970017 chr6:169970017:G:T rs1004237217 G T G EBF1_EBF_1 12 1 - 4.344387808392403 4.374569206813729 CTCCCCCTGGGCCC chr6 169971087 169971088 chr6:169971088:G:C rs969699910 G C G EBF1_EBF_1 3 1 - 4.513658511965574 -1.314105936149642 AGCCCCCGGGGCGT chr6 169971109 169971110 chr6:169971110:C:T rs927278117 C T T EBF1_EBF_1 -19 0 - 0 0 . chr6 169975672 169975673 chr6:169975673:C:T rs898647735 C T C EBF1_EBF_1 24 0 - 0 0 . chr6 169975695 169975696 chr6:169975696:A:C rs1044589651 A C A EBF1_EBF_1 1 1 - 7.077705334420072 6.372043548590543 CTTCCCCTGGGCCT chr6 169978671 169978672 chr6:169978672:G:A rs36192395 G A G EBF1_EBF_1 6 1 + 5.1678340949102965 7.334628526184772 CTCCCCGCGGGAAC chr6 169979257 169979258 chr6:169979258:G:A rs891601878 G A G EBF1_EBF_1 -2 0 - 0 0 . chr6 169982157 169982158 chr6:169982158:C:T rs1047435960 C T C EBF1_EBF_1 5 1 + 4.396009071914588 -0.9257781436260824 ACCCCCGTGGGGTC chr6 169982167 169982168 chr6:169982168:A:G rs1037789679 A G A EBF1_EBF_1 15 0 + 0 0 . chr6 169982247 169982248 chr6:169982248:A:G rs1031672088 A G G EBF1_EBF_1 16 0 + 0 0 . chr6 169984513 169984514 chr6:169984514:C:T rs36128841 C T T EBF1_EBF_1 7 1 - 5.137947946423621 6.450406351712446 ATTCCCCGGGGCTG chr6 169984533 169984534 chr6:169984534:T:C rs935655831 T C T EBF1_EBF_1 -13 0 - 0 0 . chr6 169984670 169984671 chr6:169984671:T:C rs993367170 T C c EBF1_EBF_1 29 0 + 0 0 . chr6 169986567 169986568 chr6:169986568:C:T rs36162080 C T C EBF1_EBF_1 20 0 - 0 0 . chr6 169986894 169986895 chr6:169986895:C:T rs1021082871 C T C EBF1_EBF_1 22 0 - 0 0 . chr6 169986920 169986921 chr6:169986921:G:A rs919762092 G A g EBF1_EBF_1 -4 0 - 0 0 . chr6 169987578 169987579 chr6:169987579:A:G rs1420802669 A G g EBF1_EBF_1 7 1 - 4.907054331673306 3.0895495853906816 TGTCCCCTCGGACC chr6 169987591 169987592 chr6:169987592:C:T rs984274654 C T - EBF1_EBF_1 -6 0 - 0 0 . chr6 169990975 169990976 chr6:169990976:G:A rs1009827185 G A G EBF1_EBF_1 -7 0 - 0 0 . chr6 169992428 169992429 chr6:169992429:A:G rs937088900 A G A EBF1_EBF_1 31 0 + 0 0 . chr6 169993972 169993973 chr6:169993973:A:G rs36128386 A G G EBF1_EBF_1 -14 0 + 0 0 . chr6 169993994 169993995 chr6:169993995:G:A rs914806941 G A G EBF1_EBF_1 8 1 + 7.48855802566738 3.262267936781118 AGTCCCCCGGGGCC chr6 169994666 169994667 chr6:169994667:C:T rs920316658 C T C EBF1_EBF_1 26 0 - 0 0 . chr6 170017604 170017605 chr6:170017605:G:A chr6:170017605:G:A G A G EBF1_EBF_1 4 1 - 5.250824938585724 0.8814379936408008 ACTCCCTTGGTAGG chr6 170023881 170023882 chr6:170023882:G:C rs1034349523 G C G EBF1_EBF_1 3 1 - 5.683154144711455 -0.14461030340376235 ACACCCGGGGGACC chr6 170024524 170024525 chr6:170024525:T:C rs9460292 T C C EBF1_EBF_1 30 0 - 0 0 . chr6 170028888 170028889 chr6:170028889:G:A rs13217517 G A A EBF1_EBF_1 -9 0 + 0 0 . chr6 170028895 170028896 chr6:170028896:T:C rs13199712 T C C EBF1_EBF_1 -2 0 + 0 0 . chr6 170028930 170028931 chr6:170028931:G:A rs34890839 G A G EBF1_EBF_1 33 0 + 0 0 . chr6 170033651 170033652 chr6:170033652:T:G rs6456175 T G T EBF1_EBF_1 -11 0 + 0 0 . chr6 170037346 170037347 chr6:170037347:G:C rs6456192 G C G EBF1_EBF_1 23 0 + 0 0 . chr6 170051396 170051397 chr6:170051397:G:A rs74742580 G A G EBF1_EBF_1 8 1 + 4.464680451721153 0.23839036283489262 ACCCTCCAGGGAGA chr6 170075178 170075179 chr6:170075179:G:A rs78766367 G A G EBF1_EBF_1 -6 0 + 0 0 . chr6 170088045 170088046 chr6:170088046:C:T rs150069945 C T C EBF1_EBF_1 27 0 - 0 0 . chr6 170093758 170093759 chr6:170093759:G:A rs117820567 G A G EBF1_EBF_1 3 1 - 5.8032811843691565 -0.6021175571841619 GCTCCCCAGGGCCA chr6 170100821 170100822 chr6:170100822:C:T rs875421 C T C EBF1_EBF_1 6 1 - 4.809048608954846 6.975843040229322 CACCCCGGGGGAAA chr6 170101822 170101823 chr6:170101823:C:T rs9356678 C T C EBF1_EBF_1 13 1 + 3.7971062800403805 4.6416003946258995 TGTCCACAGGGACC chr6 170109366 170109367 chr6:170109367:C:T rs567744064 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 170111990 170111991 chr6:170111991:A:G rs9460291 A G G EBF1_EBF_1 32 0 - 0 0 . chr6 170112028 170112029 chr6:170112029:A:G rs11967271 A G A EBF1_EBF_1 -6 0 - 0 0 . chr6 170112957 170112958 chr6:170112958:C:T rs2935097 C T c EBF1_EBF_1 13 1 + 4.9935655478239465 5.8380596624094645 AAACCCAAGGGTCC chr6 170124099 170124100 chr6:170124100:A:T rs1056370131 A T A EBF1_EBF_1 27 0 - 0 0 . chr6 170153932 170153933 chr6:170153933:C:T rs11756249 C T C EBF1_EBF_1 -14 0 - 0 0 . chr6 170156640 170156641 chr6:170156641:G:A rs79158544 G A G EBF1_EBF_1 21 0 + 0 0 . chr6 170160270 170160271 chr6:170160271:A:G rs3012401 A G G EBF1_EBF_1 -16 0 + 0 0 . chr6 170162236 170162237 chr6:170162237:C:G rs76647965 C G C EBF1_EBF_1 -18 0 - 0 0 . chr6 170165037 170165038 chr6:170165038:G:A rs181977884 G A G EBF1_EBF_1 -19 0 + 0 0 . chr6 170165078 170165079 chr6:170165079:G:A rs1009601 G A G EBF1_EBF_1 22 0 + 0 0 . chr6 170165378 170165379 chr6:170165379:A:C rs907481608 A C A EBF1_EBF_1 22 0 - 0 0 . chr6 170175719 170175720 chr6:170175720:C:T rs12215043 C T C EBF1_EBF_1 14 0 + 0 0 . chr6 170177593 170177594 chr6:170177594:C:T rs539299333 C T C EBF1_EBF_1 7 1 - 4.543800634886633 5.85625904017546 ACTCCCTGGGGCTG chr6 170185292 170185293 chr6:170185293:T:C rs6936368 T C C EBF1_EBF_1 -6 0 + 0 0 . chr6 170192426 170192427 chr6:170192427:G:A rs117306354 G A G EBF1_EBF_1 5 1 - 3.79087027514662 -1.5309169403940495 GCACCCGGGGGACC chr6 170192446 170192447 chr6:170192447:G:A rs73240929 G A G EBF1_EBF_1 -15 0 - 0 0 . chr6 170192530 170192531 chr6:170192531:C:G rs74609092 C G C EBF1_EBF_1 15 0 - 0 0 . chr6 170210064 170210065 chr6:170210065:A:T rs111620784 A T A EBF1_EBF_1 26 0 - 0 0 . chr6 170223116 170223117 chr6:170223117:C:T rs77398767 C T C EBF1_EBF_1 13 1 + 5.347924123517275 6.1924182381027935 AATCCCTTTGGACC chr6 170228405 170228406 chr6:170228406:T:C rs1422758710 T C C EBF1_EBF_1 19 0 + 0 0 . chr6 170231579 170231580 chr6:170231580:C:T rs59719545 C T C EBF1_EBF_1 -18 0 - 0 0 . chr6 170232624 170232625 chr6:170232625:C:G rs745595 C G C EBF1_EBF_1 18 0 + 0 0 . chr6 170232637 170232638 chr6:170232638:G:T rs745594 G T G EBF1_EBF_1 31 0 + 0 0 . chr6 170239206 170239207 chr6:170239207:G:A rs6456184 G A G EBF1_EBF_1 27 0 + 0 0 . chr6 170245939 170245940 chr6:170245940:T:C rs9460094 T C C EBF1_EBF_1 27 0 - 0 0 . chr6 170245964 170245965 chr6:170245965:G:A rs73025618 G A g EBF1_EBF_1 2 1 - 3.9292021866383857 5.818025598080537 ACCCCCGTGGGCAC chr6 170256688 170256689 chr6:170256689:C:A rs3012402 C A A EBF1_EBF_1 5 1 + 5.189745093432244 -1.7151360592029232 AAGCCCCTGGGACC chr6 170272206 170272207 chr6:170272207:G:A rs149175154 G A G EBF1_EBF_1 -5 0 - 0 0 . chr6 170276894 170276895 chr6:170276895:C:T rs41269627 C T T EBF1_EBF_1 33 0 + 0 0 . chr6 170280108 170280109 chr6:170280109:G:A rs17860724 G A G EBF1_EBF_1 19 0 - 0 0 . chr6 170280900 170280901 chr6:170280901:G:A rs2180052 G A T EBF1_EBF_1 -10 0 + 0 0 . chr6 170283204 170283205 chr6:170283205:C:G rs2295205 C G C EBF1_EBF_1 18 0 + 0 0 . chr6 170283219 170283220 chr6:170283220:G:A rs6929751 G A G EBF1_EBF_1 33 0 + 0 0 . chr6 170287177 170287178 chr6:170287178:C:A rs3800237 C A C EBF1_EBF_1 21 0 - 0 0 . chr6 170291539 170291540 chr6:170291540:T:G rs1344276685 T G T EBF1_EBF_1 -12 0 - 0 0 . chr6 170295997 170295998 chr6:170295998:G:A rs77087564 G A G EBF1_EBF_1 -4 0 + 0 0 . chr6 170345030 170345031 chr6:170345031:C:T rs74728625 C T C EBF1_EBF_1 25 0 - 0 0 . chr6 170345048 170345049 chr6:170345049:C:T rs9366143 C T C EBF1_EBF_1 7 1 - 7.5308870143659 8.843345419654726 TGCCCCCGGGGAAC chr6 170434800 170434801 chr6:170434801:C:G rs57395111 C G G EBF1_EBF_1 31 0 - 0 0 . chr6 170443553 170443554 chr6:170443554:C:G rs567880188 C G C EBF1_EBF_1 -10 0 - 0 0 . chr6 170446364 170446365 chr6:170446365:C:T rs75668124 C T C EBF1_EBF_1 14 0 - 0 0 . chr6 170446385 170446386 chr6:170446386:A:G rs6941554 A G A EBF1_EBF_1 -7 0 - 0 0 . chr6 170447138 170447139 chr6:170447139:T:C rs6909414 T C C EBF1_EBF_1 33 0 + 0 0 . chr6 170451044 170451045 chr6:170451045:G:A rs7750857 G A G EBF1_EBF_1 15 0 + 0 0 . chr6 170478282 170478283 chr6:170478283:G:A rs9366153 G A G EBF1_EBF_1 27 0 - 0 0 . chr6 170492943 170492944 chr6:170492944:C:T rs967345 C T c EBF1_EBF_1 -18 0 + 0 0 . chr6 170523381 170523382 chr6:170523382:C:T rs73793704 C T C EBF1_EBF_1 -12 0 + 0 0 . chr6 170523399 170523400 chr6:170523400:C:T rs149861899 C T C EBF1_EBF_1 6 1 + 5.406353953604799 5.707640082466557 AATCCTCGGGGACA chr6 170554572 170554573 chr6:170554573:C:G chr6:170554573:C:G C G C EBF1_EBF_1 6 1 - 3.9254934595869733 5.730685523060172 TCCCCCGAGGGGCC chr7 42919 42920 chr7:42920:A:G rs4247524 A G a EBF1_EBF_1 17 0 + 0 0 . chr7 44845 44846 chr7:44846:C:G rs6950543 C G c EBF1_EBF_1 4 1 + 6.3932521934716116 0.7674870923220674 AGCCCCTGAGGACT chr7 44851 44852 chr7:44852:G:A rs62429407 G A N EBF1_EBF_1 10 1 + 6.3932521934716116 1.0752237342046456 AGCCCCTGAGGACT chr7 45037 45038 chr7:45038:T:C rs149654229 T C t EBF1_EBF_1 18 0 + 0 0 . chr7 56553 56554 chr7:56554:C:G rs60312409 C G c EBF1_EBF_1 -10 0 + 0 0 . chr7 56782 56783 chr7:56783:C:T rs77989981 C T - EBF1_EBF_1 -12 0 - 0 0 . chr7 59386 59387 chr7:59387:A:C rs58464587 A C a EBF1_EBF_1 -17 0 + 0 0 . chr7 59409 59410 chr7:59410:C:T rs151297455 C T N EBF1_EBF_1 6 1 + 7.175242940409275 7.476529069271033 AGTCCCCAGGAATT chr7 59416 59417 chr7:59417:T:G rs72578846 T G t EBF1_EBF_1 13 1 + 7.175242940409275 4.842677804468342 AGTCCCCAGGAATT chr7 59447 59448 chr7:59448:T:C rs78867536 T C t EBF1_EBF_1 28 0 + 0 0 . chr7 60045 60046 chr7:60046:G:C rs58705705 G C g EBF1_EBF_1 -15 0 + 0 0 . chr7 60054 60055 chr7:60055:G:T rs13307442 G T g EBF1_EBF_1 -6 0 + 0 0 . chr7 60060 60061 chr7:60061:A:G rs10257396 A G N EBF1_EBF_1 0 1 + 5.998694051578226 4.106410182013391 ACTGCCATGGGAGT chr7 60085 60086 chr7:60086:C:G rs35145335 C G N EBF1_EBF_1 25 0 + 0 0 . chr7 60510 60511 chr7:60511:A:G rs535578288 A G a EBF1_EBF_1 13 1 + 6.533403048292179 5.41907747622274 CCACCCAAGGGACA chr7 61207 61208 chr7:61208:C:A rs11767620 C A c EBF1_EBF_1 -6 0 + 0 0 . chr7 62503 62504 chr7:62504:C:T rs150317992 C T N EBF1_EBF_1 -11 0 - 0 0 . chr7 63315 63316 chr7:63316:T:C rs11771879 T C t EBF1_EBF_1 -16 0 + 0 0 . chr7 63379 63380 chr7:63380:T:A rs73044931 T A t EBF1_EBF_1 18 0 - 0 0 . chr7 63909 63910 chr7:63910:C:G rs9649705 C G c EBF1_EBF_1 8 1 - 5.257585101318317 -0.06107375959636878 ATCCTCCTGGGAGC chr7 63916 63917 chr7:63917:A:G rs4498491 A G a EBF1_EBF_1 1 1 - 5.257585101318317 4.362151660919571 ATCCTCCTGGGAGC chr7 66297 66298 chr7:66298:A:T rs188449745 A T a EBF1_EBF_1 -7 0 + 0 0 . chr7 66893 66894 chr7:66894:T:C rs80146523 T C t EBF1_EBF_1 32 0 - 0 0 . chr7 66927 66928 chr7:66928:G:A rs4467890 G A g EBF1_EBF_1 -2 0 - 0 0 . chr7 68733 68734 chr7:68734:G:A rs11771952 G A g EBF1_EBF_1 22 0 - 0 0 . chr7 68740 68741 chr7:68741:A:G rs11762250 A G N EBF1_EBF_1 15 0 - 0 0 . chr7 86192 86193 chr7:86193:C:T rs35457587 C T N EBF1_EBF_1 24 0 + 0 0 . chr7 87128 87129 chr7:87129:C:T rs75762363 C T c EBF1_EBF_1 5 1 + 6.3667982882243495 1.0450110726836788 GGTCCCCAGGGCCC chr7 89434 89435 chr7:89435:G:A rs58350930 G A g EBF1_EBF_1 -16 0 + 0 0 . chr7 89997 89998 chr7:89998:G:A rs185987415 G A g EBF1_EBF_1 6 1 - 4.974508723044086 5.275794851905844 ACTCACCGGGGACA chr7 93175 93176 chr7:93176:A:C rs6963983 A C N EBF1_EBF_1 21 0 + 0 0 . chr7 94248 94249 chr7:94249:C:T rs6962041 C T c EBF1_EBF_1 -4 0 - 0 0 . chr7 115429 115430 chr7:115430:T:C chr7:115430:T:C T C N EBF1_EBF_1 -4 0 + 0 0 . chr7 115429 115430 chr7:115430:T:G rs6954611 T G N EBF1_EBF_1 -4 0 + 0 0 . chr7 115453 115454 chr7:115454:T:C rs7787870 T C N EBF1_EBF_1 20 0 + 0 0 . chr7 119790 119791 chr7:119791:C:T rs147142434 C T C EBF1_EBF_1 9 1 - 5.049113929771294 2.39417466432369 CGACCCCGGGGACA chr7 124951 124952 chr7:124952:A:G rs4279545 A G A EBF1_EBF_1 6 1 + 7.51677628692408 5.349981855649604 CCTCCCAGGGGAGA chr7 125699 125700 chr7:125700:A:C rs6975384 A C C EBF1_EBF_1 -2 0 + 0 0 . chr7 130345 130346 chr7:130346:C:G rs79943775 C G C EBF1_EBF_1 19 0 + 0 0 . chr7 139536 139537 chr7:139537:G:C rs150202976 G C G EBF1_EBF_1 -1 0 + 0 0 . chr7 158120 158121 chr7:158121:T:C rs7801308 T C T EBF1_EBF_1 26 0 - 0 0 . chr7 161289 161290 chr7:161290:C:T rs76348988 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 161295 161296 chr7:161296:T:C rs10264146 T C C EBF1_EBF_1 28 0 + 0 0 . chr7 164395 164396 chr7:164396:C:T rs144186463 C T C EBF1_EBF_1 -15 0 + 0 0 . chr7 168434 168435 chr7:168435:G:A rs73251024 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 171206 171207 chr7:171207:G:A rs181947040 G A . EBF1_EBF_1 26 0 + 0 0 . chr7 173314 173315 chr7:173315:G:C rs11981721 G C . EBF1_EBF_1 -12 0 + 0 0 . chr7 173320 173321 chr7:173321:G:T rs115420558 G T . EBF1_EBF_1 -6 0 + 0 0 . chr7 180300 180301 chr7:180301:G:A rs60130079 G A A EBF1_EBF_1 20 0 - 0 0 . chr7 180496 180497 chr7:180497:C:T rs1372239395 C T c EBF1_EBF_1 -5 0 - 0 0 . chr7 181571 181572 chr7:181572:T:C rs7802147 T C C EBF1_EBF_1 33 0 - 0 0 . chr7 181805 181806 chr7:181806:A:G rs6583348 A G a EBF1_EBF_1 28 0 + 0 0 . chr7 183024 183025 chr7:183025:C:G rs28497001 C G C EBF1_EBF_1 30 0 - 0 0 . chr7 184711 184712 chr7:184712:T:C rs60520181 T C C EBF1_EBF_1 24 0 + 0 0 . chr7 188909 188910 chr7:188910:G:T rs116156199 G T g EBF1_EBF_1 -18 0 - 0 0 . chr7 220102 220103 chr7:220103:A:G rs28459431 A G - EBF1_EBF_1 -12 0 - 0 0 . chr7 226304 226305 chr7:226305:C:T rs185030586 C T C EBF1_EBF_1 -3 0 - 0 0 . chr7 229053 229054 chr7:229054:C:T rs62430331 C T C EBF1_EBF_1 -7 0 + 0 0 . chr7 231460 231461 chr7:231461:C:T rs28561969 C T c EBF1_EBF_1 -8 0 - 0 0 . chr7 231526 231527 chr7:231527:G:A rs35995109 G A a EBF1_EBF_1 -12 0 - 0 0 . chr7 233518 233519 chr7:233519:C:G rs928141666 C G C EBF1_EBF_1 25 0 - 0 0 . chr7 234050 234051 chr7:234051:C:T rs954312887 C T T EBF1_EBF_1 0 1 + 5.281805300488044 5.564007361218622 CCCCCCTGGGGGCT chr7 234052 234053 chr7:234053:C:T rs987049587 C T C EBF1_EBF_1 2 1 + 5.281805300488044 7.170628711930195 CCCCCCTGGGGGCT chr7 240771 240772 chr7:240772:T:C rs904013966 T C T EBF1_EBF_1 30 0 - 0 0 . chr7 247402 247403 chr7:247403:G:C rs36186989 G C G EBF1_EBF_1 31 0 + 0 0 . chr7 252587 252588 chr7:252588:G:A rs141150694 G A A EBF1_EBF_1 -5 0 + 0 0 . chr7 255657 255658 chr7:255658:C:A rs36193175 C A A EBF1_EBF_1 -16 0 - 0 0 . chr7 257138 257139 chr7:257139:C:A rs144815689 C A C EBF1_EBF_1 31 0 - 0 0 . chr7 291315 291316 chr7:291316:C:T rs182201818 C T C EBF1_EBF_1 -15 0 + 0 0 . chr7 295442 295443 chr7:295443:C:T rs145860206 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 295486 295487 chr7:295487:C:T rs138832343 C T c EBF1_EBF_1 -12 0 - 0 0 . chr7 299469 299470 chr7:299470:C:T rs144733750 C T C EBF1_EBF_1 18 0 - 0 0 . chr7 302266 302267 chr7:302267:A:G rs148752984 A G G EBF1_EBF_1 -2 0 + 0 0 . chr7 317378 317379 chr7:317379:G:C rs36134505 G C G EBF1_EBF_1 0 1 + 8.712164118669257 8.349189504537492 GGCCCCCAGGGATT chr7 321729 321730 chr7:321730:C:T rs138961197 C T C EBF1_EBF_1 12 1 - 4.7827179188587285 6.201535260958206 TGTCCCATGGGCGC chr7 354909 354910 chr7:354910:G:A rs36148766 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 363675 363676 chr7:363676:A:G rs142964617 A G g EBF1_EBF_1 13 1 - 6.179663811921129 5.335169697335611 TGTCCCAGGGGCCT chr7 365794 365795 chr7:365795:G:A rs139014129 G A g EBF1_EBF_1 33 0 + 0 0 . chr7 371008 371009 chr7:371009:T:C rs142146875 T C T EBF1_EBF_1 28 0 + 0 0 . chr7 371058 371059 chr7:371059:A:G rs376364994 A G G EBF1_EBF_1 6 1 + 6.269700137670745 4.102905706396269 ACACCCAAGGGGCC chr7 371158 371159 chr7:371159:G:A rs146783203 G A A EBF1_EBF_1 -2 0 + 0 0 . chr7 371315 371316 chr7:371316:T:G rs143318129 T G G EBF1_EBF_1 7 1 - 7.572794725008055 5.279015738637874 GCTCCCAAAGGACT chr7 379581 379582 chr7:379582:C:T rs543395786 C T C EBF1_EBF_1 28 0 - 0 0 . chr7 387707 387708 chr7:387708:A:G rs36134011 A G A EBF1_EBF_1 -20 0 - 0 0 . chr7 388686 388687 chr7:388687:G:A rs142591527 G A N EBF1_EBF_1 31 0 + 0 0 . chr7 389786 389787 chr7:389787:C:T rs36160643 C T C EBF1_EBF_1 -17 0 + 0 0 . chr7 391063 391064 chr7:391064:T:C rs192661743 T C T EBF1_EBF_1 8 1 - 4.908808619687171 9.135098708573434 GCTCCCCAAGGAAG chr7 391079 391080 chr7:391080:G:A rs150304359 G A G EBF1_EBF_1 -8 0 - 0 0 . chr7 391371 391372 chr7:391372:G:A rs147401213 G A G EBF1_EBF_1 2 1 - 5.090687779372776 6.979511190814927 AGCCCTCAGGGACA chr7 400674 400675 chr7:400675:T:C rs74210924 T C C EBF1_EBF_1 -10 0 - 0 0 . chr7 412615 412616 chr7:412616:C:G rs36130957 C G C EBF1_EBF_1 5 1 + 6.936782005446967 0.03190085281179855 TCCCCCAAGGGGCT chr7 416230 416231 chr7:416231:A:G rs144611566 A G A EBF1_EBF_1 -16 0 + 0 0 . chr7 417014 417015 chr7:417015:G:A rs36152997 G A g EBF1_EBF_1 3 1 - 6.961759956065684 0.5563612145123664 GGCCCCACGGGACC chr7 417079 417080 chr7:417080:G:C rs36188542 G C G EBF1_EBF_1 12 1 - 4.789380837538067 3.4007448938599154 GAACCCCAGGGGCT chr7 422773 422774 chr7:422774:T:G rs141963061 T G t EBF1_EBF_1 24 0 + 0 0 . chr7 422783 422784 chr7:422784:A:G rs150673422 A G N EBF1_EBF_1 -6 0 + 0 0 . chr7 423801 423802 chr7:423802:A:G rs145628531 A G a EBF1_EBF_1 26 0 - 0 0 . chr7 424257 424258 chr7:424258:G:A rs36141527 G A G EBF1_EBF_1 28 0 + 0 0 . chr7 425156 425157 chr7:425157:G:A rs144364337 G A G EBF1_EBF_1 -12 0 + 0 0 . chr7 432093 432094 chr7:432094:G:C rs137874678 G C G EBF1_EBF_1 -20 0 - 0 0 . chr7 432834 432835 chr7:432835:T:G rs576292965 T G T EBF1_EBF_1 6 1 - 7.1993974465554444 6.8377950787541675 TTTCCCAAAGGAAA chr7 446203 446204 chr7:446204:G:A rs147142730 G A G EBF1_EBF_1 6 1 - 4.424309781219302 4.725595910081059 GCTCCCCTCGGACA chr7 446215 446216 chr7:446216:G:A rs140250060 G A G EBF1_EBF_1 -6 0 - 0 0 . chr7 446216 446217 chr7:446217:A:T rs144356567 A T A EBF1_EBF_1 -7 0 - 0 0 . chr7 453223 453224 chr7:453224:C:T rs138552057 C T c EBF1_EBF_1 -3 0 + 0 0 . chr7 455503 455504 chr7:455504:C:T rs36148118 C T C EBF1_EBF_1 33 0 - 0 0 . chr7 458047 458048 chr7:458048:C:T rs36197376 C T C EBF1_EBF_1 6 1 - 4.051679764013374 6.2184741952878495 GTCCCCGTGGGGCA chr7 463316 463317 chr7:463317:G:A rs36163453 G A N EBF1_EBF_1 26 0 - 0 0 . chr7 463339 463340 chr7:463340:G:C rs36154993 G C g EBF1_EBF_1 3 1 - 4.5773779317526 -1.250386516362618 GCTCCCCGGAGGCT chr7 463356 463357 chr7:463357:C:G rs149775974 C G c EBF1_EBF_1 -14 0 - 0 0 . chr7 463715 463716 chr7:463716:G:T rs148372030 G T G EBF1_EBF_1 -10 0 - 0 0 . chr7 465176 465177 chr7:465177:G:A rs1040587977 G A G EBF1_EBF_1 13 1 + 4.3259809981679505 5.440306570237389 CCTCCCCAGGGCCG chr7 469856 469857 chr7:469857:C:T rs542558131 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 472105 472106 chr7:472106:A:C chr7:472106:A:C A C A EBF1_EBF_1 7 1 + 11.437482446093039 9.143703459722857 GCTCCCCAGGGACT chr7 484030 484031 chr7:484031:T:A rs151130465 T A T EBF1_EBF_1 -13 0 - 0 0 . chr7 485350 485351 chr7:485351:A:G rs62433266 A G A EBF1_EBF_1 20 0 + 0 0 . chr7 485353 485354 chr7:485354:G:C rs62433267 G C G EBF1_EBF_1 23 0 + 0 0 . chr7 485476 485477 chr7:485477:G:T rs34781865 G T G EBF1_EBF_1 -18 0 - 0 0 . chr7 506461 506462 chr7:506462:T:C rs28578023 T C T EBF1_EBF_1 25 0 + 0 0 . chr7 526310 526311 chr7:526311:G:A rs9330381 G A A EBF1_EBF_1 -14 0 + 0 0 . chr7 536382 536383 chr7:536383:A:C rs62431385 A C A EBF1_EBF_1 -8 0 - 0 0 . chr7 538649 538650 chr7:538650:G:A rs10245910 G A G EBF1_EBF_1 10 1 + 6.259426428863384 0.941397969596418 CTCCCCATGGGGAC chr7 538710 538711 chr7:538711:A:G rs930548275 A G A EBF1_EBF_1 -14 0 + 0 0 . chr7 539368 539369 chr7:539369:C:T rs77079917 C T C EBF1_EBF_1 32 0 + 0 0 . chr7 541194 541195 chr7:541195:A:G rs9767926 A G g EBF1_EBF_1 0 1 - 5.2721460741936355 4.989944013463058 TTTCCCTCGAGACG chr7 541266 541267 chr7:541267:A:C rs138783004 A C G EBF1_EBF_1 2 1 + 5.085983008746641 6.881627184903368 CAACCCCAGGGAGC chr7 541454 541455 chr7:541455:C:T chr7:541455:C:T C T - EBF1_EBF_1 17 0 + 0 0 . chr7 549716 549717 chr7:549717:C:T rs77752769 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 553135 553136 chr7:553136:C:T chr7:553136:C:T C T C EBF1_EBF_1 -11 0 + 0 0 . chr7 553397 553398 chr7:553398:G:A rs185863592 G A G EBF1_EBF_1 7 1 + 5.441193892015156 6.753652297303981 AGTCCCCGGAGGCA chr7 553419 553420 chr7:553420:C:T rs550596924 C T C EBF1_EBF_1 29 0 + 0 0 . chr7 555209 555210 chr7:555210:C:T rs138492225 C T C EBF1_EBF_1 -11 0 - 0 0 . chr7 556418 556419 chr7:556419:G:A rs78062666 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 556462 556463 chr7:556463:G:C rs9330359 G C C EBF1_EBF_1 -11 0 - 0 0 . chr7 556920 556921 chr7:556921:A:G rs4076753 A G G EBF1_EBF_1 18 0 + 0 0 . chr7 584763 584764 chr7:584764:C:T rs9330366 C T T EBF1_EBF_1 8 1 - 5.615734492795676 1.3894444039094136 AGCCCCCCGGGAGG chr7 584786 584787 chr7:584787:C:T rs9771330 C T C EBF1_EBF_1 -15 0 - 0 0 . chr7 591352 591353 chr7:591353:A:G rs9719484 A G G EBF1_EBF_1 -13 0 - 0 0 . chr7 596072 596073 chr7:596073:T:A rs190216877 T A T EBF1_EBF_1 22 0 - 0 0 . chr7 601703 601704 chr7:601704:C:T rs140471555 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 617977 617978 chr7:617978:C:G rs71518324 C G t EBF1_EBF_1 11 1 - 4.566628772295818 3.043373918047439 CTTCCCTGGAGGCC chr7 621991 621992 chr7:621992:T:C rs62432164 T C T EBF1_EBF_1 22 0 + 0 0 . chr7 645832 645833 chr7:645833:C:T rs76097754 C T C EBF1_EBF_1 -2 0 - 0 0 . chr7 660218 660219 chr7:660219:A:G rs67043112 A G G EBF1_EBF_1 -17 0 + 0 0 . chr7 666205 666206 chr7:666206:A:G rs78682005 A G G EBF1_EBF_1 0 1 + 4.687514528919223 2.795230659354389 ACTCCCCAAGGCCT chr7 677092 677093 chr7:677093:C:T rs117843029 C T C EBF1_EBF_1 9 1 + 5.965524513959937 4.204224252216592 ATTCCCAGGCGAGC chr7 680481 680482 chr7:680482:C:G rs79037648 C G G EBF1_EBF_1 30 0 + 0 0 . chr7 712281 712282 chr7:712282:G:T rs185837327 G T G EBF1_EBF_1 21 0 + 0 0 . chr7 712379 712380 chr7:712380:C:G rs4072811 C G g EBF1_EBF_1 28 0 + 0 0 . chr7 723451 723452 chr7:723452:A:C rs6971786 A C G EBF1_EBF_1 26 0 + 0 0 . chr7 747224 747225 chr7:747225:G:A rs34766380 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 763316 763317 chr7:763317:C:T rs35316052 C T c EBF1_EBF_1 -12 0 + 0 0 . chr7 766199 766200 chr7:766200:T:C rs35410966 T C C EBF1_EBF_1 6 1 - 5.806155003994965 3.6393605727204905 ACTCCCAAGAGCAC chr7 769455 769456 chr7:769456:G:A chr7:769456:G:A G A G EBF1_EBF_1 -14 0 - 0 0 . chr7 779839 779840 chr7:779840:T:C rs35728023 T C C EBF1_EBF_1 31 0 - 0 0 . chr7 786636 786637 chr7:786637:G:C rs6944167 G C G EBF1_EBF_1 -4 0 + 0 0 . chr7 823809 823810 chr7:823810:C:T rs76593271 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 823814 823815 chr7:823815:C:T rs7795623 C T C EBF1_EBF_1 9 1 - 4.425416075845214 1.7704768103976096 CCTCCCCAGGGCGC chr7 847422 847423 chr7:847423:A:G rs28590436 A G - EBF1_EBF_1 -12 0 - 0 0 . chr7 847858 847859 chr7:847859:G:A rs60747423 G A - EBF1_EBF_1 18 0 - 0 0 . chr7 847990 847991 chr7:847991:C:T rs62430771 C T - EBF1_EBF_1 30 0 - 0 0 . chr7 878190 878191 chr7:878191:G:A rs58994882 G A G EBF1_EBF_1 -11 0 + 0 0 . chr7 878209 878210 chr7:878210:G:A rs142798143 G A G EBF1_EBF_1 8 1 + 7.382963217579917 3.1566731286936554 CGCCCCTCGGGACT chr7 900543 900544 chr7:900544:C:T rs10256887 C T T EBF1_EBF_1 13 1 - 6.525816884775748 7.640142456845187 ATTCCCAGGGGCCG chr7 906930 906931 chr7:906931:C:G chr7:906931:C:G C G C EBF1_EBF_1 -12 0 + 0 0 . chr7 906952 906953 chr7:906953:G:A rs59317851 G A G EBF1_EBF_1 10 1 + 8.893936574634587 3.5759081153676213 GGCCCCCAGGGACC chr7 907451 907452 chr7:907452:G:C rs142430601 G C g EBF1_EBF_1 12 1 - 4.1739228377341435 2.7852868940559916 GATCCCACGGGCCC chr7 912394 912395 chr7:912395:G:A rs75308177 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 914819 914820 chr7:914820:T:C rs117538500 T C T EBF1_EBF_1 11 1 - 5.836107131099289 2.943400287495277 AGTGCCTGGGGACC chr7 915064 915065 chr7:915065:C:T rs79457299 C T C EBF1_EBF_1 30 0 - 0 0 . chr7 918799 918800 chr7:918800:C:G rs61296767 C G C EBF1_EBF_1 -16 0 - 0 0 . chr7 922724 922725 chr7:922725:T:G rs80090623 T G T EBF1_EBF_1 27 0 + 0 0 . chr7 926775 926776 chr7:926776:C:A rs58061645 C A C EBF1_EBF_1 -18 0 + 0 0 . chr7 926958 926959 chr7:926959:C:A rs143976088 C A C EBF1_EBF_1 18 0 - 0 0 . chr7 927386 927387 chr7:927387:G:T rs142089847 G T g EBF1_EBF_1 -19 0 + 0 0 . chr7 927402 927403 chr7:927403:G:A rs548368435 G A g EBF1_EBF_1 -3 0 + 0 0 . chr7 932473 932474 chr7:932474:G:A rs73044432 G A G EBF1_EBF_1 0 1 + 5.179272089705965 7.071555959270799 GCCCTCCAGGGACT chr7 934671 934672 chr7:934672:G:T rs62433082 G T G EBF1_EBF_1 10 1 + 4.31854744571247 0.1357952594852047 CCTCCCTCGGGGTC chr7 949252 949253 chr7:949253:C:T rs113060200 C T C EBF1_EBF_1 7 1 + 3.5504544315745106 5.3679591778571325 CCTCCCCCGGGCAC chr7 954785 954786 chr7:954786:C:T rs1457273155 C T C EBF1_EBF_1 27 0 - 0 0 . chr7 954825 954826 chr7:954826:G:T rs559914992 G T G EBF1_EBF_1 -13 0 - 0 0 . chr7 955119 955120 chr7:955120:G:A rs79672483 G A G EBF1_EBF_1 5 1 - 4.882934146083383 -0.4388530694572865 AGTGCCCTGGGACG chr7 961908 961909 chr7:961909:C:T rs79928190 C T C EBF1_EBF_1 28 0 + 0 0 . chr7 969700 969701 chr7:969701:T:C rs10264122 T C c EBF1_EBF_1 -1 0 - 0 0 . chr7 975734 975735 chr7:975735:C:G rs148763453 C G c EBF1_EBF_1 14 0 + 0 0 . chr7 983309 983310 chr7:983310:G:A rs947576204 G A G EBF1_EBF_1 7 1 + 4.0100105860788915 5.322468991367717 GGCCCCCGGGGCCT chr7 992917 992918 chr7:992918:T:C rs10257195 T C C EBF1_EBF_1 15 0 - 0 0 . chr7 1000840 1000841 chr7:1000841:T:C rs73264010 T C T EBF1_EBF_1 -12 0 - 0 0 . chr7 1001057 1001058 chr7:1001058:G:A rs73264016 G A G EBF1_EBF_1 24 0 + 0 0 . chr7 1008475 1008476 chr7:1008476:C:T rs56107018 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 1010490 1010491 chr7:1010491:C:T rs73046475 C T C EBF1_EBF_1 10 1 - 6.3261345973446765 1.0081061380777097 CCTCCCTGGGGGCC chr7 1011972 1011973 chr7:1011973:G:C rs115472652 G C G EBF1_EBF_1 -11 0 + 0 0 . chr7 1015344 1015345 chr7:1015345:C:G rs868832 C G C EBF1_EBF_1 21 0 + 0 0 . chr7 1017172 1017173 chr7:1017173:C:A rs73265918 C A C EBF1_EBF_1 22 0 - 0 0 . chr7 1017203 1017204 chr7:1017204:G:T rs113289750 G T N EBF1_EBF_1 -9 0 - 0 0 . chr7 1023467 1023468 chr7:1023468:T:C rs1881127 T C C EBF1_EBF_1 9 1 - 4.989919625367104 7.6448588908147075 GTTCCCAGGAGGCC chr7 1027858 1027859 chr7:1027859:C:T rs117075852 C T C EBF1_EBF_1 0 1 + 5.875952612025031 6.15815467275561 CTCCCCCGGGGGCT chr7 1028141 1028142 chr7:1028142:G:A rs142667999 G A G EBF1_EBF_1 -11 0 + 0 0 . chr7 1028165 1028166 chr7:1028166:C:T rs117343216 C T C EBF1_EBF_1 13 1 + 6.9092011551287 7.753695269714218 CCTCCCACGGGAGC chr7 1032803 1032804 chr7:1032804:G:A rs74347384 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 1060564 1060565 chr7:1060565:A:G rs73048394 A G a EBF1_EBF_1 1 1 - 10.619404453121465 9.723971012722721 ATTCCCAGGAGACT chr7 1060659 1060660 chr7:1060660:A:T rs112309216 A T a EBF1_EBF_1 -5 0 + 0 0 . chr7 1060670 1060671 chr7:1060671:G:T rs76161580 G T g EBF1_EBF_1 6 1 + 4.517859768913341 6.624337961248297 AGACCCGCGGGACA chr7 1066690 1066691 chr7:1066691:T:G rs6957733 T G g EBF1_EBF_1 29 0 + 0 0 . chr7 1095205 1095206 chr7:1095206:C:T rs35126802 C T - EBF1_EBF_1 1 1 - 5.837145512251033 5.57644668032973 CGTCCCTGGGGCCT chr7 1098888 1098889 chr7:1098889:G:A rs78999139 G A g EBF1_EBF_1 -9 0 - 0 0 . chr7 1123223 1123224 chr7:1123224:C:T rs374289561 C T C EBF1_EBF_1 -18 0 + 0 0 . chr7 1142494 1142495 chr7:1142495:G:A rs75952749 G A g EBF1_EBF_1 20 0 + 0 0 . chr7 1169705 1169706 chr7:1169706:T:A rs2949183 T A T EBF1_EBF_1 -2 0 + 0 0 . chr7 1169706 1169707 chr7:1169707:G:A rs2949182 G A G EBF1_EBF_1 -1 0 + 0 0 . chr7 1169731 1169732 chr7:1169732:A:G rs2949181 A G G EBF1_EBF_1 24 0 + 0 0 . chr7 1170938 1170939 chr7:1170939:G:A rs7784059 G A G EBF1_EBF_1 29 0 - 0 0 . chr7 1171051 1171052 chr7:1171052:G:C rs7784202 G C G EBF1_EBF_1 -7 0 + 0 0 . chr7 1171123 1171124 chr7:1171124:G:A rs7784334 G A G EBF1_EBF_1 -20 0 + 0 0 . chr7 1171188 1171189 chr7:1171189:C:G rs10256720 C G C EBF1_EBF_1 -12 0 - 0 0 . chr7 1200119 1200120 chr7:1200120:C:G rs146435997 C G C EBF1_EBF_1 -15 0 + 0 0 . chr7 1223837 1223838 chr7:1223838:C:T rs35934045 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 1223841 1223842 chr7:1223842:C:T rs79380378 C T C EBF1_EBF_1 -14 0 - 0 0 . chr7 1227619 1227620 chr7:1227620:G:A rs76210971 G A G EBF1_EBF_1 25 0 - 0 0 . chr7 1228644 1228645 chr7:1228645:G:A chr7:1228645:G:A G A G EBF1_EBF_1 7 1 - 9.847929163837524 11.665433910120147 ACTCCCCCGGGAAA chr7 1237202 1237203 chr7:1237203:G:A rs73040100 G A G EBF1_EBF_1 12 1 + 6.548040876051277 7.9668582181507555 CCTCCCAAGAGAGC chr7 1242812 1242813 chr7:1242813:C:A rs112711620 C A C EBF1_EBF_1 9 1 - 4.473571964179088 -2.3634174439097215 CCTCCCCGCGGAAT chr7 1243130 1243131 chr7:1243131:G:C rs78261539 G C G EBF1_EBF_1 5 1 - 9.135098708573434 2.2302175559382644 GCTCCCCAGGGAAG chr7 1243960 1243961 chr7:1243961:A:G rs10235272 A G A EBF1_EBF_1 -5 0 + 0 0 . chr7 1254493 1254494 chr7:1254494:T:A rs114951886 T A T EBF1_EBF_1 16 0 - 0 0 . chr7 1269585 1269586 chr7:1269586:C:G rs11976390 C G C EBF1_EBF_1 5 1 + 3.755998923418711 -3.1488822292164578 GCTCCCCAGTGACC chr7 1278948 1278949 chr7:1278949:C:A rs13233053 C A C EBF1_EBF_1 29 0 + 0 0 . chr7 1284330 1284331 chr7:1284331:C:T rs4724883 C T T EBF1_EBF_1 29 0 - 0 0 . chr7 1285590 1285591 chr7:1285591:C:T rs11981539 C T C EBF1_EBF_1 13 1 + 5.274853458396092 6.11934757298161 TGTCCCTGGGGCCC chr7 1285601 1285602 chr7:1285602:G:A rs111656759 G A G EBF1_EBF_1 24 0 + 0 0 . chr7 1288315 1288316 chr7:1288316:C:A rs112484765 C A C EBF1_EBF_1 -1 0 - 0 0 . chr7 1288811 1288812 chr7:1288812:G:A rs4605952 G A G EBF1_EBF_1 7 1 + 4.498999671172535 5.81145807646136 GCCCCCCGGGGGCC chr7 1289566 1289567 chr7:1289567:C:G rs148370840 C G C EBF1_EBF_1 5 1 + 4.980519171626286 -1.9243619810088821 CCCCCCCGGGGGCT chr7 1291787 1291788 chr7:1291788:A:C rs17151813 A C A EBF1_EBF_1 -11 0 - 0 0 . chr7 1292887 1292888 chr7:1292888:G:A rs1110392 G A G EBF1_EBF_1 31 0 - 0 0 . chr7 1293385 1293386 chr7:1293386:T:C rs1880287 T C C EBF1_EBF_1 2 1 + 5.206944649283704 3.3181212378415528 CTTCCCCTGGGCTC chr7 1293609 1293610 chr7:1293610:G:A rs1104950 G A G EBF1_EBF_1 -7 0 + 0 0 . chr7 1293614 1293615 chr7:1293615:A:C rs191969441 A C A EBF1_EBF_1 -2 0 + 0 0 . chr7 1308878 1308879 chr7:1308879:T:C rs35542181 T C T EBF1_EBF_1 19 0 - 0 0 . chr7 1308901 1308902 chr7:1308902:T:C rs13241587 T C T EBF1_EBF_1 -4 0 - 0 0 . chr7 1314331 1314332 chr7:1314332:T:C rs28649050 T C T EBF1_EBF_1 23 0 - 0 0 . chr7 1314807 1314808 chr7:1314808:A:G rs6951359 A G G EBF1_EBF_1 7 1 - 7.943294326426111 6.125789580143488 CCTCCCCTGAGACT chr7 1314834 1314835 chr7:1314835:G:A rs117979976 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 1318925 1318926 chr7:1318926:C:T rs10227104 C T C EBF1_EBF_1 -17 0 + 0 0 . chr7 1321128 1321129 chr7:1321129:G:C rs35146880 G C G EBF1_EBF_1 -12 0 + 0 0 . chr7 1324357 1324358 chr7:1324358:G:A rs56223134 G A G EBF1_EBF_1 -8 0 + 0 0 . chr7 1324381 1324382 chr7:1324382:C:G rs13243459 C G G EBF1_EBF_1 16 0 + 0 0 . chr7 1325839 1325840 chr7:1325840:C:T rs67856135 C T T EBF1_EBF_1 13 1 + 5.1070335313572786 5.951527645942797 TGCCCCAAGGGTAC chr7 1342521 1342522 chr7:1342522:G:A rs113595255 G A G EBF1_EBF_1 25 0 - 0 0 . chr7 1347481 1347482 chr7:1347482:G:C rs35316915 G C C EBF1_EBF_1 4 1 - 4.687583365969227 -0.938181735180317 CCTCCCAAGGGCCG chr7 1347879 1347880 chr7:1347880:C:A rs4285387 C A C EBF1_EBF_1 -20 0 - 0 0 . chr7 1355010 1355011 chr7:1355011:G:A rs118077091 G A G EBF1_EBF_1 -14 0 - 0 0 . chr7 1361170 1361171 chr7:1361171:G:A rs116144797 G A G EBF1_EBF_1 0 1 + 8.043916885261055 9.936200754825888 GGCCCCCTGGGACA chr7 1361898 1361899 chr7:1361899:T:C rs4588759 T C C EBF1_EBF_1 -16 0 + 0 0 . chr7 1403545 1403546 chr7:1403546:G:C rs113180657 G C G EBF1_EBF_1 3 1 - 3.9253934639070924 -1.902370984208124 ACTCCCGGGGGCTC chr7 1408906 1408907 chr7:1408907:C:A rs10081325 C A C EBF1_EBF_1 29 0 + 0 0 . chr7 1423065 1423066 chr7:1423066:A:G rs6955047 A G G EBF1_EBF_1 7 1 + 6.7068915168601055 5.3944331115712805 GTCCCCCAGGGGCC chr7 1423190 1423191 chr7:1423191:A:G rs77593499 A G G EBF1_EBF_1 15 0 - 0 0 . chr7 1426746 1426747 chr7:1426747:T:G rs12534457 T G G EBF1_EBF_1 -16 0 - 0 0 . chr7 1430318 1430319 chr7:1430319:C:T rs148244943 C T C EBF1_EBF_1 9 1 - 6.061287797626808 3.406348532179204 GTTCCCTGGGGCCC chr7 1430344 1430345 chr7:1430345:G:C rs10238316 G C C EBF1_EBF_1 -17 0 - 0 0 . chr7 1432726 1432727 chr7:1432727:G:A rs118116182 G A - EBF1_EBF_1 5 1 - 4.4068398845399415 -0.9149473310007292 AGCCCCGTGGGTCC chr7 1433105 1433106 chr7:1433106:G:T rs144861308 G T G EBF1_EBF_1 15 0 - 0 0 . chr7 1440315 1440316 chr7:1440316:C:G rs59904195 C G C EBF1_EBF_1 7 1 + 6.961759956065684 7.943080537147039 GGCCCCACGGGACC chr7 1449105 1449106 chr7:1449106:G:A rs114124183 G A G EBF1_EBF_1 -13 0 + 0 0 . chr7 1454068 1454069 chr7:1454069:C:T rs28401610 C T C EBF1_EBF_1 22 0 - 0 0 . chr7 1458740 1458741 chr7:1458741:C:A rs149549875 C A C EBF1_EBF_1 -19 0 + 0 0 . chr7 1460995 1460996 chr7:1460996:G:A rs73265880 G A G EBF1_EBF_1 -11 0 + 0 0 . chr7 1461029 1461030 chr7:1461030:A:C rs543098532 A C A EBF1_EBF_1 23 0 + 0 0 . chr7 1463981 1463982 chr7:1463982:G:A rs114537498 G A G EBF1_EBF_1 17 0 - 0 0 . chr7 1463983 1463984 chr7:1463984:T:C rs73265885 T C C EBF1_EBF_1 15 0 - 0 0 . chr7 1465799 1465800 chr7:1465800:A:G rs4554363 A G G EBF1_EBF_1 31 0 + 0 0 . chr7 1468808 1468809 chr7:1468809:T:C rs6961758 T C C EBF1_EBF_1 7 1 - 5.3791760086891465 4.066717603400321 AGCCCCCAGGGGTG chr7 1469770 1469771 chr7:1469771:G:A rs118141567 G A G EBF1_EBF_1 -13 0 + 0 0 . chr7 1470540 1470541 chr7:1470541:G:A rs146366481 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 1483351 1483352 chr7:1483352:C:T rs1137532 C T C EBF1_EBF_1 7 1 - 4.783795674965118 6.096254080253943 TCTCCCCGGGGCCC chr7 1492833 1492834 chr7:1492834:C:T rs56279771 C T c EBF1_EBF_1 -18 0 - 0 0 . chr7 1494408 1494409 chr7:1494409:T:C rs732491 T C C EBF1_EBF_1 6 1 - 4.811252922850289 2.644458491575814 AGCCCCATGGGTGA chr7 1494956 1494957 chr7:1494957:C:A rs752792 C A C EBF1_EBF_1 -16 0 + 0 0 . chr7 1498958 1498959 chr7:1498959:C:A rs2289034 C A C EBF1_EBF_1 7 1 + 3.9218919383005977 6.215670924670779 ACCCCCCCGGGGCG chr7 1498977 1498978 chr7:1498978:G:A rs2289035 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 1503177 1503178 chr7:1503178:T:C rs3752715 T C C EBF1_EBF_1 6 1 - 5.862091178314549 3.695296747040074 TCCCCCAGGAGACT chr7 1506217 1506218 chr7:1506218:G:A rs745563 G A G EBF1_EBF_1 -18 0 - 0 0 . chr7 1507093 1507094 chr7:1507094:C:T chr7:1507094:C:T C T C EBF1_EBF_1 29 0 - 0 0 . chr7 1507108 1507109 chr7:1507109:C:A chr7:1507109:C:A C A C EBF1_EBF_1 14 0 - 0 0 . chr7 1521587 1521588 chr7:1521588:C:G rs35683430 C G C EBF1_EBF_1 33 0 - 0 0 . chr7 1532566 1532567 chr7:1532567:G:T rs946712581 G T G EBF1_EBF_1 11 1 + 6.254307285826599 5.049099873331884 ACTCCCCAGGTGCT chr7 1536335 1536336 chr7:1536336:A:G rs3808335 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 1544304 1544305 chr7:1544305:C:T rs11760769 C T C EBF1_EBF_1 -13 0 + 0 0 . chr7 1546619 1546620 chr7:1546620:C:T rs1026744236 C T c EBF1_EBF_1 -1 0 - 0 0 . chr7 1548050 1548051 chr7:1548051:A:G rs3814480 A G G EBF1_EBF_1 -10 0 + 0 0 . chr7 1550739 1550740 chr7:1550740:T:C rs2304360 T C C EBF1_EBF_1 -6 0 - 0 0 . chr7 1550783 1550784 chr7:1550784:C:T rs374337429 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 1555368 1555369 chr7:1555369:C:T rs183593116 C T C EBF1_EBF_1 19 0 + 0 0 . chr7 1556152 1556153 chr7:1556153:C:T rs1360125990 C T C EBF1_EBF_1 15 0 - 0 0 . chr7 1556559 1556560 chr7:1556560:A:G rs7811444 A G G EBF1_EBF_1 -7 0 - 0 0 . chr7 1559592 1559593 chr7:1559593:C:T rs368298880 C T C EBF1_EBF_1 -4 0 + 0 0 . chr7 1567463 1567464 chr7:1567464:T:C rs75926663 T C T EBF1_EBF_1 -18 0 + 0 0 . chr7 1580667 1580668 chr7:1580668:G:A rs35000274 G A G EBF1_EBF_1 3 1 - 4.994751067476044 -1.4106476740772738 TCTCCCCAGAGGAC chr7 1590656 1590657 chr7:1590657:G:T rs62436913 G T G EBF1_EBF_1 -11 0 - 0 0 . chr7 1591569 1591570 chr7:1591570:G:A rs117399988 G A G EBF1_EBF_1 -19 0 + 0 0 . chr7 1591584 1591585 chr7:1591585:G:A chr7:1591585:G:A G A G EBF1_EBF_1 -4 0 + 0 0 . chr7 1591590 1591591 chr7:1591591:T:C rs2077421 T C C EBF1_EBF_1 2 1 + 7.562489281719598 5.673665870277447 CCTCCCCCGGGACA chr7 1599143 1599144 chr7:1599144:A:G rs35879376 A G A EBF1_EBF_1 -11 0 - 0 0 . chr7 1608569 1608570 chr7:1608570:G:A rs117273612 G A G EBF1_EBF_1 27 0 + 0 0 . chr7 1647171 1647172 chr7:1647172:C:T rs147434814 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 1665036 1665037 chr7:1665037:A:G rs6952435 A G G EBF1_EBF_1 -9 0 - 0 0 . chr7 1665043 1665044 chr7:1665044:G:A rs573488537 G A G EBF1_EBF_1 -16 0 - 0 0 . chr7 1665197 1665198 chr7:1665198:T:C rs10215581 T C C EBF1_EBF_1 9 1 - 8.609340221082887 11.264279486530489 CGTCCCCAGAGACT chr7 1666284 1666285 chr7:1666285:G:A rs1013004144 G A G EBF1_EBF_1 6 1 - 4.297615096807848 4.598901225669606 CCCCCCCAGAGAGC chr7 1668443 1668444 chr7:1668444:T:G rs4236259 T G G EBF1_EBF_1 20 0 - 0 0 . chr7 1670394 1670395 chr7:1670395:T:G rs192206400 T G T EBF1_EBF_1 -12 0 + 0 0 . chr7 1693837 1693838 chr7:1693838:G:A rs117250982 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 1694558 1694559 chr7:1694559:C:T rs7789732 C T C EBF1_EBF_1 31 0 - 0 0 . chr7 1700487 1700488 chr7:1700488:C:G rs12670365 C G C EBF1_EBF_1 17 0 - 0 0 . chr7 1711160 1711161 chr7:1711161:C:T rs4720940 C T T EBF1_EBF_1 -20 0 + 0 0 . chr7 1713751 1713752 chr7:1713752:G:T rs6460788 G T G EBF1_EBF_1 1 1 - 4.698367486894584 4.627440309542499 CCTCCCCAGAGAGG chr7 1713753 1713754 chr7:1713754:G:A rs12671076 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 1716647 1716648 chr7:1716648:G:A rs112488380 G A G EBF1_EBF_1 3 1 - 5.363704701327172 -1.041694040226144 AGCCCCAGGGGTCA chr7 1744489 1744490 chr7:1744490:C:A rs115530409 C A C EBF1_EBF_1 15 0 + 0 0 . chr7 1748643 1748644 chr7:1748644:G:C rs10950352 G C C EBF1_EBF_1 32 0 - 0 0 . chr7 1750280 1750281 chr7:1750281:G:T rs115005492 G T G EBF1_EBF_1 10 1 + 6.0030705710983945 1.8203183848711297 GCCCCCCGGGGAGC chr7 1808519 1808520 chr7:1808520:G:A rs12532324 G A G EBF1_EBF_1 4 1 - 6.432246503821086 2.0628595588761622 GCACCCCTGGGACC chr7 1810153 1810154 chr7:1810154:G:A rs6944052 G A G EBF1_EBF_1 22 0 - 0 0 . chr7 1810173 1810174 chr7:1810174:G:T rs6944063 G T G EBF1_EBF_1 2 1 - 5.078711591600788 3.2830674154440618 ACCCCCGTGGGGAA chr7 1815376 1815377 chr7:1815377:C:G rs955855052 C G C EBF1_EBF_1 -4 0 - 0 0 . chr7 1816492 1816493 chr7:1816493:C:T rs190892075 C T C EBF1_EBF_1 5 1 + 4.858935768559662 -0.462851446981009 CCACCCAAGGGGCT chr7 1817779 1817780 chr7:1817780:C:T rs569361325 C T C EBF1_EBF_1 15 0 + 0 0 . chr7 1841646 1841647 chr7:1841647:G:C rs60481999 G C G EBF1_EBF_1 22 0 - 0 0 . chr7 1842619 1842620 chr7:1842620:T:C rs1285392647 T C T EBF1_EBF_1 18 0 - 0 0 . chr7 1842650 1842651 chr7:1842651:G:C rs186654268 G C G EBF1_EBF_1 -13 0 - 0 0 . chr7 1844172 1844173 chr7:1844173:C:T rs184181539 C T C EBF1_EBF_1 -13 0 + 0 0 . chr7 1844226 1844227 chr7:1844227:C:T rs78996026 C T C EBF1_EBF_1 30 0 - 0 0 . chr7 1845963 1845964 chr7:1845964:A:G rs58673065 A G G EBF1_EBF_1 26 0 + 0 0 . chr7 1848548 1848549 chr7:1848549:A:G rs59248873 A G A EBF1_EBF_1 -5 0 - 0 0 . chr7 1848563 1848564 chr7:1848564:G:T rs73286668 G T G EBF1_EBF_1 -20 0 - 0 0 . chr7 1852169 1852170 chr7:1852170:G:A rs142456418 G A G EBF1_EBF_1 -15 0 - 0 0 . chr7 1854894 1854895 chr7:1854895:C:T rs931380133 C T C EBF1_EBF_1 3 1 + 5.453877255914936 -0.9515214856383825 AACCCCGAGGGGCA chr7 1856911 1856912 chr7:1856912:T:C rs141610576 T C T EBF1_EBF_1 -3 0 - 0 0 . chr7 1856989 1856990 chr7:1856990:T:A rs150515308 T A T EBF1_EBF_1 25 0 + 0 0 . chr7 1865979 1865980 chr7:1865980:G:C rs114740378 G C G EBF1_EBF_1 17 0 - 0 0 . chr7 1869516 1869517 chr7:1869517:A:G rs10950411 A G a EBF1_EBF_1 21 0 + 0 0 . chr7 1883483 1883484 chr7:1883484:A:T rs57388909 A T A EBF1_EBF_1 2 1 - 8.822680822924697 5.138213235325822 ATTCCCATGGAAAT chr7 1897863 1897864 chr7:1897864:A:G rs10257349 A G G EBF1_EBF_1 14 0 + 0 0 . chr7 1901883 1901884 chr7:1901884:G:T rs4721178 G T G EBF1_EBF_1 -11 0 + 0 0 . chr7 1902112 1902113 chr7:1902113:G:A rs190208967 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 1913778 1913779 chr7:1913779:C:T rs145809986 C T C EBF1_EBF_1 20 0 - 0 0 . chr7 1913779 1913780 chr7:1913780:G:A rs62442903 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 1931589 1931590 chr7:1931590:G:A rs61409925 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 1938365 1938366 chr7:1938366:T:C rs56259105 T C T EBF1_EBF_1 0 1 - 8.505731448851227 6.613447579286394 ACTCCCAGGAGACA chr7 1939621 1939622 chr7:1939622:C:T rs924501301 C T C EBF1_EBF_1 -4 0 + 0 0 . chr7 1940165 1940166 chr7:1940166:G:A rs11974624 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 1940173 1940174 chr7:1940174:G:A rs188070040 G A G EBF1_EBF_1 25 0 - 0 0 . chr7 1940213 1940214 chr7:1940214:A:G rs12531077 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 1941207 1941208 chr7:1941208:G:A rs184787713 G A G EBF1_EBF_1 21 0 - 0 0 . chr7 1948167 1948168 chr7:1948168:G:A rs7789967 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 1948195 1948196 chr7:1948196:G:A rs73035908 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 1956228 1956229 chr7:1956229:C:T rs882948 C T C EBF1_EBF_1 16 0 + 0 0 . chr7 1980460 1980461 chr7:1980461:G:A rs113127342 G A G EBF1_EBF_1 -8 0 + 0 0 . chr7 1989993 1989994 chr7:1989994:G:C rs60432611 G C G EBF1_EBF_1 9 1 + 6.070664710753102 0.9949755644076381 GCTCCCAGGGGCCT chr7 1996162 1996163 chr7:1996163:G:A rs117987054 G A G EBF1_EBF_1 5 1 - 5.872925799280672 0.5511385837400025 AGACCCGGGGGACC chr7 1996463 1996464 chr7:1996464:A:G rs6953187 A G G EBF1_EBF_1 -3 0 + 0 0 . chr7 2001019 2001020 chr7:2001020:G:A rs182164536 G A A EBF1_EBF_1 31 0 + 0 0 . chr7 2004022 2004023 chr7:2004023:G:A rs73277166 G A G EBF1_EBF_1 10 1 + 4.981841432879269 -0.33618702638769754 ACTCCCGGGGGCAC chr7 2009739 2009740 chr7:2009740:G:A rs73045466 G A G EBF1_EBF_1 3 1 - 7.920414646762078 1.5150159052087613 ATCCCCCAGGGCCT chr7 2011867 2011868 chr7:2011868:C:G rs11514731 C G C EBF1_EBF_1 31 0 - 0 0 . chr7 2030760 2030761 chr7:2030761:C:A rs28406048 C A C EBF1_EBF_1 29 0 - 0 0 . chr7 2030761 2030762 chr7:2030762:A:G rs28537163 A G A EBF1_EBF_1 28 0 - 0 0 . chr7 2031044 2031045 chr7:2031045:G:A rs55952733 G A G EBF1_EBF_1 10 1 + 4.75480556626557 -0.5632228930013958 GCCCCCAGGAGAAA chr7 2037817 2037818 chr7:2037818:G:C rs574018277 G C G EBF1_EBF_1 -7 0 - 0 0 . chr7 2041264 2041265 chr7:2041265:C:G rs151191125 C G C EBF1_EBF_1 0 1 - 4.400296101297595 4.037321487165828 GTCTCCAAGGGACT chr7 2047300 2047301 chr7:2047301:T:C rs140422510 T C T EBF1_EBF_1 6 1 + 4.825998316090315 4.524712187228557 AAACCCTGGGGGCC chr7 2047324 2047325 chr7:2047325:C:T rs10276536 C T T EBF1_EBF_1 30 0 + 0 0 . chr7 2047626 2047627 chr7:2047627:G:T rs9639201 G T G EBF1_EBF_1 -13 0 - 0 0 . chr7 2061699 2061700 chr7:2061700:A:G rs67830812 A G G EBF1_EBF_1 26 0 + 0 0 . chr7 2064419 2064420 chr7:2064420:T:C rs11760737 T C T EBF1_EBF_1 -12 0 - 0 0 . chr7 2064427 2064428 chr7:2064428:A:G rs11773643 A G G EBF1_EBF_1 -20 0 - 0 0 . chr7 2069519 2069520 chr7:2069520:T:C chr7:2069520:T:C T C T EBF1_EBF_1 -9 0 + 0 0 . chr7 2072030 2072031 chr7:2072031:A:G rs56918704 A G A EBF1_EBF_1 -17 0 + 0 0 . chr7 2086512 2086513 chr7:2086513:G:A rs1309835000 G A G EBF1_EBF_1 -10 0 - 0 0 . chr7 2104901 2104902 chr7:2104902:C:G rs55807033 C G C EBF1_EBF_1 16 0 - 0 0 . chr7 2105066 2105067 chr7:2105067:A:G rs56171232 A G G EBF1_EBF_1 18 0 + 0 0 . chr7 2106555 2106556 chr7:2106556:G:T rs73038472 G T G EBF1_EBF_1 1 1 - 4.306890496725192 4.235963319373107 CCACCCCTGGGAGA chr7 2117192 2117193 chr7:2117193:G:A rs948758166 G A G EBF1_EBF_1 13 1 - 4.4779748767821985 5.322468991367717 GGCCCCCAGGGCCC chr7 2117220 2117221 chr7:2117221:G:C rs73673201 G C G EBF1_EBF_1 -15 0 - 0 0 . chr7 2126683 2126684 chr7:2126684:T:G rs3778983 T G T EBF1_EBF_1 -10 0 - 0 0 . chr7 2148693 2148694 chr7:2148694:G:A rs147624637 G A G EBF1_EBF_1 3 1 - 8.438912069625127 2.0335133280718116 AGTCCCAAGGGCTT chr7 2165929 2165930 chr7:2165930:G:A rs55639323 G A G EBF1_EBF_1 24 0 + 0 0 . chr7 2200314 2200315 chr7:2200315:G:A rs73289799 G A G EBF1_EBF_1 16 0 - 0 0 . chr7 2200335 2200336 chr7:2200336:G:T rs6950269 G T T EBF1_EBF_1 -5 0 - 0 0 . chr7 2209589 2209590 chr7:2209590:C:T rs73041352 C T C EBF1_EBF_1 -18 0 + 0 0 . chr7 2209685 2209686 chr7:2209686:G:A rs10232676 G A G EBF1_EBF_1 8 1 + 3.9759211513005632 -0.25036893758569845 ACACCCACGGGGCC chr7 2209685 2209686 chr7:2209686:G:C chr7:2209686:G:C G C G EBF1_EBF_1 8 1 + 3.9759211513005632 -1.3427377096141229 ACACCCACGGGGCC chr7 2209699 2209700 chr7:2209700:C:T rs116738712 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 2209892 2209893 chr7:2209893:A:G rs74981847 A G A EBF1_EBF_1 -18 0 + 0 0 . chr7 2211285 2211286 chr7:2211286:G:A rs59337363 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 2217216 2217217 chr7:2217217:C:T rs55848703 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 2233047 2233048 chr7:2233048:C:A rs62442503 C A C EBF1_EBF_1 -2 0 + 0 0 . chr7 2241788 2241789 chr7:2241789:C:T rs139052325 C T C EBF1_EBF_1 -18 0 - 0 0 . chr7 2243328 2243329 chr7:2243329:T:G rs6978317 T G G EBF1_EBF_1 -9 0 - 0 0 . chr7 2290860 2290861 chr7:2290861:A:G rs4721548 A G T EBF1_EBF_1 -6 0 + 0 0 . chr7 2304567 2304568 chr7:2304568:C:T rs6971396 C T C EBF1_EBF_1 5 1 + 5.912180239934096 0.5903930243934254 TCTCCCCAAGGACA chr7 2354028 2354029 chr7:2354029:G:A rs557406840 G A g EBF1_EBF_1 13 1 - 3.775198984963989 4.619693099549508 ACTCCGGAGGGACC chr7 2354050 2354051 chr7:2354051:A:G rs1036473172 A G A EBF1_EBF_1 -9 0 - 0 0 . chr7 2354060 2354061 chr7:2354061:G:T rs923086902 G T G EBF1_EBF_1 -19 0 - 0 0 . chr7 2354415 2354416 chr7:2354416:G:C rs114749401 G C G EBF1_EBF_1 28 0 + 0 0 . chr7 2354418 2354419 chr7:2354419:C:A chr7:2354419:C:A C A C EBF1_EBF_1 31 0 + 0 0 . chr7 2359849 2359850 chr7:2359850:G:C rs73045203 G C g EBF1_EBF_1 24 0 + 0 0 . chr7 2359858 2359859 chr7:2359859:G:A rs73277422 G A g EBF1_EBF_1 33 0 + 0 0 . chr7 2377617 2377618 chr7:2377618:T:G rs36130740 T G - EBF1_EBF_1 11 1 - 8.386274394857796 3.9703126970054066 ATTCCCAGGAGAGC chr7 2384315 2384316 chr7:2384316:C:T rs4721663 C T C EBF1_EBF_1 17 0 - 0 0 . chr7 2390696 2390697 chr7:2390697:G:C rs117474034 G C G EBF1_EBF_1 23 0 - 0 0 . chr7 2396698 2396699 chr7:2396699:G:A rs118115001 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 2403598 2403599 chr7:2403599:G:C rs957206826 G C G EBF1_EBF_1 6 1 - 4.675057231292614 2.8698651678194156 ACCCCCCAGAGGCA chr7 2405892 2405893 chr7:2405893:A:C chr7:2405893:A:C A C A EBF1_EBF_1 -7 0 + 0 0 . chr7 2447083 2447084 chr7:2447084:A:T rs2969058 A T A EBF1_EBF_1 -3 0 + 0 0 . chr7 2469146 2469147 chr7:2469147:A:T rs545632759 A T A EBF1_EBF_1 1 1 - 5.915811184085294 4.949450566334464 CTCCCCACGGGAGC chr7 2479440 2479441 chr7:2479441:A:C rs2906156 A C A EBF1_EBF_1 24 0 + 0 0 . chr7 2487809 2487810 chr7:2487810:C:G rs188203978 C G C EBF1_EBF_1 -7 0 - 0 0 . chr7 2488425 2488426 chr7:2488426:A:C rs886629 A C C EBF1_EBF_1 -8 0 + 0 0 . chr7 2490796 2490797 chr7:2490797:A:G rs2969072 A G G EBF1_EBF_1 14 0 + 0 0 . chr7 2502661 2502662 chr7:2502662:C:T rs12700017 C T C EBF1_EBF_1 23 0 + 0 0 . chr7 2504781 2504782 chr7:2504782:G:A rs148539434 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 2507408 2507409 chr7:2507409:A:C rs286552 A C A EBF1_EBF_1 30 0 + 0 0 . chr7 2507876 2507877 chr7:2507877:T:C rs286553 T C C EBF1_EBF_1 -10 0 + 0 0 . chr7 2511204 2511205 chr7:2511205:G:A rs2969025 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 2511253 2511254 chr7:2511254:G:C rs286557 G C G EBF1_EBF_1 31 0 + 0 0 . chr7 2519324 2519325 chr7:2519325:C:T rs547040427 C T C EBF1_EBF_1 -6 0 - 0 0 . chr7 2520502 2520503 chr7:2520503:C:T rs180803360 C T C EBF1_EBF_1 16 0 + 0 0 . chr7 2521142 2521143 chr7:2521143:G:A rs4632959 G A G EBF1_EBF_1 17 0 + 0 0 . chr7 2521899 2521900 chr7:2521900:T:C rs552271790 T C T EBF1_EBF_1 -11 0 + 0 0 . chr7 2521920 2521921 chr7:2521921:G:A chr7:2521921:G:A G A G EBF1_EBF_1 10 1 + 5.239383126546562 -0.07864533272040496 ACTCCCTTGAGCCC chr7 2522836 2522837 chr7:2522837:C:T rs116262746 C T C EBF1_EBF_1 22 0 - 0 0 . chr7 2531275 2531276 chr7:2531276:G:A rs150244898 G A G EBF1_EBF_1 2 1 - 5.684071359168994 7.5728947706111445 GGCCCCAAGGGCCT chr7 2559022 2559023 chr7:2559023:C:G rs376266635 C G C EBF1_EBF_1 -16 0 - 0 0 . chr7 2559023 2559024 chr7:2559024:G:A rs117666779 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 2559691 2559692 chr7:2559692:A:G rs2917724 A G G EBF1_EBF_1 23 0 + 0 0 . chr7 2567833 2567834 chr7:2567834:C:T rs2019204 C T C EBF1_EBF_1 1 1 + 4.254005421719259 5.1494388621180045 TCCCTCCAGGGACC chr7 2567852 2567853 chr7:2567853:C:A rs188416125 C A c EBF1_EBF_1 20 0 + 0 0 . chr7 2567859 2567860 chr7:2567860:T:G rs570393203 T G T EBF1_EBF_1 27 0 + 0 0 . chr7 2577325 2577326 chr7:2577326:G:A rs2969049 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 2600317 2600318 chr7:2600318:G:A rs113495475 G A A EBF1_EBF_1 13 1 + 8.011318351165112 9.12564392323455 ATCCCCTCGGGACG chr7 2602588 2602589 chr7:2602589:C:G rs116907527 C G C EBF1_EBF_1 -1 0 + 0 0 . chr7 2604692 2604693 chr7:2604693:A:G rs6961606 A G G EBF1_EBF_1 15 0 + 0 0 . chr7 2605816 2605817 chr7:2605817:G:C rs542266313 G C G EBF1_EBF_1 -5 0 - 0 0 . chr7 2608328 2608329 chr7:2608329:C:G rs62439499 C G C EBF1_EBF_1 -5 0 + 0 0 . chr7 2611928 2611929 chr7:2611929:G:A rs3735113 G A G EBF1_EBF_1 -6 0 + 0 0 . chr7 2612895 2612896 chr7:2612896:C:T rs113660655 C T C EBF1_EBF_1 -1 0 - 0 0 . chr7 2614415 2614416 chr7:2614416:C:T rs115322648 C T C EBF1_EBF_1 -9 0 + 0 0 . chr7 2614447 2614448 chr7:2614448:G:C rs956888599 G C G EBF1_EBF_1 23 0 + 0 0 . chr7 2616602 2616603 chr7:2616603:G:A rs114121231 G A G EBF1_EBF_1 31 0 - 0 0 . chr7 2623847 2623848 chr7:2623848:C:T rs534104564 C T C EBF1_EBF_1 7 1 - 5.134693071598807 6.447151476887632 ACACCCTGGAGACC chr7 2632383 2632384 chr7:2632384:C:T rs575059032 C T . EBF1_EBF_1 28 0 + 0 0 . chr7 2632931 2632932 chr7:2632932:A:G rs986509221 A G . EBF1_EBF_1 13 1 - 5.875952612025031 5.031458497439513 CTCCCCCGGGGGCT chr7 2633062 2633063 chr7:2633063:C:G rs1293380602 C G . EBF1_EBF_1 -2 0 - 0 0 . chr7 2636190 2636191 chr7:2636191:G:T rs57497998 G T G EBF1_EBF_1 25 0 - 0 0 . chr7 2638512 2638513 chr7:2638513:G:A rs34950650 G A G EBF1_EBF_1 28 0 - 0 0 . chr7 2638534 2638535 chr7:2638535:G:T rs10281932 G T G EBF1_EBF_1 6 1 - 3.928271413192846 4.289873780994123 CACCCCCCGGGGCT chr7 2638539 2638540 chr7:2638540:T:C rs10950806 T C T EBF1_EBF_1 1 1 - 3.928271413192846 4.188970245114147 CACCCCCCGGGGCT chr7 2642043 2642044 chr7:2642044:C:G rs12234717 C G C EBF1_EBF_1 9 1 - 6.882341324168343 1.806652177822879 AGCCCCTGGGGGCC chr7 2658246 2658247 chr7:2658247:G:T rs2302342 G T G EBF1_EBF_1 31 0 + 0 0 . chr7 2677983 2677984 chr7:2677984:C:G rs111595767 C G C EBF1_EBF_1 2 1 + 5.620057608242989 0.7605553294846716 GTCCCCAGGAGACA chr7 2689383 2689384 chr7:2689384:G:C rs114470540 G C G EBF1_EBF_1 -7 0 - 0 0 . chr7 2702462 2702463 chr7:2702463:T:C rs798470 T C C EBF1_EBF_1 -13 0 - 0 0 . chr7 2709123 2709124 chr7:2709124:G:C rs59438885 G C G EBF1_EBF_1 -11 0 - 0 0 . chr7 2709221 2709222 chr7:2709222:T:C rs143291176 T C T EBF1_EBF_1 7 1 - 5.773306240592605 4.460847835303781 CATCCCCAGGGCAC chr7 2709473 2709474 chr7:2709474:C:T rs12530852 C T C EBF1_EBF_1 13 1 + 4.0916918327834715 4.9361859473689895 TTCCCCTGGGGCCC chr7 2709478 2709479 chr7:2709479:A:T rs12533534 A T T EBF1_EBF_1 19 0 + 0 0 . chr7 2709491 2709492 chr7:2709492:G:A rs529863958 G A G EBF1_EBF_1 32 0 + 0 0 . chr7 2710155 2710156 chr7:2710156:G:T rs10257934 G T T EBF1_EBF_1 23 0 + 0 0 . chr7 2713342 2713343 chr7:2713343:G:A chr7:2713343:G:A G A G EBF1_EBF_1 22 0 + 0 0 . chr7 2734923 2734924 chr7:2734924:C:T rs112704881 C T C EBF1_EBF_1 13 1 + 4.498105859260986 5.342599973846504 TACCCCAAGGGCCC chr7 2735179 2735180 chr7:2735180:C:A rs566314258 C A C EBF1_EBF_1 1 1 + 6.182271894021325 6.11134471666924 CCTCCCCTGGGCCT chr7 2757805 2757806 chr7:2757806:C:G rs115187003 C G C EBF1_EBF_1 32 0 + 0 0 . chr7 2762943 2762944 chr7:2762944:G:A rs116761987 G A G EBF1_EBF_1 8 1 + 7.311099053907589 3.0848089650213266 ACTCCCGTGGGGCC chr7 2762944 2762945 chr7:2762945:G:A chr7:2762945:G:A G A G EBF1_EBF_1 9 1 + 7.311099053907589 4.656159788459985 ACTCCCGTGGGGCC chr7 2821960 2821961 chr7:2821961:C:T rs74902059 C T C EBF1_EBF_1 9 1 - 7.431805978270436 4.776866712822832 TGTCCCTAGGGCCT chr7 2823256 2823257 chr7:2823257:C:T rs2266925 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 2826987 2826988 chr7:2826988:T:C rs145187198 T C T EBF1_EBF_1 -11 0 + 0 0 . chr7 2831253 2831254 chr7:2831254:C:T rs113349598 C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 2863661 2863662 chr7:2863662:G:A rs557500629 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 2873896 2873897 chr7:2873897:A:G rs73035210 A G A EBF1_EBF_1 23 0 - 0 0 . chr7 2903992 2903993 chr7:2903993:C:T rs1627089 C T T EBF1_EBF_1 8 1 - 4.107991317264404 -0.11829877162185687 GCACCCCGGGGACG chr7 2913251 2913252 chr7:2913252:C:T rs1626708 C T C EBF1_EBF_1 6 1 - 5.298613450677892 7.465407881952368 AGCCCCGCGGGAGC chr7 2929236 2929237 chr7:2929237:T:C rs11773360 T C T EBF1_EBF_1 26 0 + 0 0 . chr7 2942823 2942824 chr7:2942824:G:C rs10267270 G C C EBF1_EBF_1 -13 0 + 0 0 . chr7 2948786 2948787 chr7:2948787:C:T rs117925077 C T C EBF1_EBF_1 9 1 - 7.4590178871122506 4.804078621664647 CTACCCAAGGGAAA chr7 2955612 2955613 chr7:2955613:C:G chr7:2955613:C:G C G C EBF1_EBF_1 -11 0 + 0 0 . chr7 2955625 2955626 chr7:2955626:T:G chr7:2955626:T:G T G T EBF1_EBF_1 2 1 + 7.461869352573173 0.7135436623727065 CATCCCTAGAGAAA chr7 2971091 2971092 chr7:2971092:C:T rs73037555 C T T EBF1_EBF_1 0 1 - 6.787117423588551 8.679401293153385 GTTCCCTTGGTAAA chr7 2971096 2971097 chr7:2971097:C:T rs138741555 C T C EBF1_EBF_1 -5 0 - 0 0 . chr7 2980450 2980451 chr7:2980451:A:C rs10950967 A C A EBF1_EBF_1 11 1 + 8.330419470779395 3.9144577729270056 GCCCCCCAGGGACA chr7 2983974 2983975 chr7:2983975:G:A rs148513872 G A G EBF1_EBF_1 25 0 + 0 0 . chr7 3009270 3009271 chr7:3009271:G:A rs10250046 G A A EBF1_EBF_1 31 0 + 0 0 . chr7 3015464 3015465 chr7:3015465:A:T rs111246112 A T A EBF1_EBF_1 22 0 - 0 0 . chr7 3015472 3015473 chr7:3015473:C:T rs12530639 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 3028072 3028073 chr7:3028073:G:T rs78734946 G T A EBF1_EBF_1 26 0 + 0 0 . chr7 3038352 3038353 chr7:3038353:A:C rs6461802 A C A EBF1_EBF_1 26 0 - 0 0 . chr7 3038354 3038355 chr7:3038355:G:T rs6949522 G T T EBF1_EBF_1 24 0 - 0 0 . chr7 3068664 3068665 chr7:3068665:G:C rs1053781785 G C G EBF1_EBF_1 -11 0 - 0 0 . chr7 3071298 3071299 chr7:3071299:A:G rs2396960 A G G EBF1_EBF_1 22 0 - 0 0 . chr7 3094433 3094434 chr7:3094434:C:T rs76010172 C T C EBF1_EBF_1 -5 0 - 0 0 . chr7 3094509 3094510 chr7:3094510:G:A rs73050273 G A G EBF1_EBF_1 8 1 + 7.103180317527434 2.876890228641173 TACCCCCCGGGACT chr7 3105275 3105276 chr7:3105276:G:C rs78757249 G C G EBF1_EBF_1 6 1 - 5.621595184351127 3.8164031208779283 AGACCCCTGGGGCC chr7 3105281 3105282 chr7:3105282:T:C rs11773451 T C T EBF1_EBF_1 0 1 - 5.621595184351127 3.7293113147862913 AGACCCCTGGGGCC chr7 3118281 3118282 chr7:3118282:G:A rs10499319 G A g EBF1_EBF_1 -2 0 - 0 0 . chr7 3128635 3128636 chr7:3128636:A:T rs10270815 A T a EBF1_EBF_1 17 0 - 0 0 . chr7 3135208 3135209 chr7:3135209:A:G rs75671888 A G A EBF1_EBF_1 21 0 - 0 0 . chr7 3141022 3141023 chr7:3141023:C:T rs6964682 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 3144321 3144322 chr7:3144322:C:G rs56738323 C G C EBF1_EBF_1 -1 0 + 0 0 . chr7 3163619 3163620 chr7:3163620:G:A rs6949750 G A g EBF1_EBF_1 -6 0 - 0 0 . chr7 3164543 3164544 chr7:3164544:T:C rs10755848 T C c EBF1_EBF_1 17 0 + 0 0 . chr7 3180782 3180783 chr7:3180783:G:A rs17799419 G A a EBF1_EBF_1 -4 0 - 0 0 . chr7 3188727 3188728 chr7:3188728:G:A rs7808285 G A g EBF1_EBF_1 3 1 - 5.930771147415821 -0.4746275941374975 CACCCCAGGGGAGC chr7 3197098 3197099 chr7:3197099:G:C rs10278298 G C G EBF1_EBF_1 -4 0 - 0 0 . chr7 3232423 3232424 chr7:3232424:G:A rs11974687 G A G EBF1_EBF_1 9 1 + 10.68984435119085 8.034905085743247 TGTCCCAAGGGACA chr7 3232435 3232436 chr7:3232436:G:A rs73041592 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 3239126 3239127 chr7:3239127:A:G rs940778 A G G EBF1_EBF_1 33 0 + 0 0 . chr7 3240942 3240943 chr7:3240943:C:T rs74850775 C T C EBF1_EBF_1 5 1 - 4.249559166062214 4.249559166062214 AGCCCGCTGGGACT chr7 3243999 3244000 chr7:3244000:A:G rs74874536 A G A EBF1_EBF_1 -6 0 - 0 0 . chr7 3250886 3250887 chr7:3250887:A:T rs111810592 A T A EBF1_EBF_1 -12 0 + 0 0 . chr7 3254973 3254974 chr7:3254974:G:A rs563520344 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 3263066 3263067 chr7:3263067:T:C rs6971784 T C C EBF1_EBF_1 12 1 - 5.273971491214221 3.8551541491147434 AGCCCCAAGGGCAG chr7 3268058 3268059 chr7:3268059:C:A rs550661575 C A C EBF1_EBF_1 18 0 - 0 0 . chr7 3281111 3281112 chr7:3281112:A:C rs7777280 A C C EBF1_EBF_1 15 0 + 0 0 . chr7 3281115 3281116 chr7:3281116:C:T rs7794698 C T T EBF1_EBF_1 19 0 + 0 0 . chr7 3300242 3300243 chr7:3300243:A:C rs62437660 A C C EBF1_EBF_1 12 1 - 6.14097717125454 5.778607798127238 ACTCCCCAGGAATC chr7 3300989 3300990 chr7:3300990:G:A rs539700909 G A g EBF1_EBF_1 12 1 - 9.005451048927128 7.97918447837628 GCCCCCTAGGGACC chr7 3302319 3302320 chr7:3302320:C:G rs140671408 C G C EBF1_EBF_1 -6 0 + 0 0 . chr7 3433088 3433089 chr7:3433089:C:T rs17133347 C T C EBF1_EBF_1 3 1 + 7.604576879341312 1.199178137787995 TATCCCCAGAGATT chr7 3558084 3558085 chr7:3558085:A:T rs11769660 A T A EBF1_EBF_1 23 0 + 0 0 . chr7 3673278 3673279 chr7:3673279:C:T rs58617422 C T C EBF1_EBF_1 0 1 - 8.40772059181376 10.300004461378592 GCCCCCATGGGATT chr7 3754600 3754601 chr7:3754601:C:G rs6957538 C G C EBF1_EBF_1 24 0 - 0 0 . chr7 3754614 3754615 chr7:3754615:C:T rs73304277 C T C EBF1_EBF_1 10 1 - 5.229853770145504 -0.08817468912146209 ACTTCCCTGGGACA chr7 3791630 3791631 chr7:3791631:G:A rs76205259 G A G EBF1_EBF_1 -8 0 - 0 0 . chr7 3862293 3862294 chr7:3862294:C:A rs78178460 C A A EBF1_EBF_1 -3 0 + 0 0 . chr7 3864735 3864736 chr7:3864736:G:A rs77058641 G A G EBF1_EBF_1 4 1 - 6.927305096640792 2.5579181516958696 ATTCCCTGGGGCTC chr7 3880189 3880190 chr7:3880190:A:G rs2007554 A G a EBF1_EBF_1 28 0 + 0 0 . chr7 3880191 3880192 chr7:3880192:C:T rs116090201 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 3892981 3892982 chr7:3892982:C:T rs575925097 C T C EBF1_EBF_1 13 1 + 4.626945011235337 5.471439125820855 CTTCCCAGGAGGCC chr7 3919393 3919394 chr7:3919394:T:G rs12701284 T G T EBF1_EBF_1 24 0 + 0 0 . chr7 3960389 3960390 chr7:3960390:A:G rs11974050 A G A EBF1_EBF_1 -2 0 + 0 0 . chr7 3974886 3974887 chr7:3974887:C:G rs554843387 C G C EBF1_EBF_1 3 1 + 5.477674733520669 -0.350089714594548 ATACCCTTGAGAGC chr7 3995432 3995433 chr7:3995433:T:G rs11983307 T G T EBF1_EBF_1 -16 0 - 0 0 . chr7 3995482 3995483 chr7:3995483:C:T rs57111316 C T C EBF1_EBF_1 25 0 + 0 0 . chr7 4042638 4042639 chr7:4042639:T:C rs61489118 T C T EBF1_EBF_1 13 1 - 6.4046904177698005 5.290364845700362 AGCCTCAAGGGACA chr7 4070227 4070228 chr7:4070228:C:T rs7456402 C T C EBF1_EBF_1 3 1 + 5.33890356297862 -1.0664951785746952 ACCCGCCTGGGACT chr7 4077032 4077033 chr7:4077033:G:A rs11978101 G A G EBF1_EBF_1 -15 0 - 0 0 . chr7 4083423 4083424 chr7:4083424:C:A rs117929229 C A C EBF1_EBF_1 31 0 + 0 0 . chr7 4089647 4089648 chr7:4089648:T:C rs12701396 T C C EBF1_EBF_1 -6 0 + 0 0 . chr7 4092371 4092372 chr7:4092372:A:T rs12701400 A T A EBF1_EBF_1 -1 0 + 0 0 . chr7 4096821 4096822 chr7:4096822:C:T rs73304729 C T C EBF1_EBF_1 -13 0 + 0 0 . chr7 4102031 4102032 chr7:4102032:G:A rs77815745 G A G EBF1_EBF_1 -19 0 + 0 0 . chr7 4109592 4109593 chr7:4109593:A:G rs112293073 A G A EBF1_EBF_1 -10 0 - 0 0 . chr7 4129513 4129514 chr7:4129514:A:G rs4355686 A G C EBF1_EBF_1 32 0 - 0 0 . chr7 4130843 4130844 chr7:4130844:C:T rs907653002 C T c EBF1_EBF_1 5 1 + 4.50810728279896 -0.8136799327417104 CCACCCTGGGGATC chr7 4143750 4143751 chr7:4143751:A:T rs1812037 A T A EBF1_EBF_1 24 0 - 0 0 . chr7 4169659 4169660 chr7:4169660:C:T chr7:4169660:C:T C T C EBF1_EBF_1 2 1 + 5.499650309904779 7.388473721346927 TTCCCCAAGGGGCG chr7 4174408 4174409 chr7:4174409:C:T rs4723445 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 4182228 4182229 chr7:4182229:G:C rs661850 G C C EBF1_EBF_1 30 0 + 0 0 . chr7 4195898 4195899 chr7:4195899:C:A rs688822 C A C EBF1_EBF_1 -16 0 - 0 0 . chr7 4205811 4205812 chr7:4205812:G:A rs112952638 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 4208770 4208771 chr7:4208771:A:G rs6953096 A G G EBF1_EBF_1 -14 0 + 0 0 . chr7 4216967 4216968 chr7:4216968:A:G rs113683036 A G A EBF1_EBF_1 33 0 + 0 0 . chr7 4225287 4225288 chr7:4225288:T:C rs13240660 T C T EBF1_EBF_1 15 0 + 0 0 . chr7 4240618 4240619 chr7:4240619:G:A rs11770194 G A G EBF1_EBF_1 -14 0 - 0 0 . chr7 4240892 4240893 chr7:4240893:T:C rs7800508 T C C EBF1_EBF_1 -8 0 - 0 0 . chr7 4244111 4244112 chr7:4244112:C:A rs77562169 C A C EBF1_EBF_1 -8 0 - 0 0 . chr7 4256748 4256749 chr7:4256749:G:C rs117300118 G C C EBF1_EBF_1 23 0 - 0 0 . chr7 4263354 4263355 chr7:4263355:G:C rs4720208 G C G EBF1_EBF_1 19 0 + 0 0 . chr7 4268476 4268477 chr7:4268477:T:C rs1562538 T C T EBF1_EBF_1 28 0 - 0 0 . chr7 4269890 4269891 chr7:4269891:A:G rs6969651 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 4269899 4269900 chr7:4269900:G:A rs6949021 G A A EBF1_EBF_1 15 0 - 0 0 . chr7 4269921 4269922 chr7:4269922:A:G rs6969770 A G A EBF1_EBF_1 -7 0 - 0 0 . chr7 4273381 4273382 chr7:4273382:C:T rs73033504 C T C EBF1_EBF_1 2 1 + 6.752575157758281 8.64139856920043 AGCCCCATGGGGTC chr7 4273395 4273396 chr7:4273396:T:C rs73033505 T C C EBF1_EBF_1 16 0 + 0 0 . chr7 4278775 4278776 chr7:4278776:A:G rs10247325 A G G EBF1_EBF_1 -17 0 - 0 0 . chr7 4288516 4288517 chr7:4288517:G:C rs765938 G C G EBF1_EBF_1 -13 0 - 0 0 . chr7 4356273 4356274 chr7:4356274:T:C rs76771305 T C T EBF1_EBF_1 20 0 + 0 0 . chr7 4358395 4358396 chr7:4358396:C:T rs4720253 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 4367305 4367306 chr7:4367306:G:A rs7802436 G A G EBF1_EBF_1 -10 0 + 0 0 . chr7 4373958 4373959 chr7:4373959:T:C rs113429994 T C T EBF1_EBF_1 7 1 + 5.295193745092122 3.4776889988094974 AGTCCCATTGGACA chr7 4383160 4383161 chr7:4383161:C:G rs314641 C G C EBF1_EBF_1 23 0 - 0 0 . chr7 4383168 4383169 chr7:4383169:G:A rs73034881 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 4436123 4436124 chr7:4436124:G:T rs314585 G T T EBF1_EBF_1 4 1 - 4.896925493442399 -0.9276341300110691 ACACCCTGGGGGCC chr7 4437186 4437187 chr7:4437187:A:G rs314584 A G G EBF1_EBF_1 -18 0 - 0 0 . chr7 4449916 4449917 chr7:4449917:G:A rs79546167 G A g EBF1_EBF_1 30 0 - 0 0 . chr7 4561975 4561976 chr7:4561976:T:A rs10240592 T A A EBF1_EBF_1 23 0 + 0 0 . chr7 4574723 4574724 chr7:4574724:G:A rs11763962 G A A EBF1_EBF_1 21 0 + 0 0 . chr7 4608083 4608084 chr7:4608084:G:C rs12112817 G C G EBF1_EBF_1 1 1 + 5.308603426718218 5.118831772149001 AGACCCAAGAGAGC chr7 4613729 4613730 chr7:4613730:C:G rs180927972 C G C EBF1_EBF_1 14 0 - 0 0 . chr7 4668212 4668213 chr7:4668213:C:G rs182925616 C G C EBF1_EBF_1 27 0 - 0 0 . chr7 4675053 4675054 chr7:4675054:G:A rs28378766 G A G EBF1_EBF_1 11 1 + 5.193233883568311 8.085940727172321 AGTCCCCTGAGGAG chr7 4683974 4683975 chr7:4683975:C:G rs565058931 C G c EBF1_EBF_1 7 1 + 6.670964957248528 7.652285538329886 ATTCCCCCGGGCCC chr7 4683975 4683976 chr7:4683976:G:A rs73048412 G A G EBF1_EBF_1 8 1 + 6.670964957248528 2.4446748683622674 ATTCCCCCGGGCCC chr7 4731228 4731229 chr7:4731229:C:T chr7:4731229:C:T C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 4731262 4731263 chr7:4731263:C:T rs115932444 C T C EBF1_EBF_1 33 0 + 0 0 . chr7 4732014 4732015 chr7:4732015:T:C rs4724036 T C C EBF1_EBF_1 15 0 + 0 0 . chr7 4749254 4749255 chr7:4749255:A:G rs7801647 A G G EBF1_EBF_1 27 0 + 0 0 . chr7 4766808 4766809 chr7:4766809:T:A rs76048353 T A T EBF1_EBF_1 -9 0 + 0 0 . chr7 4786707 4786708 chr7:4786708:T:C rs12701943 T C C EBF1_EBF_1 -14 0 + 0 0 . chr7 4799245 4799246 chr7:4799246:T:C rs112043896 T C T EBF1_EBF_1 13 1 - 5.442830464794412 4.328504892724973 TCACCCTGGGGACA chr7 4801556 4801557 chr7:4801557:T:C rs10261754 T C C EBF1_EBF_1 -19 0 + 0 0 . chr7 4801598 4801599 chr7:4801599:G:C rs540301436 G C G EBF1_EBF_1 23 0 + 0 0 . chr7 4801982 4801983 chr7:4801983:C:T rs117943885 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 4802023 4802024 chr7:4802024:T:C rs58207166 T C C EBF1_EBF_1 33 0 + 0 0 . chr7 4802513 4802514 chr7:4802514:A:C rs117662821 A C - EBF1_EBF_1 28 0 + 0 0 . chr7 4805959 4805960 chr7:4805960:C:G rs80014017 C G C EBF1_EBF_1 15 0 + 0 0 . chr7 4821325 4821326 chr7:4821326:T:G rs28607652 T G T EBF1_EBF_1 7 1 + 5.47912905500705 4.642944889805782 CTTCCCATGAGAGG chr7 4835666 4835667 chr7:4835667:C:T rs570909268 C T C EBF1_EBF_1 9 1 - 5.86650200737609 3.211562741928485 CTTCCCTCGGGGCA chr7 4836547 4836548 chr7:4836548:G:A rs202086015 G A A EBF1_EBF_1 13 1 + 5.587849510821145 6.702175082890584 ATCCCCCAGGGCCG chr7 4837380 4837381 chr7:4837381:C:T rs3763381 C T T EBF1_EBF_1 -16 0 - 0 0 . chr7 4838451 4838452 chr7:4838452:G:C rs140605271 G C G EBF1_EBF_1 7 1 + 5.415842205133712 4.434521624052356 GCTCCCAGAGGACC chr7 4838475 4838476 chr7:4838476:C:T rs145727437 C T C EBF1_EBF_1 31 0 - 0 0 . chr7 4841273 4841274 chr7:4841274:C:T rs78288636 C T C EBF1_EBF_1 -19 0 - 0 0 . chr7 4856213 4856214 chr7:4856214:C:T rs117926623 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 4874573 4874574 chr7:4874574:C:T rs111962857 C T C EBF1_EBF_1 15 0 - 0 0 . chr7 4879215 4879216 chr7:4879216:C:T rs117400284 C T C EBF1_EBF_1 -2 0 - 0 0 . chr7 4932123 4932124 chr7:4932124:G:A rs146076042 G A g EBF1_EBF_1 5 1 - 4.109433960475585 -1.2123532550650842 ATCACCCTGGGACC chr7 4958950 4958951 chr7:4958951:C:T rs377552330 C T c EBF1_EBF_1 12 1 + 3.5988964511722425 2.572629880621393 CCTCCCCGCGGACC chr7 4974432 4974433 chr7:4974433:C:G rs866931080 C G c EBF1_EBF_1 1 1 + 3.8215591620149407 4.011330816584159 CCTCCCTCGGGCCC chr7 4974434 4974435 chr7:4974435:C:T rs111491154 C T c EBF1_EBF_1 3 1 + 3.8215591620149407 -2.583839579538376 CCTCCCTCGGGCCC chr7 5030691 5030692 chr7:5030692:C:T rs113183578 C T c EBF1_EBF_1 6 1 + 5.619979840166386 5.921265969028142 TCTCCCCTGGGCCC chr7 5034483 5034484 chr7:5034484:G:A rs10281718 G A g EBF1_EBF_1 17 0 - 0 0 . chr7 5089156 5089157 chr7:5089157:T:C rs62441964 T C T EBF1_EBF_1 13 1 - 8.05044182591316 6.93611625384372 ATCCCCTTGGGGCA chr7 5117148 5117149 chr7:5117149:A:G rs56035622 A G A EBF1_EBF_1 6 1 + 5.281745381434148 3.1149509501596726 AGTCCAATGGGACA chr7 5117479 5117480 chr7:5117480:C:A rs4078340 C A C EBF1_EBF_1 -9 0 - 0 0 . chr7 5131558 5131559 chr7:5131559:G:C rs34907061 G C g EBF1_EBF_1 16 0 + 0 0 . chr7 5131562 5131563 chr7:5131563:C:T rs34835461 C T C EBF1_EBF_1 20 0 + 0 0 . chr7 5144701 5144702 chr7:5144702:G:A rs529303681 G A G EBF1_EBF_1 30 0 - 0 0 . chr7 5153723 5153724 chr7:5153724:G:C rs13226743 G C - EBF1_EBF_1 23 0 + 0 0 . chr7 5195030 5195031 chr7:5195031:C:T rs11771301 C T C EBF1_EBF_1 4 1 + 7.313904398254565 2.9445174533096417 CTTCCCTAGAGAAG chr7 5222447 5222448 chr7:5222448:C:T chr7:5222448:C:T C T C EBF1_EBF_1 19 0 - 0 0 . chr7 5222753 5222754 chr7:5222754:G:A rs4720537 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 5227000 5227001 chr7:5227001:G:T rs80206650 G T G EBF1_EBF_1 24 0 - 0 0 . chr7 5238520 5238521 chr7:5238521:T:A rs57326623 T A T EBF1_EBF_1 -9 0 - 0 0 . chr7 5241367 5241368 chr7:5241368:T:C rs34312922 T C C EBF1_EBF_1 -6 0 - 0 0 . chr7 5242953 5242954 chr7:5242954:G:C rs78535022 G C G EBF1_EBF_1 33 0 - 0 0 . chr7 5248444 5248445 chr7:5248445:C:G rs78608000 C G C EBF1_EBF_1 -20 0 + 0 0 . chr7 5248445 5248446 chr7:5248446:T:G rs75414117 T G T EBF1_EBF_1 -19 0 + 0 0 . chr7 5248487 5248488 chr7:5248488:G:A rs142960480 G A G EBF1_EBF_1 23 0 + 0 0 . chr7 5271128 5271129 chr7:5271129:G:A rs116174166 G A G EBF1_EBF_1 -5 0 + 0 0 . chr7 5275236 5275237 chr7:5275237:G:C rs567586657 G C G EBF1_EBF_1 -18 0 + 0 0 . chr7 5283657 5283658 chr7:5283658:A:G rs188350774 A G A EBF1_EBF_1 -8 0 - 0 0 . chr7 5284625 5284626 chr7:5284626:C:T rs10269209 C T C EBF1_EBF_1 3 1 + 4.309677239816891 -2.095721501736426 CTTCCCTGGGGCGC chr7 5289551 5289552 chr7:5289552:C:G rs62441133 C G C EBF1_EBF_1 31 0 + 0 0 . chr7 5294577 5294578 chr7:5294578:G:A rs6965716 G A A EBF1_EBF_1 0 1 - 5.136923964701742 5.419126025432318 CTTCCCATGGGCAG chr7 5294689 5294690 chr7:5294690:A:G rs6948544 A G A EBF1_EBF_1 7 1 + 4.927107252837165 3.6146488475483394 GACCCCAAGGGTAC chr7 5294950 5294951 chr7:5294951:C:T rs59119551 C T C EBF1_EBF_1 2 1 + 6.407283419307815 8.296106830749963 ACCCCCCGGGGAGG chr7 5295004 5295005 chr7:5295005:A:T rs11760864 A T a EBF1_EBF_1 11 1 + 4.951311151138533 0.8533968950398081 TCTTCCCAGGGACT chr7 5298176 5298177 chr7:5298177:G:A rs555422821 G A g EBF1_EBF_1 -14 0 + 0 0 . chr7 5298213 5298214 chr7:5298214:C:T rs3902962 C T c EBF1_EBF_1 23 0 + 0 0 . chr7 5299671 5299672 chr7:5299672:C:T rs60849802 C T C EBF1_EBF_1 28 0 - 0 0 . chr7 5323531 5323532 chr7:5323532:T:C rs10243838 T C T EBF1_EBF_1 6 1 + 5.698313183495043 5.397027054633285 CTTCCCTGGGGCCC chr7 5334732 5334733 chr7:5334733:G:C rs4720595 G C G EBF1_EBF_1 8 1 + 4.9421865306657295 -0.37647233024895704 TCCCCCCAGAGATC chr7 5352427 5352428 chr7:5352428:G:A rs75499355 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 5366270 5366271 chr7:5366271:G:A rs141739477 G A A EBF1_EBF_1 0 1 - 7.016588819160081 7.2987908798906584 CCCCCCAGGGGACA chr7 5371724 5371725 chr7:5371725:T:C rs6964182 T C C EBF1_EBF_1 -5 0 + 0 0 . chr7 5382454 5382455 chr7:5382455:T:G rs1450584580 T G T EBF1_EBF_1 28 0 - 0 0 . chr7 5382495 5382496 chr7:5382496:T:C rs992288979 T C T EBF1_EBF_1 -13 0 - 0 0 . chr7 5391989 5391990 chr7:5391990:C:G rs13243906 C G C EBF1_EBF_1 -18 0 - 0 0 . chr7 5396908 5396909 chr7:5396909:C:T rs1269303876 C T C EBF1_EBF_1 -2 0 + 0 0 . chr7 5407812 5407813 chr7:5407813:C:G rs10241758 C G G EBF1_EBF_1 28 0 + 0 0 . chr7 5418436 5418437 chr7:5418437:A:G rs188168147 A G A EBF1_EBF_1 -20 0 + 0 0 . chr7 5418509 5418510 chr7:5418510:G:T rs968240835 G T G EBF1_EBF_1 10 1 + 6.173010231582735 1.9902580453554701 TCTCTCCAGGGAAC chr7 5422985 5422986 chr7:5422986:T:C rs143926456 T C T EBF1_EBF_1 7 1 + 4.6181722955589235 2.8006675492762994 AGCCCCCTGGAACA chr7 5427805 5427806 chr7:5427806:T:A rs561423921 T A T EBF1_EBF_1 12 1 + 6.354225786495075 7.410673755467251 CCCCCCGAGGGATT chr7 5452332 5452333 chr7:5452333:G:A rs6952703 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 5456227 5456228 chr7:5456228:T:C rs6970215 T C C EBF1_EBF_1 21 0 - 0 0 . chr7 5470685 5470686 chr7:5470686:C:T rs12531685 C T C EBF1_EBF_1 21 0 - 0 0 . chr7 5470714 5470715 chr7:5470715:C:A rs12532243 C A - EBF1_EBF_1 -8 0 - 0 0 . chr7 5494966 5494967 chr7:5494967:C:T rs4320462 C T C EBF1_EBF_1 6 1 - 6.632338314244729 8.799132745519204 ACTCCCGTGGGCCT chr7 5495292 5495293 chr7:5495293:G:T rs7808849 G T G EBF1_EBF_1 23 0 - 0 0 . chr7 5514769 5514770 chr7:5514770:T:C rs57641561 T C t EBF1_EBF_1 6 1 + 4.7994269338780216 4.498140805016265 GATCCCTTGAGGCC chr7 5523287 5523288 chr7:5523288:G:A rs916447865 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 5526914 5526915 chr7:5526915:T:C rs13447414 T C N EBF1_EBF_1 -3 0 - 0 0 . chr7 5528210 5528211 chr7:5528211:T:G rs191425263 T G - EBF1_EBF_1 -15 0 + 0 0 . chr7 5533169 5533170 chr7:5533170:C:G rs189652651 C G C EBF1_EBF_1 4 1 + 6.849004171884319 1.2232390707347751 AGCCCCCGGAGAAC chr7 5533180 5533181 chr7:5533181:C:T rs1361421120 C T C EBF1_EBF_1 15 0 + 0 0 . chr7 5542063 5542064 chr7:5542064:G:A rs6963206 G A g EBF1_EBF_1 26 0 - 0 0 . chr7 5549333 5549334 chr7:5549334:C:G rs569809023 C G C EBF1_EBF_1 8 1 - 5.377042155311747 0.05838329439706125 ACCCTCCTGGGACA chr7 5549352 5549353 chr7:5549353:C:A chr7:5549353:C:A C A C EBF1_EBF_1 -11 0 - 0 0 . chr7 5549593 5549594 chr7:5549594:C:G rs117503301 C G C EBF1_EBF_1 -15 0 - 0 0 . chr7 5553588 5553589 chr7:5553589:A:G rs375504295 A G A EBF1_EBF_1 -20 0 - 0 0 . chr7 5556259 5556260 chr7:5556260:C:T rs921508690 C T C EBF1_EBF_1 2 1 + 6.242646681923823 8.131470093365975 ACCCCCTAGGGGGA chr7 5563599 5563600 chr7:5563600:C:G rs183442411 C G C EBF1_EBF_1 -7 0 - 0 0 . chr7 5570094 5570095 chr7:5570095:C:T rs764641800 C T C EBF1_EBF_1 7 1 + 6.8111383237819245 8.628643070064548 GCTCCCCCGGGACG chr7 5570107 5570108 chr7:5570108:T:C rs987241580 T C T EBF1_EBF_1 20 0 + 0 0 . chr7 5595489 5595490 chr7:5595490:C:T rs118141028 C T C EBF1_EBF_1 8 1 - 7.336442861239116 3.1101527723528557 GTCCCCAAGAGAAC chr7 5595503 5595504 chr7:5595504:G:A rs116351730 G A G EBF1_EBF_1 -6 0 - 0 0 . chr7 5595772 5595773 chr7:5595773:C:G rs55718102 C G C EBF1_EBF_1 -20 0 + 0 0 . chr7 5595845 5595846 chr7:5595846:G:A rs147411377 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 5607682 5607683 chr7:5607683:T:A rs10254311 T A T EBF1_EBF_1 18 0 - 0 0 . chr7 5607717 5607718 chr7:5607718:G:T rs1725186 G T G EBF1_EBF_1 -17 0 - 0 0 . chr7 5676461 5676462 chr7:5676462:T:C rs77374040 T C t EBF1_EBF_1 13 1 - 6.038987176274827 4.924661604205388 GTTCCCCAGCGACA chr7 5676464 5676465 chr7:5676465:C:T rs80061249 C T c EBF1_EBF_1 10 1 - 6.038987176274827 0.7209587170078615 GTTCCCCAGCGACA chr7 5691914 5691915 chr7:5691915:A:C rs575569793 A C A EBF1_EBF_1 -6 0 + 0 0 . chr7 5699610 5699611 chr7:5699611:G:A rs149965776 G A G EBF1_EBF_1 29 0 - 0 0 . chr7 5706713 5706714 chr7:5706714:A:T rs189642824 A T A EBF1_EBF_1 16 0 + 0 0 . chr7 5748313 5748314 chr7:5748314:C:T rs373311978 C T C EBF1_EBF_1 3 1 + 5.983089947241534 -0.4223087943117827 CTACCCCAGGGAAG chr7 5780777 5780778 chr7:5780778:G:A rs2008418 G A . EBF1_EBF_1 24 0 - 0 0 . chr7 5780814 5780815 chr7:5780815:T:G rs2008425 T G . EBF1_EBF_1 -13 0 - 0 0 . chr7 5781206 5781207 chr7:5781207:G:A rs28505204 G A . EBF1_EBF_1 7 1 - 3.5202730331531837 5.337777779435807 CCTCCCCCGGGCCC chr7 5781248 5781249 chr7:5781249:G:A chr7:5781249:G:A G A . EBF1_EBF_1 -2 0 + 0 0 . chr7 5781261 5781262 chr7:5781262:G:C rs577106987 G C . EBF1_EBF_1 11 1 + 4.292677360965487 2.769422506717108 AGACCCGAGGGGCC chr7 5783698 5783699 chr7:5783699:C:T rs74454750 C T . EBF1_EBF_1 -11 0 - 0 0 . chr7 5792980 5792981 chr7:5792981:G:A rs79368065 G A . EBF1_EBF_1 9 1 + 6.602085155868337 3.947145890420733 ATTGCCAGGGGACC chr7 5793001 5793002 chr7:5793002:G:A rs75097267 G A . EBF1_EBF_1 30 0 + 0 0 . chr7 5823149 5823150 chr7:5823150:C:T rs113472831 C T . EBF1_EBF_1 8 1 - 10.081117860917868 5.854827772031607 CTCCCCCAGGGACT chr7 5823657 5823658 chr7:5823658:C:G rs150156855 C G . EBF1_EBF_1 10 1 - 6.269739230078337 -0.621063157251138 TCCCCCTAGAGACC chr7 5831694 5831695 chr7:5831695:T:C rs117834553 T C . EBF1_EBF_1 26 0 + 0 0 . chr7 5834452 5834453 chr7:5834453:G:A rs116853471 G A . EBF1_EBF_1 20 0 - 0 0 . chr7 5839150 5839151 chr7:5839151:T:C rs308099 T C . EBF1_EBF_1 -18 0 + 0 0 . chr7 5839152 5839153 chr7:5839153:T:C rs6965477 T C . EBF1_EBF_1 -16 0 + 0 0 . chr7 5877382 5877383 chr7:5877383:G:A rs7799633 G A . EBF1_EBF_1 -17 0 - 0 0 . chr7 5963310 5963311 chr7:5963311:C:T rs62454737 C T C EBF1_EBF_1 -10 0 + 0 0 . chr7 6015845 6015846 chr7:6015846:C:A chr7:6015846:C:A C A c EBF1_EBF_1 -9 0 - 0 0 . chr7 6026209 6026210 chr7:6026210:A:G rs183823411 A G A EBF1_EBF_1 -5 0 + 0 0 . chr7 6043274 6043275 chr7:6043275:C:T rs10224611 C T C EBF1_EBF_1 -20 0 - 0 0 . chr7 6058699 6058700 chr7:6058700:G:A rs118074882 G A G EBF1_EBF_1 -9 0 + 0 0 . chr7 6062423 6062424 chr7:6062424:C:T rs631491 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 6096360 6096361 chr7:6096361:T:C rs7456787 T C T EBF1_EBF_1 31 0 + 0 0 . chr7 6154090 6154091 chr7:6154091:C:T rs200010499 C T C EBF1_EBF_1 -1 0 - 0 0 . chr7 6154104 6154105 chr7:6154105:G:T rs112159886 G T G EBF1_EBF_1 -15 0 - 0 0 . chr7 6158570 6158571 chr7:6158571:G:A rs62454274 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 6164216 6164217 chr7:6164217:G:C rs1964219 G C G EBF1_EBF_1 27 0 + 0 0 . chr7 6172215 6172216 chr7:6172216:G:A rs73675865 G A G EBF1_EBF_1 5 1 - 3.7945425507520314 -1.527244664788639 AGCCCCGCGGGGCC chr7 6183824 6183825 chr7:6183825:G:A rs73675888 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 6285363 6285364 chr7:6285364:A:G rs10216296 A G G EBF1_EBF_1 14 0 - 0 0 . chr7 6310507 6310508 chr7:6310508:C:T rs17136139 C T C EBF1_EBF_1 27 0 - 0 0 . chr7 6310519 6310520 chr7:6310520:C:T rs6977465 C T T EBF1_EBF_1 15 0 - 0 0 . chr7 6317826 6317827 chr7:6317827:C:A rs73339246 C A C EBF1_EBF_1 4 1 + 4.1356274509880855 -1.6889321724653832 ATCTCCAGGGGACC chr7 6317855 6317856 chr7:6317856:A:C chr7:6317856:A:C A C A EBF1_EBF_1 33 0 + 0 0 . chr7 6374279 6374280 chr7:6374280:T:C rs35887529 T C T EBF1_EBF_1 11 1 - 4.192834850320398 1.3001280067163863 CCTTCCCTGGGACT chr7 6374295 6374296 chr7:6374296:T:C rs1006403243 T C T EBF1_EBF_1 -5 0 - 0 0 . chr7 6417037 6417038 chr7:6417038:C:T rs836508 C T T EBF1_EBF_1 -6 0 - 0 0 . chr7 6425095 6425096 chr7:6425096:C:T rs77092772 C T C EBF1_EBF_1 23 0 + 0 0 . chr7 6447189 6447190 chr7:6447190:G:C rs541299159 G C G EBF1_EBF_1 3 1 - 5.285009074981608 -0.5427553731336083 GTTCCCAGAGGATC chr7 6447499 6447500 chr7:6447500:G:C rs4724806 G C C EBF1_EBF_1 26 0 - 0 0 . chr7 6447524 6447525 chr7:6447525:T:C rs3828944 T C C EBF1_EBF_1 1 1 - 5.1418418246652875 5.402540656586589 GACCCCGGGGGACA chr7 6447661 6447662 chr7:6447662:G:C rs3750037 G C G EBF1_EBF_1 27 0 - 0 0 . chr7 6474689 6474690 chr7:6474690:G:A rs62454714 G A G EBF1_EBF_1 15 0 + 0 0 . chr7 6483591 6483592 chr7:6483592:G:C chr7:6483592:G:C G C G EBF1_EBF_1 -1 0 + 0 0 . chr7 6484382 6484383 chr7:6484383:T:C rs28372829 T C T EBF1_EBF_1 -10 0 + 0 0 . chr7 6498281 6498282 chr7:6498282:A:G rs79970150 A G G EBF1_EBF_1 15 0 + 0 0 . chr7 6506653 6506654 chr7:6506654:T:C rs13233173 T C C EBF1_EBF_1 -12 0 - 0 0 . chr7 6532328 6532329 chr7:6532329:G:C rs2881724 G C C EBF1_EBF_1 23 0 - 0 0 . chr7 6602482 6602483 chr7:6602483:T:C rs567609307 T C T EBF1_EBF_1 -20 0 - 0 0 . chr7 6657534 6657535 chr7:6657535:G:A rs117777781 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 6730264 6730265 chr7:6730265:A:G rs546363760 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 6730278 6730279 chr7:6730279:G:A rs116752061 G A G EBF1_EBF_1 13 1 - 5.045342222455907 5.889836337041425 GCTCCCCAGAGGCC chr7 6730297 6730298 chr7:6730298:G:T rs78285975 G T G EBF1_EBF_1 -6 0 - 0 0 . chr7 6730298 6730299 chr7:6730299:A:T rs115113688 A T A EBF1_EBF_1 -7 0 - 0 0 . chr7 6890030 6890031 chr7:6890031:C:T rs4236396 C T . EBF1_EBF_1 12 1 + 6.14548979716231 5.11922322661146 TGTCCCCAGGTACA chr7 6902365 6902366 chr7:6902366:G:A rs137976665 G A . EBF1_EBF_1 12 1 - 4.626945011235337 3.600678440684487 CTTCCCAGGAGGCC chr7 6902480 6902481 chr7:6902481:T:G rs10235959 T G . EBF1_EBF_1 29 0 - 0 0 . chr7 6902837 6902838 chr7:6902838:A:G rs10236193 A G . EBF1_EBF_1 -6 0 + 0 0 . chr7 6902870 6902871 chr7:6902871:T:C rs10239269 T C . EBF1_EBF_1 27 0 + 0 0 . chr7 7083589 7083590 chr7:7083590:C:G rs7459238 C G - EBF1_EBF_1 30 0 - 0 0 . chr7 7099748 7099749 chr7:7099749:T:G rs75914189 T G T EBF1_EBF_1 0 1 - 7.76348926184206 5.50823077814546 ATTCCCTTGGGCTC chr7 7159607 7159608 chr7:7159608:C:T rs1469619 C T C EBF1_EBF_1 28 0 + 0 0 . chr7 7167763 7167764 chr7:7167764:T:A rs6947154 T A A EBF1_EBF_1 -6 0 + 0 0 . chr7 7176543 7176544 chr7:7176544:G:A rs12667853 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 7201667 7201668 chr7:7201668:A:G rs4724960 A G A EBF1_EBF_1 6 1 + 5.771415539042358 3.6046211077678816 ACTCCCAAGGTGCC chr7 7201675 7201676 chr7:7201676:T:C rs76238036 T C C EBF1_EBF_1 14 0 + 0 0 . chr7 7214857 7214858 chr7:7214858:G:A rs10251505 G A A EBF1_EBF_1 24 0 + 0 0 . chr7 7264815 7264816 chr7:7264816:T:C rs187308535 T C T EBF1_EBF_1 7 1 - 6.704497224038982 5.392038818750156 TTTCCCCAGAGGCT chr7 7266502 7266503 chr7:7266503:C:T rs528355676 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 7271539 7271540 chr7:7271540:G:C rs17165864 G C G EBF1_EBF_1 32 0 + 0 0 . chr7 7331782 7331783 chr7:7331783:C:T rs186285197 C T C EBF1_EBF_1 11 1 + 7.479831163777429 7.797878605531093 AATCCCTTGGGCAA chr7 7353056 7353057 chr7:7353057:C:T rs1294621 C T C EBF1_EBF_1 3 1 + 5.715617847611053 -0.6897808939422629 ATCCCCATGGAAAA chr7 7353082 7353083 chr7:7353083:T:C rs1294622 T C C EBF1_EBF_1 29 0 + 0 0 . chr7 7415485 7415486 chr7:7415486:A:G rs35462098 A G G EBF1_EBF_1 -16 0 - 0 0 . chr7 7419477 7419478 chr7:7419478:G:A rs10085771 G A A EBF1_EBF_1 18 0 + 0 0 . chr7 7461837 7461838 chr7:7461838:A:G rs55841745 A G G EBF1_EBF_1 7 1 - 6.49242232024659 4.674917573963967 ACTCCCATGAGTAT chr7 7478580 7478581 chr7:7478581:G:A rs117465768 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 7478597 7478598 chr7:7478598:G:A rs1346307346 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 7513908 7513909 chr7:7513909:G:A rs7807121 G A A EBF1_EBF_1 -15 0 - 0 0 . chr7 7565654 7565655 chr7:7565655:G:A rs3757531 G A A EBF1_EBF_1 -2 0 + 0 0 . chr7 7699574 7699575 chr7:7699575:T:C rs17169553 T C T EBF1_EBF_1 -14 0 - 0 0 . chr7 7718216 7718217 chr7:7718217:G:T rs28912673 G T G EBF1_EBF_1 -11 0 + 0 0 . chr7 7737187 7737188 chr7:7737188:T:A rs35844437 T A A EBF1_EBF_1 11 1 - 5.586918185032901 1.4890039289341757 AGCTCCAAGGGACT chr7 7794093 7794094 chr7:7794094:C:T rs6957398 C T C EBF1_EBF_1 12 1 + 5.032505041785354 4.0062384712345045 AACCCCTTGGAACC chr7 7854143 7854144 chr7:7854144:C:T rs10281142 C T T EBF1_EBF_1 12 1 + 5.342634919601783 4.316368349050933 GATCCCCTGAGGCT chr7 8133079 8133080 chr7:8133080:A:G rs13243898 A G A EBF1_EBF_1 33 0 - 0 0 . chr7 8143689 8143690 chr7:8143690:C:T rs6960154 C T C EBF1_EBF_1 32 0 + 0 0 . chr7 8433761 8433762 chr7:8433762:C:T rs946859739 C T C EBF1_EBF_1 -19 0 + 0 0 . chr7 8436845 8436846 chr7:8436846:T:C rs73046771 T C T EBF1_EBF_1 18 0 + 0 0 . chr7 8443972 8443973 chr7:8443973:G:C rs2349191 G C G EBF1_EBF_1 23 0 + 0 0 . chr7 8565963 8565964 chr7:8565964:G:A rs946265194 G A G EBF1_EBF_1 31 0 - 0 0 . chr7 8594698 8594699 chr7:8594699:G:A rs10280926 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 8627540 8627541 chr7:8627541:A:G rs4492284 A G A EBF1_EBF_1 -9 0 + 0 0 . chr7 8668943 8668944 chr7:8668944:C:T rs10237532 C T C EBF1_EBF_1 9 1 - 5.7836110666643785 3.1286718012167736 AGTCCCCATGGACC chr7 8668969 8668970 chr7:8668970:C:T rs75740274 C T C EBF1_EBF_1 10 1 - 5.348375485904311 0.0303470266373448 AACCCCAGAGGACC chr7 8795250 8795251 chr7:8795251:A:G rs10234973 A G a EBF1_EBF_1 22 0 - 0 0 . chr7 9286095 9286096 chr7:9286096:T:C rs6948828 T C C EBF1_EBF_1 -13 0 + 0 0 . chr7 9488526 9488527 chr7:9488527:T:A rs2713324 T A A EBF1_EBF_1 -10 0 - 0 0 . chr7 9488821 9488822 chr7:9488822:T:G chr7:9488822:T:G T G T EBF1_EBF_1 20 0 + 0 0 . chr7 9544024 9544025 chr7:9544025:C:T rs10267144 C T C EBF1_EBF_1 20 0 + 0 0 . chr7 10079026 10079027 chr7:10079027:G:A rs7808012 G A . EBF1_EBF_1 -14 0 + 0 0 . chr7 10085319 10085320 chr7:10085320:C:G rs138345515 C G C EBF1_EBF_1 3 1 + 4.74606659811502 -1.081697850000197 CTTCCCGTGGGTCC chr7 10123184 10123185 chr7:10123185:A:G rs78137057 A G A EBF1_EBF_1 -5 0 + 0 0 . chr7 10186427 10186428 chr7:10186428:G:A rs75422554 G A G EBF1_EBF_1 3 1 - 4.545307812433076 -1.8600909291202419 CCTCCCAAGGCACT chr7 10212809 10212810 chr7:10212810:C:T rs2189953 C T T EBF1_EBF_1 -3 0 + 0 0 . chr7 10266437 10266438 chr7:10266438:C:T rs56151436 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 10266445 10266446 chr7:10266446:T:G rs1022918308 T G T EBF1_EBF_1 26 0 + 0 0 . chr7 10412276 10412277 chr7:10412277:T:C rs11973479 T C T EBF1_EBF_1 -10 0 + 0 0 . chr7 10442376 10442377 chr7:10442377:C:T rs10275850 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 10496608 10496609 chr7:10496609:G:T rs56031673 G T G EBF1_EBF_1 -15 0 + 0 0 . chr7 10557409 10557410 chr7:10557410:T:C rs74662036 T C T EBF1_EBF_1 -13 0 + 0 0 . chr7 10557432 10557433 chr7:10557433:G:C rs2190193 G C C EBF1_EBF_1 10 1 + 6.554049374657416 -0.3367530126720573 AATCCCCAGGGTGA chr7 10615157 10615158 chr7:10615158:C:T rs2190201 C T C EBF1_EBF_1 -9 0 + 0 0 . chr7 10785418 10785419 chr7:10785419:T:G rs6944034 T G T EBF1_EBF_1 15 0 - 0 0 . chr7 10785439 10785440 chr7:10785440:A:G rs6961857 A G A EBF1_EBF_1 -6 0 - 0 0 . chr7 10819420 10819421 chr7:10819421:T:C rs142124911 T C T EBF1_EBF_1 -16 0 - 0 0 . chr7 10939962 10939963 chr7:10939963:C:A rs533424278 C A C EBF1_EBF_1 27 0 + 0 0 . chr7 10940517 10940518 chr7:10940518:C:T rs140000288 C T C EBF1_EBF_1 8 1 - 4.959494377235951 0.7332042883496892 CGCCCCCAGGGCCT chr7 10976852 10976853 chr7:10976853:C:T rs10464255 C T C EBF1_EBF_1 30 0 - 0 0 . chr7 11202408 11202409 chr7:11202409:G:T rs58201750 G T G EBF1_EBF_1 -16 0 + 0 0 . chr7 11213075 11213076 chr7:11213076:C:T rs4333479 C T T EBF1_EBF_1 -17 0 + 0 0 . chr7 11319610 11319611 chr7:11319611:T:G rs10277007 T G G EBF1_EBF_1 15 0 - 0 0 . chr7 11353506 11353507 chr7:11353507:G:T rs6958567 G T T EBF1_EBF_1 -20 0 - 0 0 . chr7 11533871 11533872 chr7:11533872:G:T rs73677745 G T G EBF1_EBF_1 12 1 - 5.825209322482006 5.855390720903332 AGTCCCAAGATACA chr7 11540482 11540483 chr7:11540483:C:G rs13238469 C G C EBF1_EBF_1 15 0 + 0 0 . chr7 11540487 11540488 chr7:11540488:G:A rs1541396 G A A EBF1_EBF_1 20 0 + 0 0 . chr7 11546000 11546001 chr7:11546001:A:C rs55 A C C EBF1_EBF_1 27 0 + 0 0 . chr7 11553095 11553096 chr7:11553096:C:T rs12699223 C T C EBF1_EBF_1 16 0 + 0 0 . chr7 11615455 11615456 chr7:11615456:C:G rs117300977 C G C EBF1_EBF_1 20 0 + 0 0 . chr7 11625959 11625960 chr7:11625960:A:T rs3846987 A T T EBF1_EBF_1 26 0 + 0 0 . chr7 11638150 11638151 chr7:11638151:T:C rs2526100 T C T EBF1_EBF_1 6 1 - 5.414896462660704 3.24810203138623 ATCCCCATGTGAAT chr7 11707691 11707692 chr7:11707692:C:G rs73294599 C G C EBF1_EBF_1 4 1 + 6.000564059964556 0.37479895881501324 AGACCCAAAGGAAT chr7 11776104 11776105 chr7:11776105:T:C rs114850143 T C T EBF1_EBF_1 14 0 - 0 0 . chr7 11863185 11863186 chr7:11863186:C:T rs11979135 C T C EBF1_EBF_1 12 1 + 6.613447579286394 5.587181008735544 GCTCCCAGGAGACA chr7 11874403 11874404 chr7:11874404:T:A rs10278956 T A T EBF1_EBF_1 1 1 - 7.5889389932842635 8.555299611035094 AAACCCATGGGATC chr7 11955354 11955355 chr7:11955355:G:A rs10225648 G A A EBF1_EBF_1 3 1 - 5.478968815902281 -0.9264299256510364 TTCCCCTGGAGACA chr7 12069916 12069917 chr7:12069917:G:A rs6460874 G A G EBF1_EBF_1 7 1 + 7.755173584055208 9.067631989344033 AACCCCCGGGGAAG chr7 12178226 12178227 chr7:12178227:G:A rs16877301 G A A EBF1_EBF_1 27 0 + 0 0 . chr7 12223419 12223420 chr7:12223420:A:G rs73677523 A G A EBF1_EBF_1 -12 0 + 0 0 . chr7 12234581 12234582 chr7:12234582:G:T rs13309255 G T G EBF1_EBF_1 19 0 - 0 0 . chr7 12234593 12234594 chr7:12234594:A:G rs10488193 A G A EBF1_EBF_1 7 1 - 7.604441259053203 5.786936512770579 ATTCCCATGGAAAA chr7 12270156 12270157 chr7:12270157:A:G rs6948515 A G G EBF1_EBF_1 18 0 + 0 0 . chr7 12296325 12296326 chr7:12296326:T:C rs6947477 T C T EBF1_EBF_1 24 0 - 0 0 . chr7 12365937 12365938 chr7:12365938:C:G rs2053378 C G C EBF1_EBF_1 13 1 + 11.388078453169419 9.900007431814004 AATCCCCAGGGATC chr7 12458884 12458885 chr7:12458885:C:T rs12667501 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 12571126 12571127 chr7:12571127:G:A rs373644677 G A G EBF1_EBF_1 23 0 + 0 0 . chr7 12594852 12594853 chr7:12594853:G:A rs79865925 G A G EBF1_EBF_1 17 0 - 0 0 . chr7 12864674 12864675 chr7:12864675:G:A rs62448167 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 12891613 12891614 chr7:12891614:T:G rs35679077 T G T EBF1_EBF_1 -17 0 - 0 0 . chr7 12910014 12910015 chr7:12910015:G:A rs7795262 G A A EBF1_EBF_1 3 1 - 7.393843937041358 0.98844519548804 AATCCTTAGGGACC chr7 13016249 13016250 chr7:13016250:T:C chr7:13016250:T:C T C T EBF1_EBF_1 17 0 + 0 0 . chr7 13144702 13144703 chr7:13144703:C:T rs115188011 C T C EBF1_EBF_1 22 0 - 0 0 . chr7 13185421 13185422 chr7:13185422:C:A chr7:13185422:C:A C A C EBF1_EBF_1 -13 0 - 0 0 . chr7 13260214 13260215 chr7:13260215:A:T rs79800179 A T A EBF1_EBF_1 12 1 - 10.827218027279375 11.883665996251551 ATCCCCAAGGGATC chr7 13287073 13287074 chr7:13287074:A:G rs4721209 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 13628831 13628832 chr7:13628832:A:G rs372405736 A G A EBF1_EBF_1 0 1 + 7.259479927857799 5.367196058292966 ATTCCCATAAGAAT chr7 13639515 13639516 chr7:13639516:A:C rs17167293 A C A EBF1_EBF_1 7 1 - 8.003731628800322 7.167547463599054 TACCCCTTGGGACA chr7 13803559 13803560 chr7:13803560:C:T rs112001594 C T C EBF1_EBF_1 -5 0 + 0 0 . chr7 13803560 13803561 chr7:13803561:G:C rs187469889 G C A EBF1_EBF_1 -4 0 + 0 0 . chr7 13849352 13849353 chr7:13849353:T:C rs116018743 T C T EBF1_EBF_1 33 0 - 0 0 . chr7 13984181 13984182 chr7:13984182:C:G rs765982 C G G EBF1_EBF_1 -12 0 - 0 0 . chr7 13989842 13989843 chr7:13989843:G:T rs80152843 G T G EBF1_EBF_1 4 1 - 5.023883597705483 -0.8006760257479831 ACCCCCTAGGGCAG chr7 14433218 14433219 chr7:14433219:C:T rs12540892 C T C EBF1_EBF_1 -12 0 + 0 0 . chr7 14458616 14458617 chr7:14458617:G:A rs78276456 G A G EBF1_EBF_1 -9 0 + 0 0 . chr7 14619728 14619729 chr7:14619729:C:T rs10246968 C T T EBF1_EBF_1 10 1 - 5.494647875433142 0.17661941616617632 TTCCCCAAGGGCAC chr7 14687097 14687098 chr7:14687098:C:T rs1404613 C T T EBF1_EBF_1 -20 0 + 0 0 . chr7 14740030 14740031 chr7:14740031:G:T rs150082804 G T g EBF1_EBF_1 27 0 - 0 0 . chr7 14760113 14760114 chr7:14760114:T:C rs979501 T C T EBF1_EBF_1 24 0 - 0 0 . chr7 15104980 15104981 chr7:15104981:T:C rs76658158 T C T EBF1_EBF_1 26 0 + 0 0 . chr7 15209722 15209723 chr7:15209723:G:C rs56938141 G C G EBF1_EBF_1 -6 0 - 0 0 . chr7 15278427 15278428 chr7:15278428:C:G rs11763747 C G G EBF1_EBF_1 8 1 - 6.982591033785482 1.663932172870797 AGTCCCTTGAGGAC chr7 15324799 15324800 chr7:15324800:C:T rs80100186 C T C EBF1_EBF_1 1 1 + 8.698052706780482 9.593486147179227 GCTCCCATGAGAAT chr7 15346324 15346325 chr7:15346325:C:A rs62450342 C A C EBF1_EBF_1 24 0 + 0 0 . chr7 15460046 15460047 chr7:15460047:T:C rs7782814 T C C EBF1_EBF_1 13 1 - 9.301975412838724 8.187649840769286 TGTCCCCAGGGATA chr7 15643272 15643273 chr7:15643273:G:T rs2282935 G T G EBF1_EBF_1 -5 0 - 0 0 . chr7 15751319 15751320 chr7:15751320:A:C rs10264302 A C C EBF1_EBF_1 22 0 - 0 0 . chr7 15784166 15784167 chr7:15784167:T:G chr7:15784167:T:G T G T EBF1_EBF_1 -8 0 - 0 0 . chr7 15795513 15795514 chr7:15795514:G:C rs117073318 G C G EBF1_EBF_1 -8 0 - 0 0 . chr7 15802689 15802690 chr7:15802690:G:C rs11978788 G C G EBF1_EBF_1 4 1 - 6.4986322005634625 0.8728670994139183 TTCCCCAAAGGACT chr7 15888549 15888550 chr7:15888550:G:T rs9655121 G T A EBF1_EBF_1 15 0 - 0 0 . chr7 15982315 15982316 chr7:15982316:A:G rs10950593 A G G EBF1_EBF_1 23 0 + 0 0 . chr7 16052111 16052112 chr7:16052112:C:G rs1528156 C G G EBF1_EBF_1 14 0 + 0 0 . chr7 16170760 16170761 chr7:16170761:G:A rs12534751 G A G EBF1_EBF_1 20 0 + 0 0 . chr7 16273917 16273918 chr7:16273918:G:C rs34366413 G C G EBF1_EBF_1 1 1 - 5.372209450858575 5.561981105427792 CCTCTCATGGGACA chr7 16300547 16300548 chr7:16300548:C:G rs6461234 C G C EBF1_EBF_1 4 1 + 10.77275612803733 5.146991026887787 TATCCCTAGGGAAC chr7 16348363 16348364 chr7:16348364:A:G rs117958767 A G A EBF1_EBF_1 -17 0 + 0 0 . chr7 16465315 16465316 chr7:16465316:C:G rs2293672 C G C EBF1_EBF_1 -5 0 - 0 0 . chr7 16472382 16472383 chr7:16472383:G:T rs12669598 G T G EBF1_EBF_1 16 0 + 0 0 . chr7 16472396 16472397 chr7:16472397:G:A rs12669600 G A G EBF1_EBF_1 30 0 + 0 0 . chr7 16499839 16499840 chr7:16499840:C:T rs10239454 C T C EBF1_EBF_1 11 1 - 4.377416268105911 7.270123111709922 GCACCCAAGGGGCC chr7 16521075 16521076 chr7:16521076:C:T rs12665930 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 16527324 16527325 chr7:16527325:C:T rs57692141 C T C EBF1_EBF_1 -14 0 - 0 0 . chr7 16582099 16582100 chr7:16582100:T:C rs148815274 T C T EBF1_EBF_1 -19 0 + 0 0 . chr7 16646086 16646087 chr7:16646087:G:T rs540453369 G T G EBF1_EBF_1 17 0 + 0 0 . chr7 16685053 16685054 chr7:16685054:A:G rs2293396 A G A EBF1_EBF_1 -5 0 - 0 0 . chr7 16698380 16698381 chr7:16698381:T:C rs12539223 T C T EBF1_EBF_1 28 0 - 0 0 . chr7 16698669 16698670 chr7:16698670:A:G rs35734304 A G A EBF1_EBF_1 -11 0 - 0 0 . chr7 16754508 16754509 chr7:16754509:G:C rs6461275 G C C EBF1_EBF_1 14 0 - 0 0 . chr7 16794369 16794370 chr7:16794370:A:T rs7807394 A T A EBF1_EBF_1 20 0 + 0 0 . chr7 16822298 16822299 chr7:16822299:G:C rs36088247 G C C EBF1_EBF_1 25 0 + 0 0 . chr7 16967294 16967295 chr7:16967295:G:A rs114339824 G A G EBF1_EBF_1 9 1 + 11.064085167640428 8.409145902192826 TTTCCCCAGGGAAA chr7 16998887 16998888 chr7:16998888:C:T rs34879990 C T C EBF1_EBF_1 1 1 - 5.825911366068064 5.565212534146763 AGTCCCAAGTGACA chr7 17017026 17017027 chr7:17017027:A:G rs190528298 A G A EBF1_EBF_1 -16 0 - 0 0 . chr7 17056011 17056012 chr7:17056012:C:T rs11765040 C T C EBF1_EBF_1 -13 0 - 0 0 . chr7 17057039 17057040 chr7:17057040:A:G rs497020 A G A EBF1_EBF_1 -16 0 - 0 0 . chr7 17206872 17206873 chr7:17206873:A:G rs4721587 A G A EBF1_EBF_1 15 0 + 0 0 . chr7 17234626 17234627 chr7:17234627:A:C rs538183610 A C A EBF1_EBF_1 -1 0 - 0 0 . chr7 17234640 17234641 chr7:17234641:C:T rs191444629 C T C EBF1_EBF_1 -15 0 - 0 0 . chr7 17298848 17298849 chr7:17298849:G:A rs901385731 G A G EBF1_EBF_1 9 1 + 4.2966437147047225 1.6417044492571178 TCACCCAAGGGGCC chr7 17379532 17379533 chr7:17379533:G:A rs73081770 G A G EBF1_EBF_1 4 1 - 4.861837021476475 0.4924500765315524 CCTCCCAGGAGAGA chr7 17450288 17450289 chr7:17450289:G:A rs73067265 G A G EBF1_EBF_1 31 0 - 0 0 . chr7 17474791 17474792 chr7:17474792:T:C rs10269035 T C t EBF1_EBF_1 -8 0 - 0 0 . chr7 17487103 17487104 chr7:17487104:A:G rs483742 A G A EBF1_EBF_1 -13 0 - 0 0 . chr7 17529568 17529569 chr7:17529569:A:G rs62444738 A G A EBF1_EBF_1 28 0 - 0 0 . chr7 17557590 17557591 chr7:17557591:A:G rs192851816 A G A EBF1_EBF_1 25 0 - 0 0 . chr7 17618065 17618066 chr7:17618066:G:C rs4719514 G C G EBF1_EBF_1 4 1 - 7.126701883341823 1.5009367821922805 GCTCCCATAGGAAT chr7 17624120 17624121 chr7:17624121:A:G rs17138004 A G A EBF1_EBF_1 -9 0 - 0 0 . chr7 17662656 17662657 chr7:17662657:C:T rs2389829 C T C EBF1_EBF_1 -11 0 + 0 0 . chr7 17696696 17696697 chr7:17696697:A:C rs2389830 A C A EBF1_EBF_1 23 0 + 0 0 . chr7 17696703 17696704 chr7:17696704:G:A rs191886650 G A G EBF1_EBF_1 30 0 + 0 0 . chr7 17697338 17697339 chr7:17697339:T:C rs2723563 T C C EBF1_EBF_1 31 0 + 0 0 . chr7 17712947 17712948 chr7:17712948:T:C rs11770723 T C T EBF1_EBF_1 28 0 - 0 0 . chr7 17741266 17741267 chr7:17741267:C:T rs3919528 C T C EBF1_EBF_1 -14 0 - 0 0 . chr7 17741286 17741287 chr7:17741287:G:A rs2537574 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 17785162 17785163 chr7:17785163:G:A rs2691630 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 17939616 17939617 chr7:17939617:A:T rs60359119 A T A EBF1_EBF_1 21 0 - 0 0 . chr7 18086526 18086527 chr7:18086527:T:C rs555942009 T C T EBF1_EBF_1 -16 0 - 0 0 . chr7 18120150 18120151 chr7:18120151:A:G rs12699966 A G A EBF1_EBF_1 13 1 + 10.044254770995744 8.929929198926306 GCTCCCTTGGGACA chr7 18142194 18142195 chr7:18142195:G:C rs1178360 G C C EBF1_EBF_1 26 0 + 0 0 . chr7 18146855 18146856 chr7:18146856:C:A rs2731546 C A C EBF1_EBF_1 22 0 - 0 0 . chr7 18151728 18151729 chr7:18151729:G:A rs12171619 G A G EBF1_EBF_1 -2 0 - 0 0 . chr7 18179315 18179316 chr7:18179316:C:G rs116890927 C G C EBF1_EBF_1 -5 0 + 0 0 . chr7 18307716 18307717 chr7:18307717:C:T rs62449148 C T C EBF1_EBF_1 3 1 + 5.168455949194477 -1.2369427923588407 AATCCCTTGAGCCC chr7 18727762 18727763 chr7:18727763:A:C rs17139769 A C A EBF1_EBF_1 0 1 + 6.678895912833681 4.423637429137081 AATCCCTAAAGACT chr7 18770934 18770935 chr7:18770935:G:A rs62446605 G A G EBF1_EBF_1 13 1 - 11.437830616926403 12.282324731511922 TTTCCCCAGGGAAC chr7 18780433 18780434 chr7:18780434:C:T rs2588602 C T T EBF1_EBF_1 -17 0 - 0 0 . chr7 18894244 18894245 chr7:18894245:C:T rs141866709 C T c EBF1_EBF_1 -16 0 + 0 0 . chr7 18999443 18999444 chr7:18999444:C:G rs2023936 C G C EBF1_EBF_1 -12 0 - 0 0 . chr7 19118538 19118539 chr7:19118539:A:G rs144214023 A G a EBF1_EBF_1 6 1 + 5.073839927261943 2.907045495987468 CAACCCAAGGGAGA chr7 19121273 19121274 chr7:19121274:C:T rs10275272 C T t EBF1_EBF_1 -8 0 + 0 0 . chr7 19143505 19143506 chr7:19143506:C:T rs997702179 C T C EBF1_EBF_1 -4 0 - 0 0 . chr7 19414102 19414103 chr7:19414103:A:G rs13230493 A G A EBF1_EBF_1 14 0 + 0 0 . chr7 19423623 19423624 chr7:19423624:T:C rs4286843 T C T EBF1_EBF_1 -19 0 + 0 0 . chr7 19530468 19530469 chr7:19530469:A:G rs9648259 A G G EBF1_EBF_1 26 0 - 0 0 . chr7 19530483 19530484 chr7:19530484:T:C rs61244356 T C T EBF1_EBF_1 11 1 - 6.316494737122024 3.4237878935180133 ATTCCCCCAGGAGT chr7 19548263 19548264 chr7:19548264:C:G rs10257211 C G C EBF1_EBF_1 -17 0 - 0 0 . chr7 19595918 19595919 chr7:19595919:C:A rs140660656 C A C EBF1_EBF_1 6 1 + 6.396979686645675 6.758582054446952 GGTCCCCAGGGCAC chr7 19596065 19596066 chr7:19596066:G:T rs76737705 G T G EBF1_EBF_1 10 1 + 5.892961629677619 1.7102094434503554 TTTCCCTAGGGCTA chr7 19707941 19707942 chr7:19707942:G:A chr7:19707942:G:A G A G EBF1_EBF_1 -14 0 + 0 0 . chr7 19773714 19773715 chr7:19773715:C:G rs117803408 C G C EBF1_EBF_1 9 1 - 7.045695249973304 1.9700061036278402 ACCCCCCTGGGGTT chr7 19773970 19773971 chr7:19773971:G:T rs12672320 G T T EBF1_EBF_1 33 0 + 0 0 . chr7 19895204 19895205 chr7:19895205:G:C rs10228893 G C G EBF1_EBF_1 -10 0 + 0 0 . chr7 20103834 20103835 chr7:20103835:T:G rs6959523 T G T EBF1_EBF_1 15 0 - 0 0 . chr7 20121641 20121642 chr7:20121642:G:A rs28884580 G A G EBF1_EBF_1 1 1 - 4.591336031683678 5.486769472082424 GCCCCCCAGAGAAG chr7 20197327 20197328 chr7:20197328:A:G rs76983462 A G A EBF1_EBF_1 -19 0 + 0 0 . chr7 20342050 20342051 chr7:20342051:G:A rs3807931 G A g EBF1_EBF_1 23 0 - 0 0 . chr7 20454295 20454296 chr7:20454296:G:A rs529806486 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 20512081 20512082 chr7:20512082:G:A rs1012870 G A A EBF1_EBF_1 -2 0 + 0 0 . chr7 20716918 20716919 chr7:20716919:C:T rs6972085 C T T EBF1_EBF_1 -13 0 + 0 0 . chr7 20737626 20737627 chr7:20737627:T:G rs9638774 T G G EBF1_EBF_1 6 1 + 5.944167577449252 3.837689385114297 ACCCCTTTGGGACT chr7 20839266 20839267 chr7:20839267:C:G rs10276458 C G C EBF1_EBF_1 5 1 + 5.031383229559817 -1.8734979230753512 ATTCCCCATGGAAG chr7 20892783 20892784 chr7:20892784:G:T rs117507068 G T G EBF1_EBF_1 16 0 + 0 0 . chr7 21123219 21123220 chr7:21123220:A:G rs17143886 A G A EBF1_EBF_1 -14 0 - 0 0 . chr7 21145558 21145559 chr7:21145559:A:C rs10246207 A C A EBF1_EBF_1 -14 0 + 0 0 . chr7 21146440 21146441 chr7:21146441:C:T rs111569948 C T C EBF1_EBF_1 -16 0 + 0 0 . chr7 21147085 21147086 chr7:21147086:G:C rs6965777 G C G EBF1_EBF_1 5 1 - 5.1766843032593215 -1.7281968493758482 TTTCCCCAGGTGCT chr7 21147108 21147109 chr7:21147109:G:A rs6965792 G A A EBF1_EBF_1 -18 0 - 0 0 . chr7 21335689 21335690 chr7:21335690:A:T rs11760268 A T A EBF1_EBF_1 4 1 + 4.4175189916533215 5.872691670161865 AGCCACCAGGGAGT chr7 21414681 21414682 chr7:21414682:T:G rs4719665 T G T EBF1_EBF_1 2 1 + 4.117601291219989 -2.6307243989804796 GCTCCCAAGTGACC chr7 21428321 21428322 chr7:21428322:C:T rs528844796 C T C EBF1_EBF_1 -17 0 + 0 0 . chr7 21429021 21429022 chr7:21429022:C:G rs12534852 C G C EBF1_EBF_1 3 1 + 8.308737954861998 2.4809735067467837 GTTCCCAAGGGCAT chr7 21429043 21429044 chr7:21429044:G:A rs45619337 G A G EBF1_EBF_1 25 0 + 0 0 . chr7 21500513 21500514 chr7:21500514:T:C rs57880370 T C T EBF1_EBF_1 19 0 - 0 0 . chr7 21500521 21500522 chr7:21500522:A:G rs55940972 A G G EBF1_EBF_1 11 1 - 7.258795636593125 6.940748194839461 TCTCCCCAGGGTCT chr7 21543663 21543664 chr7:21543664:T:G rs2285945 T G G EBF1_EBF_1 23 0 - 0 0 . chr7 21548833 21548834 chr7:21548834:G:A rs62439335 G A G EBF1_EBF_1 20 0 + 0 0 . chr7 21563915 21563916 chr7:21563916:T:C rs10950855 T C T EBF1_EBF_1 15 0 - 0 0 . chr7 21807539 21807540 chr7:21807540:T:C chr7:21807540:T:C T C T EBF1_EBF_1 -15 0 + 0 0 . chr7 21830983 21830984 chr7:21830984:G:T rs10485985 G T g EBF1_EBF_1 27 0 - 0 0 . chr7 21830994 21830995 chr7:21830995:A:G rs725809 A G A EBF1_EBF_1 16 0 - 0 0 . chr7 21923286 21923287 chr7:21923287:G:A rs13234391 G A . EBF1_EBF_1 -2 0 - 0 0 . chr7 22002863 22002864 chr7:22002864:G:A rs1174957 G A G EBF1_EBF_1 4 1 - 7.9413627703393805 3.5719758253944587 TTTCCCATAGGAAT chr7 22002874 22002875 chr7:22002875:A:G rs6968085 A G A EBF1_EBF_1 -7 0 - 0 0 . chr7 22007543 22007544 chr7:22007544:A:C rs7795246 A C A EBF1_EBF_1 -13 0 - 0 0 . chr7 22032531 22032532 chr7:22032532:A:G rs1730897 A G a EBF1_EBF_1 -14 0 - 0 0 . chr7 22093919 22093920 chr7:22093920:G:C rs57191357 G C G EBF1_EBF_1 10 1 + 5.384883973148586 -1.5059184141808883 CTTCCCAGGGGCCA chr7 22094672 22094673 chr7:22094673:C:T rs76960457 C T C EBF1_EBF_1 19 0 + 0 0 . chr7 22216396 22216397 chr7:22216397:T:G rs117890863 T G T EBF1_EBF_1 -5 0 + 0 0 . chr7 22294392 22294393 chr7:22294393:G:C rs1798804 G C G EBF1_EBF_1 0 1 - 5.026370375365045 5.389344989496812 CTCCCCTGGAGAGT chr7 22354722 22354723 chr7:22354723:G:A rs7778401 G A A EBF1_EBF_1 14 0 - 0 0 . chr7 22368392 22368393 chr7:22368393:T:C rs67376324 T C C EBF1_EBF_1 -5 0 - 0 0 . chr7 22418819 22418820 chr7:22418820:A:G rs2528829 A G G EBF1_EBF_1 9 1 - 5.85609276448939 7.617393026232737 AGTCCCAAGTGAAA chr7 22504755 22504756 chr7:22504756:A:C rs144294960 A C A EBF1_EBF_1 -15 0 + 0 0 . chr7 22545700 22545701 chr7:22545701:C:G rs75248831 C G C EBF1_EBF_1 21 0 + 0 0 . chr7 22545704 22545705 chr7:22545705:A:T rs4719703 A T T EBF1_EBF_1 25 0 + 0 0 . chr7 22559991 22559992 chr7:22559992:A:T rs61055121 A T A EBF1_EBF_1 0 1 - 5.317107774104673 7.290164197070694 TATCCCCAGGGTTC chr7 22617330 22617331 chr7:22617331:C:T rs552835290 C T C EBF1_EBF_1 19 0 + 0 0 . chr7 22682917 22682918 chr7:22682918:G:A rs6969856 G A G EBF1_EBF_1 -9 0 - 0 0 . chr7 22726425 22726426 chr7:22726426:G:A rs36215817 G A G EBF1_EBF_1 -20 0 + 0 0 . chr7 22727517 22727518 chr7:22727518:C:T rs2069830 C T C EBF1_EBF_1 4 1 + 5.532763863760964 1.163376918816041 ACCCCCAGGAGAAG chr7 22849643 22849644 chr7:22849644:A:C rs10488280 A C A EBF1_EBF_1 15 0 - 0 0 . chr7 22854395 22854396 chr7:22854396:C:G rs539545364 C G C EBF1_EBF_1 33 0 - 0 0 . chr7 22854429 22854430 chr7:22854430:G:A rs377125257 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 22854443 22854444 chr7:22854444:G:T rs1031275790 G T G EBF1_EBF_1 -15 0 - 0 0 . chr7 22859334 22859335 chr7:22859335:A:C chr7:22859335:A:C A C A EBF1_EBF_1 -12 0 - 0 0 . chr7 22865035 22865036 chr7:22865036:A:G rs1469423213 A G A EBF1_EBF_1 23 0 - 0 0 . chr7 23021488 23021489 chr7:23021489:C:T rs12700406 C T C EBF1_EBF_1 10 1 - 7.946048264663804 2.628019805396838 GGTCCCCAGAGATT chr7 23086302 23086303 chr7:23086303:G:C rs9691762 G C G EBF1_EBF_1 19 0 + 0 0 . chr7 23118613 23118614 chr7:23118614:G:A rs6962526 G A A EBF1_EBF_1 33 0 - 0 0 . chr7 23230628 23230629 chr7:23230629:G:A rs370170854 G A g EBF1_EBF_1 -12 0 - 0 0 . chr7 23333941 23333942 chr7:23333942:T:C rs78602682 T C T EBF1_EBF_1 13 1 - 7.859995464232641 6.745669892163202 ATTCCCAGAGGAAA chr7 23333943 23333944 chr7:23333944:T:C rs3807467 T C T EBF1_EBF_1 11 1 - 7.859995464232641 4.96728862062863 ATTCCCAGAGGAAA chr7 23335757 23335758 chr7:23335758:T:C rs76125571 T C C EBF1_EBF_1 -20 0 - 0 0 . chr7 23372860 23372861 chr7:23372861:G:A rs274041 G A . EBF1_EBF_1 10 1 + 6.378281593096399 1.0602531338294323 AATCCCATGAGGCA chr7 23469172 23469173 chr7:23469173:G:A rs116349468 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 23469182 23469183 chr7:23469183:C:T rs577520570 C T C EBF1_EBF_1 -17 0 - 0 0 . chr7 23474331 23474332 chr7:23474332:G:C rs770875026 G C G EBF1_EBF_1 7 1 + 3.3764972070108925 2.3951766259295355 GCTCCCCGGGGCCG chr7 23571427 23571428 chr7:23571428:C:T rs11772571 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 23680170 23680171 chr7:23680171:T:A rs115140622 T A T EBF1_EBF_1 26 0 - 0 0 . chr7 23757326 23757327 chr7:23757327:C:T rs12531249 C T C EBF1_EBF_1 0 1 - 6.1918101769985485 8.084094046563381 GGTCCCTTGGGCCC chr7 23827926 23827927 chr7:23827927:A:G rs113731919 A G A EBF1_EBF_1 29 0 - 0 0 . chr7 23897368 23897369 chr7:23897369:G:T rs150393577 G T G EBF1_EBF_1 -16 0 - 0 0 . chr7 23963575 23963576 chr7:23963576:G:T rs10250785 G T G EBF1_EBF_1 -19 0 + 0 0 . chr7 23981712 23981713 chr7:23981713:C:T rs929947 C T T EBF1_EBF_1 12 1 - 5.212925506953656 6.631742849053134 ATTTCCCAGGGAGA chr7 23984919 23984920 chr7:23984920:A:G rs6461762 A G G EBF1_EBF_1 -7 0 + 0 0 . chr7 24059691 24059692 chr7:24059692:A:T rs1328273623 A T A EBF1_EBF_1 1 1 - 8.790530904666118 7.824170286915288 CTCCCCCTGGGAAC chr7 24133180 24133181 chr7:24133181:G:A rs962794 G A A EBF1_EBF_1 -13 0 - 0 0 . chr7 24135245 24135246 chr7:24135246:T:C rs10950995 T C T EBF1_EBF_1 7 1 + 6.819443001537349 5.001938255254727 TTTCCCATGGAACT chr7 24135259 24135260 chr7:24135260:A:G rs10950996 A G A EBF1_EBF_1 21 0 + 0 0 . chr7 24141858 24141859 chr7:24141859:T:G rs6461782 T G T EBF1_EBF_1 -20 0 + 0 0 . chr7 24142056 24142057 chr7:24142057:C:G rs198710 C G C EBF1_EBF_1 -9 0 + 0 0 . chr7 24142090 24142091 chr7:24142091:C:T rs198709 C T C EBF1_EBF_1 25 0 + 0 0 . chr7 24142439 24142440 chr7:24142440:G:A rs198704 G A G EBF1_EBF_1 -8 0 - 0 0 . chr7 24173736 24173737 chr7:24173737:C:T rs156318 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 24290482 24290483 chr7:24290483:C:A rs140893749 C A c EBF1_EBF_1 -18 0 + 0 0 . chr7 24299388 24299389 chr7:24299389:G:C rs16110 G C G EBF1_EBF_1 -3 0 - 0 0 . chr7 24422700 24422701 chr7:24422701:G:A rs1858957 G A G EBF1_EBF_1 -6 0 + 0 0 . chr7 24435285 24435286 chr7:24435286:G:T rs79836256 G T G EBF1_EBF_1 7 1 + 5.294074335212756 6.1302585004140235 ATTCCCTGGGTGCC chr7 24493064 24493065 chr7:24493065:C:G rs62449791 C G C EBF1_EBF_1 29 0 + 0 0 . chr7 24508464 24508465 chr7:24508465:C:T rs116244220 C T C EBF1_EBF_1 16 0 - 0 0 . chr7 24718541 24718542 chr7:24718542:T:C rs874540 T C C EBF1_EBF_1 -3 0 - 0 0 . chr7 24722348 24722349 chr7:24722349:G:T rs2237316 G T G EBF1_EBF_1 16 0 - 0 0 . chr7 24726887 24726888 chr7:24726888:C:G rs2721794 C G C EBF1_EBF_1 4 1 + 9.200920125504597 3.5751550243550527 AACCCCAGGGGACA chr7 24728674 24728675 chr7:24728675:G:A rs142903455 G A G EBF1_EBF_1 33 0 + 0 0 . chr7 24742172 24742173 chr7:24742173:A:G rs2237324 A G G EBF1_EBF_1 -5 0 + 0 0 . chr7 24742204 24742205 chr7:24742205:C:T rs12665974 C T C EBF1_EBF_1 27 0 + 0 0 . chr7 24758289 24758290 chr7:24758290:T:C rs114485002 T C T EBF1_EBF_1 7 1 + 4.702746791068162 2.8852420447855396 CATCACCTGGGACT chr7 24779036 24779037 chr7:24779037:A:C rs1468185 A C A EBF1_EBF_1 -20 0 - 0 0 . chr7 24794401 24794402 chr7:24794402:C:T rs7457348 C T C EBF1_EBF_1 -5 0 - 0 0 . chr7 24858598 24858599 chr7:24858599:A:G rs62449856 A G A EBF1_EBF_1 -12 0 - 0 0 . chr7 24874935 24874936 chr7:24874936:A:G rs7456235 A G A EBF1_EBF_1 15 0 - 0 0 . chr7 24922416 24922417 chr7:24922417:T:C rs140588109 T C T EBF1_EBF_1 -5 0 - 0 0 . chr7 24922568 24922569 chr7:24922569:G:A rs78780964 G A G EBF1_EBF_1 13 1 + 9.429234357145308 10.543559929214748 AACCCCAAGGGAAG chr7 24922579 24922580 chr7:24922580:G:A rs763852 G A G EBF1_EBF_1 24 0 + 0 0 . chr7 24979939 24979940 chr7:24979940:G:C rs1172738258 G C G EBF1_EBF_1 23 0 + 0 0 . chr7 24980282 24980283 chr7:24980283:G:A rs181729530 G A G EBF1_EBF_1 -9 0 - 0 0 . chr7 25070318 25070319 chr7:25070319:G:A chr7:25070319:G:A G A G EBF1_EBF_1 -7 0 + 0 0 . chr7 25073634 25073635 chr7:25073635:G:C rs12056156 G C G EBF1_EBF_1 -5 0 - 0 0 . chr7 25090933 25090934 chr7:25090934:G:C rs39450 G C . EBF1_EBF_1 -18 0 - 0 0 . chr7 25124642 25124643 chr7:25124643:T:G rs11769363 T G G EBF1_EBF_1 -18 0 + 0 0 . chr7 25180590 25180591 chr7:25180591:G:A rs115401751 G A G EBF1_EBF_1 23 0 - 0 0 . chr7 25180590 25180591 chr7:25180591:G:C chr7:25180591:G:C G C G EBF1_EBF_1 23 0 - 0 0 . chr7 25376094 25376095 chr7:25376095:A:G rs1029434 A G G EBF1_EBF_1 -12 0 - 0 0 . chr7 25379848 25379849 chr7:25379849:C:G rs79041996 C G C EBF1_EBF_1 -12 0 + 0 0 . chr7 25477180 25477181 chr7:25477181:C:A rs17150486 C A C EBF1_EBF_1 15 0 - 0 0 . chr7 25522384 25522385 chr7:25522385:A:T rs6977464 A T T EBF1_EBF_1 24 0 - 0 0 . chr7 25529520 25529521 chr7:25529521:T:C rs73083920 T C T EBF1_EBF_1 29 0 + 0 0 . chr7 25543772 25543773 chr7:25543773:A:G rs2938107 A G A EBF1_EBF_1 12 1 + 7.653915449242609 6.2350981071431315 GTTCCCAAAGGAAC chr7 25552868 25552869 chr7:25552869:G:C rs66525562 G C G EBF1_EBF_1 19 0 + 0 0 . chr7 25584019 25584020 chr7:25584020:A:G rs80097618 A G G EBF1_EBF_1 -19 0 - 0 0 . chr7 25614132 25614133 chr7:25614133:G:A rs983546917 G A G EBF1_EBF_1 30 0 + 0 0 . chr7 25615127 25615128 chr7:25615128:A:G rs189398081 A G A EBF1_EBF_1 7 1 + 4.649805590014259 3.3373471847254326 GCCCCCAAGGGCCC chr7 25630006 25630007 chr7:25630007:A:G rs6461877 A G A EBF1_EBF_1 15 0 + 0 0 . chr7 25718674 25718675 chr7:25718675:T:C rs9969288 T C T EBF1_EBF_1 24 0 + 0 0 . chr7 25753035 25753036 chr7:25753036:G:C rs61418286 G C G EBF1_EBF_1 -3 0 - 0 0 . chr7 25753045 25753046 chr7:25753046:C:T rs4722520 C T C EBF1_EBF_1 -13 0 - 0 0 . chr7 25776662 25776663 chr7:25776663:T:A rs17152664 T A T EBF1_EBF_1 17 0 + 0 0 . chr7 25821451 25821452 chr7:25821452:G:A rs12535846 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 25840390 25840391 chr7:25840391:A:G rs4722529 A G G EBF1_EBF_1 29 0 - 0 0 . chr7 25849823 25849824 chr7:25849824:T:C rs79864751 T C T EBF1_EBF_1 4 1 + 5.81223760198594 10.181624546930863 ATTCTCCAGAGAGT chr7 25857828 25857829 chr7:25857829:C:T chr7:25857829:C:T C T C EBF1_EBF_1 -17 0 + 0 0 . chr7 25887101 25887102 chr7:25887102:C:G rs369787777 C G C EBF1_EBF_1 32 0 - 0 0 . chr7 25894417 25894418 chr7:25894418:A:T rs896310 A T T EBF1_EBF_1 -13 0 - 0 0 . chr7 25894420 25894421 chr7:25894421:G:A rs28625642 G A G EBF1_EBF_1 -16 0 - 0 0 . chr7 25951307 25951308 chr7:25951308:C:T rs367722469 C T c EBF1_EBF_1 6 1 + 4.017261316850713 4.31854744571247 CCTCCCCCGGGGTC chr7 25952205 25952206 chr7:25952206:T:C rs4722551 T C T EBF1_EBF_1 -13 0 + 0 0 . chr7 26106909 26106910 chr7:26106910:G:T rs2006800 G T T EBF1_EBF_1 3 1 - 4.945142987072376 -1.9610310423934298 GTTCCAAAGGGACC chr7 26115893 26115894 chr7:26115894:A:G rs2107348 A G A EBF1_EBF_1 24 0 + 0 0 . chr7 26115898 26115899 chr7:26115899:G:A rs62446337 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 26154278 26154279 chr7:26154279:A:G rs115897224 A G A EBF1_EBF_1 -5 0 + 0 0 . chr7 26155892 26155893 chr7:26155893:G:A chr7:26155893:G:A G A G EBF1_EBF_1 29 0 - 0 0 . chr7 26201338 26201339 chr7:26201339:A:G rs545866076 A G A EBF1_EBF_1 6 1 - 5.099673462863734 4.798387334001976 ACCCCTTTGGGACC chr7 26201541 26201542 chr7:26201542:C:T chr7:26201542:C:T C T C EBF1_EBF_1 17 0 + 0 0 . chr7 26201598 26201599 chr7:26201599:T:A rs111907229 T A T EBF1_EBF_1 6 1 + 4.508716797673552 4.569033036613071 TCCCCCTAGGGCCC chr7 26252262 26252263 chr7:26252263:G:A rs71521753 G A G EBF1_EBF_1 23 0 - 0 0 . chr7 26292371 26292372 chr7:26292372:A:C chr7:26292372:A:C A C A EBF1_EBF_1 23 0 + 0 0 . chr7 26343088 26343089 chr7:26343089:C:A rs35143210 C A C EBF1_EBF_1 2 1 + 4.684054891772232 2.8884107156155046 AGCCCCCGGGGCCA chr7 26348352 26348353 chr7:26348353:T:C rs12535203 T C C EBF1_EBF_1 24 0 + 0 0 . chr7 26349491 26349492 chr7:26349492:G:T rs151013227 G T G EBF1_EBF_1 -6 0 + 0 0 . chr7 26349518 26349519 chr7:26349519:G:A rs6946704 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 26376792 26376793 chr7:26376793:A:G rs6461933 A G g EBF1_EBF_1 6 1 + 5.886557858744297 3.719763427469821 GGCCCCATGGGGCC chr7 26399107 26399108 chr7:26399108:T:G rs1238010 T G T EBF1_EBF_1 1 1 + 8.232740314170488 7.527078528340961 CTTCCCCAGGGGCC chr7 26450668 26450669 chr7:26450669:A:T rs73289019 A T T EBF1_EBF_1 21 0 + 0 0 . chr7 26471380 26471381 chr7:26471381:G:A rs4722599 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 26500906 26500907 chr7:26500907:G:T rs114486088 G T G EBF1_EBF_1 -19 0 + 0 0 . chr7 26515000 26515001 chr7:26515001:A:G rs10270775 A G G EBF1_EBF_1 18 0 - 0 0 . chr7 26586351 26586352 chr7:26586352:T:C rs6973737 T C T EBF1_EBF_1 1 1 + 5.5278139501276975 4.632380509728952 ATTCCTAAGGGGCC chr7 26587123 26587124 chr7:26587124:T:C rs10242264 T C T EBF1_EBF_1 0 1 - 5.0520181159744775 3.159734246409643 ATTGCCAAGGGGAC chr7 26617421 26617422 chr7:26617422:T:C rs80237613 T C T EBF1_EBF_1 -12 0 - 0 0 . chr7 26621065 26621066 chr7:26621066:G:A rs75533594 G A G EBF1_EBF_1 32 0 + 0 0 . chr7 26623257 26623258 chr7:26623258:A:C rs62446548 A C A EBF1_EBF_1 15 0 + 0 0 . chr7 26701083 26701084 chr7:26701084:C:T rs7806174 C T C EBF1_EBF_1 -20 0 + 0 0 . chr7 26705844 26705845 chr7:26705845:G:A rs17154376 G A G EBF1_EBF_1 12 1 - 6.552881466852364 5.526614896301514 ATACCCATGAGACA chr7 26815662 26815663 chr7:26815663:G:A rs10266759 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 26815664 26815665 chr7:26815665:C:A rs3801828 C A A EBF1_EBF_1 -14 0 - 0 0 . chr7 27097400 27097401 chr7:27097401:C:G rs17500523 C G G EBF1_EBF_1 31 0 + 0 0 . chr7 27148759 27148760 chr7:27148760:C:T rs983184 C T C EBF1_EBF_1 17 0 + 0 0 . chr7 27169693 27169694 chr7:27169694:C:A rs375498839 C A C EBF1_EBF_1 21 0 + 0 0 . chr7 27173726 27173727 chr7:27173727:C:T rs372301094 C T C EBF1_EBF_1 10 1 - 4.1337692643271104 -1.1842591949398564 CTTCCCGCGGGGCC chr7 27182485 27182486 chr7:27182486:G:T rs539802863 G T G EBF1_EBF_1 -9 0 - 0 0 . chr7 27183259 27183260 chr7:27183260:G:A rs117390726 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 27183462 27183463 chr7:27183463:A:T rs17501111 A T A EBF1_EBF_1 -19 0 - 0 0 . chr7 27189757 27189758 chr7:27189758:C:T rs17501167 C T C EBF1_EBF_1 18 0 - 0 0 . chr7 27191930 27191931 chr7:27191931:C:T rs73683713 C T C EBF1_EBF_1 11 1 + 6.3336408245998 6.651688266353464 TTTCCCCTGGGCTT chr7 27193314 27193315 chr7:27193315:G:A rs181101862 G A G EBF1_EBF_1 13 1 - 3.6759436578117137 4.520437772397233 CGCCCCTCGGGGAC chr7 27199735 27199736 chr7:27199736:C:T rs868161182 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 27202075 27202076 chr7:27202076:G:A rs78113688 G A G EBF1_EBF_1 3 1 - 6.983269947088631 0.5778712055353128 AGTCCCCAGGAACA chr7 27211512 27211513 chr7:27211513:A:G rs7786570 A G A EBF1_EBF_1 -10 0 + 0 0 . chr7 27211776 27211777 chr7:27211777:C:T rs17428025 C T T EBF1_EBF_1 -20 0 + 0 0 . chr7 27239064 27239065 chr7:27239065:G:T rs6980012 G T G EBF1_EBF_1 -10 0 - 0 0 . chr7 27244870 27244871 chr7:27244871:T:C rs17428185 T C T EBF1_EBF_1 -17 0 + 0 0 . chr7 27244883 27244884 chr7:27244884:T:C rs75185414 T C T EBF1_EBF_1 -4 0 + 0 0 . chr7 27300001 27300002 chr7:27300002:T:G rs9690464 T G G EBF1_EBF_1 -17 0 + 0 0 . chr7 27300617 27300618 chr7:27300618:G:C rs543656306 G C G EBF1_EBF_1 -1 0 + 0 0 . chr7 27414092 27414093 chr7:27414093:G:A rs929243 G A A EBF1_EBF_1 -2 0 + 0 0 . chr7 27459564 27459565 chr7:27459565:A:G rs12668786 A G G EBF1_EBF_1 -13 0 - 0 0 . chr7 27552245 27552246 chr7:27552246:G:A rs192485547 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 27604073 27604074 chr7:27604074:G:A rs58231871 G A G EBF1_EBF_1 5 1 - 5.576166849926096 0.25437963438542704 GTTGCCATGGGAAC chr7 27615536 27615537 chr7:27615537:A:C rs144765246 A C A EBF1_EBF_1 -6 0 + 0 0 . chr7 27617961 27617962 chr7:27617962:C:T rs753766804 C T C EBF1_EBF_1 27 0 + 0 0 . chr7 27662241 27662242 chr7:27662242:G:A rs42088 G A G EBF1_EBF_1 19 0 + 0 0 . chr7 27663912 27663913 chr7:27663913:T:C rs1053075098 T C T EBF1_EBF_1 -3 0 - 0 0 . chr7 27745313 27745314 chr7:27745314:G:A rs76232457 G A G EBF1_EBF_1 10 1 + 5.128116751166136 -0.18991170810083025 ACTCCCGTGCGACC chr7 27745329 27745330 chr7:27745330:G:A rs10214930 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 27759634 27759635 chr7:27759635:G:T rs11763610 G T G EBF1_EBF_1 2 1 - 9.491800355847223 7.696156179690497 AGCCCCAGGGGAAA chr7 27863758 27863759 chr7:27863759:G:A rs35971552 G A G EBF1_EBF_1 21 0 - 0 0 . chr7 27874484 27874485 chr7:27874485:G:A rs17155971 G A G EBF1_EBF_1 17 0 - 0 0 . chr7 27946677 27946678 chr7:27946678:C:T rs68104887 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 28006639 28006640 chr7:28006640:A:G rs38524 A G G EBF1_EBF_1 -1 0 + 0 0 . chr7 28027108 28027109 chr7:28027109:G:A rs75772469 G A G EBF1_EBF_1 -17 0 + 0 0 . chr7 28081236 28081237 chr7:28081237:G:C rs77692474 G C G EBF1_EBF_1 -9 0 + 0 0 . chr7 28086539 28086540 chr7:28086540:T:C rs7779546 T C C EBF1_EBF_1 -19 0 + 0 0 . chr7 28211643 28211644 chr7:28211644:G:A rs748429780 G A G EBF1_EBF_1 -15 0 - 0 0 . chr7 28276411 28276412 chr7:28276412:G:A rs177480 G A A EBF1_EBF_1 14 0 - 0 0 . chr7 28315974 28315975 chr7:28315975:C:T rs187240613 C T C EBF1_EBF_1 18 0 - 0 0 . chr7 28360896 28360897 chr7:28360897:G:A rs55634054 G A A EBF1_EBF_1 -8 0 + 0 0 . chr7 28406620 28406621 chr7:28406621:T:C rs58835600 T C T EBF1_EBF_1 -6 0 + 0 0 . chr7 28489600 28489601 chr7:28489601:G:A rs73077718 G A G EBF1_EBF_1 -16 0 + 0 0 . chr7 28686703 28686704 chr7:28686704:G:T rs111236934 G T G EBF1_EBF_1 32 0 - 0 0 . chr7 28781232 28781233 chr7:28781233:G:C rs55634557 G C G EBF1_EBF_1 -15 0 + 0 0 . chr7 28802575 28802576 chr7:28802576:G:C rs2237365 G C C EBF1_EBF_1 26 0 + 0 0 . chr7 28847749 28847750 chr7:28847750:T:A rs6947335 T A A EBF1_EBF_1 -16 0 - 0 0 . chr7 28854314 28854315 chr7:28854315:A:C rs958543146 A C A EBF1_EBF_1 22 0 - 0 0 . chr7 28854354 28854355 chr7:28854355:G:A rs28481569 G A G EBF1_EBF_1 -18 0 - 0 0 . chr7 28866194 28866195 chr7:28866195:C:T rs28540278 C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 28866225 28866226 chr7:28866226:T:C rs11763355 T C C EBF1_EBF_1 30 0 + 0 0 . chr7 28904629 28904630 chr7:28904630:C:T rs756500156 C T C EBF1_EBF_1 5 1 + 4.210453829454251 -1.1113333860864192 AATCCCCGTGGACC chr7 28927567 28927568 chr7:28927568:A:G rs1612386 A G G EBF1_EBF_1 -19 0 + 0 0 . chr7 28927575 28927576 chr7:28927576:A:G rs1612362 A G G EBF1_EBF_1 -11 0 + 0 0 . chr7 28943100 28943101 chr7:28943101:G:A rs776507600 G A G EBF1_EBF_1 4 1 - 6.294238922197041 1.9248519772521182 GCTCCCTAAGGACA chr7 28957524 28957525 chr7:28957525:G:C rs6950750 G C G EBF1_EBF_1 -4 0 - 0 0 . chr7 28962274 28962275 chr7:28962275:G:A rs107542 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 28962290 28962291 chr7:28962291:T:C rs221162 T C C EBF1_EBF_1 11 1 - 4.946670228740071 2.0539633851360595 GCTCCCATGAGAGG chr7 28976167 28976168 chr7:28976168:T:G rs221193 T G T EBF1_EBF_1 -1 0 - 0 0 . chr7 28984394 28984395 chr7:28984395:C:A rs318122 C A A EBF1_EBF_1 -7 0 - 0 0 . chr7 28985793 28985794 chr7:28985794:T:C rs4513881 T C T EBF1_EBF_1 16 0 - 0 0 . chr7 28990406 28990407 chr7:28990407:G:A rs147152971 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 29040290 29040291 chr7:29040291:T:C rs147587928 T C T EBF1_EBF_1 32 0 - 0 0 . chr7 29040297 29040298 chr7:29040298:C:T rs78938502 C T C EBF1_EBF_1 25 0 - 0 0 . chr7 29040299 29040300 chr7:29040300:A:G rs142108612 A G A EBF1_EBF_1 23 0 - 0 0 . chr7 29069717 29069718 chr7:29069718:G:A rs17675939 G A G EBF1_EBF_1 26 0 - 0 0 . chr7 29174352 29174353 chr7:29174353:T:C rs73306215 T C C EBF1_EBF_1 18 0 - 0 0 . chr7 29193382 29193383 chr7:29193383:G:A rs245923 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 29194309 29194310 chr7:29194310:C:T rs541829815 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 29331602 29331603 chr7:29331603:A:G rs55714565 A G A EBF1_EBF_1 -7 0 + 0 0 . chr7 29366255 29366256 chr7:29366256:C:T rs10274204 C T T EBF1_EBF_1 -17 0 + 0 0 . chr7 29376285 29376286 chr7:29376286:A:G rs111657648 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 29397519 29397520 chr7:29397520:A:G rs1034719 A G A EBF1_EBF_1 -15 0 - 0 0 . chr7 29408505 29408506 chr7:29408506:G:A rs10259809 G A G EBF1_EBF_1 20 0 - 0 0 . chr7 29421356 29421357 chr7:29421357:T:C rs61669059 T C T EBF1_EBF_1 29 0 - 0 0 . chr7 29450031 29450032 chr7:29450032:G:A rs849924 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 29453523 29453524 chr7:29453524:C:G rs7782537 C G T EBF1_EBF_1 -17 0 - 0 0 . chr7 29473390 29473391 chr7:29473391:A:G rs7804908 A G G EBF1_EBF_1 25 0 + 0 0 . chr7 29487540 29487541 chr7:29487541:T:C rs1946133 T C T EBF1_EBF_1 31 0 - 0 0 . chr7 29565428 29565429 chr7:29565429:A:C rs11763489 A C A EBF1_EBF_1 23 0 - 0 0 . chr7 29565864 29565865 chr7:29565865:T:C rs1420112 T C C EBF1_EBF_1 -15 0 + 0 0 . chr7 29588407 29588408 chr7:29588408:G:A rs73295325 G A A EBF1_EBF_1 9 1 + 6.762042512569636 4.107103247122033 AGCCCCAAGGGCAC chr7 29612598 29612599 chr7:29612599:T:C rs6973110 T C T EBF1_EBF_1 30 0 - 0 0 . chr7 29612646 29612647 chr7:29612647:A:G rs2080301 A G A EBF1_EBF_1 -18 0 - 0 0 . chr7 29622633 29622634 chr7:29622634:C:T rs78120190 C T C EBF1_EBF_1 -1 0 - 0 0 . chr7 29649243 29649244 chr7:29649244:T:G rs17150665 T G t EBF1_EBF_1 27 0 - 0 0 . chr7 29723313 29723314 chr7:29723314:C:T rs144694472 C T c EBF1_EBF_1 -11 0 + 0 0 . chr7 29723340 29723341 chr7:29723341:G:A rs536124879 G A g EBF1_EBF_1 16 0 + 0 0 . chr7 29756521 29756522 chr7:29756522:T:A rs850143 T A A EBF1_EBF_1 12 1 + 9.384583169440988 10.441031138413164 AACCCCATGGGATC chr7 29854066 29854067 chr7:29854067:C:G rs75923008 C G C EBF1_EBF_1 27 0 + 0 0 . chr7 29878430 29878431 chr7:29878431:C:A rs191506416 C A C EBF1_EBF_1 -7 0 - 0 0 . chr7 29929557 29929558 chr7:29929558:G:A rs7777223 G A G EBF1_EBF_1 -14 0 - 0 0 . chr7 30026753 30026754 chr7:30026754:C:T chr7:30026754:C:T C T C EBF1_EBF_1 -6 0 - 0 0 . chr7 30026766 30026767 chr7:30026767:A:G rs146327266 A G A EBF1_EBF_1 -19 0 - 0 0 . chr7 30036984 30036985 chr7:30036985:A:C rs28696303 A C A EBF1_EBF_1 -14 0 + 0 0 . chr7 30074880 30074881 chr7:30074881:C:A rs11971966 C A C EBF1_EBF_1 10 1 - 6.757524618713294 2.5747724324860295 TTCCCCAGGAGACT chr7 30135827 30135828 chr7:30135828:C:T rs1168658636 C T C EBF1_EBF_1 20 0 + 0 0 . chr7 30149557 30149558 chr7:30149558:A:G rs149493658 A G A EBF1_EBF_1 -3 0 + 0 0 . chr7 30160380 30160381 chr7:30160381:T:G rs28701848 T G T EBF1_EBF_1 32 0 + 0 0 . chr7 30162714 30162715 chr7:30162715:C:T rs62623406 C T C EBF1_EBF_1 26 0 + 0 0 . chr7 30163874 30163875 chr7:30163875:C:T rs11970866 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 30163875 30163876 chr7:30163876:T:C rs11974581 T C C EBF1_EBF_1 -2 0 + 0 0 . chr7 30172062 30172063 chr7:30172063:C:T rs67803652 C T C EBF1_EBF_1 -10 0 + 0 0 . chr7 30179082 30179083 chr7:30179083:G:A chr7:30179083:G:A G A G EBF1_EBF_1 3 1 - 7.92669907771687 1.521300336163553 CACCCCCAGGGAAA chr7 30201494 30201495 chr7:30201495:G:A rs572170751 G A G EBF1_EBF_1 -12 0 + 0 0 . chr7 30413007 30413008 chr7:30413008:G:A rs112594118 G A G EBF1_EBF_1 16 0 + 0 0 . chr7 30451143 30451144 chr7:30451144:A:G rs2075822 A G A EBF1_EBF_1 -11 0 - 0 0 . chr7 30460344 30460345 chr7:30460345:T:C rs3823773 T C T EBF1_EBF_1 -13 0 - 0 0 . chr7 30460810 30460811 chr7:30460811:G:A rs112888319 G A G EBF1_EBF_1 -7 0 + 0 0 . chr7 30534035 30534036 chr7:30534036:T:C rs38452 T C c EBF1_EBF_1 -19 0 + 0 0 . chr7 30548992 30548993 chr7:30548993:G:A rs38469 G A G EBF1_EBF_1 8 1 - 6.127484988988688 4.55471106092618 GTTCCCTACGGAAA chr7 30553146 30553147 chr7:30553147:G:A rs73311257 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 30634976 30634977 chr7:30634977:T:G rs138201982 T G T EBF1_EBF_1 22 0 + 0 0 . chr7 30655344 30655345 chr7:30655345:C:T rs12701020 C T C EBF1_EBF_1 -13 0 - 0 0 . chr7 30699450 30699451 chr7:30699451:T:C rs255116 T C C EBF1_EBF_1 25 0 - 0 0 . chr7 30717315 30717316 chr7:30717316:A:T rs57941562 A T A EBF1_EBF_1 -20 0 - 0 0 . chr7 30728788 30728789 chr7:30728789:T:C rs255164 T C T EBF1_EBF_1 -10 0 + 0 0 . chr7 30731572 30731573 chr7:30731573:C:T rs255168 C T C EBF1_EBF_1 9 1 - 6.116968123863915 3.46202885841631 TCTCCCCTGGGGTC chr7 30741044 30741045 chr7:30741045:T:C rs255173 T C c EBF1_EBF_1 -17 0 + 0 0 . chr7 30751068 30751069 chr7:30751069:A:C chr7:30751069:A:C A C a EBF1_EBF_1 -11 0 + 0 0 . chr7 30751101 30751102 chr7:30751102:A:C chr7:30751102:A:C A C A EBF1_EBF_1 22 0 + 0 0 . chr7 30764916 30764917 chr7:30764917:G:A rs116448816 G A G EBF1_EBF_1 4 1 - 7.703484116363297 3.334097171418373 TGTCCCCAGAGAAA chr7 30765299 30765300 chr7:30765300:T:A rs6462222 T A A EBF1_EBF_1 -12 0 + 0 0 . chr7 30772099 30772100 chr7:30772100:G:C rs55827436 G C G EBF1_EBF_1 -5 0 - 0 0 . chr7 30776560 30776561 chr7:30776561:T:G rs718930 T G T EBF1_EBF_1 13 1 + 6.20002008077971 3.8674549448387774 GCTCCCTGAGGACT chr7 30791646 30791647 chr7:30791647:T:A rs62446940 T A T EBF1_EBF_1 15 0 - 0 0 . chr7 30797386 30797387 chr7:30797387:A:G rs1017012 A G A EBF1_EBF_1 0 1 - 5.25975916427231 4.9775571035417325 TGTCCCCAGGGCTC chr7 30813631 30813632 chr7:30813632:G:T rs76655111 G T G EBF1_EBF_1 -1 0 - 0 0 . chr7 30815701 30815702 chr7:30815702:G:A rs62446949 G A G EBF1_EBF_1 4 1 - 5.960800237942074 1.5914132929971503 TTACCCCAGGGAGA chr7 30831767 30831768 chr7:30831768:A:T rs74432030 A T A EBF1_EBF_1 -10 0 - 0 0 . chr7 30837025 30837026 chr7:30837026:G:A rs10229281 G A G EBF1_EBF_1 -4 0 - 0 0 . chr7 30839337 30839338 chr7:30839338:T:C rs117995346 T C T EBF1_EBF_1 7 1 - 5.856515293032376 4.544056887743551 GGTCCCAAGGAAAC chr7 30840535 30840536 chr7:30840536:A:C rs62449078 A C C EBF1_EBF_1 -11 0 + 0 0 . chr7 30845760 30845761 chr7:30845761:G:A rs77183890 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 30845779 30845780 chr7:30845780:C:T rs577379787 C T C EBF1_EBF_1 17 0 + 0 0 . chr7 30851818 30851819 chr7:30851819:G:T rs111262111 G T G EBF1_EBF_1 25 0 + 0 0 . chr7 30887171 30887172 chr7:30887172:A:G rs57960748 A G A EBF1_EBF_1 30 0 + 0 0 . chr7 30919948 30919949 chr7:30919949:C:G rs765839 C G G EBF1_EBF_1 30 0 - 0 0 . chr7 30925991 30925992 chr7:30925992:C:G rs74777717 C G C EBF1_EBF_1 10 1 - 6.2545336190170335 -0.6362687683124415 TCTCCCATGAGACG chr7 30988722 30988723 chr7:30988723:C:T rs886712018 C T C EBF1_EBF_1 4 1 + 10.144456279768757 5.775069334823835 CTTCCCTGGGGAAC chr7 30997692 30997693 chr7:30997693:C:T rs11770123 C T C EBF1_EBF_1 12 1 - 5.2061776439576795 6.624994986057157 CTTCCCATGGGCGC chr7 31028690 31028691 chr7:31028691:G:A rs148664516 G A G EBF1_EBF_1 28 0 - 0 0 . chr7 31059570 31059571 chr7:31059571:T:C rs76820674 T C C EBF1_EBF_1 19 0 - 0 0 . chr7 31065420 31065421 chr7:31065421:G:A rs73088288 G A G EBF1_EBF_1 14 0 - 0 0 . chr7 31065729 31065730 chr7:31065730:G:A rs567044535 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 31070862 31070863 chr7:31070863:T:G rs758996 T G G EBF1_EBF_1 0 1 - 6.143692558600571 3.8884340749039703 AATCACCTGGGAAC chr7 31070882 31070883 chr7:31070883:A:T rs112476168 A T A EBF1_EBF_1 -20 0 - 0 0 . chr7 31073750 31073751 chr7:31073751:A:G rs79486743 A G A EBF1_EBF_1 -7 0 + 0 0 . chr7 31094702 31094703 chr7:31094703:C:A rs2267732 C A A EBF1_EBF_1 31 0 + 0 0 . chr7 31102327 31102328 chr7:31102328:T:A rs7784167 T A T EBF1_EBF_1 11 1 - 6.037542651792112 1.939628395693387 CTCCCCCAGAGAGT chr7 31108990 31108991 chr7:31108991:A:G rs553596880 A G A EBF1_EBF_1 20 0 - 0 0 . chr7 31109003 31109004 chr7:31109004:C:T rs60448162 C T C EBF1_EBF_1 7 1 - 8.492813463522909 9.805271868811731 ATCCCCAGGGGGCT chr7 31125440 31125441 chr7:31125441:T:C rs58256725 T C T EBF1_EBF_1 28 0 + 0 0 . chr7 31174032 31174033 chr7:31174033:G:A rs7809542 G A A EBF1_EBF_1 8 1 - 5.298838097895909 3.7260641698334007 TGTCCCATCGGAAC chr7 31186427 31186428 chr7:31186428:A:C rs184736959 A C A EBF1_EBF_1 27 0 - 0 0 . chr7 31186432 31186433 chr7:31186433:C:G rs113732350 C G C EBF1_EBF_1 22 0 - 0 0 . chr7 31305869 31305870 chr7:31305870:C:G rs17393894 C G C EBF1_EBF_1 33 0 + 0 0 . chr7 31311725 31311726 chr7:31311726:C:T rs78440497 C T T EBF1_EBF_1 25 0 - 0 0 . chr7 31423299 31423300 chr7:31423300:G:A rs2190442 G A A EBF1_EBF_1 19 0 + 0 0 . chr7 31432422 31432423 chr7:31432423:G:A rs218138 G A G EBF1_EBF_1 16 0 + 0 0 . chr7 31432436 31432437 chr7:31432437:G:A rs141106570 G A G EBF1_EBF_1 30 0 + 0 0 . chr7 31437657 31437658 chr7:31437658:C:A rs218129 C A C EBF1_EBF_1 33 0 - 0 0 . chr7 31437667 31437668 chr7:31437668:A:C rs218128 A C A EBF1_EBF_1 23 0 - 0 0 . chr7 31478715 31478716 chr7:31478716:T:C rs1055920121 T C T EBF1_EBF_1 0 1 - 7.242267998410709 5.3499841288458745 AGTCACAAGGGAAC chr7 31558422 31558423 chr7:31558423:G:A rs7810337 G A G EBF1_EBF_1 9 1 + 5.289445117432952 2.6345058519853475 AGCCCTTTGGGACC chr7 31751505 31751506 chr7:31751506:C:A rs183816774 C A C EBF1_EBF_1 14 0 + 0 0 . chr7 31767839 31767840 chr7:31767840:C:G rs2109835 C G G EBF1_EBF_1 21 0 - 0 0 . chr7 31811995 31811996 chr7:31811996:A:G rs3807616 A G G EBF1_EBF_1 18 0 - 0 0 . chr7 31883288 31883289 chr7:31883289:A:G rs1860788 A G A EBF1_EBF_1 20 0 - 0 0 . chr7 31995667 31995668 chr7:31995668:T:C rs17160765 T C T EBF1_EBF_1 -14 0 + 0 0 . chr7 32171120 32171121 chr7:32171121:T:A rs75796266 T A T EBF1_EBF_1 -7 0 + 0 0 . chr7 32174427 32174428 chr7:32174428:C:G rs7792583 C G C EBF1_EBF_1 27 0 - 0 0 . chr7 32207901 32207902 chr7:32207902:C:T rs889886259 C T C EBF1_EBF_1 -8 0 - 0 0 . chr7 32214401 32214402 chr7:32214402:T:C rs6966630 T C T EBF1_EBF_1 18 0 - 0 0 . chr7 32256523 32256524 chr7:32256524:G:A rs28496729 G A G EBF1_EBF_1 24 0 + 0 0 . chr7 32297726 32297727 chr7:32297727:G:A rs1349399 G A G EBF1_EBF_1 -3 0 + 0 0 . chr7 32299209 32299210 chr7:32299210:C:A rs191962082 C A C EBF1_EBF_1 -19 0 - 0 0 . chr7 32319322 32319323 chr7:32319323:G:A rs73089957 G A G EBF1_EBF_1 -8 0 + 0 0 . chr7 32347211 32347212 chr7:32347212:G:A rs1668388 G A G EBF1_EBF_1 16 0 + 0 0 . chr7 32428179 32428180 chr7:32428180:A:G rs79538247 A G G EBF1_EBF_1 11 1 + 5.928915350339279 3.036208506735268 GCTCCCCGAGGAAT chr7 32450225 32450226 chr7:32450226:G:A rs6946631 G A G EBF1_EBF_1 29 0 - 0 0 . chr7 32475163 32475164 chr7:32475164:T:C rs16875962 T C C EBF1_EBF_1 25 0 + 0 0 . chr7 32495573 32495574 chr7:32495574:C:T rs1264417634 C T C EBF1_EBF_1 -20 0 - 0 0 . chr7 32522795 32522796 chr7:32522796:G:A rs10951340 G A G EBF1_EBF_1 23 0 - 0 0 . chr7 32559729 32559730 chr7:32559730:T:A rs17161413 T A T EBF1_EBF_1 -6 0 - 0 0 . chr7 32619102 32619103 chr7:32619103:A:G rs6462389 A G g EBF1_EBF_1 1 1 - 6.5751551400118915 5.679721699613146 ATTCACTGGGGAAC chr7 32619122 32619123 chr7:32619123:G:A rs6462390 G A g EBF1_EBF_1 -19 0 - 0 0 . chr7 32661079 32661080 chr7:32661080:C:T rs1473353 C T t EBF1_EBF_1 22 0 - 0 0 . chr7 32772483 32772484 chr7:32772484:A:G rs12667060 A G g EBF1_EBF_1 -9 0 + 0 0 . chr7 32777589 32777590 chr7:32777590:G:A rs1868782 G A g EBF1_EBF_1 -15 0 - 0 0 . chr7 32787215 32787216 chr7:32787216:G:A rs189412507 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 32801302 32801303 chr7:32801303:C:A rs2392141 C A c EBF1_EBF_1 31 0 - 0 0 . chr7 32862148 32862149 chr7:32862149:G:A rs142748080 G A G EBF1_EBF_1 -15 0 - 0 0 . chr7 32890818 32890819 chr7:32890819:C:T rs115428983 C T C EBF1_EBF_1 24 0 - 0 0 . chr7 32929876 32929877 chr7:32929877:G:A rs3750078 G A G EBF1_EBF_1 0 1 - 5.918166190882498 6.200368251613075 CTTCCCTGAGGAAC chr7 32938109 32938110 chr7:32938110:C:T rs7808290 C T C EBF1_EBF_1 -11 0 + 0 0 . chr7 32938128 32938129 chr7:32938129:A:G rs554010141 A G A EBF1_EBF_1 8 1 + 5.382275147152528 9.608565236038789 ACTCCCCAAGGAGG chr7 32957146 32957147 chr7:32957147:C:T rs147306101 C T C EBF1_EBF_1 23 0 - 0 0 . chr7 32957152 32957153 chr7:32957153:C:T rs112820058 C T C EBF1_EBF_1 17 0 - 0 0 . chr7 32958202 32958203 chr7:32958203:A:C rs113289245 A C A EBF1_EBF_1 2 1 - 12.353672065594225 5.605346375393759 ATTCCCAAGGGAGC chr7 32965006 32965007 chr7:32965007:C:T rs148665776 C T c EBF1_EBF_1 -4 0 - 0 0 . chr7 33219190 33219191 chr7:33219191:C:T rs28435377 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 33291977 33291978 chr7:33291978:A:C rs73099226 A C a EBF1_EBF_1 -7 0 - 0 0 . chr7 33412389 33412390 chr7:33412390:G:A rs13239022 G A G EBF1_EBF_1 -4 0 + 0 0 . chr7 33486338 33486339 chr7:33486339:A:C rs35822718 A C A EBF1_EBF_1 24 0 + 0 0 . chr7 33501384 33501385 chr7:33501385:T:C rs59534769 T C T EBF1_EBF_1 -3 0 - 0 0 . chr7 33503937 33503938 chr7:33503938:G:A rs2392241 G A A EBF1_EBF_1 0 1 - 5.57644668032973 5.858648741060308 CATCCCTGGGGCCT chr7 33548125 33548126 chr7:33548126:T:A rs73690918 T A T EBF1_EBF_1 16 0 - 0 0 . chr7 33632635 33632636 chr7:33632636:G:T rs3735417 G T G EBF1_EBF_1 23 0 - 0 0 . chr7 33669521 33669522 chr7:33669522:T:G rs146377388 T G T EBF1_EBF_1 33 0 - 0 0 . chr7 33719113 33719114 chr7:33719114:C:T rs538750506 C T C EBF1_EBF_1 26 0 - 0 0 . chr7 33719125 33719126 chr7:33719126:C:T rs189792369 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 33722852 33722853 chr7:33722853:G:A rs58323951 G A G EBF1_EBF_1 30 0 - 0 0 . chr7 33722888 33722889 chr7:33722889:C:T rs142639700 C T C EBF1_EBF_1 -6 0 - 0 0 . chr7 33722893 33722894 chr7:33722894:C:G rs17170377 C G C EBF1_EBF_1 -11 0 - 0 0 . chr7 33727399 33727400 chr7:33727400:A:G rs2232116 A G A EBF1_EBF_1 0 1 + 5.0970885227149365 3.2048046531501018 ACTCACTTGGGAGC chr7 33775677 33775678 chr7:33775678:C:T rs6972902 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 33875070 33875071 chr7:33875071:C:T rs945648199 C T C EBF1_EBF_1 0 1 + 7.598167944655819 7.880370005386396 CGTCCCTGGAGACT chr7 33895512 33895513 chr7:33895513:G:A rs116314096 G A G EBF1_EBF_1 20 0 - 0 0 . chr7 33895538 33895539 chr7:33895539:T:C rs147778693 T C T EBF1_EBF_1 -6 0 - 0 0 . chr7 33905588 33905589 chr7:33905589:C:A rs75295552 C A C EBF1_EBF_1 10 1 - 7.171977715533256 2.9892255293059913 AATCCCTGGCGACT chr7 33938144 33938145 chr7:33938145:A:G rs10247996 A G G EBF1_EBF_1 13 1 - 11.870203194627637 11.025709080042118 AATCCCCAGGGAGT chr7 33979707 33979708 chr7:33979708:G:A rs78927618 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 34020740 34020741 chr7:34020741:C:G rs145243236 C G C EBF1_EBF_1 -13 0 - 0 0 . chr7 34035048 34035049 chr7:34035049:A:C rs12670628 A C C EBF1_EBF_1 0 1 + 5.783972804177524 3.5287143204809244 AGTCCCAAGAGCTT chr7 34049077 34049078 chr7:34049078:G:T rs17823423 G T G EBF1_EBF_1 15 0 + 0 0 . chr7 34117840 34117841 chr7:34117841:G:A rs17752637 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 34130661 34130662 chr7:34130662:T:G rs115600422 T G T EBF1_EBF_1 27 0 - 0 0 . chr7 34830042 34830043 chr7:34830043:C:T rs73094703 C T C EBF1_EBF_1 -8 0 - 0 0 . chr7 34849569 34849570 chr7:34849570:A:G rs6972158 A G G EBF1_EBF_1 -1 0 - 0 0 . chr7 34852554 34852555 chr7:34852555:G:T rs13340538 G T G EBF1_EBF_1 8 1 + 8.56796976957538 1.6765369805981851 TTCCCCAGGGGACC chr7 34891602 34891603 chr7:34891603:A:C rs55746805 A C A EBF1_EBF_1 1 1 - 5.773286751398263 5.0676249655687355 ATTCCCTCAGGAGC chr7 35022953 35022954 chr7:35022954:A:G rs10243141 A G . EBF1_EBF_1 15 0 + 0 0 . chr7 35038226 35038227 chr7:35038227:C:T rs146776641 C T . EBF1_EBF_1 21 0 - 0 0 . chr7 35038256 35038257 chr7:35038257:G:C chr7:35038257:G:C G C . EBF1_EBF_1 -9 0 - 0 0 . chr7 35185905 35185906 chr7:35185906:C:A rs10262841 C A c EBF1_EBF_1 26 0 + 0 0 . chr7 35289624 35289625 chr7:35289625:T:C rs1001005117 T C T EBF1_EBF_1 30 0 + 0 0 . chr7 35355819 35355820 chr7:35355820:C:G rs6958530 C G C EBF1_EBF_1 25 0 - 0 0 . chr7 35368303 35368304 chr7:35368304:G:A rs12701424 G A G EBF1_EBF_1 3 1 - 5.569672800627794 -0.8357259409255238 ATCCGCATGGGATT chr7 35387498 35387499 chr7:35387499:T:C rs10258686 T C T EBF1_EBF_1 -14 0 - 0 0 . chr7 35418788 35418789 chr7:35418789:A:T rs11983092 A T A EBF1_EBF_1 -5 0 - 0 0 . chr7 35454402 35454403 chr7:35454403:C:G rs343024 C G C EBF1_EBF_1 -3 0 + 0 0 . chr7 35454767 35454768 chr7:35454768:G:A rs182881277 G A G EBF1_EBF_1 23 0 + 0 0 . chr7 35561072 35561073 chr7:35561073:A:T rs58933480 A T A EBF1_EBF_1 13 1 + 7.029155706939922 8.247395270811417 ATACCCAAGAGACA chr7 35566412 35566413 chr7:35566413:A:C rs7780314 A C C EBF1_EBF_1 2 1 - 6.247307052082603 -0.5010186381178656 CTTCCCTTGAGGCT chr7 35574942 35574943 chr7:35574943:G:A rs73086841 G A A EBF1_EBF_1 15 0 + 0 0 . chr7 35727844 35727845 chr7:35727845:C:G rs62454639 C G C EBF1_EBF_1 25 0 - 0 0 . chr7 35749250 35749251 chr7:35749251:G:C rs34348337 G C G EBF1_EBF_1 -12 0 + 0 0 . chr7 35752550 35752551 chr7:35752551:A:G rs715425 A G A EBF1_EBF_1 30 0 + 0 0 . chr7 35844685 35844686 chr7:35844686:G:A rs12701462 G A G EBF1_EBF_1 0 1 + 5.887966705169133 7.780250574733967 GTTCCCCTGGGTTC chr7 35908801 35908802 chr7:35908802:C:T rs564555755 C T C EBF1_EBF_1 6 1 + 7.044135752320689 7.345421881182445 ATTCCCCTGGGTGA chr7 35982805 35982806 chr7:35982806:T:C rs6956906 T C T EBF1_EBF_1 26 0 - 0 0 . chr7 35986524 35986525 chr7:35986525:T:C rs28758548 T C C EBF1_EBF_1 -19 0 + 0 0 . chr7 35993828 35993829 chr7:35993829:T:C rs144788626 T C T EBF1_EBF_1 1 1 + 5.906040523456043 5.010607083057297 CTTCTCCTGGGACA chr7 36011674 36011675 chr7:36011675:C:T rs80262048 C T C EBF1_EBF_1 -13 0 - 0 0 . chr7 36032853 36032854 chr7:36032854:G:A rs73102533 G A G EBF1_EBF_1 -10 0 + 0 0 . chr7 36033164 36033165 chr7:36033165:C:T rs74771631 C T C EBF1_EBF_1 3 1 + 11.570260490609012 5.164861749055698 ATTCCCCAGAGACT chr7 36041121 36041122 chr7:36041122:C:T rs2700931 C T C EBF1_EBF_1 -8 0 - 0 0 . chr7 36078155 36078156 chr7:36078156:T:C rs11978650 T C t EBF1_EBF_1 18 0 - 0 0 . chr7 36137774 36137775 chr7:36137775:G:A rs2700898 G A g EBF1_EBF_1 -9 0 + 0 0 . chr7 36138870 36138871 chr7:36138871:A:C rs2726115 A C C EBF1_EBF_1 -10 0 - 0 0 . chr7 36142329 36142330 chr7:36142330:C:T rs58840739 C T C EBF1_EBF_1 11 1 - 9.8938514863301 12.786558329934111 ACTCCCTAGGGGCC chr7 36142352 36142353 chr7:36142353:C:A rs58221920 C A A EBF1_EBF_1 -12 0 - 0 0 . chr7 36153139 36153140 chr7:36153140:C:G rs745526004 C G C EBF1_EBF_1 33 0 - 0 0 . chr7 36153159 36153160 chr7:36153160:G:T rs57478591 G T G EBF1_EBF_1 13 1 - 6.294299838168094 5.920554388882118 ACTCCCGAGGGCAC chr7 36153167 36153168 chr7:36153168:G:C rs114447230 G C G EBF1_EBF_1 5 1 - 6.294299838168094 -0.6105813144670739 ACTCCCGAGGGCAC chr7 36153177 36153178 chr7:36153178:G:C rs12540935 G C G EBF1_EBF_1 -5 0 - 0 0 . chr7 36153190 36153191 chr7:36153191:G:A chr7:36153191:G:A G A G EBF1_EBF_1 -18 0 - 0 0 . chr7 36153917 36153918 chr7:36153918:C:T rs144717575 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 36161117 36161118 chr7:36161118:G:A rs2726049 G A A EBF1_EBF_1 24 0 - 0 0 . chr7 36161141 36161142 chr7:36161142:T:G rs57780096 T G T EBF1_EBF_1 0 1 - 5.260578841476929 3.0053203577803296 AGTCCCCAGAGCAA chr7 36189153 36189154 chr7:36189154:G:A rs80232599 G A G EBF1_EBF_1 8 1 + 6.398242852210491 2.1719527633242297 GCCCCCACGGGACA chr7 36210011 36210012 chr7:36210012:C:T rs10248971 C T C EBF1_EBF_1 8 1 - 5.28129546555797 1.0550053766717087 CCTCCCCCGAGACC chr7 36258820 36258821 chr7:36258821:C:G rs196560 C G G EBF1_EBF_1 6 1 - 5.760452951251478 7.565645014724675 ACTCCCGTGGAAAT chr7 36276570 36276571 chr7:36276571:G:C rs2160273 G C G EBF1_EBF_1 19 0 - 0 0 . chr7 36278171 36278172 chr7:36278172:C:T rs6946846 C T C EBF1_EBF_1 32 0 + 0 0 . chr7 36284715 36284716 chr7:36284716:G:T rs3815682 G T G EBF1_EBF_1 0 1 - 6.953498923779274 9.208757407475876 CGTCCCATAGGAAT chr7 36286409 36286410 chr7:36286410:G:A rs182774146 G A G EBF1_EBF_1 24 0 - 0 0 . chr7 36286419 36286420 chr7:36286420:C:T rs12539236 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 36286443 36286444 chr7:36286444:C:A rs10951470 C A C EBF1_EBF_1 -10 0 - 0 0 . chr7 36291877 36291878 chr7:36291878:G:A rs183623280 G A G EBF1_EBF_1 -4 0 - 0 0 . chr7 36304248 36304249 chr7:36304249:C:A rs187692804 C A C EBF1_EBF_1 1 1 + 4.588528319061477 4.517601141709393 ACCCCCCTGAGAGG chr7 36305712 36305713 chr7:36305713:A:G rs13244566 A G A EBF1_EBF_1 -3 0 - 0 0 . chr7 36305860 36305861 chr7:36305861:A:G rs74406238 A G A EBF1_EBF_1 -3 0 + 0 0 . chr7 36309261 36309262 chr7:36309262:C:T rs75041730 C T C EBF1_EBF_1 7 1 - 6.768885787109093 8.081344192397918 ACCCCCAGGGGAGG chr7 36309277 36309278 chr7:36309278:T:C rs58103435 T C T EBF1_EBF_1 -9 0 - 0 0 . chr7 36309966 36309967 chr7:36309967:C:T rs117575367 C T C EBF1_EBF_1 -4 0 + 0 0 . chr7 36309976 36309977 chr7:36309977:C:T rs78938557 C T C EBF1_EBF_1 6 1 + 5.893984506259476 6.195270635121232 AGCCCCCTGGGCCC chr7 36315149 36315150 chr7:36315150:C:T rs563291285 C T C EBF1_EBF_1 29 0 - 0 0 . chr7 36316018 36316019 chr7:36316019:T:C rs55986673 T C T EBF1_EBF_1 -2 0 - 0 0 . chr7 36394111 36394112 chr7:36394112:C:T rs6964685 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 36441872 36441873 chr7:36441873:G:A rs12535394 G A A EBF1_EBF_1 28 0 - 0 0 . chr7 36474402 36474403 chr7:36474403:C:T rs62445318 C T C EBF1_EBF_1 -19 0 + 0 0 . chr7 36484360 36484361 chr7:36484361:T:C rs10277806 T C T EBF1_EBF_1 1 1 + 8.679401293153385 7.783967852754641 ATTCCCTTGGTAAA chr7 36486068 36486069 chr7:36486069:A:G rs12154574 A G A EBF1_EBF_1 16 0 + 0 0 . chr7 36523950 36523951 chr7:36523951:T:G rs190615379 T G T EBF1_EBF_1 -11 0 + 0 0 . chr7 36590753 36590754 chr7:36590754:A:G rs2727798 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 36609732 36609733 chr7:36609733:C:G rs2718183 C G G EBF1_EBF_1 25 0 - 0 0 . chr7 36644875 36644876 chr7:36644876:T:C rs7790204 T C C EBF1_EBF_1 -19 0 - 0 0 . chr7 36678128 36678129 chr7:36678129:T:C rs75407581 T C T EBF1_EBF_1 -19 0 + 0 0 . chr7 36682160 36682161 chr7:36682161:C:G rs4532514 C G C EBF1_EBF_1 -5 0 + 0 0 . chr7 36689542 36689543 chr7:36689543:T:C rs77672936 T C A EBF1_EBF_1 7 1 - 4.663439174413622 3.3509807691247957 ATTCACCAGGGGCC chr7 36710378 36710379 chr7:36710379:G:T rs34783369 G T G EBF1_EBF_1 33 0 + 0 0 . chr7 36763313 36763314 chr7:36763314:A:G rs138854592 A G A EBF1_EBF_1 32 0 + 0 0 . chr7 36785162 36785163 chr7:36785163:G:A rs10231710 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 36831844 36831845 chr7:36831845:G:A rs2392472 G A A EBF1_EBF_1 -8 0 + 0 0 . chr7 36918507 36918508 chr7:36918508:G:A rs4723600 G A A EBF1_EBF_1 -5 0 - 0 0 . chr7 36945043 36945044 chr7:36945044:C:T rs114798804 C T T EBF1_EBF_1 7 1 - 5.929749100925186 7.242207506214011 TATCCCCGGAGAGT chr7 37109516 37109517 chr7:37109517:G:A rs77660454 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 37109551 37109552 chr7:37109552:G:A rs117289937 G A G EBF1_EBF_1 -2 0 - 0 0 . chr7 37110332 37110333 chr7:37110333:C:G rs73335567 C G C EBF1_EBF_1 24 0 + 0 0 . chr7 37174638 37174639 chr7:37174639:A:G rs2160429 A G G EBF1_EBF_1 23 0 - 0 0 . chr7 37214325 37214326 chr7:37214326:T:C rs6944624 T C C EBF1_EBF_1 28 0 - 0 0 . chr7 37230291 37230292 chr7:37230292:C:G rs11765067 C G C EBF1_EBF_1 28 0 - 0 0 . chr7 37265811 37265812 chr7:37265812:A:G rs58695144 A G A EBF1_EBF_1 26 0 - 0 0 . chr7 37283671 37283672 chr7:37283672:A:G rs76065208 A G A EBF1_EBF_1 -9 0 - 0 0 . chr7 37283910 37283911 chr7:37283911:C:T rs113383989 C T C EBF1_EBF_1 -15 0 - 0 0 . chr7 37284968 37284969 chr7:37284969:T:C rs969168493 T C T EBF1_EBF_1 7 1 - 5.108689881804151 3.7962314765153256 CTTCCCCATGGAAT chr7 37313697 37313698 chr7:37313698:T:C rs12532146 T C T EBF1_EBF_1 30 0 - 0 0 . chr7 37316290 37316291 chr7:37316291:T:C rs4723633 T C T EBF1_EBF_1 -20 0 + 0 0 . chr7 37320683 37320684 chr7:37320684:G:A rs3847014 G A A EBF1_EBF_1 25 0 + 0 0 . chr7 37355096 37355097 chr7:37355097:C:G rs145199258 C G C EBF1_EBF_1 -12 0 + 0 0 . chr7 37355111 37355112 chr7:37355112:C:G rs77144276 C G C EBF1_EBF_1 3 1 + 6.81492357141914 0.9871591233039243 ATTCCCAGAGGAGC chr7 37385928 37385929 chr7:37385929:C:T rs6969274 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 37417199 37417200 chr7:37417200:C:A rs10231765 C A T EBF1_EBF_1 0 1 + 6.945007815545161 9.200266299241761 CGTCCCCTGAGAAA chr7 37417218 37417219 chr7:37417219:G:A rs10231979 G A G EBF1_EBF_1 19 0 + 0 0 . chr7 37433194 37433195 chr7:37433195:C:G rs11767227 C G c EBF1_EBF_1 33 0 + 0 0 . chr7 37434603 37434604 chr7:37434604:T:A rs1647789 T A T EBF1_EBF_1 -7 0 + 0 0 . chr7 37446530 37446531 chr7:37446531:A:G rs148658661 A G A EBF1_EBF_1 26 0 - 0 0 . chr7 37446544 37446545 chr7:37446545:G:A rs142177534 G A G EBF1_EBF_1 12 1 - 7.242034323701219 6.215767753150369 TCTCCCTAGGGCCT chr7 37448517 37448518 chr7:37448518:G:C rs576255064 G C G EBF1_EBF_1 -7 0 + 0 0 . chr7 37546277 37546278 chr7:37546278:A:G rs59778791 A G A EBF1_EBF_1 31 0 - 0 0 . chr7 37549495 37549496 chr7:37549496:C:T rs77326417 C T C EBF1_EBF_1 32 0 + 0 0 . chr7 37549496 37549497 chr7:37549497:G:A rs184284047 G A G EBF1_EBF_1 33 0 + 0 0 . chr7 37642575 37642576 chr7:37642576:A:G rs2718069 A G G EBF1_EBF_1 -16 0 - 0 0 . chr7 37660825 37660826 chr7:37660826:C:T rs116108643 C T C EBF1_EBF_1 11 1 - 6.700431038966605 9.593137882570616 ATTCCCAGGAGGTT chr7 37797179 37797180 chr7:37797180:A:G rs10488615 A G A EBF1_EBF_1 -14 0 + 0 0 . chr7 37901594 37901595 chr7:37901595:G:A rs1530821 G A G EBF1_EBF_1 18 0 - 0 0 . chr7 37912788 37912789 chr7:37912789:C:T rs3807194 C T C EBF1_EBF_1 -2 0 - 0 0 . chr7 37912796 37912797 chr7:37912797:A:C rs3807195 A C A EBF1_EBF_1 -10 0 - 0 0 . chr7 37913084 37913085 chr7:37913085:T:C rs3823513 T C T EBF1_EBF_1 24 0 + 0 0 . chr7 37944896 37944897 chr7:37944897:C:T rs6949269 C T T EBF1_EBF_1 16 0 + 0 0 . chr7 37945570 37945571 chr7:37945571:T:A rs78939164 T A T EBF1_EBF_1 -19 0 - 0 0 . chr7 38230932 38230933 chr7:38230933:G:A rs71546635 G A G EBF1_EBF_1 31 0 - 0 0 . chr7 38230948 38230949 chr7:38230949:G:C rs10260281 G C G EBF1_EBF_1 15 0 - 0 0 . chr7 38277167 38277168 chr7:38277168:G:A rs6966863 G A G EBF1_EBF_1 1 1 + 5.445916094007686 5.185217262086384 AGTCCCCTGAGTCC chr7 38304940 38304941 chr7:38304941:C:T rs74333002 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 38317592 38317593 chr7:38317593:T:C rs11980080 T C T EBF1_EBF_1 6 1 - 5.255186695287087 3.0883922640126107 TCACCCATGGGAAG chr7 38319126 38319127 chr7:38319127:C:G rs3815397 C G C EBF1_EBF_1 -20 0 - 0 0 . chr7 38334830 38334831 chr7:38334831:A:T rs190763029 A T A EBF1_EBF_1 13 1 - 4.554438756704831 3.336199192833336 CCTCCCCCAGGACT chr7 38362532 38362533 chr7:38362533:A:G rs2735119 A G G EBF1_EBF_1 -4 0 - 0 0 . chr7 38362538 38362539 chr7:38362539:C:T rs2540188 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 38362674 38362675 chr7:38362675:A:T rs181131533 A T A EBF1_EBF_1 -7 0 - 0 0 . chr7 38367427 38367428 chr7:38367428:T:C rs113716455 T C T EBF1_EBF_1 11 1 - 3.7295596299771705 0.8368527863731595 ACTCCCCTGGCAGC chr7 38373386 38373387 chr7:38373387:T:C rs4373430 T C T EBF1_EBF_1 6 1 - 5.539047216654078 3.372252785379602 ATTCCCAGGGCACC chr7 38406852 38406853 chr7:38406853:T:C rs11763753 T C C EBF1_EBF_1 0 1 - 5.410405048379867 3.518121178815033 ACCCCCAAGAGGCC chr7 38502387 38502388 chr7:38502388:G:T rs4720288 G T G EBF1_EBF_1 25 0 + 0 0 . chr7 38559141 38559142 chr7:38559142:G:A rs140992429 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 38686081 38686082 chr7:38686082:G:A rs60277655 G A G EBF1_EBF_1 12 1 - 6.043782171355557 5.017515600804707 ATTGCCTAGAGACT chr7 38736276 38736277 chr7:38736277:T:C rs4720298 T C T EBF1_EBF_1 -9 0 + 0 0 . chr7 38910277 38910278 chr7:38910278:A:T rs117994892 A T A EBF1_EBF_1 24 0 + 0 0 . chr7 38932955 38932956 chr7:38932956:G:A rs1160103580 G A G EBF1_EBF_1 21 0 - 0 0 . chr7 38932956 38932957 chr7:38932957:G:A chr7:38932957:G:A G A G EBF1_EBF_1 20 0 - 0 0 . chr7 38975940 38975941 chr7:38975941:C:T rs760000261 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 39250648 39250649 chr7:39250649:C:T rs75582427 C T C EBF1_EBF_1 3 1 + 8.43356418832543 2.028165446772113 ACCCCCATGGGGCT chr7 39266409 39266410 chr7:39266410:A:C rs11981474 A C A EBF1_EBF_1 20 0 + 0 0 . chr7 39267422 39267423 chr7:39267423:T:C rs11979297 T C T EBF1_EBF_1 19 0 - 0 0 . chr7 39333868 39333869 chr7:39333869:C:T rs17686527 C T C EBF1_EBF_1 2 1 + 6.706344505037324 8.595167916479474 AGCCCCAAGGGTAA chr7 39422116 39422117 chr7:39422117:T:C rs2299133 T C T EBF1_EBF_1 17 0 - 0 0 . chr7 39432667 39432668 chr7:39432668:A:G rs28625432 A G G EBF1_EBF_1 -9 0 + 0 0 . chr7 39481202 39481203 chr7:39481203:C:G rs10232552 C G c EBF1_EBF_1 3 1 + 5.930771147415821 0.10300669930060431 CACCCCAGGGGAGC chr7 39534384 39534385 chr7:39534385:G:A rs78115561 G A G EBF1_EBF_1 4 1 - 6.889816342870383 2.520429397925459 TTCCCCATGGGATG chr7 39566642 39566643 chr7:39566643:C:G rs1178191623 C G C EBF1_EBF_1 -12 0 + 0 0 . chr7 39626667 39626668 chr7:39626668:C:T rs11982634 C T T EBF1_EBF_1 -3 0 - 0 0 . chr7 39697669 39697670 chr7:39697670:T:C rs3779200 T C T EBF1_EBF_1 22 0 + 0 0 . chr7 39703286 39703287 chr7:39703287:G:A rs184958680 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 39721525 39721526 chr7:39721526:C:T rs73389280 C T . EBF1_EBF_1 -1 0 + 0 0 . chr7 39733508 39733509 chr7:39733509:G:A rs555458679 G A . EBF1_EBF_1 -11 0 + 0 0 . chr7 39733543 39733544 chr7:39733544:C:T rs551583980 C T . EBF1_EBF_1 24 0 + 0 0 . chr7 39767279 39767280 chr7:39767280:G:A rs146113814 G A . EBF1_EBF_1 27 0 - 0 0 . chr7 39767293 39767294 chr7:39767294:G:A rs6943836 G A . EBF1_EBF_1 13 1 - 6.390424674581098 7.234918789166616 AATCCCCTGAGGCC chr7 39826351 39826352 chr7:39826352:T:C rs80101440 T C t EBF1_EBF_1 17 0 - 0 0 . chr7 39903992 39903993 chr7:39903993:A:C rs144188078 A C A EBF1_EBF_1 24 0 + 0 0 . chr7 39950290 39950291 chr7:39950291:C:T rs963470362 C T C EBF1_EBF_1 -15 0 + 0 0 . chr7 39950293 39950294 chr7:39950294:A:C rs17537649 A C A EBF1_EBF_1 -12 0 + 0 0 . chr7 39950298 39950299 chr7:39950299:G:A rs544070332 G A G EBF1_EBF_1 -7 0 + 0 0 . chr7 39950421 39950422 chr7:39950422:G:T rs17496109 G T G EBF1_EBF_1 -8 0 + 0 0 . chr7 40622207 40622208 chr7:40622208:T:C rs190102349 T C T EBF1_EBF_1 -6 0 - 0 0 . chr7 40717441 40717442 chr7:40717442:T:C rs781079923 T C T EBF1_EBF_1 -13 0 + 0 0 . chr7 40757619 40757620 chr7:40757620:C:T rs7802357 C T T EBF1_EBF_1 -7 0 - 0 0 . chr7 40796921 40796922 chr7:40796922:G:C rs17620991 G C G EBF1_EBF_1 13 1 - 5.190428562565662 3.7023575412102474 TCTCCTCAGGGACC chr7 40818404 40818405 chr7:40818405:C:G rs73125791 C G c EBF1_EBF_1 3 1 + 7.227467705938635 1.3997032578234185 ACCCCCCTGGGGCC chr7 40885611 40885612 chr7:40885612:C:T rs117567024 C T C EBF1_EBF_1 -2 0 + 0 0 . chr7 40889253 40889254 chr7:40889254:G:T rs989123232 G T G EBF1_EBF_1 -16 0 - 0 0 . chr7 40945700 40945701 chr7:40945701:G:T chr7:40945701:G:T G T G EBF1_EBF_1 21 0 - 0 0 . chr7 40973411 40973412 chr7:40973412:A:G rs13223604 A G G EBF1_EBF_1 2 1 - 11.217154232707482 9.328330821265332 AGTCCCCAGGGAAG chr7 41046738 41046739 chr7:41046739:C:G rs116294210 C G C EBF1_EBF_1 -8 0 - 0 0 . chr7 41056119 41056120 chr7:41056120:G:A rs11765348 G A A EBF1_EBF_1 17 0 - 0 0 . chr7 41144043 41144044 chr7:41144044:C:T rs117796908 C T C EBF1_EBF_1 5 1 + 5.2746797144568776 -0.047107501083792344 ATTCACGTGGGACC chr7 41148666 41148667 chr7:41148667:T:G rs898406586 T G T EBF1_EBF_1 -19 0 + 0 0 . chr7 41190861 41190862 chr7:41190862:T:C rs115248641 T C T EBF1_EBF_1 7 1 - 5.754196977055294 4.441738571766469 AGTCACAAGGGAAG chr7 41253132 41253133 chr7:41253133:G:A rs10230756 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 41334309 41334310 chr7:41334310:G:A rs37323 G A A EBF1_EBF_1 18 0 - 0 0 . chr7 41439418 41439419 chr7:41439419:G:A rs62450272 G A G EBF1_EBF_1 -10 0 + 0 0 . chr7 41459786 41459787 chr7:41459787:A:G rs929367 A G A EBF1_EBF_1 -5 0 - 0 0 . chr7 41699928 41699929 chr7:41699929:T:C rs139728345 T C T EBF1_EBF_1 -11 0 - 0 0 . chr7 41705125 41705126 chr7:41705126:C:T rs777089567 C T C EBF1_EBF_1 8 1 + 5.107999479584651 3.5352255515221422 TTTCCCTGCGGAAC chr7 41742609 41742610 chr7:41742610:C:T rs12532020 C T C EBF1_EBF_1 21 0 + 0 0 . chr7 41829142 41829143 chr7:41829143:G:T rs12535830 G T T EBF1_EBF_1 -15 0 - 0 0 . chr7 41881185 41881186 chr7:41881186:G:A rs2011411 G A A EBF1_EBF_1 -19 0 + 0 0 . chr7 41886553 41886554 chr7:41886554:A:G rs17171966 A G A EBF1_EBF_1 -6 0 + 0 0 . chr7 41981408 41981409 chr7:41981409:G:T rs569254313 G T G EBF1_EBF_1 23 0 + 0 0 . chr7 41996459 41996460 chr7:41996460:T:C rs112503018 T C T EBF1_EBF_1 33 0 + 0 0 . chr7 42095895 42095896 chr7:42095896:C:G rs3779146 C G C EBF1_EBF_1 -4 0 - 0 0 . chr7 42099106 42099107 chr7:42099107:G:C rs3801176 G C G EBF1_EBF_1 8 1 + 5.528945444576634 0.2102865836619492 TCTGCCCAGGGACT chr7 42102406 42102407 chr7:42102407:G:A rs181300287 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 42174496 42174497 chr7:42174497:T:G rs73688665 T G T EBF1_EBF_1 2 1 + 4.465892416442557 -2.2824332737579116 CCTCCCCTGGAAAC chr7 42224751 42224752 chr7:42224752:C:T rs4724099 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 42245008 42245009 chr7:42245009:T:C rs78374137 T C C EBF1_EBF_1 -5 0 + 0 0 . chr7 42389887 42389888 chr7:42389888:G:A rs191919053 G A G EBF1_EBF_1 5 1 - 4.25525496440872 -1.0665322511319504 CAGCCCCAGGGACT chr7 42393294 42393295 chr7:42393295:A:G rs76209270 A G G EBF1_EBF_1 32 0 + 0 0 . chr7 42457092 42457093 chr7:42457093:G:T rs140298223 G T G EBF1_EBF_1 25 0 - 0 0 . chr7 42457109 42457110 chr7:42457110:C:T rs142037931 C T C EBF1_EBF_1 8 1 - 8.458659917626987 4.232369828740725 GTCCCCATGGGATC chr7 42459400 42459401 chr7:42459401:T:A rs7459319 T A A EBF1_EBF_1 -8 0 - 0 0 . chr7 42460887 42460888 chr7:42460888:G:A rs4720425 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 42491501 42491502 chr7:42491502:T:G rs57401946 T G G EBF1_EBF_1 -9 0 - 0 0 . chr7 42618041 42618042 chr7:42618042:T:C rs10257121 T C T EBF1_EBF_1 -19 0 - 0 0 . chr7 42627069 42627070 chr7:42627070:T:C rs2222550 T C T EBF1_EBF_1 -5 0 - 0 0 . chr7 42627614 42627615 chr7:42627615:G:A rs116060028 G A G EBF1_EBF_1 -13 0 + 0 0 . chr7 42627644 42627645 chr7:42627645:T:G rs765360828 T G T EBF1_EBF_1 17 0 + 0 0 . chr7 42678131 42678132 chr7:42678132:C:T rs147235119 C T C EBF1_EBF_1 19 0 + 0 0 . chr7 42691119 42691120 chr7:42691120:G:A rs902122308 G A G EBF1_EBF_1 2 1 - 5.0917437429775685 6.9805671544197185 ACCCCCGTGGGTAT chr7 42694045 42694046 chr7:42694046:C:T rs77877909 C T C EBF1_EBF_1 13 1 + 4.945026713442112 5.78952082802763 ATTCCCGGGGAACC chr7 42721901 42721902 chr7:42721902:C:T rs34621905 C T C EBF1_EBF_1 28 0 - 0 0 . chr7 42888613 42888614 chr7:42888614:C:A rs546226326 C A C EBF1_EBF_1 0 1 + 4.34002323548664 6.595281719183241 CCCCTCCTGGGACT chr7 42888781 42888782 chr7:42888782:T:C rs979880760 T C T EBF1_EBF_1 7 1 - 5.260642676861303 3.948184271572477 CTTCCCAAGAGCCT chr7 42992442 42992443 chr7:42992443:C:G rs77524752 C G G EBF1_EBF_1 14 0 - 0 0 . chr7 42992471 42992472 chr7:42992472:T:C rs55768708 T C C EBF1_EBF_1 -15 0 - 0 0 . chr7 42993227 42993228 chr7:42993228:G:A rs12537581 G A A EBF1_EBF_1 -14 0 + 0 0 . chr7 42993254 42993255 chr7:42993255:C:A rs76354853 C A C EBF1_EBF_1 13 1 + 4.643613776255332 4.269868326969356 ACTCCCAGGGCACC chr7 42993259 42993260 chr7:42993260:A:T rs190920287 A T A EBF1_EBF_1 18 0 + 0 0 . chr7 43012582 43012583 chr7:43012583:A:G rs6463160 A G G EBF1_EBF_1 15 0 - 0 0 . chr7 43012617 43012618 chr7:43012618:G:A rs7785510 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 43014322 43014323 chr7:43014323:T:C rs880975 T C C EBF1_EBF_1 19 0 + 0 0 . chr7 43219053 43219054 chr7:43219054:C:G rs12534113 C G G EBF1_EBF_1 -12 0 - 0 0 . chr7 43254204 43254205 chr7:43254205:T:G rs190494632 T G T EBF1_EBF_1 -19 0 + 0 0 . chr7 43261489 43261490 chr7:43261490:C:T rs190404979 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 43261497 43261498 chr7:43261498:A:G rs116580078 A G A EBF1_EBF_1 11 1 - 6.678364445367425 6.360317003613761 ACCCCCAAGGGTTT chr7 43261501 43261502 chr7:43261502:T:C rs1020921864 T C T EBF1_EBF_1 7 1 - 6.678364445367425 5.365906040078601 ACCCCCAAGGGTTT chr7 43261504 43261505 chr7:43261505:G:C rs571174723 G C G EBF1_EBF_1 4 1 - 6.678364445367425 1.0525993442178814 ACCCCCAAGGGTTT chr7 43274644 43274645 chr7:43274645:G:A rs186074145 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 43294373 43294374 chr7:43294374:G:A rs67210625 G A G EBF1_EBF_1 -2 0 - 0 0 . chr7 43295642 43295643 chr7:43295643:G:A rs75148026 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 43363686 43363687 chr7:43363687:C:T rs11760205 C T C EBF1_EBF_1 23 0 + 0 0 . chr7 43388017 43388018 chr7:43388018:C:T rs149021490 C T C EBF1_EBF_1 -12 0 - 0 0 . chr7 43410032 43410033 chr7:43410033:A:C rs4724202 A C C EBF1_EBF_1 11 1 + 9.759953873648572 5.343992175796183 AGCCCCCAGGGATC chr7 43440017 43440018 chr7:43440018:C:T rs140250479 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 43480871 43480872 chr7:43480872:C:T rs117083950 C T C EBF1_EBF_1 -4 0 - 0 0 . chr7 43533457 43533458 chr7:43533458:G:T chr7:43533458:G:T G T G EBF1_EBF_1 21 0 + 0 0 . chr7 43536336 43536337 chr7:43536337:G:A rs759994601 G A G EBF1_EBF_1 -15 0 - 0 0 . chr7 43537960 43537961 chr7:43537961:C:A rs13234175 C A C EBF1_EBF_1 33 0 + 0 0 . chr7 43577710 43577711 chr7:43577711:T:C rs74440930 T C t EBF1_EBF_1 -17 0 + 0 0 . chr7 43577717 43577718 chr7:43577718:C:T rs114010228 C T c EBF1_EBF_1 -10 0 + 0 0 . chr7 43634469 43634470 chr7:43634470:G:T rs2108410 G T G EBF1_EBF_1 5 1 - 7.161581572007962 0.25670041937279237 ACTCCCATGGTATC chr7 43643754 43643755 chr7:43643755:A:G rs59808072 A G G EBF1_EBF_1 29 0 - 0 0 . chr7 43650609 43650610 chr7:43650610:A:C rs983009881 A C A EBF1_EBF_1 -6 0 - 0 0 . chr7 43669256 43669257 chr7:43669257:A:G rs60204071 A G A EBF1_EBF_1 17 0 - 0 0 . chr7 43749913 43749914 chr7:43749914:C:G rs1181598 C G G EBF1_EBF_1 8 1 - 5.411122886881336 0.09246402596665004 CTTCCCTGGAGGCT chr7 43758064 43758065 chr7:43758065:G:A rs17245785 G A G EBF1_EBF_1 29 0 - 0 0 . chr7 43769965 43769966 chr7:43769966:G:A rs2690384 G A G EBF1_EBF_1 7 1 + 5.086094737165777 6.398553142454603 CTTCCCCGGGTAGT chr7 43782520 43782521 chr7:43782521:G:A rs62461113 G A G EBF1_EBF_1 -19 0 + 0 0 . chr7 43815464 43815465 chr7:43815465:G:A rs11771802 G A G EBF1_EBF_1 -4 0 + 0 0 . chr7 43929801 43929802 chr7:43929802:G:C rs7802578 G C G EBF1_EBF_1 18 0 - 0 0 . chr7 43929808 43929809 chr7:43929809:T:G rs2079390 T G G EBF1_EBF_1 11 1 - 5.907848303272781 1.4918866054203914 TTTCCCCAGGAATT chr7 43955087 43955088 chr7:43955088:C:T rs3812252 C T C EBF1_EBF_1 4 1 + 6.064219462846234 1.6948325179013106 AATCCCCTGGGCAG chr7 44020482 44020483 chr7:44020483:C:G rs180752527 C G c EBF1_EBF_1 32 0 - 0 0 . chr7 44020508 44020509 chr7:44020509:G:A rs62458729 G A g EBF1_EBF_1 6 1 - 7.580601290178874 7.881887419040632 CTCCCCCGGGGAAA chr7 44030999 44031000 chr7:44031000:G:C rs4480020 G C g EBF1_EBF_1 29 0 - 0 0 . chr7 44059265 44059266 chr7:44059266:C:T rs4582459 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 44062437 44062438 chr7:44062438:T:C rs11767165 T C C EBF1_EBF_1 17 0 + 0 0 . chr7 44064436 44064437 chr7:44064437:C:T rs182902212 C T C EBF1_EBF_1 -3 0 - 0 0 . chr7 44084407 44084408 chr7:44084408:A:T rs11762961 A T T EBF1_EBF_1 -19 0 - 0 0 . chr7 44088490 44088491 chr7:44088491:C:A rs10260021 C A C EBF1_EBF_1 -4 0 - 0 0 . chr7 44105244 44105245 chr7:44105245:A:C rs968805367 A C A EBF1_EBF_1 24 0 + 0 0 . chr7 44105578 44105579 chr7:44105579:C:G rs55841377 C G C EBF1_EBF_1 27 0 + 0 0 . chr7 44106310 44106311 chr7:44106311:C:T rs1558469 C T c EBF1_EBF_1 25 0 + 0 0 . chr7 44111409 44111410 chr7:44111410:C:T rs62460065 C T T EBF1_EBF_1 -19 0 - 0 0 . chr7 44123334 44123335 chr7:44123335:G:C rs192083658 G C G EBF1_EBF_1 28 0 - 0 0 . chr7 44123380 44123381 chr7:44123381:T:G rs993287561 T G T EBF1_EBF_1 -18 0 - 0 0 . chr7 44131605 44131606 chr7:44131606:G:T rs2922666 G T G EBF1_EBF_1 10 1 + 5.132212412245777 0.9494602260185119 AGCCCCAGGAGGCT chr7 44133034 44133035 chr7:44133035:T:C rs2971683 T C C EBF1_EBF_1 23 0 + 0 0 . chr7 44133525 44133526 chr7:44133526:G:A rs17831589 G A G EBF1_EBF_1 -10 0 + 0 0 . chr7 44133537 44133538 chr7:44133538:T:G rs769898104 T G T EBF1_EBF_1 2 1 + 5.029027890732226 -1.7192977994682417 GCTCCCTCGGGCCT chr7 44146158 44146159 chr7:44146159:C:G rs2971680 C G C EBF1_EBF_1 12 1 + 4.645673937254161 3.257037993576009 CTCCCCTTGGGCCC chr7 44201064 44201065 chr7:44201065:G:T rs11540050 G T g EBF1_EBF_1 2 1 - 6.538469102994399 4.742824926837671 CGCCCCTCGGGACC chr7 44209228 44209229 chr7:44209229:G:A rs2908282 G A G EBF1_EBF_1 12 1 - 5.431655287987554 4.405388717436704 AACCCCAGGAGACG chr7 44213998 44213999 chr7:44213999:C:G rs11540055 C G C EBF1_EBF_1 26 0 - 0 0 . chr7 44214330 44214331 chr7:44214331:C:T rs73317715 C T C EBF1_EBF_1 31 0 - 0 0 . chr7 44222453 44222454 chr7:44222454:T:G rs2003563 T G G EBF1_EBF_1 -18 0 - 0 0 . chr7 44237051 44237052 chr7:44237052:T:C rs3757837 T C C EBF1_EBF_1 16 0 + 0 0 . chr7 44242102 44242103 chr7:44242103:A:G rs35663812 A G G EBF1_EBF_1 6 1 - 6.320085964182995 6.0187998353212375 GCTCCCTTGGGTCC chr7 44267906 44267907 chr7:44267907:C:T rs888767660 C T C EBF1_EBF_1 -3 0 - 0 0 . chr7 44276493 44276494 chr7:44276494:G:A rs77704624 G A G EBF1_EBF_1 20 0 - 0 0 . chr7 44282303 44282304 chr7:44282304:G:A rs144613326 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 44295684 44295685 chr7:44295685:G:A rs75833818 G A G EBF1_EBF_1 24 0 + 0 0 . chr7 44312898 44312899 chr7:44312899:G:A rs6966922 G A G EBF1_EBF_1 16 0 - 0 0 . chr7 44318030 44318031 chr7:44318031:T:C rs73099837 T C T EBF1_EBF_1 26 0 + 0 0 . chr7 44322729 44322730 chr7:44322730:G:A rs541204908 G A G EBF1_EBF_1 12 1 - 5.577801048722886 4.551534478172036 CTTCCCCAGAGGCC chr7 44326790 44326791 chr7:44326791:A:G rs117735292 A G A EBF1_EBF_1 -14 0 + 0 0 . chr7 44376484 44376485 chr7:44376485:C:T rs73101782 C T C EBF1_EBF_1 21 0 + 0 0 . chr7 44380571 44380572 chr7:44380572:G:A rs116871188 G A G EBF1_EBF_1 3 1 + 6.300747185766007 5.222337604415417 ACTGCCTTGGGATT chr7 44382517 44382518 chr7:44382518:T:C rs139418515 T C T EBF1_EBF_1 -5 0 + 0 0 . chr7 44447091 44447092 chr7:44447092:G:A rs879940393 G A G EBF1_EBF_1 -16 0 + 0 0 . chr7 44534809 44534810 chr7:44534810:C:T rs74650678 C T C EBF1_EBF_1 4 1 + 6.241019618638932 1.8716326736940094 CCTCCCAAGGGGAG chr7 44534810 44534811 chr7:44534811:C:T rs80291052 C T C EBF1_EBF_1 5 1 + 6.241019618638932 0.9192324030982628 CCTCCCAAGGGGAG chr7 44542731 44542732 chr7:44542732:A:G rs2073547 A G G EBF1_EBF_1 21 0 + 0 0 . chr7 44551677 44551678 chr7:44551678:C:A rs217401 C A C EBF1_EBF_1 -5 0 + 0 0 . chr7 44562336 44562337 chr7:44562337:C:T rs113214163 C T C EBF1_EBF_1 -15 0 - 0 0 . chr7 44567171 44567172 chr7:44567172:G:T rs7792931 G T G EBF1_EBF_1 3 1 - 11.000004450334009 4.093830420868204 GTTCCCATGGGACA chr7 44576355 44576356 chr7:44576356:G:C chr7:44576356:G:C G C G EBF1_EBF_1 21 0 + 0 0 . chr7 44609200 44609201 chr7:44609201:G:A rs12112075 G A g EBF1_EBF_1 29 0 + 0 0 . chr7 44626754 44626755 chr7:44626755:T:C rs2300413 T C c EBF1_EBF_1 27 0 - 0 0 . chr7 44649048 44649049 chr7:44649049:T:C rs2268311 T C T EBF1_EBF_1 -5 0 + 0 0 . chr7 44673461 44673462 chr7:44673462:A:T rs111333722 A T A EBF1_EBF_1 -6 0 - 0 0 . chr7 44681093 44681094 chr7:44681094:A:T rs7781802 A T A EBF1_EBF_1 25 0 + 0 0 . chr7 44708303 44708304 chr7:44708304:T:C rs14239 T C C EBF1_EBF_1 -19 0 - 0 0 . chr7 44768064 44768065 chr7:44768065:C:G rs12540300 C G C EBF1_EBF_1 -1 0 + 0 0 . chr7 44875313 44875314 chr7:44875314:G:A rs568102561 G A G EBF1_EBF_1 25 0 + 0 0 . chr7 44885690 44885691 chr7:44885691:T:C rs186612746 T C T EBF1_EBF_1 13 1 - 6.859070137952357 5.744744565882918 AGTCCCCAGGGCTA chr7 44885707 44885708 chr7:44885708:C:T rs77200619 C T C EBF1_EBF_1 -4 0 - 0 0 . chr7 44885935 44885936 chr7:44885936:G:C chr7:44885936:G:C G C G EBF1_EBF_1 33 0 - 0 0 . chr7 44885942 44885943 chr7:44885943:A:C chr7:44885943:A:C A C A EBF1_EBF_1 26 0 - 0 0 . chr7 44888102 44888103 chr7:44888103:G:A rs55947897 G A G EBF1_EBF_1 13 1 + 7.031451440761679 8.145777012831118 AATCCCTAAGGAAG chr7 44891466 44891467 chr7:44891467:A:G rs56365715 A G A EBF1_EBF_1 30 0 - 0 0 . chr7 44912504 44912505 chr7:44912505:G:A rs7788094 G A - EBF1_EBF_1 -14 0 - 0 0 . chr7 44924266 44924267 chr7:44924267:G:T rs2057842 G T T EBF1_EBF_1 0 1 - 5.888464090710936 8.143722574407535 CCTCCCAAGAGGCT chr7 44933618 44933619 chr7:44933619:A:G rs11761618 A G A EBF1_EBF_1 31 0 + 0 0 . chr7 44972957 44972958 chr7:44972958:C:T rs7799043 C T C EBF1_EBF_1 21 0 - 0 0 . chr7 44973358 44973359 chr7:44973359:G:C rs7803335 G C g EBF1_EBF_1 6 1 - 9.936200754825888 8.13100869135269 AGCCCCCTGGGACA chr7 44973848 44973849 chr7:44973849:G:A rs113716587 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 44973935 44973936 chr7:44973936:C:G rs62461373 C G C EBF1_EBF_1 17 0 + 0 0 . chr7 44973944 44973945 chr7:44973945:G:A rs530845321 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 44974271 44974272 chr7:44974272:C:A rs12536743 C A C EBF1_EBF_1 3 1 + 5.324464726168024 -1.5817093032977827 AGACCCTGGAGACC chr7 44974287 44974288 chr7:44974288:C:T rs10262048 C T C EBF1_EBF_1 31 0 - 0 0 . chr7 44977335 44977336 chr7:44977336:G:A rs62461377 G A G EBF1_EBF_1 25 0 + 0 0 . chr7 44978143 44978144 chr7:44978144:G:A rs61087358 G A G EBF1_EBF_1 18 0 + 0 0 . chr7 44978679 44978680 chr7:44978680:T:C rs74936877 T C T EBF1_EBF_1 21 0 - 0 0 . chr7 44978700 44978701 chr7:44978701:C:T rs10257166 C T C EBF1_EBF_1 0 1 - 7.569335087861063 9.461618957425896 GGCCCCAGGGGACA chr7 44981729 44981730 chr7:44981730:G:A rs75631211 G A G EBF1_EBF_1 20 0 - 0 0 . chr7 44987136 44987137 chr7:44987137:G:C rs10232306 G C T EBF1_EBF_1 -2 0 - 0 0 . chr7 45000081 45000082 chr7:45000082:G:A rs898367735 G A G EBF1_EBF_1 8 1 + 9.634249946978427 5.407959858092167 ATTCCCTTGGGCCT chr7 45029184 45029185 chr7:45029185:T:C rs1294963 T C C EBF1_EBF_1 -13 0 - 0 0 . chr7 45069372 45069373 chr7:45069373:A:G rs6947303 A G A EBF1_EBF_1 -10 0 - 0 0 . chr7 45074624 45074625 chr7:45074625:A:G rs2289371 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 45074626 45074627 chr7:45074627:C:T rs2289372 C T C EBF1_EBF_1 25 0 - 0 0 . chr7 45077261 45077262 chr7:45077262:G:C rs3735492 G C G EBF1_EBF_1 -11 0 - 0 0 . chr7 45083311 45083312 chr7:45083312:T:C rs765177591 T C T EBF1_EBF_1 6 1 + 5.305034856817418 5.003748727955661 TGTCCCTGGGGCAC chr7 45102545 45102546 chr7:45102546:T:C rs1992292 T C T EBF1_EBF_1 -6 0 - 0 0 . chr7 45106974 45106975 chr7:45106975:C:G rs34172312 C G C EBF1_EBF_1 5 1 + 4.738990823329866 -2.1658903293053013 ACCCTCCAGGGACG chr7 45106984 45106985 chr7:45106985:G:A rs3757571 G A G EBF1_EBF_1 15 0 + 0 0 . chr7 45123238 45123239 chr7:45123239:C:T rs140587370 C T C EBF1_EBF_1 12 1 + 4.573216776271393 3.5469502057205435 GTTCCCTGGGGCCG chr7 45132762 45132763 chr7:45132763:G:C rs73111930 G C G EBF1_EBF_1 20 0 - 0 0 . chr7 45150563 45150564 chr7:45150564:A:T rs13221420 A T T EBF1_EBF_1 -11 0 + 0 0 . chr7 45160956 45160957 chr7:45160957:A:G rs55849813 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 45174273 45174274 chr7:45174274:G:A rs66755763 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 45176629 45176630 chr7:45176630:C:G rs6945817 C G C EBF1_EBF_1 4 1 + 6.167883040307987 0.5421179391584425 TTCCCCATGGGGAA chr7 45176648 45176649 chr7:45176649:G:A rs77957843 G A G EBF1_EBF_1 23 0 + 0 0 . chr7 45178967 45178968 chr7:45178968:C:T rs13222339 C T C EBF1_EBF_1 -20 0 + 0 0 . chr7 45180576 45180577 chr7:45180577:C:T rs4724371 C T C EBF1_EBF_1 1 1 + 5.414533930764599 6.309967371163345 CCTCTCCTGGGAAC chr7 45182204 45182205 chr7:45182205:C:T rs1294923 C T C EBF1_EBF_1 -14 0 - 0 0 . chr7 45183478 45183479 chr7:45183479:G:T rs1046290 G T G EBF1_EBF_1 -6 0 - 0 0 . chr7 45184437 45184438 chr7:45184438:C:G rs3801413 C G C EBF1_EBF_1 -3 0 + 0 0 . chr7 45185359 45185360 chr7:45185360:G:C rs57105144 G C G EBF1_EBF_1 9 1 + 4.988457286722216 -0.08723185962324852 GGTCCCCTGGAACC chr7 45185555 45185556 chr7:45185556:T:G rs13235986 T G G EBF1_EBF_1 17 0 - 0 0 . chr7 45185581 45185582 chr7:45185582:T:A rs11974651 T A A EBF1_EBF_1 -9 0 - 0 0 . chr7 45187102 45187103 chr7:45187103:C:T rs13226050 C T T EBF1_EBF_1 -7 0 + 0 0 . chr7 45187221 45187222 chr7:45187222:G:A rs75111103 G A G EBF1_EBF_1 -10 0 - 0 0 . chr7 45190907 45190908 chr7:45190908:C:T rs11760215 C T C EBF1_EBF_1 9 1 - 5.363704701327172 2.7087654358795685 AGCCCCAGGGGTCA chr7 45217883 45217884 chr7:45217884:T:G rs1294861 T G T EBF1_EBF_1 27 0 - 0 0 . chr7 45219355 45219356 chr7:45219356:C:T rs115337789 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 45219955 45219956 chr7:45219956:A:G rs75852187 A G A EBF1_EBF_1 18 0 + 0 0 . chr7 45221599 45221600 chr7:45221600:C:G rs6463269 C G C EBF1_EBF_1 -15 0 + 0 0 . chr7 45224767 45224768 chr7:45224768:C:T rs78044844 C T C EBF1_EBF_1 24 0 - 0 0 . chr7 45239492 45239493 chr7:45239493:A:T rs10228685 A T A EBF1_EBF_1 14 0 + 0 0 . chr7 45256890 45256891 chr7:45256891:T:C rs10435167 T C T EBF1_EBF_1 -19 0 - 0 0 . chr7 45267385 45267386 chr7:45267386:G:A rs11771404 G A A EBF1_EBF_1 24 0 + 0 0 . chr7 45278805 45278806 chr7:45278806:G:A rs799702 G A A EBF1_EBF_1 -5 0 + 0 0 . chr7 45391308 45391309 chr7:45391309:C:T rs9655468 C T C EBF1_EBF_1 2 1 + 8.422538551930117 10.311361963372267 TTCCCCAAGGGAAG chr7 45391684 45391685 chr7:45391685:C:T rs12530736 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 45398275 45398276 chr7:45398276:C:T rs77892528 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 45482665 45482666 chr7:45482666:A:G rs4724400 A G G EBF1_EBF_1 16 0 - 0 0 . chr7 45495356 45495357 chr7:45495357:A:C rs955808 A C C EBF1_EBF_1 6 1 + 7.334628526184772 6.973026158383495 CTCCCCACGGGAAC chr7 45525239 45525240 chr7:45525240:A:G rs1404912 A G A EBF1_EBF_1 11 1 + 5.796526021300351 2.90381917769634 GACCCCCAGAGATT chr7 45562880 45562881 chr7:45562881:C:T rs73692434 C T C EBF1_EBF_1 32 0 + 0 0 . chr7 45565039 45565040 chr7:45565040:G:A rs1020807965 G A G EBF1_EBF_1 12 1 - 6.421849730216978 5.395583159666129 AATCCCCAGTGACT chr7 45576280 45576281 chr7:45576281:G:A rs77239239 G A A EBF1_EBF_1 6 1 + 5.776286105872565 7.943080537147039 GGCCCCGGGGGACC chr7 45584952 45584953 chr7:45584953:T:C rs11761929 T C C EBF1_EBF_1 -14 0 + 0 0 . chr7 45586293 45586294 chr7:45586294:G:A rs78226268 G A G EBF1_EBF_1 -14 0 + 0 0 . chr7 45599584 45599585 chr7:45599585:C:G rs1474244 C G G EBF1_EBF_1 7 1 - 4.873726500738361 3.892405919657004 AGCCCCCGAGGACA chr7 45646157 45646158 chr7:45646158:A:G rs113338635 A G A EBF1_EBF_1 28 0 - 0 0 . chr7 45657695 45657696 chr7:45657696:C:G rs2461131 C G C EBF1_EBF_1 -14 0 - 0 0 . chr7 45657697 45657698 chr7:45657698:T:C rs2471231 T C C EBF1_EBF_1 -16 0 - 0 0 . chr7 45666303 45666304 chr7:45666304:G:A rs558128640 G A G EBF1_EBF_1 12 1 - 5.229337030206607 4.203070459655757 ACACCCCAGGGCCT chr7 45677062 45677063 chr7:45677063:T:C rs3536 T C C EBF1_EBF_1 17 0 + 0 0 . chr7 45683384 45683385 chr7:45683385:C:A rs7778636 C A C EBF1_EBF_1 13 1 + 5.624871490710656 5.25112604142468 CCACCCAGGGGAAC chr7 45696086 45696087 chr7:45696087:T:C rs2471222 T C T EBF1_EBF_1 14 0 + 0 0 . chr7 45713436 45713437 chr7:45713437:G:C rs139953429 G C G EBF1_EBF_1 9 1 + 9.960785231966302 4.885096085620841 ACTCCCCTGGGGCT chr7 45713639 45713640 chr7:45713640:A:G rs2293107 A G A EBF1_EBF_1 7 1 - 5.229321122510039 3.4118163762274154 ACTCCTCTGGGAAG chr7 45722119 45722120 chr7:45722120:G:A chr7:45722120:G:A G A G EBF1_EBF_1 18 0 - 0 0 . chr7 45810936 45810937 chr7:45810937:C:A rs56661826 C A . EBF1_EBF_1 33 0 - 0 0 . chr7 45881215 45881216 chr7:45881216:C:T rs28562139 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 45953439 45953440 chr7:45953440:C:A rs2462327 C A C EBF1_EBF_1 16 0 - 0 0 . chr7 45974676 45974677 chr7:45974677:C:T chr7:45974677:C:T C T C EBF1_EBF_1 23 0 + 0 0 . chr7 45976745 45976746 chr7:45976746:T:C rs56048557 T C T EBF1_EBF_1 11 1 + 4.736570797151422 4.418523355397757 CATCCCAGGGGTCA chr7 45990600 45990601 chr7:45990601:T:C rs788736 T C C EBF1_EBF_1 -15 0 + 0 0 . chr7 45999644 45999645 chr7:45999645:C:A rs788741 C A C EBF1_EBF_1 2 1 + 5.18167246104792 3.386028284891194 CTCCCCCAGAGATA chr7 46006324 46006325 chr7:46006325:C:A rs112290223 C A C EBF1_EBF_1 16 0 + 0 0 . chr7 46032230 46032231 chr7:46032231:A:G rs1722147 A G A EBF1_EBF_1 19 0 + 0 0 . chr7 46056844 46056845 chr7:46056845:A:G rs2103125 A G G EBF1_EBF_1 19 0 - 0 0 . chr7 46059644 46059645 chr7:46059645:G:A rs35984236 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 46099079 46099080 chr7:46099080:T:C rs75249477 T C T EBF1_EBF_1 -11 0 + 0 0 . chr7 46132480 46132481 chr7:46132481:A:G rs62451019 A G G EBF1_EBF_1 2 1 - 8.55627480933197 6.6674513978898196 AATCCCCTAGGACT chr7 46179612 46179613 chr7:46179613:A:T rs6953007 A T T EBF1_EBF_1 28 0 - 0 0 . chr7 46245418 46245419 chr7:46245419:C:T rs13235245 C T C EBF1_EBF_1 7 1 + 7.725481517250014 9.542986263532637 TGCCCCACGGGACT chr7 46273395 46273396 chr7:46273396:C:G rs117189358 C G C EBF1_EBF_1 -15 0 + 0 0 . chr7 46291468 46291469 chr7:46291469:C:G rs76102440 C G C EBF1_EBF_1 -15 0 - 0 0 . chr7 46352595 46352596 chr7:46352596:A:G chr7:46352596:A:G A G A EBF1_EBF_1 -18 0 + 0 0 . chr7 46446364 46446365 chr7:46446365:T:C rs6968518 T C C EBF1_EBF_1 17 0 - 0 0 . chr7 46456932 46456933 chr7:46456933:C:T rs10261042 C T C EBF1_EBF_1 10 1 - 5.029341942248846 -0.2886865170181194 CTTCCCCTGCGAGT chr7 46534785 46534786 chr7:46534786:A:C rs994745224 A C A EBF1_EBF_1 -8 0 - 0 0 . chr7 46551030 46551031 chr7:46551031:C:A rs62452718 C A C EBF1_EBF_1 4 1 + 9.981379783185488 4.156820159732019 AACCCCCAGGGAGT chr7 46567959 46567960 chr7:46567960:C:T rs76891833 C T c EBF1_EBF_1 -14 0 + 0 0 . chr7 46603939 46603940 chr7:46603940:C:T rs117295274 C T C EBF1_EBF_1 -20 0 - 0 0 . chr7 46604028 46604029 chr7:46604029:G:A rs17172729 G A G EBF1_EBF_1 28 0 + 0 0 . chr7 46613308 46613309 chr7:46613309:A:C rs117021286 A C A EBF1_EBF_1 -8 0 - 0 0 . chr7 46626230 46626231 chr7:46626231:C:T rs77951335 C T C EBF1_EBF_1 -12 0 + 0 0 . chr7 46629801 46629802 chr7:46629802:C:G rs73696333 C G C EBF1_EBF_1 -1 0 - 0 0 . chr7 46630375 46630376 chr7:46630376:C:T rs117315714 C T C EBF1_EBF_1 30 0 - 0 0 . chr7 46651791 46651792 chr7:46651792:C:T rs558227908 C T C EBF1_EBF_1 13 1 + 4.626499578143913 5.470993692729431 AATCCCAGGTGACC chr7 46655015 46655016 chr7:46655016:T:C rs79961771 T C T EBF1_EBF_1 -12 0 + 0 0 . chr7 46708258 46708259 chr7:46708259:C:T rs856571 C T C EBF1_EBF_1 2 1 + 7.255750444012505 9.144573855454654 ATCCCCAAGGGCTT chr7 46764391 46764392 chr7:46764392:G:A rs190908184 G A G EBF1_EBF_1 1 1 + 6.7621434055380965 6.501444573616793 AGTCCCAAAGGGCT chr7 46827674 46827675 chr7:46827675:A:G rs62450869 A G G EBF1_EBF_1 -15 0 + 0 0 . chr7 46908732 46908733 chr7:46908733:A:G rs6972121 A G G EBF1_EBF_1 -15 0 - 0 0 . chr7 47024114 47024115 chr7:47024115:G:A rs73328863 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 47027019 47027020 chr7:47027020:C:A rs1001732940 C A C EBF1_EBF_1 -5 0 - 0 0 . chr7 47028419 47028420 chr7:47028420:A:G rs1425595710 A G A EBF1_EBF_1 20 0 + 0 0 . chr7 47031976 47031977 chr7:47031977:C:T rs75010014 C T C EBF1_EBF_1 -12 0 + 0 0 . chr7 47039168 47039169 chr7:47039169:C:T rs541838770 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 47128323 47128324 chr7:47128324:A:G rs2053315 A G A EBF1_EBF_1 -10 0 - 0 0 . chr7 47143460 47143461 chr7:47143461:A:G rs6957177 A G A EBF1_EBF_1 23 0 - 0 0 . chr7 47184477 47184478 chr7:47184478:A:C rs79174182 A C A EBF1_EBF_1 -3 0 - 0 0 . chr7 47288785 47288786 chr7:47288786:G:T rs2347785 G T T EBF1_EBF_1 -1 0 - 0 0 . chr7 47298879 47298880 chr7:47298880:A:G rs564292149 A G A EBF1_EBF_1 2 1 - 6.892634247133682 5.0038108356915325 CATCCCCAGGGGCA chr7 47302684 47302685 chr7:47302685:G:A rs17172850 G A G EBF1_EBF_1 23 0 + 0 0 . chr7 47302898 47302899 chr7:47302899:C:T rs3750166 C T C EBF1_EBF_1 3 1 + 5.1631332626925355 -1.2422654788607812 CTTCCCCAGTGAAT chr7 47302901 47302902 chr7:47302902:C:G rs3750167 C G G EBF1_EBF_1 6 1 + 5.1631332626925355 3.357941199219338 CTTCCCCAGTGAAT chr7 47307490 47307491 chr7:47307491:G:A rs4720591 G A A EBF1_EBF_1 3 1 - 6.095848026692831 -0.3095507148604859 CATCCCTAGGTATT chr7 47315348 47315349 chr7:47315349:C:T rs6964508 C T c EBF1_EBF_1 -6 0 + 0 0 . chr7 47330152 47330153 chr7:47330153:C:G rs4724565 C G G EBF1_EBF_1 1 1 - 8.081060396105297 7.891288741536081 CGTCCCCGGGGATC chr7 47347633 47347634 chr7:47347634:C:T rs67077489 C T C EBF1_EBF_1 15 0 + 0 0 . chr7 47366736 47366737 chr7:47366737:G:A rs17523258 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 47367613 47367614 chr7:47367614:G:A rs2462625 G A G EBF1_EBF_1 -15 0 + 0 0 . chr7 47367653 47367654 chr7:47367654:T:A rs2471001 T A T EBF1_EBF_1 25 0 + 0 0 . chr7 47370972 47370973 chr7:47370973:G:A rs2462627 G A A EBF1_EBF_1 4 1 - 9.302743746073006 4.933356801128081 GACCCCTTGGGACT chr7 47375325 47375326 chr7:47375326:G:C rs7808042 G C C EBF1_EBF_1 13 1 + 7.714909120143466 9.20298014149888 CCTCCCAAGGGAGG chr7 47384488 47384489 chr7:47384489:G:A rs4724568 G A G EBF1_EBF_1 14 0 - 0 0 . chr7 47390534 47390535 chr7:47390535:G:C rs10251205 G C C EBF1_EBF_1 22 0 + 0 0 . chr7 47393648 47393649 chr7:47393649:C:G rs10263527 C G C EBF1_EBF_1 24 0 - 0 0 . chr7 47419266 47419267 chr7:47419267:A:G rs78676173 A G G EBF1_EBF_1 33 0 - 0 0 . chr7 47439764 47439765 chr7:47439765:A:G rs1810137 A G A EBF1_EBF_1 -5 0 - 0 0 . chr7 47442029 47442030 chr7:47442030:C:T rs16881490 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 47450627 47450628 chr7:47450628:G:C rs80115080 G C G EBF1_EBF_1 5 1 - 6.770001057043724 -0.13488009559144398 GCCCCCCTGGGAAG chr7 47458294 47458295 chr7:47458295:G:A rs188609256 G A G EBF1_EBF_1 3 1 - 3.8428691132085824 -2.562529628344734 AGCCCCAGGTGACT chr7 47489174 47489175 chr7:47489175:G:A rs11975150 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 47493117 47493118 chr7:47493118:A:G rs7803908 A G G EBF1_EBF_1 22 0 + 0 0 . chr7 47495608 47495609 chr7:47495609:T:C rs2941530 T C C EBF1_EBF_1 -13 0 + 0 0 . chr7 47501744 47501745 chr7:47501745:C:T rs117383453 C T C EBF1_EBF_1 27 0 - 0 0 . chr7 47506867 47506868 chr7:47506868:G:A rs73095186 G A G EBF1_EBF_1 -9 0 + 0 0 . chr7 47521100 47521101 chr7:47521101:G:C rs17172876 G C G EBF1_EBF_1 21 0 - 0 0 . chr7 47571896 47571897 chr7:47571897:T:C rs12702352 T C C EBF1_EBF_1 -7 0 - 0 0 . chr7 47576212 47576213 chr7:47576213:C:T chr7:47576213:C:T C T C EBF1_EBF_1 26 0 - 0 0 . chr7 47578673 47578674 chr7:47578674:C:T rs116282779 C T C EBF1_EBF_1 19 0 + 0 0 . chr7 47580970 47580971 chr7:47580971:G:A rs761859660 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 47582041 47582042 chr7:47582042:C:T rs968753559 C T C EBF1_EBF_1 17 0 - 0 0 . chr7 47604374 47604375 chr7:47604375:C:T rs12702358 C T T EBF1_EBF_1 9 1 - 10.584305708145505 7.929366442697901 ACCCCCAAGGGACA chr7 47626226 47626227 chr7:47626227:C:T rs76988442 C T C EBF1_EBF_1 25 0 - 0 0 . chr7 47647117 47647118 chr7:47647118:G:A rs7798898 G A G EBF1_EBF_1 -11 0 + 0 0 . chr7 47647120 47647121 chr7:47647121:G:A rs4724606 G A G EBF1_EBF_1 -8 0 + 0 0 . chr7 47651668 47651669 chr7:47651669:G:A rs113131573 G A G EBF1_EBF_1 4 1 - 8.013644215506456 3.644257270561532 GTTCCCCTGAGAAA chr7 47665011 47665012 chr7:47665012:C:A rs7800199 C A C EBF1_EBF_1 -11 0 + 0 0 . chr7 47665037 47665038 chr7:47665038:C:T rs6463428 C T T EBF1_EBF_1 15 0 + 0 0 . chr7 47670227 47670228 chr7:47670228:A:G rs145874692 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 47671539 47671540 chr7:47671540:G:A rs111664390 G A G EBF1_EBF_1 -4 0 + 0 0 . chr7 47672786 47672787 chr7:47672787:T:C rs73105223 T C T EBF1_EBF_1 23 0 - 0 0 . chr7 47697640 47697641 chr7:47697641:A:C rs11772165 A C C EBF1_EBF_1 -15 0 - 0 0 . chr7 47709759 47709760 chr7:47709760:A:G rs7795529 A G A EBF1_EBF_1 29 0 - 0 0 . chr7 47709762 47709763 chr7:47709763:A:T rs7795530 A T A EBF1_EBF_1 26 0 - 0 0 . chr7 47710817 47710818 chr7:47710818:C:T rs10256037 C T C EBF1_EBF_1 13 1 - 10.046345142229391 11.16067071429883 ACTCCCAGGGGACG chr7 47710818 47710819 chr7:47710819:G:A rs10256247 G A G EBF1_EBF_1 12 1 - 10.046345142229391 9.020078571678543 ACTCCCAGGGGACG chr7 47721928 47721929 chr7:47721929:A:T rs17172893 A T A EBF1_EBF_1 2 1 - 8.364145969424866 4.6796783818259895 CTTCCCAGGAGACT chr7 47744052 47744053 chr7:47744053:C:G rs113749716 C G C EBF1_EBF_1 15 0 - 0 0 . chr7 47744055 47744056 chr7:47744056:T:C rs73325881 T C C EBF1_EBF_1 12 1 - 6.4898966714541455 5.071079329354668 TTTCCCCTGGGTAA chr7 47744072 47744073 chr7:47744073:T:C rs13307484 T C C EBF1_EBF_1 -5 0 - 0 0 . chr7 47758717 47758718 chr7:47758718:A:G rs718077 A G A EBF1_EBF_1 -6 0 - 0 0 . chr7 47783002 47783003 chr7:47783003:G:A rs748489242 G A g EBF1_EBF_1 -7 0 + 0 0 . chr7 47806565 47806566 chr7:47806566:T:C rs12673415 T C T EBF1_EBF_1 17 0 - 0 0 . chr7 47819250 47819251 chr7:47819251:G:A chr7:47819251:G:A G A G EBF1_EBF_1 19 0 + 0 0 . chr7 47830152 47830153 chr7:47830153:C:T rs6463451 C T C EBF1_EBF_1 27 0 + 0 0 . chr7 47883239 47883240 chr7:47883240:G:A rs77689231 G A G EBF1_EBF_1 16 0 - 0 0 . chr7 47890201 47890202 chr7:47890202:G:C rs4486100 G C G EBF1_EBF_1 -20 0 + 0 0 . chr7 47890247 47890248 chr7:47890248:A:G rs4427076 A G G EBF1_EBF_1 26 0 + 0 0 . chr7 47891733 47891734 chr7:47891734:A:G rs79240404 A G A EBF1_EBF_1 15 0 + 0 0 . chr7 47915174 47915175 chr7:47915175:C:T rs73333698 C T C EBF1_EBF_1 4 1 + 5.639326725234542 1.269939780289619 AGTCCCCAGAGGCG chr7 47937436 47937437 chr7:47937437:A:G rs2708880 A G G EBF1_EBF_1 -20 0 - 0 0 . chr7 47938714 47938715 chr7:47938715:C:G rs2686826 C G G EBF1_EBF_1 2 1 + 4.915699668146976 0.056197389388658925 AGCCCTTTGGGACA chr7 47979751 47979752 chr7:47979752:A:C rs3176494 A C A EBF1_EBF_1 2 1 - 3.555456866046148 -3.1928688241543215 CCTCCCCCGGGGCG chr7 47979759 47979760 chr7:47979760:G:C rs3176493 G C G EBF1_EBF_1 -6 0 - 0 0 . chr7 47979762 47979763 chr7:47979763:C:G rs372581138 C G C EBF1_EBF_1 -9 0 - 0 0 . chr7 48004199 48004200 chr7:48004200:G:A rs9690905 G A G EBF1_EBF_1 26 0 - 0 0 . chr7 48085088 48085089 chr7:48085089:A:G rs56140561 A G A EBF1_EBF_1 32 0 - 0 0 . chr7 48089014 48089015 chr7:48089015:G:A rs112111626 G A G EBF1_EBF_1 -19 0 + 0 0 . chr7 48089059 48089060 chr7:48089060:T:A rs10278152 T A G EBF1_EBF_1 26 0 + 0 0 . chr7 48089439 48089440 chr7:48089440:T:C rs949098380 T C T EBF1_EBF_1 27 0 - 0 0 . chr7 48089475 48089476 chr7:48089476:C:T rs73337874 C T C EBF1_EBF_1 -9 0 - 0 0 . chr7 48160790 48160791 chr7:48160791:G:T rs73339647 G T G EBF1_EBF_1 22 0 + 0 0 . chr7 48162547 48162548 chr7:48162548:A:G rs4132734 A G A EBF1_EBF_1 25 0 - 0 0 . chr7 48180998 48180999 chr7:48180999:G:A rs36160646 G A G EBF1_EBF_1 -15 0 + 0 0 . chr7 48183607 48183608 chr7:48183608:C:T rs140237560 C T c EBF1_EBF_1 33 0 + 0 0 . chr7 48337148 48337149 chr7:48337149:A:G rs79906676 A G A EBF1_EBF_1 25 0 - 0 0 . chr7 48337185 48337186 chr7:48337186:T:C rs11978596 T C T EBF1_EBF_1 -12 0 - 0 0 . chr7 48416635 48416636 chr7:48416636:T:G rs1368655 T G g EBF1_EBF_1 -13 0 + 0 0 . chr7 48636633 48636634 chr7:48636634:G:A rs12718290 G A A EBF1_EBF_1 -20 0 - 0 0 . chr7 48741884 48741885 chr7:48741885:A:C rs965178103 A C A EBF1_EBF_1 33 0 - 0 0 . chr7 48742281 48742282 chr7:48742282:G:A rs17388239 G A G EBF1_EBF_1 -14 0 - 0 0 . chr7 48781930 48781931 chr7:48781931:G:A rs73696955 G A G EBF1_EBF_1 25 0 - 0 0 . chr7 48887468 48887469 chr7:48887469:A:C rs17132771 A C A EBF1_EBF_1 25 0 + 0 0 . chr7 48936591 48936592 chr7:48936592:C:T rs10236507 C T C EBF1_EBF_1 1 1 + 10.53025409504296 11.425687535441705 TCTCCCAAGGGAAA chr7 48942986 48942987 chr7:48942987:T:A rs118020578 T A T EBF1_EBF_1 2 1 + 6.656322135097195 2.971854547498318 AATCTCCAGGGAGC chr7 48976655 48976656 chr7:48976656:A:G rs12537215 A G A EBF1_EBF_1 31 0 - 0 0 . chr7 48994874 48994875 chr7:48994875:C:G rs4623355 C G G EBF1_EBF_1 27 0 - 0 0 . chr7 49026459 49026460 chr7:49026460:C:G rs73695497 C G C EBF1_EBF_1 13 1 + 5.7748490418535345 4.28677802049812 ACTCCTCAGGGAGC chr7 49128612 49128613 chr7:49128613:T:C rs9649712 T C T EBF1_EBF_1 -9 0 - 0 0 . chr7 49346094 49346095 chr7:49346095:A:G rs79600493 A G A EBF1_EBF_1 21 0 - 0 0 . chr7 49453888 49453889 chr7:49453889:G:C rs957331137 G C G EBF1_EBF_1 10 1 + 6.053802801411316 -0.8369995859181594 CTTCCCGAGGGGCA chr7 49453897 49453898 chr7:49453898:C:T rs111794263 C T c EBF1_EBF_1 19 0 + 0 0 . chr7 49463915 49463916 chr7:49463916:T:G rs2768422 T G A EBF1_EBF_1 8 1 - 6.517652433310269 5.425283661281844 AGCCCCTAAGGAAA chr7 49618292 49618293 chr7:49618293:T:C rs10244315 T C T EBF1_EBF_1 20 0 - 0 0 . chr7 49639209 49639210 chr7:49639210:A:G rs73341901 A G G EBF1_EBF_1 16 0 - 0 0 . chr7 49714374 49714375 chr7:49714375:A:T rs1009336171 A T A EBF1_EBF_1 -10 0 - 0 0 . chr7 49768407 49768408 chr7:49768408:G:A rs6955088 G A G EBF1_EBF_1 -9 0 + 0 0 . chr7 49849180 49849181 chr7:49849181:G:A rs691087 G A A EBF1_EBF_1 27 0 + 0 0 . chr7 49985741 49985742 chr7:49985742:C:T rs4917104 C T C EBF1_EBF_1 -9 0 - 0 0 . chr7 50040828 50040829 chr7:50040829:G:T rs7797911 G T G EBF1_EBF_1 14 0 + 0 0 . chr7 50068206 50068207 chr7:50068207:C:T rs150004408 C T C EBF1_EBF_1 9 1 - 5.483587602727082 2.828648337279477 AGTCCCTAAGGGCA chr7 50068209 50068210 chr7:50068210:A:G chr7:50068210:A:G A G A EBF1_EBF_1 6 1 - 5.483587602727082 5.1823014738653255 AGTCCCTAAGGGCA chr7 50170462 50170463 chr7:50170463:G:A rs62445309 G A G EBF1_EBF_1 10 1 + 7.858994864884513 2.540966405617547 CTTCCCCAGGGGCA chr7 50170481 50170482 chr7:50170482:T:C rs17092894 T C T EBF1_EBF_1 29 0 + 0 0 . chr7 50179576 50179577 chr7:50179577:G:C rs11766751 G C G EBF1_EBF_1 3 1 - 4.508764336307771 -1.3190001118074461 AACCCCCATGGAAT chr7 50183008 50183009 chr7:50183009:T:C rs56295803 T C t EBF1_EBF_1 29 0 + 0 0 . chr7 50207029 50207030 chr7:50207030:C:A rs762978098 C A C EBF1_EBF_1 19 0 - 0 0 . chr7 50214243 50214244 chr7:50214244:C:T chr7:50214244:C:T C T C EBF1_EBF_1 33 0 - 0 0 . chr7 50217117 50217118 chr7:50217118:C:T rs74804061 C T C EBF1_EBF_1 2 1 + 7.590536846671217 9.479360258113365 AACCCCTAGGGGAA chr7 50304776 50304777 chr7:50304777:C:T rs772082234 C T C EBF1_EBF_1 12 1 + 6.21203194801314 5.18576537746229 AGCCCCCTGGGTCC chr7 50304784 50304785 chr7:50304785:G:A rs867459084 G A G EBF1_EBF_1 20 0 + 0 0 . chr7 50304792 50304793 chr7:50304793:C:T rs543438548 C T C EBF1_EBF_1 28 0 + 0 0 . chr7 50314915 50314916 chr7:50314916:G:A rs10269380 G A G EBF1_EBF_1 30 0 + 0 0 . chr7 50336880 50336881 chr7:50336881:A:G rs994475356 A G G EBF1_EBF_1 31 0 - 0 0 . chr7 50368777 50368778 chr7:50368778:C:T rs117963681 C T T EBF1_EBF_1 -15 0 + 0 0 . chr7 50368819 50368820 chr7:50368820:C:T rs12533431 C T T EBF1_EBF_1 27 0 + 0 0 . chr7 50373395 50373396 chr7:50373396:A:G rs12718785 A G A EBF1_EBF_1 15 0 - 0 0 . chr7 50429835 50429836 chr7:50429836:C:T rs6978556 C T C EBF1_EBF_1 9 1 - 7.7075313167209725 5.052592051273368 ATCCCCCTGGTAAT chr7 50502437 50502438 chr7:50502438:A:G rs880028 A G G EBF1_EBF_1 6 1 - 7.866018052643643 7.564731923781885 ATTCCCTAGGGCTA chr7 50504080 50504081 chr7:50504081:G:A rs11575375 G A A EBF1_EBF_1 -7 0 + 0 0 . chr7 50534800 50534801 chr7:50534801:G:C rs11771860 G C C EBF1_EBF_1 -20 0 + 0 0 . chr7 50534840 50534841 chr7:50534841:T:G rs74876583 T G T EBF1_EBF_1 20 0 + 0 0 . chr7 50539240 50539241 chr7:50539241:C:T rs76056662 C T C EBF1_EBF_1 23 0 + 0 0 . chr7 50556155 50556156 chr7:50556156:G:A rs116884869 G A g EBF1_EBF_1 33 0 - 0 0 . chr7 50570412 50570413 chr7:50570413:C:T rs68143668 C T C EBF1_EBF_1 -15 0 - 0 0 . chr7 50583419 50583420 chr7:50583420:G:T rs112236210 G T G EBF1_EBF_1 6 1 - 8.73959157885289 9.101193946654169 CCCCCCCTGGGAAT chr7 50605209 50605210 chr7:50605210:T:C rs4947709 T C T EBF1_EBF_1 14 0 - 0 0 . chr7 50626329 50626330 chr7:50626330:G:A rs7385456 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 50628110 50628111 chr7:50628111:A:G rs4513918 A G A EBF1_EBF_1 32 0 - 0 0 . chr7 50640160 50640161 chr7:50640161:T:C rs2237448 T C T EBF1_EBF_1 -5 0 - 0 0 . chr7 50645784 50645785 chr7:50645785:A:G rs6974769 A G G EBF1_EBF_1 -9 0 + 0 0 . chr7 50660784 50660785 chr7:50660785:C:A rs17546223 C A C EBF1_EBF_1 -9 0 + 0 0 . chr7 50660857 50660858 chr7:50660858:G:A rs73114889 G A G EBF1_EBF_1 5 1 - 7.214752860932551 1.8929656453918806 AGCCCCCAGGGCCT chr7 50665947 50665948 chr7:50665948:T:A rs75675767 T A T EBF1_EBF_1 7 1 + 6.6038157142357425 7.0800899543233 AGCCCCATGGGTAC chr7 50667790 50667791 chr7:50667791:T:C rs1025757364 T C T EBF1_EBF_1 9 1 - 6.77939606775037 9.434335333197973 TTCCCCATGAGAAC chr7 50674919 50674920 chr7:50674920:A:G rs2190503 A G G EBF1_EBF_1 -9 0 - 0 0 . chr7 50678096 50678097 chr7:50678097:C:T rs2237478 C T C EBF1_EBF_1 6 1 + 6.2203418973973905 6.521628026259147 AGCCCCCGGGGTCT chr7 50690585 50690586 chr7:50690586:C:T rs2108351 C T C EBF1_EBF_1 -15 0 + 0 0 . chr7 50746898 50746899 chr7:50746899:T:C rs6945302 T C C EBF1_EBF_1 11 1 + 5.957111350051228 5.639063908297564 CCTCCCTTGGGTCC chr7 50792902 50792903 chr7:50792903:G:A rs1401364695 G A G EBF1_EBF_1 -12 0 + 0 0 . chr7 50792941 50792942 chr7:50792942:G:A rs138644022 G A G EBF1_EBF_1 27 0 + 0 0 . chr7 50810195 50810196 chr7:50810196:C:A rs75033104 C A C EBF1_EBF_1 11 1 + 4.697908534282508 9.113870232134897 TTTCCCCCGGGCCC chr7 50810217 50810218 chr7:50810218:T:C rs2051893 T C t EBF1_EBF_1 33 0 + 0 0 . chr7 50812932 50812933 chr7:50812933:G:C rs4947465 G C C EBF1_EBF_1 19 0 + 0 0 . chr7 50856997 50856998 chr7:50856998:G:A rs2876900 G A G EBF1_EBF_1 5 1 - 5.4618482885099535 0.14006107296928377 AGTCACCAGGGAGC chr7 50859849 50859850 chr7:50859850:C:T rs59368174 C T C EBF1_EBF_1 5 1 + 4.94700105891694 -0.37478615662372955 AGACCCCAGAGAGC chr7 50860379 50860380 chr7:50860380:C:A rs9642401 C A A EBF1_EBF_1 -1 0 - 0 0 . chr7 50872829 50872830 chr7:50872830:C:T rs34591416 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 50914828 50914829 chr7:50914829:C:T rs10486745 C T C EBF1_EBF_1 -19 0 - 0 0 . chr7 50982764 50982765 chr7:50982765:C:T rs62449538 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 51015822 51015823 chr7:51015823:G:A rs117929126 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 51023608 51023609 chr7:51023609:C:T rs10254832 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 51024975 51024976 chr7:51024976:C:G rs115712082 C G C EBF1_EBF_1 -20 0 + 0 0 . chr7 51134681 51134682 chr7:51134682:T:C rs10227978 T C T EBF1_EBF_1 -1 0 + 0 0 . chr7 51158973 51158974 chr7:51158974:A:G rs7805927 A G G EBF1_EBF_1 0 1 + 5.243790092792895 3.3515062232280615 AGCCCCAAGGGCCG chr7 51161285 51161286 chr7:51161286:C:T rs558165026 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 51266879 51266880 chr7:51266880:T:C rs7782986 T C T EBF1_EBF_1 -16 0 - 0 0 . chr7 51269020 51269021 chr7:51269021:C:A rs740543 C A C EBF1_EBF_1 3 1 + 5.450631120974555 -1.4555429084912501 GCACCCCAGGGAAG chr7 51269031 51269032 chr7:51269032:C:T rs740544 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 51276420 51276421 chr7:51276421:G:A rs1397639558 G A G EBF1_EBF_1 -10 0 + 0 0 . chr7 51315689 51315690 chr7:51315690:G:A rs986309027 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 51315691 51315692 chr7:51315692:G:A rs146835673 G A G EBF1_EBF_1 28 0 + 0 0 . chr7 51352494 51352495 chr7:51352495:C:G rs882459 C G c EBF1_EBF_1 5 1 + 6.613136828506029 -0.2917443241291388 GGTCCCATGAGATA chr7 51390176 51390177 chr7:51390177:G:A rs56295488 G A g EBF1_EBF_1 5 1 - 4.36015156735628 -0.9616356481843902 CTTCCCGAGGGCGT chr7 51465110 51465111 chr7:51465111:A:G rs1320417 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 51479141 51479142 chr7:51479142:T:C rs7795438 T C T EBF1_EBF_1 -2 0 - 0 0 . chr7 51501720 51501721 chr7:51501721:C:T rs1917286 C T c EBF1_EBF_1 -14 0 + 0 0 . chr7 51514946 51514947 chr7:51514947:T:G rs7798551 T G G EBF1_EBF_1 30 0 - 0 0 . chr7 51514964 51514965 chr7:51514965:G:T rs73121637 G T G EBF1_EBF_1 12 1 - 6.0540067452823605 6.084188143703686 CCTCCTTAGGGACT chr7 51601323 51601324 chr7:51601324:G:C rs4543495 G C C EBF1_EBF_1 -10 0 - 0 0 . chr7 51617900 51617901 chr7:51617901:G:C rs568998385 G C G EBF1_EBF_1 10 1 + 8.396705147059542 1.5059027597300672 CATCCCCAGGGAGA chr7 51693413 51693414 chr7:51693414:G:C rs79632483 G C G EBF1_EBF_1 -11 0 - 0 0 . chr7 51708443 51708444 chr7:51708444:G:A rs112407714 G A G EBF1_EBF_1 -19 0 - 0 0 . chr7 51780352 51780353 chr7:51780353:C:T rs141965 C T T EBF1_EBF_1 12 1 + 10.419159689376091 9.392893118825242 AACCCCAGGGGACT chr7 51783306 51783307 chr7:51783307:A:G rs1010531 A G A EBF1_EBF_1 32 0 + 0 0 . chr7 51877477 51877478 chr7:51877478:C:T rs66957343 C T C EBF1_EBF_1 -13 0 + 0 0 . chr7 51879035 51879036 chr7:51879036:T:C rs55877774 T C T EBF1_EBF_1 -13 0 - 0 0 . chr7 51959671 51959672 chr7:51959672:A:C rs11980651 A C A EBF1_EBF_1 13 1 - 4.885480296265251 2.552915160324318 AGCCACAGGGGAAT chr7 51970882 51970883 chr7:51970883:C:T rs1479693 C T C EBF1_EBF_1 4 1 + 7.042261113023943 2.6728741680790193 ATTCCCCTGGAAGT chr7 51970893 51970894 chr7:51970894:C:A rs72603228 C A C EBF1_EBF_1 15 0 + 0 0 . chr7 51973167 51973168 chr7:51973168:C:G rs2216884 C G c EBF1_EBF_1 24 0 + 0 0 . chr7 51979009 51979010 chr7:51979010:C:A rs889965 C A C EBF1_EBF_1 24 0 - 0 0 . chr7 52150167 52150168 chr7:52150168:T:G rs59184903 T G T EBF1_EBF_1 27 0 + 0 0 . chr7 52179754 52179755 chr7:52179755:G:A rs143687416 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 52362911 52362912 chr7:52362912:C:T rs9969140 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 52362916 52362917 chr7:52362917:G:A rs113519452 G A G EBF1_EBF_1 -3 0 + 0 0 . chr7 52362963 52362964 chr7:52362964:A:G rs9969117 A G A EBF1_EBF_1 6 1 + 8.879166147356838 6.712371716082363 AGTCCCATGAGATC chr7 52362969 52362970 chr7:52362970:T:C rs9969134 T C C EBF1_EBF_1 12 1 + 8.879166147356838 9.905432717907686 AGTCCCATGAGATC chr7 52434584 52434585 chr7:52434585:C:T rs9649805 C T C EBF1_EBF_1 6 1 - 8.260930872966902 10.427725304241378 TCTCCCGTGGGAAC chr7 52468034 52468035 chr7:52468035:C:G rs758992 C G C EBF1_EBF_1 -17 0 + 0 0 . chr7 52487493 52487494 chr7:52487494:A:T chr7:52487494:A:T A T A EBF1_EBF_1 6 1 + 7.130262387067597 7.069946148128076 AGCCCCATGGGCAT chr7 52639385 52639386 chr7:52639386:A:G rs6976281 A G A EBF1_EBF_1 -14 0 - 0 0 . chr7 52668575 52668576 chr7:52668576:G:A rs78193051 G A G EBF1_EBF_1 23 0 - 0 0 . chr7 52669335 52669336 chr7:52669336:G:A rs12671116 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 52691389 52691390 chr7:52691390:C:G rs62444498 C G C EBF1_EBF_1 -17 0 + 0 0 . chr7 52691419 52691420 chr7:52691420:C:G rs6953462 C G C EBF1_EBF_1 13 1 + 6.339986076592432 4.851915055237017 ATTCCCCAGAGCAC chr7 52694724 52694725 chr7:52694725:G:T rs1867018 G T G EBF1_EBF_1 -12 0 + 0 0 . chr7 52737594 52737595 chr7:52737595:C:T rs116849600 C T C EBF1_EBF_1 8 1 - 7.479831163777429 3.2535410748911695 AATCCCTTGGGCAA chr7 52737595 52737596 chr7:52737596:A:G rs10257056 A G G EBF1_EBF_1 7 1 - 7.479831163777429 5.662326417494806 AATCCCTTGGGCAA chr7 52789500 52789501 chr7:52789501:T:C rs971648 T C C EBF1_EBF_1 -4 0 - 0 0 . chr7 52827058 52827059 chr7:52827059:G:A rs2965593 G A G EBF1_EBF_1 -13 0 + 0 0 . chr7 52903810 52903811 chr7:52903811:A:G rs79243930 A G A EBF1_EBF_1 22 0 + 0 0 . chr7 52979905 52979906 chr7:52979906:A:G rs1919938 A G G EBF1_EBF_1 6 1 + 6.869958630839595 4.70316419956512 GTTCACAAGGGACT chr7 53036100 53036101 chr7:53036101:C:T rs73357087 C T C EBF1_EBF_1 -15 0 + 0 0 . chr7 53036251 53036252 chr7:53036252:G:T rs200587634 G T G EBF1_EBF_1 28 0 + 0 0 . chr7 53036252 53036253 chr7:53036253:G:C rs12113170 G C G EBF1_EBF_1 29 0 + 0 0 . chr7 53087210 53087211 chr7:53087211:C:A rs17135551 C A C EBF1_EBF_1 -16 0 - 0 0 . chr7 53205208 53205209 chr7:53205209:A:T rs769346 A T N EBF1_EBF_1 0 1 + 4.536338306533102 2.563281883567078 ACTCCCACTGGAAT chr7 53743915 53743916 chr7:53743916:A:G chr7:53743916:A:G A G A EBF1_EBF_1 12 1 + 8.768189113602256 7.349371771502778 AGCCCCCAGGGGAT chr7 53965882 53965883 chr7:53965883:A:G rs1526774 A G A EBF1_EBF_1 -15 0 - 0 0 . chr7 54110996 54110997 chr7:54110997:C:T rs74468359 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 54141723 54141724 chr7:54141724:T:C rs67184108 T C c EBF1_EBF_1 14 0 - 0 0 . chr7 54184050 54184051 chr7:54184051:A:G rs11982042 A G g EBF1_EBF_1 -11 0 + 0 0 . chr7 54447608 54447609 chr7:54447609:G:T rs56324237 G T G EBF1_EBF_1 -1 0 - 0 0 . chr7 54470016 54470017 chr7:54470017:G:A rs73401564 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 54505743 54505744 chr7:54505744:A:G rs598532 A G a EBF1_EBF_1 7 1 - 10.041905956200855 8.224401209918232 ATTCCCCTGGGGAC chr7 54530891 54530892 chr7:54530892:T:A rs616336 T A T EBF1_EBF_1 15 0 + 0 0 . chr7 54581881 54581882 chr7:54581882:C:T rs116020066 C T c EBF1_EBF_1 19 0 - 0 0 . chr7 54627732 54627733 chr7:54627733:A:G rs10269306 A G G EBF1_EBF_1 15 0 + 0 0 . chr7 54632797 54632798 chr7:54632798:T:C rs10234413 T C T EBF1_EBF_1 7 1 - 5.696200731941128 4.383742326652303 AAGCCCCAGGGAAC chr7 54632798 54632799 chr7:54632799:G:A rs10244775 G A G EBF1_EBF_1 6 1 - 5.696200731941128 5.997486860802886 AAGCCCCAGGGAAC chr7 54633184 54633185 chr7:54633185:A:C rs1476209 A C C EBF1_EBF_1 -8 0 + 0 0 . chr7 54654051 54654052 chr7:54654052:T:C rs555636 T C C EBF1_EBF_1 -7 0 + 0 0 . chr7 54660427 54660428 chr7:54660428:G:A rs511543 G A A EBF1_EBF_1 -6 0 - 0 0 . chr7 54662832 54662833 chr7:54662833:G:A rs486392 G A G EBF1_EBF_1 30 0 - 0 0 . chr7 54697722 54697723 chr7:54697723:A:C rs6978346 A C A EBF1_EBF_1 -14 0 + 0 0 . chr7 54697723 54697724 chr7:54697724:G:C rs6957710 G C G EBF1_EBF_1 -13 0 + 0 0 . chr7 54705860 54705861 chr7:54705861:G:A rs75780510 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 54720996 54720997 chr7:54720997:G:T rs10277620 G T G EBF1_EBF_1 21 0 - 0 0 . chr7 54796351 54796352 chr7:54796352:T:A rs1112564 T A A EBF1_EBF_1 13 1 + 6.11134471666924 4.893105152797746 CATCCCCTGGGCCT chr7 54882274 54882275 chr7:54882275:C:G rs12666999 C G C EBF1_EBF_1 23 0 + 0 0 . chr7 54906216 54906217 chr7:54906217:T:C rs2538066 T C C EBF1_EBF_1 13 1 - 5.460124881495931 4.345799309426492 ACCCCCAAGGGTTA chr7 54980688 54980689 chr7:54980689:C:T rs143865944 C T C EBF1_EBF_1 19 0 + 0 0 . chr7 55006588 55006589 chr7:55006589:C:T rs28641516 C T C EBF1_EBF_1 13 1 - 4.707199613765817 5.821525185835256 AGCCCCTTGGGCCG chr7 55018738 55018739 chr7:55018739:G:A chr7:55018739:G:A G A G EBF1_EBF_1 -2 0 - 0 0 . chr7 55018841 55018842 chr7:55018842:C:T rs377241852 C T C EBF1_EBF_1 8 1 - 4.605193870221992 0.3789037813357309 GCCCCCGCGGGACC chr7 55027011 55027012 chr7:55027012:G:A rs7784637 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 55027028 55027029 chr7:55027029:C:T rs76559438 C T C EBF1_EBF_1 10 1 - 6.119247527378522 0.8012190681115559 TCTCCCTGAGGACT chr7 55065291 55065292 chr7:55065292:G:A rs561032338 G A G EBF1_EBF_1 -13 0 - 0 0 . chr7 55065707 55065708 chr7:55065708:A:G rs191612342 A G A EBF1_EBF_1 -9 0 + 0 0 . chr7 55086750 55086751 chr7:55086751:T:C rs111280020 T C T EBF1_EBF_1 7 1 + 10.327984521048492 8.51047977476587 AGCCCCATGGGAAA chr7 55100187 55100188 chr7:55100188:T:C rs114418317 T C T EBF1_EBF_1 13 1 + 7.7219549205332 6.877460805947682 AGTGCCCAGGGAAT chr7 55129284 55129285 chr7:55129285:G:A rs74530128 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 55133684 55133685 chr7:55133685:C:T rs146228900 C T C EBF1_EBF_1 1 1 + 4.128663241483906 5.024096681882652 GCTCCCCAGCGAGC chr7 55133700 55133701 chr7:55133701:G:A rs11238353 G A G EBF1_EBF_1 17 0 + 0 0 . chr7 55179991 55179992 chr7:55179992:A:C rs17290399 A C A EBF1_EBF_1 15 0 + 0 0 . chr7 55207788 55207789 chr7:55207789:G:A rs884904 G A G EBF1_EBF_1 3 1 - 6.73426520265923 0.32886646110591183 ATCCCCCTGGGGGC chr7 55232154 55232155 chr7:55232155:C:T rs79439658 C T C EBF1_EBF_1 -20 0 - 0 0 . chr7 55252710 55252711 chr7:55252711:T:A rs116591540 T A T EBF1_EBF_1 -8 0 - 0 0 . chr7 55252721 55252722 chr7:55252722:A:G rs10241882 A G A EBF1_EBF_1 -19 0 - 0 0 . chr7 55255337 55255338 chr7:55255338:A:G rs6969564 A G G EBF1_EBF_1 -12 0 - 0 0 . chr7 55261479 55261480 chr7:55261480:A:G rs11978477 A G A EBF1_EBF_1 33 0 + 0 0 . chr7 55261552 55261553 chr7:55261553:C:T rs73697413 C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 55315357 55315358 chr7:55315358:T:A rs144255978 T A T EBF1_EBF_1 -6 0 - 0 0 . chr7 55315455 55315456 chr7:55315456:C:A rs10275650 C A C EBF1_EBF_1 -17 0 - 0 0 . chr7 55325565 55325566 chr7:55325566:C:T rs115122581 C T C EBF1_EBF_1 18 0 - 0 0 . chr7 55344083 55344084 chr7:55344084:C:T rs9649857 C T c EBF1_EBF_1 -8 0 + 0 0 . chr7 55344884 55344885 chr7:55344885:A:C rs4948012 A C C EBF1_EBF_1 29 0 - 0 0 . chr7 55363585 55363586 chr7:55363586:C:G rs73700241 C G C EBF1_EBF_1 -3 0 + 0 0 . chr7 55365570 55365571 chr7:55365571:C:A rs78936413 C A C EBF1_EBF_1 4 1 + 4.031218813541398 -1.7933408099120693 TTCCCCGCGGGAGC chr7 55365571 55365572 chr7:55365572:C:A rs1057266 C A C EBF1_EBF_1 5 1 + 4.031218813541398 -2.8736623390937712 TTCCCCGCGGGAGC chr7 55504447 55504448 chr7:55504448:G:T rs12718970 G T G EBF1_EBF_1 4 1 - 4.533471751866252 -1.2910878715872147 CTCCCCCAGAGGCT chr7 55535469 55535470 chr7:55535470:C:T rs139928456 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 55535470 55535471 chr7:55535471:G:A rs55976821 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 55549675 55549676 chr7:55549676:G:A rs117793918 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 55561799 55561800 chr7:55561800:T:C rs148554030 T C T EBF1_EBF_1 -9 0 + 0 0 . chr7 55572676 55572677 chr7:55572677:G:T rs141554837 G T g EBF1_EBF_1 -11 0 + 0 0 . chr7 55572716 55572717 chr7:55572717:A:T rs67772217 A T T EBF1_EBF_1 29 0 + 0 0 . chr7 55587387 55587388 chr7:55587388:G:A rs11772826 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 55587395 55587396 chr7:55587396:T:G rs79803554 T G T EBF1_EBF_1 -15 0 - 0 0 . chr7 55587825 55587826 chr7:55587826:G:T rs62455008 G T G EBF1_EBF_1 -17 0 + 0 0 . chr7 55588868 55588869 chr7:55588869:A:G rs12672565 A G A EBF1_EBF_1 6 1 - 5.334475759859302 5.033189630997544 AATCCATTGGGACC chr7 55589020 55589021 chr7:55589021:G:A rs112008748 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 55590024 55590025 chr7:55590025:C:T rs7802222 C T T EBF1_EBF_1 3 1 + 8.192574870397838 1.7871761288445203 CCTCCCTGGGGATC chr7 55591570 55591571 chr7:55591571:T:C rs67579125 T C T EBF1_EBF_1 6 1 - 7.821268056954119 5.654473625679643 CCTCCCAGGGGAAG chr7 55593959 55593960 chr7:55593960:A:G rs12718974 A G G EBF1_EBF_1 27 0 - 0 0 . chr7 55597023 55597024 chr7:55597024:A:G rs4948041 A G N EBF1_EBF_1 27 0 - 0 0 . chr7 55597487 55597488 chr7:55597488:G:C rs4948043 G C G EBF1_EBF_1 31 0 + 0 0 . chr7 55657925 55657926 chr7:55657926:G:A rs75333703 G A . EBF1_EBF_1 7 1 - 7.674294962643518 9.491799708926143 TCTCCCCCGGGAGT chr7 55743306 55743307 chr7:55743307:C:T rs191598161 C T . EBF1_EBF_1 14 0 + 0 0 . chr7 55770997 55770998 chr7:55770998:G:A rs144541709 G A . EBF1_EBF_1 -12 0 - 0 0 . chr7 55787486 55787487 chr7:55787487:G:A rs11982736 G A . EBF1_EBF_1 9 1 + 6.137067586644454 3.482128321196851 AGCCCCTTCGGACT chr7 55887299 55887300 chr7:55887300:G:C rs6947698 G C G EBF1_EBF_1 29 0 - 0 0 . chr7 55887332 55887333 chr7:55887333:T:G rs77435472 T G T EBF1_EBF_1 -4 0 - 0 0 . chr7 56005018 56005019 chr7:56005019:G:A chr7:56005019:G:A G A G EBF1_EBF_1 -13 0 - 0 0 . chr7 56034038 56034039 chr7:56034039:G:A rs1189614729 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 56034039 56034040 chr7:56034040:C:A rs562697877 C A C EBF1_EBF_1 18 0 - 0 0 . chr7 56034200 56034201 chr7:56034201:C:A chr7:56034201:C:A C A C EBF1_EBF_1 24 0 - 0 0 . chr7 56064114 56064115 chr7:56064115:A:G rs751827980 A G A EBF1_EBF_1 14 0 - 0 0 . chr7 56081431 56081432 chr7:56081432:C:T rs35046650 C T C EBF1_EBF_1 -18 0 + 0 0 . chr7 56081668 56081669 chr7:56081669:C:T rs11238393 C T C EBF1_EBF_1 -19 0 - 0 0 . chr7 56106748 56106749 chr7:56106749:G:A chr7:56106749:G:A G A G EBF1_EBF_1 21 0 + 0 0 . chr7 56106758 56106759 chr7:56106759:C:T chr7:56106759:C:T C T C EBF1_EBF_1 31 0 + 0 0 . chr7 56107835 56107836 chr7:56107836:A:C rs34057777 A C A EBF1_EBF_1 -3 0 - 0 0 . chr7 56108024 56108025 chr7:56108025:G:C rs2908545 G C G EBF1_EBF_1 25 0 - 0 0 . chr7 56125065 56125066 chr7:56125066:G:T rs1465097904 G T G EBF1_EBF_1 -6 0 + 0 0 . chr7 56125076 56125077 chr7:56125077:C:A rs10253539 C A A EBF1_EBF_1 5 1 + 5.519900936404787 -1.384980216230381 TGCCCCGAGGGACG chr7 56227466 56227467 chr7:56227467:C:G rs62460096 C G C EBF1_EBF_1 -9 0 - 0 0 . chr7 56273834 56273835 chr7:56273835:C:T rs6971985 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 56364113 56364114 chr7:56364114:G:A rs74220764 G A g EBF1_EBF_1 0 1 - 6.127066508504135 6.409268569234713 CGTCCCCAGGGGTA chr7 56367414 56367415 chr7:56367415:A:G rs73150030 A G g EBF1_EBF_1 -13 0 + 0 0 . chr7 56492197 56492198 chr7:56492198:A:G rs7357108 A G N EBF1_EBF_1 6 1 + 6.3804022031225145 4.21360777184804 GCTCCCATGGGTCC chr7 56493848 56493849 chr7:56493849:C:A rs67936971 C A c EBF1_EBF_1 -16 0 - 0 0 . chr7 56540687 56540688 chr7:56540688:T:A rs7785531 T A A EBF1_EBF_1 28 0 + 0 0 . chr7 56541284 56541285 chr7:56541285:A:G rs10216214 A G G EBF1_EBF_1 30 0 - 0 0 . chr7 56543600 56543601 chr7:56543601:C:T rs4947553 C T C EBF1_EBF_1 10 1 - 4.40555695923106 -0.9124715000359069 ACACCCCTGGGGTC chr7 56636725 56636726 chr7:56636726:T:C rs1514103 T C N EBF1_EBF_1 -12 0 + 0 0 . chr7 57002202 57002203 chr7:57002203:C:G rs7455704 C G C EBF1_EBF_1 -1 0 + 0 0 . chr7 57061170 57061171 chr7:57061171:C:T rs111515586 C T C EBF1_EBF_1 -12 0 + 0 0 . chr7 57061174 57061175 chr7:57061175:C:G rs74953039 C G C EBF1_EBF_1 -8 0 + 0 0 . chr7 57243306 57243307 chr7:57243307:G:C rs35115022 G C c EBF1_EBF_1 -10 0 + 0 0 . chr7 57250590 57250591 chr7:57250591:C:T rs4131195 C T c EBF1_EBF_1 -20 0 + 0 0 . chr7 57251042 57251043 chr7:57251043:C:T rs13240948 C T c EBF1_EBF_1 -6 0 - 0 0 . chr7 57343719 57343720 chr7:57343720:A:G rs10239788 A G N EBF1_EBF_1 31 0 - 0 0 . chr7 57414343 57414344 chr7:57414344:G:A rs1278842684 G A G EBF1_EBF_1 -11 0 + 0 0 . chr7 57437320 57437321 chr7:57437321:C:T rs6970766 C T T EBF1_EBF_1 -7 0 + 0 0 . chr7 57438521 57438522 chr7:57438522:G:A rs60323450 G A G EBF1_EBF_1 33 0 + 0 0 . chr7 57638357 57638358 chr7:57638358:T:A rs4870683 T A . EBF1_EBF_1 22 0 + 0 0 . chr7 57825908 57825909 chr7:57825909:G:A rs77793575 G A . EBF1_EBF_1 -10 0 + 0 0 . chr7 57861902 57861903 chr7:57861903:T:C rs9768657 T C . EBF1_EBF_1 -11 0 - 0 0 . chr7 57926797 57926798 chr7:57926798:A:C rs73349618 A C . EBF1_EBF_1 -16 0 + 0 0 . chr7 57926830 57926831 chr7:57926831:G:A rs9801052 G A . EBF1_EBF_1 17 0 + 0 0 . chr7 58088334 58088335 chr7:58088335:T:C rs28438635 T C . EBF1_EBF_1 6 1 - 7.493157549471738 5.326363118197263 GGCCCCAAGGGAGA chr7 63015928 63015929 chr7:63015929:C:G rs73363034 C G C EBF1_EBF_1 -10 0 + 0 0 . chr7 63015961 63015962 chr7:63015962:G:T rs546863725 G T G EBF1_EBF_1 23 0 + 0 0 . chr7 63030293 63030294 chr7:63030294:A:G rs821565 A G a EBF1_EBF_1 -5 0 + 0 0 . chr7 63037509 63037510 chr7:63037510:G:A rs77865018 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 63048886 63048887 chr7:63048887:C:T rs372026774 C T C EBF1_EBF_1 -18 0 + 0 0 . chr7 63048895 63048896 chr7:63048896:C:A rs78709857 C A C EBF1_EBF_1 -9 0 + 0 0 . chr7 63066470 63066471 chr7:63066471:A:G rs34135206 A G A EBF1_EBF_1 25 0 + 0 0 . chr7 63114150 63114151 chr7:63114151:C:T rs111488582 C T C EBF1_EBF_1 -1 0 - 0 0 . chr7 63114154 63114155 chr7:63114155:A:G rs6968344 A G G EBF1_EBF_1 -5 0 - 0 0 . chr7 63114468 63114469 chr7:63114469:C:T rs150168968 C T C EBF1_EBF_1 -19 0 + 0 0 . chr7 63185263 63185264 chr7:63185264:G:A rs540360554 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 63519389 63519390 chr7:63519390:G:A rs9690453 G A g EBF1_EBF_1 29 0 - 0 0 . chr7 63524524 63524525 chr7:63524525:T:C rs9690871 T C t EBF1_EBF_1 -2 0 + 0 0 . chr7 63563470 63563471 chr7:63563471:T:C rs1860491 T C T EBF1_EBF_1 32 0 + 0 0 . chr7 63583077 63583078 chr7:63583078:G:A rs56249064 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 63603985 63603986 chr7:63603986:C:T rs12537702 C T C EBF1_EBF_1 8 1 - 7.199307662776973 2.9730175738907114 GTTCCCAGGGTACT chr7 63604014 63604015 chr7:63604015:C:T rs12537704 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 63765900 63765901 chr7:63765901:C:T rs7809374 C T C EBF1_EBF_1 -17 0 - 0 0 . chr7 63768223 63768224 chr7:63768224:T:A rs57816038 T A T EBF1_EBF_1 1 1 - 5.451433082198262 6.417793699949092 TACCCCCTGAGAAC chr7 63900800 63900801 chr7:63900801:G:T rs543525026 G T G EBF1_EBF_1 27 0 - 0 0 . chr7 63900807 63900808 chr7:63900808:G:A rs77629472 G A G EBF1_EBF_1 20 0 - 0 0 . chr7 63925504 63925505 chr7:63925505:C:T rs3751565 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 63932138 63932139 chr7:63932139:C:T rs10447517 C T C EBF1_EBF_1 3 1 + 5.111177342187508 -1.2942213993658085 GTCCCCTAGGGCCA chr7 64307383 64307384 chr7:64307384:G:C rs2692099 G C G EBF1_EBF_1 -1 0 + 0 0 . chr7 64371350 64371351 chr7:64371351:T:C rs11979331 T C T EBF1_EBF_1 -2 0 - 0 0 . chr7 64371352 64371353 chr7:64371353:G:A rs17139091 G A G EBF1_EBF_1 -4 0 - 0 0 . chr7 64495325 64495326 chr7:64495326:C:G rs11974106 C G C EBF1_EBF_1 -5 0 - 0 0 . chr7 64561128 64561129 chr7:64561129:G:A rs7804897 G A A EBF1_EBF_1 8 1 + 5.775742739631187 1.5494526507449258 TGTCCCAAGGAAAC chr7 64568474 64568475 chr7:64568475:C:G rs28398411 C G c EBF1_EBF_1 31 0 - 0 0 . chr7 64569322 64569323 chr7:64569323:G:A chr7:64569323:G:A G A g EBF1_EBF_1 -12 0 + 0 0 . chr7 64569474 64569475 chr7:64569475:G:A rs181491274 G A g EBF1_EBF_1 11 1 - 4.4779748767821985 4.796022318535863 GGCCCCCAGGGCCC chr7 64639188 64639189 chr7:64639189:G:A rs78918161 G A g EBF1_EBF_1 8 1 + 5.887146030275925 1.6608559413896646 GGCCCCTAGGTAAT chr7 64659321 64659322 chr7:64659322:C:T rs6961657 C T N EBF1_EBF_1 -2 0 - 0 0 . chr7 64667738 64667739 chr7:64667739:T:C rs193022151 T C t EBF1_EBF_1 26 0 + 0 0 . chr7 64729841 64729842 chr7:64729842:A:G rs73698966 A G g EBF1_EBF_1 11 1 + 4.21777098837553 1.3250641447715183 GCTTCCCAGGGAAC chr7 64795602 64795603 chr7:64795603:T:C rs73142715 T C - EBF1_EBF_1 -16 0 + 0 0 . chr7 64872701 64872702 chr7:64872702:A:G rs7777724 A G G EBF1_EBF_1 19 0 - 0 0 . chr7 64874758 64874759 chr7:64874759:C:T rs7805275 C T T EBF1_EBF_1 7 1 + 8.854043825630518 10.67154857191314 AGCCCCACGGGACC chr7 64880145 64880146 chr7:64880146:A:G rs7790631 A G G EBF1_EBF_1 32 0 - 0 0 . chr7 64888361 64888362 chr7:64888362:C:T rs10231738 C T C EBF1_EBF_1 -2 0 - 0 0 . chr7 64889492 64889493 chr7:64889493:T:A rs884103 T A A EBF1_EBF_1 26 0 + 0 0 . chr7 64908041 64908042 chr7:64908042:A:C rs10265110 A C A EBF1_EBF_1 28 0 - 0 0 . chr7 64908069 64908070 chr7:64908070:A:G rs73133785 A G A EBF1_EBF_1 0 1 - 7.412603886020668 7.13040182529009 TATCCCCAGAGACA chr7 65269410 65269411 chr7:65269411:T:A rs11972276 T A T EBF1_EBF_1 30 0 - 0 0 . chr7 65437996 65437997 chr7:65437997:G:A rs12154350 G A g EBF1_EBF_1 31 0 + 0 0 . chr7 65447189 65447190 chr7:65447190:C:T rs56870556 C T c EBF1_EBF_1 30 0 + 0 0 . chr7 65508699 65508700 chr7:65508700:G:C rs558896963 G C . EBF1_EBF_1 30 0 - 0 0 . chr7 65797421 65797422 chr7:65797422:G:A rs71564933 G A . EBF1_EBF_1 -17 0 - 0 0 . chr7 65981876 65981877 chr7:65981877:G:A rs551143349 G A . EBF1_EBF_1 17 0 - 0 0 . chr7 66051075 66051076 chr7:66051076:T:C rs78608404 T C . EBF1_EBF_1 -17 0 - 0 0 . chr7 66077906 66077907 chr7:66077907:A:G rs1144894 A G a EBF1_EBF_1 31 0 - 0 0 . chr7 66141162 66141163 chr7:66141163:G:A rs777515252 G A G EBF1_EBF_1 -13 0 + 0 0 . chr7 66153686 66153687 chr7:66153687:G:A rs316306 G A A EBF1_EBF_1 -13 0 + 0 0 . chr7 66200068 66200069 chr7:66200069:A:G rs6968534 A G A EBF1_EBF_1 7 1 + 6.388197017680571 5.075738612391746 ACCCCCAAAGGAAA chr7 66205077 66205078 chr7:66205078:G:C chr7:66205078:G:C G C G EBF1_EBF_1 -12 0 + 0 0 . chr7 66333581 66333582 chr7:66333582:T:C chr7:66333582:T:C T C T EBF1_EBF_1 23 0 - 0 0 . chr7 66492497 66492498 chr7:66492498:A:C rs12672544 A C a EBF1_EBF_1 -17 0 + 0 0 . chr7 66503249 66503250 chr7:66503250:G:A rs28714531 G A g EBF1_EBF_1 -20 0 + 0 0 . chr7 66557719 66557720 chr7:66557720:C:A rs182793788 C A c EBF1_EBF_1 28 0 + 0 0 . chr7 66628184 66628185 chr7:66628185:C:T rs960400178 C T . EBF1_EBF_1 2 1 + 7.835147601280571 9.723971012722721 ACCCCCAGGAGACT chr7 66693510 66693511 chr7:66693511:C:A rs139068375 C A C EBF1_EBF_1 31 0 + 0 0 . chr7 66697068 66697069 chr7:66697069:G:A rs11770153 G A G EBF1_EBF_1 30 0 + 0 0 . chr7 66741634 66741635 chr7:66741635:T:A rs143517799 T A t EBF1_EBF_1 6 1 - 6.615909653627876 6.5555934146883565 ACTCCCAAGGTGCT chr7 66864646 66864647 chr7:66864647:G:A rs17747939 G A . EBF1_EBF_1 26 0 - 0 0 . chr7 66926215 66926216 chr7:66926216:C:T rs112192147 C T C EBF1_EBF_1 4 1 + 12.533858696616695 8.164471751671774 AATCCCTAGGGATT chr7 67103568 67103569 chr7:67103569:G:A rs73136205 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 67129224 67129225 chr7:67129225:C:T rs182109305 C T C EBF1_EBF_1 0 1 - 6.64362897770772 8.535912847272554 GCTCCCAGGAGAAA chr7 67163269 67163270 chr7:67163270:T:G rs36108108 T G T EBF1_EBF_1 24 0 - 0 0 . chr7 67183211 67183212 chr7:67183212:G:A rs7776775 G A G EBF1_EBF_1 3 1 - 13.006960192185122 6.601561450631808 ATTCCCCAGGGACA chr7 67223598 67223599 chr7:67223599:G:A rs76023751 G A G EBF1_EBF_1 -8 0 - 0 0 . chr7 67268042 67268043 chr7:67268043:G:A rs11769282 G A . EBF1_EBF_1 -10 0 + 0 0 . chr7 67378439 67378440 chr7:67378440:C:G rs1315123510 C G c EBF1_EBF_1 25 0 - 0 0 . chr7 67393116 67393117 chr7:67393117:C:G rs4717381 C G c EBF1_EBF_1 -15 0 - 0 0 . chr7 67439922 67439923 chr7:67439923:A:G rs4718572 A G G EBF1_EBF_1 -13 0 - 0 0 . chr7 67525907 67525908 chr7:67525908:G:A rs4718591 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 67644127 67644128 chr7:67644128:C:A rs6952180 C A C EBF1_EBF_1 27 0 + 0 0 . chr7 67654686 67654687 chr7:67654687:T:G rs1022953082 T G T EBF1_EBF_1 -5 0 + 0 0 . chr7 67683343 67683344 chr7:67683344:C:T rs773658304 C T C EBF1_EBF_1 26 0 + 0 0 . chr7 67753584 67753585 chr7:67753585:C:T rs2864459 C T C EBF1_EBF_1 -16 0 - 0 0 . chr7 67777285 67777286 chr7:67777286:A:G rs6952040 A G G EBF1_EBF_1 -8 0 - 0 0 . chr7 67843737 67843738 chr7:67843738:G:A rs13224033 G A G EBF1_EBF_1 13 1 - 4.809442404065282 5.6539365186508 GGCCCCTAGGGCAC chr7 68072924 68072925 chr7:68072925:G:A rs78713253 G A G EBF1_EBF_1 6 1 - 7.196794738578996 7.498080867440753 ACTCCCCACGGAAC chr7 68075156 68075157 chr7:68075157:G:C rs7783085 G C G EBF1_EBF_1 23 0 - 0 0 . chr7 68121924 68121925 chr7:68121925:T:A rs2642127 T A T EBF1_EBF_1 19 0 - 0 0 . chr7 68147731 68147732 chr7:68147732:C:T rs17715059 C T C EBF1_EBF_1 16 0 + 0 0 . chr7 68201021 68201022 chr7:68201022:A:G rs34394647 A G A EBF1_EBF_1 -20 0 + 0 0 . chr7 68201064 68201065 chr7:68201065:G:A rs34005899 G A C EBF1_EBF_1 23 0 + 0 0 . chr7 68206479 68206480 chr7:68206480:G:A rs62457268 G A G EBF1_EBF_1 -4 0 - 0 0 . chr7 68246365 68246366 chr7:68246366:C:T rs11980203 C T C EBF1_EBF_1 27 0 + 0 0 . chr7 68265552 68265553 chr7:68265553:T:C rs3113130 T C C EBF1_EBF_1 21 0 + 0 0 . chr7 68267639 68267640 chr7:68267640:C:G rs10249789 C G C EBF1_EBF_1 -3 0 - 0 0 . chr7 68404852 68404853 chr7:68404853:G:A rs2868559 G A A EBF1_EBF_1 19 0 - 0 0 . chr7 68487598 68487599 chr7:68487599:T:G rs903527 T G G EBF1_EBF_1 12 1 - 7.924584068908818 7.894402670487492 AGCCCCAAGGGTAT chr7 68530301 68530302 chr7:68530302:A:C rs35003190 A C C EBF1_EBF_1 -6 0 + 0 0 . chr7 68679433 68679434 chr7:68679434:T:A rs62457839 T A T EBF1_EBF_1 -15 0 - 0 0 . chr7 68698130 68698131 chr7:68698131:G:T rs73140448 G T g EBF1_EBF_1 1 1 + 7.795027504918695 8.500689290748223 CGTCCCCAGAGAAC chr7 68699608 68699609 chr7:68699609:A:G rs10237335 A G A EBF1_EBF_1 32 0 - 0 0 . chr7 68719734 68719735 chr7:68719735:G:A rs4557575 G A A EBF1_EBF_1 -15 0 + 0 0 . chr7 68719770 68719771 chr7:68719771:G:C rs4320430 G C C EBF1_EBF_1 21 0 + 0 0 . chr7 68900320 68900321 chr7:68900321:C:T rs74908972 C T C EBF1_EBF_1 -16 0 + 0 0 . chr7 68948354 68948355 chr7:68948355:C:T rs11762441 C T C EBF1_EBF_1 5 1 + 5.695526440403343 0.3737392248626737 CCACCCCTGGGACA chr7 69019764 69019765 chr7:69019765:C:T rs4286830 C T C EBF1_EBF_1 -12 0 + 0 0 . chr7 69070898 69070899 chr7:69070899:G:A rs10252979 G A G EBF1_EBF_1 8 1 + 5.89504518974621 1.668755100859949 ACTCCCTCGGGCTT chr7 69172091 69172092 chr7:69172092:T:C rs118146056 T C t EBF1_EBF_1 13 1 - 6.093267159312489 4.9789415872430505 CTCCCCATGAGACA chr7 69204736 69204737 chr7:69204737:T:C rs939964 T C C EBF1_EBF_1 -18 0 - 0 0 . chr7 69279014 69279015 chr7:69279015:G:A rs2461625 G A G EBF1_EBF_1 13 1 - 4.656680976565239 5.501175091150757 AATCCCAGGTGAAC chr7 69320424 69320425 chr7:69320425:T:C rs2938485 T C C EBF1_EBF_1 -16 0 - 0 0 . chr7 69367911 69367912 chr7:69367912:G:A rs116977613 G A g EBF1_EBF_1 0 1 + 6.841761810927106 8.734045680491938 GCTCCCAAGAGAAG chr7 69367937 69367938 chr7:69367938:C:G rs2938493 C G g EBF1_EBF_1 26 0 + 0 0 . chr7 69403329 69403330 chr7:69403330:C:A rs75444867 C A C EBF1_EBF_1 0 1 + 6.956272580220561 9.21153106391716 CCCCCCTGGGGACA chr7 69597563 69597564 chr7:69597564:G:T chr7:69597564:G:T G T G EBF1_EBF_1 -18 0 + 0 0 . chr7 69597573 69597574 chr7:69597574:G:C rs550585163 G C G EBF1_EBF_1 -8 0 + 0 0 . chr7 69597600 69597601 chr7:69597601:G:A rs61745341 G A G EBF1_EBF_1 19 0 + 0 0 . chr7 69597828 69597829 chr7:69597829:G:T rs575059889 G T G EBF1_EBF_1 5 1 - 4.42371120267529 -2.481169949959879 GCCCCCAAGGGTGT chr7 69597839 69597840 chr7:69597840:G:A rs61744589 G A G EBF1_EBF_1 -6 0 - 0 0 . chr7 69631088 69631089 chr7:69631089:G:A rs2533445 G A G EBF1_EBF_1 -8 0 - 0 0 . chr7 69655766 69655767 chr7:69655767:C:T rs186090463 C T C EBF1_EBF_1 10 1 - 6.725054762554617 1.4070263032876507 CCTCCCCTGAGACA chr7 69738366 69738367 chr7:69738367:C:A rs11762049 C A C EBF1_EBF_1 18 0 - 0 0 . chr7 69778399 69778400 chr7:69778400:T:A rs210594 T A A EBF1_EBF_1 -2 0 - 0 0 . chr7 69782857 69782858 chr7:69782858:C:T rs77148640 C T C EBF1_EBF_1 8 1 - 7.154726525614229 2.928436436727968 AATTCCTAGGGACT chr7 69825409 69825410 chr7:69825410:T:G rs10275721 T G T EBF1_EBF_1 32 0 + 0 0 . chr7 69928421 69928422 chr7:69928422:C:T rs73429443 C T c EBF1_EBF_1 28 0 + 0 0 . chr7 70082479 70082480 chr7:70082480:G:C rs10270616 G C C EBF1_EBF_1 -20 0 + 0 0 . chr7 70326223 70326224 chr7:70326224:T:G rs6967697 T G G EBF1_EBF_1 22 0 + 0 0 . chr7 70420589 70420590 chr7:70420590:G:A rs59045886 G A G EBF1_EBF_1 -18 0 - 0 0 . chr7 70471047 70471048 chr7:70471048:T:C rs151282394 T C T EBF1_EBF_1 7 1 + 8.668806117689394 6.851301371406771 TTCCCCCTGGGACA chr7 70566934 70566935 chr7:70566935:G:A rs112350240 G A G EBF1_EBF_1 -10 0 - 0 0 . chr7 70572811 70572812 chr7:70572812:G:A rs575338305 G A G EBF1_EBF_1 30 0 - 0 0 . chr7 70575016 70575017 chr7:70575017:C:T rs62455825 C T C EBF1_EBF_1 -12 0 - 0 0 . chr7 70657884 70657885 chr7:70657885:G:A rs35987830 G A G EBF1_EBF_1 -9 0 - 0 0 . chr7 70668862 70668863 chr7:70668863:G:A rs17141983 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 70695058 70695059 chr7:70695059:C:T rs118049787 C T C EBF1_EBF_1 6 1 + 3.669164397380056 3.970450526241813 AGCCCCCGGGGCGC chr7 70695620 70695621 chr7:70695621:G:A rs73435061 G A G EBF1_EBF_1 -8 0 - 0 0 . chr7 70699949 70699950 chr7:70699950:C:T rs7779509 C T C EBF1_EBF_1 6 1 + 4.26615136894298 4.567437497804737 AGCCCCCCAGGACC chr7 70744829 70744830 chr7:70744830:C:T rs6942467 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 70764038 70764039 chr7:70764039:C:T rs56209575 C T C EBF1_EBF_1 22 0 - 0 0 . chr7 70764227 70764228 chr7:70764228:C:A rs77175527 C A C EBF1_EBF_1 26 0 + 0 0 . chr7 70773871 70773872 chr7:70773872:G:C rs55838430 G C G EBF1_EBF_1 14 0 + 0 0 . chr7 70783482 70783483 chr7:70783483:A:G rs9886351 A G G EBF1_EBF_1 33 0 - 0 0 . chr7 70889385 70889386 chr7:70889386:C:T rs1534346 C T C EBF1_EBF_1 9 1 - 5.682499594822275 3.027560329374671 AGACCCCAGGTAAT chr7 70920119 70920120 chr7:70920120:C:T rs11981945 C T C EBF1_EBF_1 0 1 + 5.888464090710936 6.170666151441513 CCTCCCAAGAGGCT chr7 70926816 70926817 chr7:70926817:A:T rs534229784 A T A EBF1_EBF_1 12 1 - 5.822051218127251 6.878499187099426 CGTCCCCAGGGCTT chr7 70958145 70958146 chr7:70958146:G:A rs73704978 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 71020022 71020023 chr7:71020023:C:T rs114605232 C T C EBF1_EBF_1 -4 0 + 0 0 . chr7 71020151 71020152 chr7:71020152:T:G rs17673439 T G T EBF1_EBF_1 -17 0 - 0 0 . chr7 71183569 71183570 chr7:71183570:A:T rs1796084 A T T EBF1_EBF_1 7 1 + 4.726891459529779 4.250617219442221 ATTCCCCATGGAGA chr7 71208018 71208019 chr7:71208019:C:T rs28568816 C T T EBF1_EBF_1 -2 0 + 0 0 . chr7 71208026 71208027 chr7:71208027:C:A rs28435760 C A C EBF1_EBF_1 6 1 + 4.315576908012106 4.6771792758133826 ACCCCCCTGGGCGC chr7 71355833 71355834 chr7:71355834:A:G rs7778324 A G A EBF1_EBF_1 29 0 - 0 0 . chr7 71407323 71407324 chr7:71407324:G:A rs117121197 G A G EBF1_EBF_1 0 1 - 4.629558650638621 4.9117607113692 CCTCCCCTGGGTTC chr7 71481895 71481896 chr7:71481896:C:A rs78146280 C A C EBF1_EBF_1 27 0 - 0 0 . chr7 71487090 71487091 chr7:71487091:G:A rs57269404 G A G EBF1_EBF_1 -6 0 + 0 0 . chr7 71508164 71508165 chr7:71508165:A:C rs28391523 A C A EBF1_EBF_1 19 0 - 0 0 . chr7 71508187 71508188 chr7:71508188:T:G rs4442007 T G G EBF1_EBF_1 -4 0 - 0 0 . chr7 71555490 71555491 chr7:71555491:A:G rs6973274 A G A EBF1_EBF_1 -19 0 - 0 0 . chr7 71560814 71560815 chr7:71560815:C:T rs11767799 C T T EBF1_EBF_1 -11 0 + 0 0 . chr7 71641090 71641091 chr7:71641091:G:C rs10245252 G C C EBF1_EBF_1 24 0 + 0 0 . chr7 71657488 71657489 chr7:71657489:G:A rs56291910 G A G EBF1_EBF_1 29 0 - 0 0 . chr7 71682247 71682248 chr7:71682248:C:T rs6973391 C T C EBF1_EBF_1 -12 0 - 0 0 . chr7 71695781 71695782 chr7:71695782:G:A rs10282695 G A G EBF1_EBF_1 -10 0 + 0 0 . chr7 71695820 71695821 chr7:71695821:C:T rs10254113 C T C EBF1_EBF_1 29 0 + 0 0 . chr7 71712811 71712812 chr7:71712812:G:A rs13226410 G A G EBF1_EBF_1 -13 0 - 0 0 . chr7 71875041 71875042 chr7:71875042:A:G rs67943706 A G G EBF1_EBF_1 23 0 - 0 0 . chr7 71987392 71987393 chr7:71987393:G:A rs844696 G A G EBF1_EBF_1 2 1 - 4.366384008550441 6.2552074199925904 CACCCCTGGGGGCC chr7 71987398 71987399 chr7:71987399:T:C rs844695 T C C EBF1_EBF_1 -4 0 - 0 0 . chr7 72021354 72021355 chr7:72021355:G:C rs78844147 G C G EBF1_EBF_1 5 1 - 5.075838011073753 -1.8290431415614161 TCTCCCCTGGGCGT chr7 72063372 72063373 chr7:72063373:A:T rs917210 A T A EBF1_EBF_1 13 1 - 9.95880643544427 8.740566871572776 TTTCCCAAGAGACT chr7 72098479 72098480 chr7:72098480:A:G rs61193914 A G G EBF1_EBF_1 0 1 + 6.691710803442855 4.7994269338780216 AATCCCTTGAGGCC chr7 72098895 72098896 chr7:72098896:T:C rs114337010 T C T EBF1_EBF_1 -4 0 - 0 0 . chr7 72160001 72160002 chr7:72160002:G:A rs17352148 G A G EBF1_EBF_1 3 1 - 7.441062520714632 1.0356637791613148 AGTCGCAAGGGAAC chr7 72189236 72189237 chr7:72189237:A:C rs34801868 A C A EBF1_EBF_1 -2 0 - 0 0 . chr7 72389202 72389203 chr7:72389203:T:A rs10950307 T A T EBF1_EBF_1 -6 0 + 0 0 . chr7 72394174 72394175 chr7:72394175:C:T rs74802010 C T C EBF1_EBF_1 -10 0 + 0 0 . chr7 72447542 72447543 chr7:72447543:G:A rs7793843 G A G EBF1_EBF_1 -9 0 + 0 0 . chr7 72449985 72449986 chr7:72449986:C:T rs2969138 C T C EBF1_EBF_1 9 1 - 8.229272371885015 5.574333106437412 GGCCCCAAGGGATC chr7 72464990 72464991 chr7:72464991:A:C rs6460727 A C C EBF1_EBF_1 23 0 - 0 0 . chr7 72467060 72467061 chr7:72467061:T:C rs10266429 T C C EBF1_EBF_1 -8 0 + 0 0 . chr7 72476453 72476454 chr7:72476454:G:A rs10233681 G A g EBF1_EBF_1 -5 0 + 0 0 . chr7 72708750 72708751 chr7:72708751:G:A rs3015844 G A g EBF1_EBF_1 -3 0 - 0 0 . chr7 72975861 72975862 chr7:72975862:G:A rs1405864642 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 73226042 73226043 chr7:73226043:G:A rs1126736 G A G EBF1_EBF_1 9 1 + 4.90058402130686 2.245644755859256 GCTCCCCACGGACA chr7 73324562 73324563 chr7:73324563:G:T rs61741334 G T . EBF1_EBF_1 -12 0 - 0 0 . chr7 73325450 73325451 chr7:73325451:C:T rs3108462 C T . EBF1_EBF_1 -3 0 - 0 0 . chr7 73373923 73373924 chr7:73373924:C:T rs3846962 C T c EBF1_EBF_1 -20 0 + 0 0 . chr7 73435847 73435848 chr7:73435848:T:C rs1178947 T C C EBF1_EBF_1 1 1 + 8.764483644157128 7.869050203758382 ATCCCCTAGAGACA chr7 73435872 73435873 chr7:73435873:C:T rs574154028 C T C EBF1_EBF_1 26 0 + 0 0 . chr7 73437249 73437250 chr7:73437250:C:G rs715322 C G C EBF1_EBF_1 12 1 + 6.613447579286394 5.224811635608242 GCTCCCAGGAGACA chr7 73521307 73521308 chr7:73521308:A:G rs567646777 A G A EBF1_EBF_1 -3 0 - 0 0 . chr7 73521584 73521585 chr7:73521585:G:C rs113648617 G C G EBF1_EBF_1 14 0 - 0 0 . chr7 73521602 73521603 chr7:73521603:G:A rs576504274 G A G EBF1_EBF_1 -4 0 - 0 0 . chr7 73522271 73522272 chr7:73522272:G:C rs112760221 G C C EBF1_EBF_1 8 1 + 3.5202730331531837 -1.7983858277615021 CCTCCCCCGGGCCC chr7 73557725 73557726 chr7:73557726:A:C chr7:73557726:A:C A C A EBF1_EBF_1 20 0 - 0 0 . chr7 73626752 73626753 chr7:73626753:C:A rs73137079 C A C EBF1_EBF_1 10 1 - 4.699429845752519 0.516677659525254 ACCCACTAGGGACA chr7 73693874 73693875 chr7:73693875:G:A rs2293488 G A G EBF1_EBF_1 7 1 - 6.630038348690976 8.4475430949736 AGTCCCACGAGAAG chr7 73700058 73700059 chr7:73700059:C:T rs13234874 C T C EBF1_EBF_1 10 1 - 10.11427488134743 4.7962464220804675 CTTCCCTGGGGACC chr7 73705232 73705233 chr7:73705233:G:A chr7:73705233:G:A G A G EBF1_EBF_1 0 1 - 6.087003430572736 6.3692054913033145 CCTCCCCAGAGACG chr7 73707492 73707493 chr7:73707493:G:A rs1324585153 G A G EBF1_EBF_1 -2 0 - 0 0 . chr7 73719813 73719814 chr7:73719814:C:T rs1484765833 C T C EBF1_EBF_1 10 1 - 7.511492882441553 2.1934644231745866 ATCCCCACGGGGCT chr7 73719866 73719867 chr7:73719867:C:T rs1353523515 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 73719913 73719914 chr7:73719914:A:G rs1231336611 A G A EBF1_EBF_1 33 0 + 0 0 . chr7 73743268 73743269 chr7:73743269:G:A rs954395489 G A G EBF1_EBF_1 2 1 - 8.330419470779395 10.219242882221545 GCCCCCCAGGGACA chr7 73775177 73775178 chr7:73775178:C:A rs150320350 C A C EBF1_EBF_1 5 1 + 7.029155706939922 0.12427455430475282 ATACCCAAGAGACA chr7 73809810 73809811 chr7:73809811:G:A rs1310549356 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 73809823 73809824 chr7:73809824:G:A rs142348291 G A G EBF1_EBF_1 27 0 + 0 0 . chr7 73810736 73810737 chr7:73810737:C:T rs13228028 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 73811245 73811246 chr7:73811246:C:T rs150464559 C T C EBF1_EBF_1 -5 0 + 0 0 . chr7 73814930 73814931 chr7:73814931:G:T rs10226750 G T G EBF1_EBF_1 15 0 + 0 0 . chr7 73822384 73822385 chr7:73822385:C:T rs6946037 C T C EBF1_EBF_1 28 0 - 0 0 . chr7 73866334 73866335 chr7:73866335:G:A chr7:73866335:G:A G A G EBF1_EBF_1 -6 0 - 0 0 . chr7 73869817 73869818 chr7:73869818:A:G rs11763011 A G A EBF1_EBF_1 -11 0 + 0 0 . chr7 73872088 73872089 chr7:73872089:G:A rs75975982 G A G EBF1_EBF_1 3 1 - 5.101267310463474 -1.3041314310898426 ATTCCCCAGGCAGC chr7 73872169 73872170 chr7:73872170:G:A rs143426822 G A G EBF1_EBF_1 -3 0 + 0 0 . chr7 73917012 73917013 chr7:73917013:A:T rs7456461 A T A EBF1_EBF_1 26 0 + 0 0 . chr7 73972837 73972838 chr7:73972838:C:T rs6460066 C T T EBF1_EBF_1 21 0 + 0 0 . chr7 73979229 73979230 chr7:73979230:G:T rs186665839 G T G EBF1_EBF_1 23 0 + 0 0 . chr7 74033485 74033486 chr7:74033486:C:A rs1484585662 C A C EBF1_EBF_1 -17 0 + 0 0 . chr7 74062159 74062160 chr7:74062160:C:T chr7:74062160:C:T C T C EBF1_EBF_1 5 1 + 8.2103571757815 2.88856996024083 CTCCCCCAGGGATC chr7 74070921 74070922 chr7:74070922:G:C rs11770302 G C G EBF1_EBF_1 -5 0 + 0 0 . chr7 74173965 74173966 chr7:74173966:G:A rs77179393 G A G EBF1_EBF_1 -5 0 + 0 0 . chr7 74211131 74211132 chr7:74211132:C:A rs34364148 C A C EBF1_EBF_1 32 0 - 0 0 . chr7 74220345 74220346 chr7:74220346:G:A chr7:74220346:G:A G A G EBF1_EBF_1 30 0 + 0 0 . chr7 74269909 74269910 chr7:74269910:C:G rs78249175 C G C EBF1_EBF_1 -12 0 + 0 0 . chr7 74307273 74307274 chr7:74307274:T:C rs137996840 T C T EBF1_EBF_1 28 0 + 0 0 . chr7 74401429 74401430 chr7:74401430:A:G rs3735505 A G A EBF1_EBF_1 -1 0 - 0 0 . chr7 74406130 74406131 chr7:74406131:C:A rs930635616 C A C EBF1_EBF_1 -3 0 - 0 0 . chr7 74412801 74412802 chr7:74412802:A:G rs1006264800 A G A EBF1_EBF_1 -5 0 - 0 0 . chr7 74431094 74431095 chr7:74431095:G:A rs57344103 G A G EBF1_EBF_1 -6 0 + 0 0 . chr7 74431095 74431096 chr7:74431096:G:A rs182522056 G A G EBF1_EBF_1 -5 0 + 0 0 . chr7 74440861 74440862 chr7:74440862:A:G rs62478075 A G A EBF1_EBF_1 22 0 + 0 0 . chr7 74463641 74463642 chr7:74463642:G:A rs12532136 G A G EBF1_EBF_1 3 1 - 3.4668026003551304 -2.9385961411981874 GGCCCCTGGGGCCC chr7 74480750 74480751 chr7:74480751:G:A rs114862494 G A G EBF1_EBF_1 -16 0 + 0 0 . chr7 74490025 74490026 chr7:74490026:G:A rs37607 G A G EBF1_EBF_1 -10 0 - 0 0 . chr7 74569008 74569009 chr7:74569009:G:A rs28673430 G A g EBF1_EBF_1 19 0 + 0 0 . chr7 74578673 74578674 chr7:74578674:C:A rs184499734 C A C EBF1_EBF_1 -10 0 - 0 0 . chr7 74581623 74581624 chr7:74581624:G:C rs112598245 G C G EBF1_EBF_1 6 1 - 8.106625797605524 6.301433734132326 GTTCCCCAAGGACT chr7 74606513 74606514 chr7:74606514:C:T rs35137446 C T C EBF1_EBF_1 -18 0 + 0 0 . chr7 74606545 74606546 chr7:74606546:G:A rs954584364 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 74628395 74628396 chr7:74628396:T:A rs142273552 T A T EBF1_EBF_1 2 1 - 8.669971483321373 12.354439070920249 ATACCCCAGGGATC chr7 74684328 74684329 chr7:74684329:A:G rs749047336 A G A EBF1_EBF_1 -2 0 + 0 0 . chr7 74767174 74767175 chr7:74767175:C:T rs1375477855 C T C EBF1_EBF_1 7 1 + 4.411740284159435 6.229245030442058 CTCCCCACGGGGCC chr7 74772215 74772216 chr7:74772216:A:G rs587739881 A G A EBF1_EBF_1 -6 0 + 0 0 . chr7 74872774 74872775 chr7:74872775:C:T rs117446336 C T . EBF1_EBF_1 25 0 - 0 0 . chr7 74974346 74974347 chr7:74974347:C:T rs77349091 C T C EBF1_EBF_1 26 0 - 0 0 . chr7 74986556 74986557 chr7:74986557:G:T rs34911802 G T G EBF1_EBF_1 -14 0 + 0 0 . chr7 75003908 75003909 chr7:75003909:C:T rs1400770431 C T C EBF1_EBF_1 -10 0 + 0 0 . chr7 75038051 75038052 chr7:75038052:T:G chr7:75038052:T:G T G T EBF1_EBF_1 -19 0 - 0 0 . chr7 75460905 75460906 chr7:75460906:G:A rs61688923 G A G EBF1_EBF_1 9 1 + 10.751701708488524 8.096762443040921 CTTCCCCAGGGACA chr7 75485997 75485998 chr7:75485998:G:C rs180809993 G C G EBF1_EBF_1 15 0 + 0 0 . chr7 75612175 75612176 chr7:75612176:T:G rs807877 T G N EBF1_EBF_1 -20 0 - 0 0 . chr7 75618010 75618011 chr7:75618011:C:T rs1186222 C T t EBF1_EBF_1 10 1 - 7.443032227726169 2.125003768459202 CTTCCCCCGGGAGC chr7 75618021 75618022 chr7:75618022:C:T rs117482811 C T - EBF1_EBF_1 -1 0 - 0 0 . chr7 75637889 75637890 chr7:75637890:C:T rs116164800 C T c EBF1_EBF_1 14 0 - 0 0 . chr7 75639338 75639339 chr7:75639339:G:A rs1272706798 G A g EBF1_EBF_1 -19 0 + 0 0 . chr7 75646630 75646631 chr7:75646631:C:T rs1179598 C T c EBF1_EBF_1 -18 0 + 0 0 . chr7 75676359 75676360 chr7:75676360:G:A rs117839139 G A g EBF1_EBF_1 31 0 - 0 0 . chr7 75688264 75688265 chr7:75688265:G:A rs10244441 G A a EBF1_EBF_1 8 1 + 6.487287184398209 2.260997095511948 CCTCCCCTGGGGCA chr7 75688372 75688373 chr7:75688373:G:C rs528807809 G C a EBF1_EBF_1 32 0 - 0 0 . chr7 75713613 75713614 chr7:75713614:G:T chr7:75713614:G:T G T g EBF1_EBF_1 -8 0 + 0 0 . chr7 75719932 75719933 chr7:75719933:C:T rs4348432 C T c EBF1_EBF_1 9 1 - 5.439995619095383 2.7850563536477773 CTCCCCTAGGGTCC chr7 75719949 75719950 chr7:75719950:G:T rs4588797 G T t EBF1_EBF_1 -8 0 - 0 0 . chr7 75738791 75738792 chr7:75738792:C:T rs111778729 C T C EBF1_EBF_1 -14 0 - 0 0 . chr7 75741095 75741096 chr7:75741096:G:A rs146713038 G A G EBF1_EBF_1 5 1 - 7.924091649520875 2.6023044339802044 CCTCCCACGGGACA chr7 75741116 75741117 chr7:75741117:T:C rs111404533 T C T EBF1_EBF_1 -16 0 - 0 0 . chr7 75743610 75743611 chr7:75743611:C:T rs5018307 C T C EBF1_EBF_1 -2 0 - 0 0 . chr7 75762743 75762744 chr7:75762744:T:C rs181008849 T C T EBF1_EBF_1 15 0 + 0 0 . chr7 75772793 75772794 chr7:75772794:G:T rs7794630 G T G EBF1_EBF_1 1 1 - 7.902632341378416 7.83170516402633 ACTCCCTGGGGCCT chr7 75772813 75772814 chr7:75772814:G:A rs75506317 G A G EBF1_EBF_1 -19 0 - 0 0 . chr7 75779368 75779369 chr7:75779369:G:T rs6966402 G T g EBF1_EBF_1 32 0 + 0 0 . chr7 75798126 75798127 chr7:75798127:G:A rs57547698 G A G EBF1_EBF_1 13 1 - 7.986474465947345 8.830968580532863 AGCCCCTTGAGAAC chr7 75829843 75829844 chr7:75829844:G:T rs34829248 G T G EBF1_EBF_1 -20 0 + 0 0 . chr7 75829999 75830000 chr7:75830000:G:A rs112075810 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 75833758 75833759 chr7:75833759:G:T rs34361210 G T G EBF1_EBF_1 -12 0 + 0 0 . chr7 75853999 75854000 chr7:75854000:T:C rs12155185 T C C EBF1_EBF_1 -16 0 - 0 0 . chr7 75866872 75866873 chr7:75866873:G:A rs73359768 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 75879013 75879014 chr7:75879014:C:G rs550617756 C G C EBF1_EBF_1 18 0 + 0 0 . chr7 75879015 75879016 chr7:75879016:C:G rs782226267 C G C EBF1_EBF_1 20 0 + 0 0 . chr7 75896952 75896953 chr7:75896953:G:A rs62479404 G A A EBF1_EBF_1 16 0 - 0 0 . chr7 75938411 75938412 chr7:75938412:A:G rs149849940 A G A EBF1_EBF_1 22 0 + 0 0 . chr7 75945538 75945539 chr7:75945539:C:T rs147614132 C T C EBF1_EBF_1 25 0 - 0 0 . chr7 75945554 75945555 chr7:75945555:C:T rs62475267 C T c EBF1_EBF_1 9 1 - 4.46513457422847 1.8101953087808669 AACCCACAGGGACT chr7 75960584 75960585 chr7:75960585:A:G rs2868177 A G A EBF1_EBF_1 -20 0 + 0 0 . chr7 75960609 75960610 chr7:75960610:C:T rs782414110 C T C EBF1_EBF_1 5 1 + 5.490310577635557 0.16852336209488777 AGTCCCACAGGATC chr7 75977977 75977978 chr7:75977978:G:A rs925661826 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 75985881 75985882 chr7:75985882:C:T rs2302433 C T c EBF1_EBF_1 -11 0 - 0 0 . chr7 75993535 75993536 chr7:75993536:G:C rs146941240 G C G EBF1_EBF_1 22 0 - 0 0 . chr7 75999350 75999351 chr7:75999351:G:A rs7807647 G A G EBF1_EBF_1 0 1 - 6.2796770553633925 6.56187911609397 CGCCCCGTGGGAAC chr7 76000864 76000865 chr7:76000865:C:T rs2302434 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 76022346 76022347 chr7:76022347:T:C rs7788763 T C . EBF1_EBF_1 -6 0 - 0 0 . chr7 76048485 76048486 chr7:76048486:T:G rs10243907 T G C EBF1_EBF_1 2 1 + 6.2305030921312685 -0.5178225980691996 TTTCCCAGAGGACC chr7 76069326 76069327 chr7:76069327:C:T rs73136052 C T C EBF1_EBF_1 8 1 - 7.404609165430922 3.1783190765446605 AGTCCCACGGTACT chr7 76173550 76173551 chr7:76173551:T:C rs4728586 T C . EBF1_EBF_1 1 1 - 6.071901655809325 6.332600487730627 TATCTCCAGGGACC chr7 76202094 76202095 chr7:76202095:A:C rs76644252 A C C EBF1_EBF_1 0 1 + 7.63847743753726 5.383218953840659 ATCCCCGAGGGGCT chr7 76206704 76206705 chr7:76206705:C:T rs67070387 C T C EBF1_EBF_1 -8 0 - 0 0 . chr7 76216796 76216797 chr7:76216797:G:T rs10245109 G T G EBF1_EBF_1 3 1 - 4.769987574172492 -2.1361864552933154 TCCCCCGAGGGGCT chr7 76260696 76260697 chr7:76260697:G:T rs28575123 G T G EBF1_EBF_1 7 1 + 5.181243423789482 6.017427588990749 CCTCCCAGGGGTCC chr7 76281688 76281689 chr7:76281689:G:T rs1354829661 G T G EBF1_EBF_1 15 0 + 0 0 . chr7 76297807 76297808 chr7:76297808:A:G rs2908201 A G G EBF1_EBF_1 7 1 - 7.7818458070092635 5.96434106072664 CACCCCATGGGACA chr7 76303157 76303158 chr7:76303158:C:A rs28708645 C A C EBF1_EBF_1 10 1 - 3.632939179070923 -0.549813007156342 AGGCCCCCGGGACC chr7 76305690 76305691 chr7:76305691:A:T rs2961048 A T t EBF1_EBF_1 19 0 + 0 0 . chr7 76318366 76318367 chr7:76318367:G:A rs111723520 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 76358449 76358450 chr7:76358450:C:T rs73703151 C T C EBF1_EBF_1 -11 0 + 0 0 . chr7 76358477 76358478 chr7:76358478:C:T rs943066165 C T C EBF1_EBF_1 17 0 + 0 0 . chr7 76359277 76359278 chr7:76359278:C:T rs61152657 C T C EBF1_EBF_1 29 0 - 0 0 . chr7 76359322 76359323 chr7:76359323:A:C rs7809918 A C A EBF1_EBF_1 -16 0 - 0 0 . chr7 76359326 76359327 chr7:76359327:C:A chr7:76359327:C:A C A G EBF1_EBF_1 -20 0 - 0 0 . chr7 76359360 76359361 chr7:76359361:C:A rs555490704 C A C EBF1_EBF_1 -14 0 + 0 0 . chr7 76366172 76366173 chr7:76366173:C:T rs962627 C T C EBF1_EBF_1 7 1 - 5.937530196246482 7.249988601535307 GTTCCCAGAGGACA chr7 76373377 76373378 chr7:76373378:C:T rs77837153 C T C EBF1_EBF_1 9 1 - 4.377361244523422 1.7224219790758177 GGTTCCCAGGGACC chr7 76380010 76380011 chr7:76380011:T:G rs1023209447 T G T EBF1_EBF_1 29 0 - 0 0 . chr7 76393899 76393900 chr7:76393900:T:G rs151053756 T G T EBF1_EBF_1 -17 0 - 0 0 . chr7 76436871 76436872 chr7:76436872:A:G rs1558180 A G . EBF1_EBF_1 12 1 - 7.688809890865185 8.715076461416036 AACCCCCAGAGATT chr7 76437820 76437821 chr7:76437821:G:T rs201260836 G T . EBF1_EBF_1 -11 0 + 0 0 . chr7 76438604 76438605 chr7:76438605:T:G rs2530649 T G . EBF1_EBF_1 0 1 - 4.564950273171243 2.309691789474642 ACCACCCAGGGAAT chr7 76438613 76438614 chr7:76438614:T:C rs1638138 T C . EBF1_EBF_1 -9 0 - 0 0 . chr7 76510868 76510869 chr7:76510869:T:C rs12666503 T C . EBF1_EBF_1 26 0 + 0 0 . chr7 76513542 76513543 chr7:76513543:G:A rs187002049 G A . EBF1_EBF_1 17 0 + 0 0 . chr7 76513554 76513555 chr7:76513555:A:G rs2286989 A G . EBF1_EBF_1 29 0 + 0 0 . chr7 76513612 76513613 chr7:76513613:C:T rs2286990 C T . EBF1_EBF_1 -1 0 - 0 0 . chr7 76516610 76516611 chr7:76516611:T:C rs73142003 T C . EBF1_EBF_1 -5 0 - 0 0 . chr7 76520095 76520096 chr7:76520096:G:A rs71535581 G A . EBF1_EBF_1 0 1 - 4.9308447795003785 5.213046840230956 CCTCCCTTGGGTTC chr7 76549733 76549734 chr7:76549734:C:T rs1332096450 C T . EBF1_EBF_1 8 1 - 5.895089424430313 1.6687993355440505 GCTCCCGAGGGGCC chr7 76608907 76608908 chr7:76608908:G:T rs62478042 G T g EBF1_EBF_1 8 1 + 6.294497309251934 -0.5969354797252617 GTTCCCTGGGTACC chr7 76608930 76608931 chr7:76608931:C:A rs372329665 C A c EBF1_EBF_1 31 0 + 0 0 . chr7 76626615 76626616 chr7:76626616:C:T rs2006267 C T c EBF1_EBF_1 8 1 + 7.705286213365282 6.132512285302774 ATTCCCTTCGGATT chr7 76978825 76978826 chr7:76978826:C:T rs2245368 C T . EBF1_EBF_1 31 0 + 0 0 . chr7 76994127 76994128 chr7:76994128:C:T rs59416953 C T . EBF1_EBF_1 -9 0 + 0 0 . chr7 77122320 77122321 chr7:77122321:G:C chr7:77122321:G:C G C G EBF1_EBF_1 29 0 + 0 0 . chr7 77316722 77316723 chr7:77316723:C:T rs17151622 C T C EBF1_EBF_1 5 1 + 6.055508301323185 0.7337210857825137 TATCCCCAGGGCAC chr7 77324467 77324468 chr7:77324468:T:C rs115055734 T C T EBF1_EBF_1 17 0 - 0 0 . chr7 77328359 77328360 chr7:77328360:C:T rs11977955 C T C EBF1_EBF_1 -9 0 + 0 0 . chr7 77330695 77330696 chr7:77330696:C:T rs28585512 C T T EBF1_EBF_1 -11 0 + 0 0 . chr7 77406707 77406708 chr7:77406708:A:G rs6465628 A G G EBF1_EBF_1 20 0 + 0 0 . chr7 77477479 77477480 chr7:77477480:G:A rs2868777 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 77674040 77674041 chr7:77674041:G:A rs56372548 G A G EBF1_EBF_1 17 0 + 0 0 . chr7 77684299 77684300 chr7:77684300:C:T rs544814769 C T C EBF1_EBF_1 -6 0 + 0 0 . chr7 77959155 77959156 chr7:77959156:A:G rs1054041911 A G A EBF1_EBF_1 13 1 - 7.107886732841398 6.26339261825588 CTTCCCCTGGGCAT chr7 77986065 77986066 chr7:77986066:T:C rs140011876 T C T EBF1_EBF_1 15 0 - 0 0 . chr7 78019413 78019414 chr7:78019414:C:G rs2960452 C G G EBF1_EBF_1 -6 0 + 0 0 . chr7 78037768 78037769 chr7:78037769:C:T rs2428931 C T C EBF1_EBF_1 3 1 + 4.031813778875078 -2.3735849626782386 GCACCCCAGGGAGG chr7 78038619 78038620 chr7:78038620:G:A rs2428933 G A A EBF1_EBF_1 -1 0 + 0 0 . chr7 78047780 78047781 chr7:78047781:C:T rs3779333 C T C EBF1_EBF_1 10 1 - 7.344039238772759 2.026010779505793 CTTCCCAAGAGACG chr7 78047782 78047783 chr7:78047783:C:T rs3779332 C T C EBF1_EBF_1 8 1 - 7.344039238772759 3.1177491498864986 CTTCCCAAGAGACG chr7 78246898 78246899 chr7:78246899:G:A rs798358 G A G EBF1_EBF_1 9 1 + 4.8461947512801595 2.1912554858325555 GGCCCCCTGGGCCT chr7 78303764 78303765 chr7:78303765:T:G rs62463912 T G T EBF1_EBF_1 7 1 + 7.0049340134208675 6.1687498482196 ATTCCCTTGGTGAT chr7 78467338 78467339 chr7:78467339:C:T rs2192883 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 78636892 78636893 chr7:78636893:A:T rs161285 A T T EBF1_EBF_1 -11 0 + 0 0 . chr7 78734837 78734838 chr7:78734838:G:A rs13229506 G A G EBF1_EBF_1 0 1 + 5.153884458256158 7.046168327820992 GATCCCAGGAGAGA chr7 78863491 78863492 chr7:78863492:A:G chr7:78863492:A:G A G A EBF1_EBF_1 -6 0 - 0 0 . chr7 78913277 78913278 chr7:78913278:A:C rs11978865 A C A EBF1_EBF_1 -6 0 + 0 0 . chr7 79138079 79138080 chr7:79138080:T:C rs10245876 T C C EBF1_EBF_1 2 1 + 5.518373879675447 3.6295504682332957 CTTCCCTAGAGTCT chr7 79215976 79215977 chr7:79215977:C:T rs6955833 C T T EBF1_EBF_1 1 1 + 5.274959872240556 6.1703933126393 GCTCCCCAGGAACC chr7 79453420 79453421 chr7:79453421:A:C rs10279502 A C A EBF1_EBF_1 -3 0 - 0 0 . chr7 79528710 79528711 chr7:79528711:A:G rs147904154 A G A EBF1_EBF_1 17 0 + 0 0 . chr7 79750942 79750943 chr7:79750943:T:C rs2177438 T C c EBF1_EBF_1 0 1 - 7.782807917701624 5.890524048136792 AGTCCCCTGGGCCC chr7 79772542 79772543 chr7:79772543:C:T rs2689806 C T T EBF1_EBF_1 8 1 - 5.557362612198552 1.3310725233122898 TATCCCCGGGGCCT chr7 79847236 79847237 chr7:79847237:C:G rs55852557 C G C EBF1_EBF_1 -15 0 - 0 0 . chr7 79933769 79933770 chr7:79933770:C:A rs4460297 C A C EBF1_EBF_1 -5 0 - 0 0 . chr7 80039910 80039911 chr7:80039911:C:G rs7792173 C G C EBF1_EBF_1 -10 0 - 0 0 . chr7 80078653 80078654 chr7:80078654:C:T rs1034701 C T t EBF1_EBF_1 4 1 + 9.741596395803498 5.372209450858575 CCTCCCATGGGACA chr7 80133573 80133574 chr7:80133574:G:A chr7:80133574:G:A G A G EBF1_EBF_1 22 0 + 0 0 . chr7 80134645 80134646 chr7:80134646:G:A rs115361454 G A G EBF1_EBF_1 26 0 - 0 0 . chr7 80134683 80134684 chr7:80134684:C:T rs1055740761 C T C EBF1_EBF_1 -12 0 - 0 0 . chr7 80145994 80145995 chr7:80145995:T:C rs2523187 T C T EBF1_EBF_1 30 0 - 0 0 . chr7 80184851 80184852 chr7:80184852:T:C rs12706672 T C C EBF1_EBF_1 20 0 - 0 0 . chr7 80244793 80244794 chr7:80244794:A:T rs13223147 A T A EBF1_EBF_1 29 0 + 0 0 . chr7 80249868 80249869 chr7:80249869:G:T rs113976823 G T G EBF1_EBF_1 -13 0 - 0 0 . chr7 80337848 80337849 chr7:80337849:C:T rs485217 C T C EBF1_EBF_1 8 1 - 3.916878624917781 -0.30941146396847996 ACACCCCGGGGCCT chr7 80432206 80432207 chr7:80432207:A:G rs799942 A G G EBF1_EBF_1 29 0 - 0 0 . chr7 80564405 80564406 chr7:80564406:C:A rs116183113 C A C EBF1_EBF_1 -7 0 - 0 0 . chr7 80627421 80627422 chr7:80627422:C:T rs41364549 C T C EBF1_EBF_1 27 0 + 0 0 . chr7 80641791 80641792 chr7:80641792:G:A rs1534314 G A A EBF1_EBF_1 -10 0 - 0 0 . chr7 80722674 80722675 chr7:80722675:G:A rs73386847 G A A EBF1_EBF_1 -1 0 - 0 0 . chr7 80834170 80834171 chr7:80834171:C:A rs765469495 C A C EBF1_EBF_1 10 1 - 5.667086033879164 1.4843338476519 TTTCCCAGGGGCCA chr7 80834177 80834178 chr7:80834178:G:A rs112152920 G A G EBF1_EBF_1 3 1 - 5.667086033879164 -0.7383127076741525 TTTCCCAGGGGCCA chr7 80834307 80834308 chr7:80834308:T:G rs17154534 T G T EBF1_EBF_1 -5 0 - 0 0 . chr7 80848223 80848224 chr7:80848224:C:G rs68112751 C G C EBF1_EBF_1 -15 0 + 0 0 . chr7 80967870 80967871 chr7:80967871:C:A rs12707101 C A C EBF1_EBF_1 18 0 + 0 0 . chr7 81057527 81057528 chr7:81057528:T:A rs1636570 T A A EBF1_EBF_1 23 0 - 0 0 . chr7 81180840 81180841 chr7:81180841:A:T rs11975948 A T G EBF1_EBF_1 15 0 - 0 0 . chr7 81252249 81252250 chr7:81252250:G:C rs2107392 G C G EBF1_EBF_1 4 1 - 10.538058763795886 4.912293662646342 AATCCCCTGGGATA chr7 81309107 81309108 chr7:81309108:C:A chr7:81309108:C:A C A C EBF1_EBF_1 3 1 + 10.856218672365047 3.9500446428992433 ATTCCCCTGGGGCT chr7 81604412 81604413 chr7:81604413:T:A rs67507817 T A A EBF1_EBF_1 29 0 + 0 0 . chr7 81663221 81663222 chr7:81663222:G:A rs17490715 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 81672631 81672632 chr7:81672632:T:G rs7800672 T G G EBF1_EBF_1 -17 0 - 0 0 . chr7 81812007 81812008 chr7:81812008:G:A rs12707455 G A G EBF1_EBF_1 9 1 + 8.155591256295239 5.500651990847636 CACCCCATGGGACC chr7 81846183 81846184 chr7:81846184:A:G rs76746989 A G A EBF1_EBF_1 18 0 - 0 0 . chr7 81937406 81937407 chr7:81937407:C:T rs9769000 C T T EBF1_EBF_1 7 1 - 7.8082184826992975 9.120676887988122 CATCCCAGGGGATA chr7 82021237 82021238 chr7:82021238:A:G rs184764433 A G A EBF1_EBF_1 18 0 - 0 0 . chr7 82049603 82049604 chr7:82049604:A:G rs143143020 A G A EBF1_EBF_1 -19 0 + 0 0 . chr7 82210671 82210672 chr7:82210672:A:G rs78815123 A G G EBF1_EBF_1 6 1 - 6.24615957540512 5.944873446543363 AATCCCTTGATACT chr7 82335332 82335333 chr7:82335333:T:G rs12056126 T G T EBF1_EBF_1 -12 0 - 0 0 . chr7 82413473 82413474 chr7:82413474:C:T rs80345873 C T C EBF1_EBF_1 -14 0 - 0 0 . chr7 82506708 82506709 chr7:82506709:C:T rs11971008 C T t EBF1_EBF_1 8 1 - 5.119598777475025 0.8933086885887636 ACACCCCAGAGATC chr7 82600770 82600771 chr7:82600771:C:T chr7:82600771:C:T C T C EBF1_EBF_1 -11 0 + 0 0 . chr7 82854171 82854172 chr7:82854172:T:G rs62461391 T G G EBF1_EBF_1 -1 0 + 0 0 . chr7 83188826 83188827 chr7:83188827:A:G rs11761272 A G A EBF1_EBF_1 -6 0 + 0 0 . chr7 83252981 83252982 chr7:83252982:G:C rs2709953 G C C EBF1_EBF_1 -13 0 + 0 0 . chr7 83319646 83319647 chr7:83319647:G:C rs10244334 G C C EBF1_EBF_1 17 0 + 0 0 . chr7 83319661 83319662 chr7:83319662:T:A rs10233979 T A A EBF1_EBF_1 32 0 + 0 0 . chr7 83341617 83341618 chr7:83341618:C:T rs182528740 C T C EBF1_EBF_1 -13 0 + 0 0 . chr7 83494823 83494824 chr7:83494824:C:G rs2535379 C G G EBF1_EBF_1 22 0 - 0 0 . chr7 83629927 83629928 chr7:83629928:G:A rs111277233 G A G EBF1_EBF_1 6 1 + 5.978004193442291 8.144798624716767 GCACCCGAGGGAAT chr7 83646066 83646067 chr7:83646067:T:C rs302119 T C C EBF1_EBF_1 11 1 - 5.526864769796023 2.634157926192012 GCTCCCTGGAGATA chr7 83677622 83677623 chr7:83677623:C:T rs3801659 C T C EBF1_EBF_1 12 1 + 6.753652297303981 5.727385726753131 AGTCCCCAGAGGCA chr7 83678283 83678284 chr7:83678284:G:A rs540157 G A G EBF1_EBF_1 17 0 + 0 0 . chr7 83749755 83749756 chr7:83749756:G:T rs11772693 G T G EBF1_EBF_1 17 0 + 0 0 . chr7 83808146 83808147 chr7:83808147:A:G rs10255815 A G A EBF1_EBF_1 -10 0 + 0 0 . chr7 84034234 84034235 chr7:84034235:C:T rs57024152 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 84112004 84112005 chr7:84112005:T:C rs3801641 T C T EBF1_EBF_1 13 1 + 8.100164422423447 7.255670307837928 TTCCCCAAGAGAAT chr7 84245956 84245957 chr7:84245957:C:A rs55980937 C A C EBF1_EBF_1 -6 0 + 0 0 . chr7 84260506 84260507 chr7:84260507:G:A rs76166052 G A G EBF1_EBF_1 19 0 + 0 0 . chr7 84669412 84669413 chr7:84669413:A:T rs76299456 A T A EBF1_EBF_1 -6 0 + 0 0 . chr7 84780510 84780511 chr7:84780511:G:C rs140165879 G C G EBF1_EBF_1 26 0 - 0 0 . chr7 84822047 84822048 chr7:84822048:A:G rs11765826 A G G EBF1_EBF_1 29 0 - 0 0 . chr7 84823783 84823784 chr7:84823784:G:A rs13235916 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 84909688 84909689 chr7:84909689:T:C rs73711617 T C T EBF1_EBF_1 -6 0 - 0 0 . chr7 85126005 85126006 chr7:85126006:C:T rs11975936 C T C EBF1_EBF_1 -1 0 - 0 0 . chr7 85126021 85126022 chr7:85126022:A:G rs10486849 A G A EBF1_EBF_1 -17 0 - 0 0 . chr7 85185840 85185841 chr7:85185841:G:A rs145311199 G A G EBF1_EBF_1 -8 0 + 0 0 . chr7 85185876 85185877 chr7:85185877:A:G rs112202280 A G A EBF1_EBF_1 28 0 + 0 0 . chr7 85274723 85274724 chr7:85274724:A:G rs56246930 A G A EBF1_EBF_1 20 0 + 0 0 . chr7 85306377 85306378 chr7:85306378:C:T rs2696166 C T C EBF1_EBF_1 -12 0 + 0 0 . chr7 85320904 85320905 chr7:85320905:C:T rs73194392 C T C EBF1_EBF_1 25 0 + 0 0 . chr7 85381430 85381431 chr7:85381431:A:G rs10278317 A G A EBF1_EBF_1 -17 0 - 0 0 . chr7 85480227 85480228 chr7:85480228:T:C rs2463673 T C C EBF1_EBF_1 22 0 - 0 0 . chr7 85526344 85526345 chr7:85526345:G:C rs2140458 G C G EBF1_EBF_1 23 0 - 0 0 . chr7 85614824 85614825 chr7:85614825:A:G rs372385877 A G A EBF1_EBF_1 17 0 - 0 0 . chr7 85781666 85781667 chr7:85781667:G:A rs2055755 G A A EBF1_EBF_1 -6 0 - 0 0 . chr7 85812586 85812587 chr7:85812587:C:T rs10250741 C T T EBF1_EBF_1 -8 0 + 0 0 . chr7 85929313 85929314 chr7:85929314:C:T rs12673057 C T C EBF1_EBF_1 23 0 - 0 0 . chr7 85929341 85929342 chr7:85929342:G:A rs12673092 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 85964291 85964292 chr7:85964292:G:T rs10952871 G T G EBF1_EBF_1 -17 0 + 0 0 . chr7 85988108 85988109 chr7:85988109:T:C rs79779896 T C T EBF1_EBF_1 14 0 + 0 0 . chr7 85988116 85988117 chr7:85988117:C:A rs2524933 C A A EBF1_EBF_1 22 0 + 0 0 . chr7 86003158 86003159 chr7:86003159:C:G rs10229227 C G C EBF1_EBF_1 -10 0 - 0 0 . chr7 86003164 86003165 chr7:86003165:G:C rs12666701 G C G EBF1_EBF_1 -16 0 - 0 0 . chr7 86644879 86644880 chr7:86644880:T:G rs274623 T G G EBF1_EBF_1 11 1 - 4.431952261747527 0.01599056389513666 CCTCCTCTGGGACC chr7 86644893 86644894 chr7:86644894:G:A rs56227688 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 86654205 86654206 chr7:86654206:G:C rs802430 G C G EBF1_EBF_1 28 0 - 0 0 . chr7 86654238 86654239 chr7:86654239:C:T rs77155012 C T C EBF1_EBF_1 -5 0 - 0 0 . chr7 86842596 86842597 chr7:86842597:G:A rs17126 G A A EBF1_EBF_1 26 0 + 0 0 . chr7 87059810 87059811 chr7:87059811:G:T rs1215858016 G T G EBF1_EBF_1 13 1 - 9.775048167772352 9.401302718486377 AGCCCCTGGGGACC chr7 87100491 87100492 chr7:87100492:G:A rs77050973 G A G EBF1_EBF_1 23 0 - 0 0 . chr7 87106026 87106027 chr7:87106027:T:C rs2158156 T C C EBF1_EBF_1 8 1 - 5.613044136213821 9.839334225100082 GACCCCAAAGGACT chr7 87218199 87218200 chr7:87218200:T:C rs865998864 T C T EBF1_EBF_1 23 0 + 0 0 . chr7 87345657 87345658 chr7:87345658:G:T rs1246281472 G T G EBF1_EBF_1 -20 0 - 0 0 . chr7 87345678 87345679 chr7:87345679:C:T rs116882440 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 87345693 87345694 chr7:87345694:G:C rs189632578 G C G EBF1_EBF_1 4 1 - 4.876936672171511 -0.748828428978034 TCCCCCTTGGGCCT chr7 87345708 87345709 chr7:87345709:G:T rs74777564 G T G EBF1_EBF_1 -11 0 - 0 0 . chr7 87345713 87345714 chr7:87345714:T:C rs12667741 T C T EBF1_EBF_1 -16 0 - 0 0 . chr7 87456823 87456824 chr7:87456824:C:T rs45546132 C T C EBF1_EBF_1 3 1 + 11.019021399488096 4.61362265793478 AGTCCCCGGGGAAA chr7 87456827 87456828 chr7:87456828:G:A rs45447097 G A G EBF1_EBF_1 7 1 + 11.019021399488096 12.331479804776922 AGTCCCCGGGGAAA chr7 87542066 87542067 chr7:87542067:G:A rs4148736 G A A EBF1_EBF_1 -7 0 + 0 0 . chr7 87600614 87600615 chr7:87600615:G:T rs28381802 G T G EBF1_EBF_1 12 1 - 4.758990426579336 4.789171825000662 AGTCCATGGGGACC chr7 87608442 87608443 chr7:87608443:C:T rs28381787 C T T EBF1_EBF_1 -18 0 + 0 0 . chr7 87716427 87716428 chr7:87716428:A:G rs200913451 A G A EBF1_EBF_1 1 1 + 5.981146556107396 6.241845388028699 AACCTCTTGGGACC chr7 87785070 87785071 chr7:87785071:G:A rs73200335 G A g EBF1_EBF_1 -14 0 - 0 0 . chr7 87875851 87875852 chr7:87875852:G:A rs111815979 G A G EBF1_EBF_1 8 1 + 3.344476130528628 -0.8818139583576328 CGCCCCCCGGGGCC chr7 87875856 87875857 chr7:87875857:C:T rs781275511 C T C EBF1_EBF_1 13 1 + 3.344476130528628 4.188970245114147 CGCCCCCCGGGGCC chr7 87920918 87920919 chr7:87920919:C:T rs2158929 C T C EBF1_EBF_1 27 0 + 0 0 . chr7 88095291 88095292 chr7:88095292:C:A rs2282956 C A C EBF1_EBF_1 19 0 + 0 0 . chr7 88201250 88201251 chr7:88201251:T:C rs79257858 T C C EBF1_EBF_1 9 1 - 6.590332879640964 9.245272145088569 ACTCCCTAGAGCAT chr7 88218460 88218461 chr7:88218461:T:A chr7:88218461:T:A T A T EBF1_EBF_1 13 1 - 5.851606538653493 7.069846102524987 ACCCCCTTAGGAAA chr7 88357951 88357952 chr7:88357952:T:C rs140738960 T C T EBF1_EBF_1 7 1 + 5.694391911353955 3.8768871650713317 TCTCCCATGAGGCT chr7 88465785 88465786 chr7:88465786:A:G rs17165325 A G A EBF1_EBF_1 29 0 + 0 0 . chr7 88543389 88543390 chr7:88543390:A:T rs62461999 A T A EBF1_EBF_1 23 0 - 0 0 . chr7 88668755 88668756 chr7:88668756:C:G rs2080152 C G G EBF1_EBF_1 18 0 - 0 0 . chr7 88905040 88905041 chr7:88905041:T:C rs1119971 T C T EBF1_EBF_1 -1 0 - 0 0 . chr7 88971877 88971878 chr7:88971878:C:A rs17393952 C A C EBF1_EBF_1 12 1 + 5.6281372377890575 5.6583186362103834 ATACTCTAGGGACC chr7 89746500 89746501 chr7:89746501:T:G rs2373923 T G G EBF1_EBF_1 19 0 + 0 0 . chr7 89891588 89891589 chr7:89891589:G:A rs983516 G A A EBF1_EBF_1 -13 0 + 0 0 . chr7 89965476 89965477 chr7:89965477:G:A rs7796736 G A G EBF1_EBF_1 9 1 + 6.615909653627876 3.9609703881802716 ACTCCCAAGGTGCT chr7 90167965 90167966 chr7:90167966:C:T rs259137 C T T EBF1_EBF_1 13 1 + 4.412012966167982 5.2565070807535 ATCCCATTGGGACC chr7 90176548 90176549 chr7:90176549:A:C rs2097910 A C C EBF1_EBF_1 -17 0 + 0 0 . chr7 90211992 90211993 chr7:90211993:G:A rs194505 G A G EBF1_EBF_1 -16 0 - 0 0 . chr7 90486659 90486660 chr7:90486660:A:G rs17865480 A G A EBF1_EBF_1 -10 0 + 0 0 . chr7 90495672 90495673 chr7:90495673:C:T rs12704543 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 90574726 90574727 chr7:90574727:A:G rs17870053 A G A EBF1_EBF_1 20 0 - 0 0 . chr7 90597626 90597627 chr7:90597627:G:T rs12704550 G T G EBF1_EBF_1 9 1 + 6.269665581558213 -0.5673238265305963 AGTCCCTAGGAAGC chr7 90631721 90631722 chr7:90631722:C:G rs17865282 C G C EBF1_EBF_1 -7 0 - 0 0 . chr7 90658456 90658457 chr7:90658457:C:A rs1075012 C A C EBF1_EBF_1 0 1 + 5.814052019523365 8.069310503219965 CCTCCCCAGGGCCC chr7 90661077 90661078 chr7:90661078:A:G rs7795223 A G A EBF1_EBF_1 -6 0 + 0 0 . chr7 90713718 90713719 chr7:90713719:C:T rs34215159 C T C EBF1_EBF_1 -9 0 + 0 0 . chr7 90736512 90736513 chr7:90736513:A:G rs7796430 A G G EBF1_EBF_1 -10 0 - 0 0 . chr7 90765053 90765054 chr7:90765054:G:A rs34685930 G A A EBF1_EBF_1 5 1 - 9.077234428756007 3.755447213215337 CTTCCCCAGGGGCT chr7 90965271 90965272 chr7:90965272:G:A rs75526037 G A G EBF1_EBF_1 -3 0 + 0 0 . chr7 91052127 91052128 chr7:91052128:G:C rs696270 G C G EBF1_EBF_1 -14 0 - 0 0 . chr7 91114391 91114392 chr7:91114392:C:T rs117832831 C T C EBF1_EBF_1 26 0 + 0 0 . chr7 91263528 91263529 chr7:91263529:G:A rs576063463 G A G EBF1_EBF_1 5 1 - 6.230634138338911 0.9088469227982405 AAACCCTGGGGACG chr7 91263543 91263544 chr7:91263544:C:A rs76010082 C A C EBF1_EBF_1 3 1 + 7.700281487903511 0.7941074584377026 GCTCCCCAGGGGCC chr7 91299441 91299442 chr7:91299442:G:T rs7798734 G T T EBF1_EBF_1 -20 0 + 0 0 . chr7 91313320 91313321 chr7:91313321:G:A rs4728961 G A G EBF1_EBF_1 4 1 - 3.931331687504069 -0.43805525744085405 AGCCCCAGGGGCCG chr7 91320907 91320908 chr7:91320908:C:T rs3750144 C T C EBF1_EBF_1 3 1 + 5.862554540566313 -0.5428442009870043 CTCCCCTTGAGAGT chr7 91463432 91463433 chr7:91463433:G:A rs80323933 G A G EBF1_EBF_1 17 0 + 0 0 . chr7 91520009 91520010 chr7:91520010:C:T rs6465323 C T T EBF1_EBF_1 20 0 + 0 0 . chr7 91550027 91550028 chr7:91550028:T:G rs2710991 T G T EBF1_EBF_1 14 0 - 0 0 . chr7 91550028 91550029 chr7:91550029:A:G rs2540585 A G G EBF1_EBF_1 13 1 - 6.307623291022123 5.463129176436605 CTTCCCATGAGGCT chr7 91550046 91550047 chr7:91550047:G:A rs1035152 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 91570767 91570768 chr7:91570768:T:C rs2540574 T C T EBF1_EBF_1 -12 0 + 0 0 . chr7 91747663 91747664 chr7:91747664:C:T rs140393421 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 91846711 91846712 chr7:91846712:C:T rs956908645 C T C EBF1_EBF_1 -4 0 - 0 0 . chr7 91884310 91884311 chr7:91884311:T:C rs56975567 T C T EBF1_EBF_1 32 0 + 0 0 . chr7 91894943 91894944 chr7:91894944:A:T rs10280301 A T A EBF1_EBF_1 18 0 - 0 0 . chr7 92092358 92092359 chr7:92092359:G:C rs78291049 G C G EBF1_EBF_1 -12 0 - 0 0 . chr7 92135185 92135186 chr7:92135186:C:G chr7:92135186:C:G C G C EBF1_EBF_1 -18 0 + 0 0 . chr7 92135202 92135203 chr7:92135203:C:T chr7:92135203:C:T C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 92135438 92135439 chr7:92135439:A:G rs778377024 A G A EBF1_EBF_1 26 0 - 0 0 . chr7 92293187 92293188 chr7:92293188:G:A rs10266214 G A G EBF1_EBF_1 -12 0 + 0 0 . chr7 92293226 92293227 chr7:92293227:T:C rs10953069 T C T EBF1_EBF_1 27 0 + 0 0 . chr7 92445825 92445826 chr7:92445826:C:T rs111632027 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 92486547 92486548 chr7:92486548:C:T rs1468867 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 92648162 92648163 chr7:92648163:C:T rs6960181 C T C EBF1_EBF_1 10 1 - 8.69617962943391 3.378151170166944 ATTCCCATAGGAAA chr7 92695755 92695756 chr7:92695756:G:T rs78431470 G T G EBF1_EBF_1 4 1 - 5.412917636323998 -0.41164198712946987 CCACCCAGGGGATT chr7 92833444 92833445 chr7:92833445:C:A rs1003516760 C A C EBF1_EBF_1 33 0 + 0 0 . chr7 92833921 92833922 chr7:92833922:G:A rs3731259 G A G EBF1_EBF_1 14 0 - 0 0 . chr7 93029098 93029099 chr7:93029099:C:G rs57818769 C G C EBF1_EBF_1 4 1 + 6.692569669599125 1.0668045684495808 ACCCCCTGGGGGCC chr7 93029105 93029106 chr7:93029106:G:A rs112827145 G A G EBF1_EBF_1 11 1 + 6.692569669599125 9.585276513203135 ACCCCCTGGGGGCC chr7 93076866 93076867 chr7:93076867:C:G rs73216044 C G - EBF1_EBF_1 16 0 - 0 0 . chr7 93076875 93076876 chr7:93076876:T:C rs61696642 T C - EBF1_EBF_1 7 1 - 9.76917261011146 8.456714204822633 TCCCCCTAGGGACT chr7 93118310 93118311 chr7:93118311:T:C rs73216097 T C t EBF1_EBF_1 15 0 - 0 0 . chr7 93232024 93232025 chr7:93232025:C:T chr7:93232025:C:T C T C EBF1_EBF_1 -7 0 + 0 0 . chr7 93259962 93259963 chr7:93259963:T:G rs6966383 T G T EBF1_EBF_1 -7 0 - 0 0 . chr7 93333929 93333930 chr7:93333930:A:G rs4729079 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 93642286 93642287 chr7:93642287:G:C rs12667249 G C C EBF1_EBF_1 -14 0 - 0 0 . chr7 93737648 93737649 chr7:93737649:C:A rs2677047 C A A EBF1_EBF_1 4 1 + 7.348569726617383 1.5240101031639162 GATCCCTTGAGAAA chr7 93737662 93737663 chr7:93737663:C:T rs73227416 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 93855113 93855114 chr7:93855114:C:T rs2724124 C T C EBF1_EBF_1 -4 0 + 0 0 . chr7 93902976 93902977 chr7:93902977:C:T rs369398148 C T C EBF1_EBF_1 9 1 - 6.112744205323118 3.457804939875513 GCCCCCTAGGGGCC chr7 93922386 93922387 chr7:93922387:A:G rs112016765 A G A EBF1_EBF_1 -4 0 - 0 0 . chr7 94158100 94158101 chr7:94158101:C:A rs7357193 C A A EBF1_EBF_1 25 0 - 0 0 . chr7 94184363 94184364 chr7:94184364:C:T rs10282437 C T C EBF1_EBF_1 9 1 - 5.106052492170625 2.4511132267230207 ACTCCCATGGCACA chr7 94217493 94217494 chr7:94217494:T:G rs903284161 T G T EBF1_EBF_1 1 1 + 5.898497740851759 5.192835955022232 GTTCCCTAGAGGAA chr7 94316142 94316143 chr7:94316143:C:A rs10953111 C A A EBF1_EBF_1 33 0 - 0 0 . chr7 94333983 94333984 chr7:94333984:T:C rs2157811 T C C EBF1_EBF_1 1 1 + 6.599130920682587 5.703697480283839 CTTCCCCAGGTAAA chr7 94334007 94334008 chr7:94334008:G:A rs1557657 G A A EBF1_EBF_1 25 0 + 0 0 . chr7 94355771 94355772 chr7:94355772:G:A rs6970964 G A G EBF1_EBF_1 21 0 - 0 0 . chr7 94374670 94374671 chr7:94374671:C:T rs144574541 C T C EBF1_EBF_1 7 1 - 6.792731310601836 8.105189715890663 AGTCCCCGAGGAAA chr7 94419221 94419222 chr7:94419222:T:G rs10234951 T G T EBF1_EBF_1 -1 0 - 0 0 . chr7 94419232 94419233 chr7:94419233:G:A rs10487255 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 94440238 94440239 chr7:94440239:A:G rs139330274 A G A EBF1_EBF_1 -13 0 + 0 0 . chr7 94452119 94452120 chr7:94452120:G:A rs17166293 G A G EBF1_EBF_1 28 0 + 0 0 . chr7 94452447 94452448 chr7:94452448:C:G rs117318715 C G C EBF1_EBF_1 6 1 + 6.894894497302995 5.089702433829797 ATTGCCCTGGGATT chr7 94510603 94510604 chr7:94510604:C:T rs374101907 C T C EBF1_EBF_1 -11 0 - 0 0 . chr7 94656181 94656182 chr7:94656182:A:G rs747133401 A G A EBF1_EBF_1 -10 0 + 0 0 . chr7 94874692 94874693 chr7:94874693:T:C rs74212876 T C T EBF1_EBF_1 -15 0 + 0 0 . chr7 95045439 95045440 chr7:95045440:C:T rs150103038 C T G EBF1_EBF_1 9 1 - 5.09368980924148 2.4387505437938777 CCTCCCAAGGGTTA chr7 95309017 95309018 chr7:95309018:G:C rs140644381 G C G EBF1_EBF_1 20 0 + 0 0 . chr7 95360360 95360361 chr7:95360361:C:T rs2074352 C T C EBF1_EBF_1 -8 0 - 0 0 . chr7 95396140 95396141 chr7:95396141:G:A rs2072199 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 95454689 95454690 chr7:95454690:T:C rs147137230 T C T EBF1_EBF_1 21 0 + 0 0 . chr7 95481505 95481506 chr7:95481506:G:T rs73437888 G T G EBF1_EBF_1 15 0 - 0 0 . chr7 95491411 95491412 chr7:95491412:C:T rs3779490 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 95552737 95552738 chr7:95552738:T:C rs10239048 T C C EBF1_EBF_1 -4 0 - 0 0 . chr7 95589125 95589126 chr7:95589126:T:C rs12668651 T C t EBF1_EBF_1 -2 0 + 0 0 . chr7 95608728 95608729 chr7:95608729:G:C rs7776702 G C C EBF1_EBF_1 -4 0 - 0 0 . chr7 95725162 95725163 chr7:95725163:C:T rs73224604 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 95963749 95963750 chr7:95963750:C:A rs13237676 C A C EBF1_EBF_1 8 1 - 6.565980455196345 -0.3254523337808488 AATCTCTAGAGAAT chr7 96285664 96285665 chr7:96285665:T:C rs74936086 T C T EBF1_EBF_1 1 1 - 5.342599973846504 5.603298805767806 TACCCCAAGGGCCT chr7 96318835 96318836 chr7:96318836:C:G rs11975883 C G T EBF1_EBF_1 -11 0 + 0 0 . chr7 96552078 96552079 chr7:96552079:G:A rs11762707 G A G EBF1_EBF_1 28 0 - 0 0 . chr7 96743515 96743516 chr7:96743516:C:T rs62470410 C T C EBF1_EBF_1 -20 0 + 0 0 . chr7 96765980 96765981 chr7:96765981:T:C rs62470429 T C T EBF1_EBF_1 -19 0 - 0 0 . chr7 96953305 96953306 chr7:96953306:C:T rs73232631 C T C EBF1_EBF_1 2 1 + 7.6488146472445555 9.537638058686703 AACCCCCAGGGAGG chr7 96979701 96979702 chr7:96979702:A:G rs141602263 A G A EBF1_EBF_1 18 0 + 0 0 . chr7 96992500 96992501 chr7:96992501:A:G rs1229991712 A G A EBF1_EBF_1 2 1 - 5.787478616667726 3.898655205225575 CCTCCCGCGGGAAA chr7 97010055 97010056 chr7:97010056:A:G rs3213654 A G A EBF1_EBF_1 6 1 - 6.553907911963425 6.252621783101669 GTTCCCTTGGGCAA chr7 97010440 97010441 chr7:97010441:C:T rs34606656 C T C EBF1_EBF_1 3 1 + 7.351210507535449 0.9458117659821301 TACCCCTTGGGATC chr7 97093335 97093336 chr7:97093336:T:C rs11980904 T C C EBF1_EBF_1 -11 0 - 0 0 . chr7 97293270 97293271 chr7:97293271:G:A rs73392128 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 97324341 97324342 chr7:97324342:C:T rs61364616 C T G EBF1_EBF_1 26 0 - 0 0 . chr7 97342741 97342742 chr7:97342742:G:A rs183270971 G A G EBF1_EBF_1 23 0 + 0 0 . chr7 97450396 97450397 chr7:97450397:T:C rs12671039 T C C EBF1_EBF_1 1 1 + 8.13543494969636 7.240001509297615 CTTCCCAAAGGAAT chr7 97450412 97450413 chr7:97450413:C:T rs2394636 C T T EBF1_EBF_1 17 0 + 0 0 . chr7 97541447 97541448 chr7:97541448:T:C rs11764466 T C C EBF1_EBF_1 7 1 + 4.741174009086643 2.9236692628040197 CTCCCCATGGGGCG chr7 97699423 97699424 chr7:97699424:C:A rs10275466 C A C EBF1_EBF_1 17 0 - 0 0 . chr7 97835496 97835497 chr7:97835497:A:G rs10269471 A G A EBF1_EBF_1 33 0 + 0 0 . chr7 97953178 97953179 chr7:97953179:T:C rs117064223 T C . EBF1_EBF_1 -10 0 + 0 0 . chr7 98021678 98021679 chr7:98021679:A:T rs1180770 A T . EBF1_EBF_1 -4 0 - 0 0 . chr7 98021693 98021694 chr7:98021694:C:T rs1318169489 C T . EBF1_EBF_1 -19 0 - 0 0 . chr7 98106263 98106264 chr7:98106264:G:A rs112067990 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 98149176 98149177 chr7:98149177:T:A rs12539192 T A A EBF1_EBF_1 21 0 - 0 0 . chr7 98163713 98163714 chr7:98163714:A:G rs28681561 A G A EBF1_EBF_1 18 0 + 0 0 . chr7 98168804 98168805 chr7:98168805:G:A rs884897 G A G EBF1_EBF_1 24 0 - 0 0 . chr7 98168814 98168815 chr7:98168815:T:G chr7:98168815:T:G T G T EBF1_EBF_1 14 0 - 0 0 . chr7 98177697 98177698 chr7:98177698:G:A rs116938364 G A G EBF1_EBF_1 3 1 - 8.758526691470587 2.3531279499172686 ACACCCTAGGGAAA chr7 98193602 98193603 chr7:98193603:C:T rs142859460 C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 98196669 98196670 chr7:98196670:C:T rs1341390477 C T C EBF1_EBF_1 28 0 + 0 0 . chr7 98209000 98209001 chr7:98209001:G:A rs3735256 G A G EBF1_EBF_1 32 0 + 0 0 . chr7 98210634 98210635 chr7:98210635:G:C rs559410303 G C G EBF1_EBF_1 -6 0 - 0 0 . chr7 98215872 98215873 chr7:98215873:G:C rs528055968 G C G EBF1_EBF_1 27 0 - 0 0 . chr7 98223996 98223997 chr7:98223997:G:A rs13231773 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 98270222 98270223 chr7:98270223:G:T rs34830366 G T G EBF1_EBF_1 27 0 - 0 0 . chr7 98282100 98282101 chr7:98282101:C:A rs1026033199 C A C EBF1_EBF_1 5 1 + 4.281400651275508 -2.6234805013596594 TCCCCCCCGGGGCT chr7 98282100 98282101 chr7:98282101:C:G chr7:98282101:C:G C G C EBF1_EBF_1 5 1 + 4.281400651275508 -2.6234805013596594 TCCCCCCCGGGGCT chr7 98282107 98282108 chr7:98282108:C:A rs984165878 C A C EBF1_EBF_1 12 1 + 4.281400651275508 4.311582049696835 TCCCCCCCGGGGCT chr7 98287149 98287150 chr7:98287150:A:G rs10237583 A G g EBF1_EBF_1 13 1 + 5.566813809880965 4.452488237811526 AGCCTCCTGGGACA chr7 98287195 98287196 chr7:98287196:C:T rs115829561 C T c EBF1_EBF_1 -3 0 + 0 0 . chr7 98295088 98295089 chr7:98295089:G:C rs145461088 G C G EBF1_EBF_1 10 1 + 5.726619337987796 -1.1641830493416792 ACCCCCAGGGGGTC chr7 98401495 98401496 chr7:98401496:C:A rs116274599 C A C EBF1_EBF_1 10 1 - 4.680594433649548 0.4978422474222833 GGTCCCCGGGGCCA chr7 98419277 98419278 chr7:98419278:G:A rs186330484 G A G EBF1_EBF_1 9 1 - 7.156883421409474 5.395583159666129 AATCCCCAGCGATT chr7 98462907 98462908 chr7:98462908:C:T rs67870395 C T C EBF1_EBF_1 23 0 + 0 0 . chr7 98470928 98470929 chr7:98470929:C:T rs142238957 C T C EBF1_EBF_1 5 1 + 8.70416492006537 3.3823777045247 GCCCCCCAGGGACC chr7 98567352 98567353 chr7:98567353:C:T rs111529804 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 98570152 98570153 chr7:98570153:G:A rs544877535 G A G EBF1_EBF_1 -2 0 - 0 0 . chr7 98617975 98617976 chr7:98617976:C:T rs73143702 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 98628766 98628767 chr7:98628767:G:C rs189247607 G C G EBF1_EBF_1 24 0 + 0 0 . chr7 98640059 98640060 chr7:98640060:G:C rs73145408 G C G EBF1_EBF_1 -16 0 + 0 0 . chr7 98641613 98641614 chr7:98641614:C:G rs565103433 C G C EBF1_EBF_1 24 0 + 0 0 . chr7 98669677 98669678 chr7:98669678:T:C rs142458768 T C T EBF1_EBF_1 17 0 + 0 0 . chr7 98669801 98669802 chr7:98669802:T:C rs12704997 T C T EBF1_EBF_1 -18 0 - 0 0 . chr7 98704473 98704474 chr7:98704474:G:A rs62472580 G A G EBF1_EBF_1 9 1 + 7.837216967499991 5.182277702052385 GTCCCCCAGGGAGA chr7 98719976 98719977 chr7:98719977:G:A rs79082449 G A G EBF1_EBF_1 -3 0 + 0 0 . chr7 98755228 98755229 chr7:98755229:G:A rs576484944 G A G EBF1_EBF_1 25 0 + 0 0 . chr7 98761068 98761069 chr7:98761069:A:G rs36195103 A G A EBF1_EBF_1 -16 0 + 0 0 . chr7 98769889 98769890 chr7:98769890:G:A rs74199958 G A g EBF1_EBF_1 9 1 + 9.008907962141901 6.353968696694297 TCTCCCATGGGAGC chr7 98775036 98775037 chr7:98775037:G:A rs1032210559 G A g EBF1_EBF_1 5 1 - 3.9254934595869733 -1.3962937559536972 TCCCCCGAGGGGCC chr7 98775146 98775147 chr7:98775147:A:C rs931447898 A C a EBF1_EBF_1 0 1 + 5.132212412245777 2.8769539285491765 AGCCCCAGGAGGCT chr7 98797387 98797388 chr7:98797388:G:A rs73159478 G A G EBF1_EBF_1 -18 0 - 0 0 . chr7 98801837 98801838 chr7:98801838:A:G rs4727413 A G A EBF1_EBF_1 15 0 - 0 0 . chr7 98802583 98802584 chr7:98802584:A:G rs11769517 A G A EBF1_EBF_1 23 0 + 0 0 . chr7 98863200 98863201 chr7:98863201:C:A rs10238224 C A C EBF1_EBF_1 -9 0 - 0 0 . chr7 98866436 98866437 chr7:98866437:G:A rs181805330 G A G EBF1_EBF_1 4 1 - 10.816401842620747 6.447014897675825 AGCCCCCAGGGAAC chr7 98869397 98869398 chr7:98869398:T:C rs116386131 T C T EBF1_EBF_1 -11 0 - 0 0 . chr7 98870414 98870415 chr7:98870415:C:T rs192716169 C T C EBF1_EBF_1 12 1 + 6.039007506985546 5.012740936434696 CGTCCCCAGGGGCG chr7 98870420 98870421 chr7:98870421:C:T rs890748751 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 98870456 98870457 chr7:98870457:T:A rs1039415059 T A T EBF1_EBF_1 -2 0 - 0 0 . chr7 98878176 98878177 chr7:98878177:G:A rs574343933 G A G EBF1_EBF_1 20 0 + 0 0 . chr7 98878178 98878179 chr7:98878179:C:T rs368479192 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 98879452 98879453 chr7:98879453:G:C rs112466640 G C G EBF1_EBF_1 13 1 - 5.025478316308858 3.537407294953443 CATCCCAGGGTACC chr7 98942315 98942316 chr7:98942316:C:G rs2237597 C G C EBF1_EBF_1 15 0 + 0 0 . chr7 99013741 99013742 chr7:99013742:C:T rs149211972 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 99024449 99024450 chr7:99024450:A:G rs61126535 A G A EBF1_EBF_1 23 0 + 0 0 . chr7 99052521 99052522 chr7:99052522:C:T rs73145629 C T C EBF1_EBF_1 9 1 - 5.625677654415755 2.9707383889681513 TGTCCCCTAGGACA chr7 99099717 99099718 chr7:99099718:G:T rs2056661 G T G EBF1_EBF_1 -5 0 + 0 0 . chr7 99109448 99109449 chr7:99109449:C:T rs73399277 C T C EBF1_EBF_1 -19 0 - 0 0 . chr7 99120080 99120081 chr7:99120081:G:A rs7807000 G A G EBF1_EBF_1 32 0 + 0 0 . chr7 99167417 99167418 chr7:99167418:A:G rs12705030 A G A EBF1_EBF_1 13 1 + 7.277362287334433 6.163036715264994 ATTCCCATAGGAGA chr7 99215068 99215069 chr7:99215069:A:T rs77966628 A T T EBF1_EBF_1 33 0 + 0 0 . chr7 99253550 99253551 chr7:99253551:G:A rs547455983 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 99283758 99283759 chr7:99283759:C:T rs2074652 C T C EBF1_EBF_1 11 1 + 5.219320297795102 5.537367739548766 ATCCCCCGGGGCGT chr7 99313126 99313127 chr7:99313127:G:A rs114613144 G A G EBF1_EBF_1 6 1 + 5.261314035009476 7.42810846628395 TTTCCCGGGAGACA chr7 99326248 99326249 chr7:99326249:C:T rs1021484428 C T C EBF1_EBF_1 -6 0 + 0 0 . chr7 99326261 99326262 chr7:99326262:A:G rs567764978 A G A EBF1_EBF_1 7 1 + 4.433047704404098 3.1205892991152706 CGCCCCCAGGGTCC chr7 99374421 99374422 chr7:99374422:C:T rs1042218075 C T C EBF1_EBF_1 6 1 + 8.469878606748733 8.77116473561049 AGTCCCCGGGGGCC chr7 99406873 99406874 chr7:99406874:T:C rs917152 T C T EBF1_EBF_1 16 0 - 0 0 . chr7 99432006 99432007 chr7:99432007:C:T rs146818904 C T . EBF1_EBF_1 31 0 - 0 0 . chr7 99461045 99461046 chr7:99461046:G:C rs116583732 G C g EBF1_EBF_1 -12 0 - 0 0 . chr7 99470546 99470547 chr7:99470547:G:A rs79568273 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 99558148 99558149 chr7:99558149:C:G rs759037967 C G C EBF1_EBF_1 30 0 + 0 0 . chr7 99580151 99580152 chr7:99580152:G:A rs374477599 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 99616681 99616682 chr7:99616682:G:A rs553616013 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 99679444 99679445 chr7:99679445:C:T rs41300716 C T C EBF1_EBF_1 -10 0 + 0 0 . chr7 99723988 99723989 chr7:99723989:A:G rs2404770 A G N EBF1_EBF_1 -10 0 + 0 0 . chr7 99730922 99730923 chr7:99730923:C:A rs45559433 C A C EBF1_EBF_1 26 0 + 0 0 . chr7 99750455 99750456 chr7:99750456:G:C rs35974388 G C G EBF1_EBF_1 -14 0 + 0 0 . chr7 99750464 99750465 chr7:99750465:G:A rs35909867 G A g EBF1_EBF_1 -5 0 + 0 0 . chr7 99767827 99767828 chr7:99767828:A:G rs2687117 A G G EBF1_EBF_1 18 0 - 0 0 . chr7 99859981 99859982 chr7:99859982:C:G rs680055 C G C EBF1_EBF_1 -20 0 + 0 0 . chr7 99929554 99929555 chr7:99929555:G:A rs762021463 G A G EBF1_EBF_1 14 0 - 0 0 . chr7 99981261 99981262 chr7:99981262:C:G rs201706045 C G . EBF1_EBF_1 24 0 + 0 0 . chr7 99981266 99981267 chr7:99981267:T:C rs199874812 T C . EBF1_EBF_1 29 0 + 0 0 . chr7 99983283 99983284 chr7:99983284:C:G rs2301806 C G . EBF1_EBF_1 1 1 - 4.389675680185951 4.199904025616735 GGTCCCAGGGGCTC chr7 99999899 99999900 chr7:99999900:C:T rs71569528 C T C EBF1_EBF_1 4 1 + 6.786769158979942 2.417382214035019 ATTCCCTGGGTATA chr7 100016134 100016135 chr7:100016135:C:T rs965491528 C T T EBF1_EBF_1 22 0 - 0 0 . chr7 100016141 100016142 chr7:100016142:C:G rs1028842949 C G T EBF1_EBF_1 15 0 - 0 0 . chr7 100093066 100093067 chr7:100093067:G:C rs4928 G C G EBF1_EBF_1 -8 0 + 0 0 . chr7 100100866 100100867 chr7:100100867:C:G rs1256533952 C G C EBF1_EBF_1 12 1 + 3.6470359719471253 2.258400028268974 CGCCCCCGGGGCCT chr7 100100875 100100876 chr7:100100876:C:G rs78828105 C G C EBF1_EBF_1 21 0 + 0 0 . chr7 100100879 100100880 chr7:100100880:G:C rs1306656908 G C G EBF1_EBF_1 25 0 + 0 0 . chr7 100100882 100100883 chr7:100100883:A:C chr7:100100883:A:C A C A EBF1_EBF_1 28 0 + 0 0 . chr7 100101777 100101778 chr7:100101778:C:T rs1200060214 C T C EBF1_EBF_1 15 0 - 0 0 . chr7 100101783 100101784 chr7:100101784:C:T rs189367118 C T C EBF1_EBF_1 9 1 - 7.894402670487492 5.239463405039889 AGCCCCAAGGGTCT chr7 100101812 100101813 chr7:100101813:G:A rs1122598 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 100101865 100101866 chr7:100101866:C:G rs202164434 C G C EBF1_EBF_1 25 0 - 0 0 . chr7 100119661 100119662 chr7:100119662:T:C rs4134884 T C T EBF1_EBF_1 -1 0 + 0 0 . chr7 100122396 100122397 chr7:100122397:G:A rs190443531 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 100154942 100154943 chr7:100154943:G:A rs55839153 G A . EBF1_EBF_1 -9 0 - 0 0 . chr7 100177530 100177531 chr7:100177531:G:A rs73713954 G A g EBF1_EBF_1 31 0 - 0 0 . chr7 100177991 100177992 chr7:100177992:C:T rs73397519 C T C EBF1_EBF_1 4 1 + 6.99561255000028 2.626225605055356 ACACCCGAGGGACC chr7 100180395 100180396 chr7:100180396:G:C rs12666107 G C G EBF1_EBF_1 -13 0 + 0 0 . chr7 100199407 100199408 chr7:100199408:C:G rs2272345 C G C EBF1_EBF_1 4 1 + 5.037439269429108 -0.5883258317204343 ACCCCCTAGGGTGA chr7 100217558 100217559 chr7:100217559:C:A rs1727137 C A c EBF1_EBF_1 8 1 - 4.073019301854169 -2.8184134871230273 GGTCCCCCGGGCCC chr7 100219572 100219573 chr7:100219573:G:T rs17250196 G T g EBF1_EBF_1 10 1 + 6.936682009767085 2.7539298235398215 ACTCCCAGGGGCTT chr7 100220235 100220236 chr7:100220236:A:G rs2906645 A G g EBF1_EBF_1 -19 0 - 0 0 . chr7 100248790 100248791 chr7:100248791:T:C rs76281814 T C - EBF1_EBF_1 7 1 + 5.69678620417508 3.8792814578924553 GCCCCCATGGGGCC chr7 100352673 100352674 chr7:100352674:A:T rs7795656 A T T EBF1_EBF_1 -7 0 - 0 0 . chr7 100355204 100355205 chr7:100355205:C:T rs76798830 C T C EBF1_EBF_1 23 0 - 0 0 . chr7 100367165 100367166 chr7:100367166:T:G rs11765869 T G T EBF1_EBF_1 26 0 + 0 0 . chr7 100430290 100430291 chr7:100430291:G:C rs767959530 G C G EBF1_EBF_1 18 0 - 0 0 . chr7 100465958 100465959 chr7:100465959:G:A rs753232002 G A G EBF1_EBF_1 -4 0 - 0 0 . chr7 100466870 100466871 chr7:100466871:G:A rs11559121 G A G EBF1_EBF_1 15 0 + 0 0 . chr7 100473056 100473057 chr7:100473057:G:A rs4727455 G A G EBF1_EBF_1 6 1 - 10.044251487403026 10.345537616264783 TCTCCCCGGGGACT chr7 100478873 100478874 chr7:100478874:G:C rs143258652 G C G EBF1_EBF_1 17 0 + 0 0 . chr7 100483302 100483303 chr7:100483303:C:A chr7:100483303:C:A C A C EBF1_EBF_1 25 0 + 0 0 . chr7 100490298 100490299 chr7:100490299:T:C rs73161780 T C T EBF1_EBF_1 -5 0 + 0 0 . chr7 100515113 100515114 chr7:100515114:C:G rs550107040 C G C EBF1_EBF_1 14 0 + 0 0 . chr7 100585159 100585160 chr7:100585160:G:A rs1404132524 G A G EBF1_EBF_1 10 1 + 5.162177847037509 -0.15585061222945634 TCCCCCGGGGGAAA chr7 100586571 100586572 chr7:100586572:G:A rs1388750895 G A G EBF1_EBF_1 5 1 + 4.5614104455487094 4.5614104455487094 CCTCCGAAGGGAAT chr7 100586584 100586585 chr7:100586585:A:C rs531565867 A C A EBF1_EBF_1 18 0 + 0 0 . chr7 100604595 100604596 chr7:100604596:C:G rs56328569 C G G EBF1_EBF_1 5 1 + 4.515164299912086 -2.3897168527230828 AACCCCGGGGGGCC chr7 100604685 100604686 chr7:100604686:G:A chr7:100604686:G:A G A G EBF1_EBF_1 30 0 - 0 0 . chr7 100627171 100627172 chr7:100627172:C:T rs41295924 C T C EBF1_EBF_1 3 1 + 9.86525178104954 3.459853039496223 AGACCCCAGGGAAT chr7 100633146 100633147 chr7:100633147:C:G rs143294407 C G C EBF1_EBF_1 28 0 + 0 0 . chr7 100642567 100642568 chr7:100642568:A:G rs4434553 A G a EBF1_EBF_1 11 1 + 10.587810927552871 7.695104083948861 TTTCCCCTGGGAAA chr7 100674005 100674006 chr7:100674006:G:A rs1016380770 G A G EBF1_EBF_1 3 1 - 5.013630709989796 -1.3917680315635217 AGCCCCGGGAGACC chr7 100674719 100674720 chr7:100674720:G:C rs189486062 G C G EBF1_EBF_1 25 0 - 0 0 . chr7 100679057 100679058 chr7:100679058:G:A rs563243432 G A g EBF1_EBF_1 -19 0 + 0 0 . chr7 100680244 100680245 chr7:100680245:G:A rs56148928 G A G EBF1_EBF_1 -13 0 + 0 0 . chr7 100695290 100695291 chr7:100695291:T:C rs221783 T C C EBF1_EBF_1 11 1 - 7.692133777482033 4.7994269338780216 GATCCCTTGAGACC chr7 100705816 100705817 chr7:100705817:A:C rs887456875 A C A EBF1_EBF_1 -3 0 - 0 0 . chr7 100715475 100715476 chr7:100715476:T:G rs492430 T G G EBF1_EBF_1 -14 0 - 0 0 . chr7 100725423 100725424 chr7:100725424:T:C rs113443049 T C T EBF1_EBF_1 16 0 + 0 0 . chr7 100725437 100725438 chr7:100725438:C:T rs77024880 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 100801526 100801527 chr7:100801527:G:A rs314347 G A A EBF1_EBF_1 -4 0 - 0 0 . chr7 100825090 100825091 chr7:100825091:C:T rs150658415 C T C EBF1_EBF_1 7 1 + 5.218046585238364 7.035551331520985 ATCCCCTCGGGGGC chr7 100825097 100825098 chr7:100825098:C:T rs117123505 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 100828746 100828747 chr7:100828747:G:C rs1208717363 G C G EBF1_EBF_1 14 0 - 0 0 . chr7 100829640 100829641 chr7:100829641:G:A rs2247445 G A G EBF1_EBF_1 16 0 - 0 0 . chr7 100854616 100854617 chr7:100854617:C:T rs3735695 C T C EBF1_EBF_1 33 0 + 0 0 . chr7 100858988 100858989 chr7:100858989:G:C rs314374 G C G EBF1_EBF_1 18 0 - 0 0 . chr7 100867732 100867733 chr7:100867733:C:T rs12705089 C T C EBF1_EBF_1 20 0 - 0 0 . chr7 100867940 100867941 chr7:100867941:C:T rs146406416 C T C EBF1_EBF_1 -9 0 - 0 0 . chr7 100887902 100887903 chr7:100887903:C:G rs13243797 C G C EBF1_EBF_1 1 1 + 6.423592286192067 6.613363940761284 ACTACCCAGGGACT chr7 100889132 100889133 chr7:100889133:G:C rs12666989 G C G EBF1_EBF_1 -17 0 + 0 0 . chr7 100890099 100890100 chr7:100890100:G:T rs17228616 G T g EBF1_EBF_1 22 0 + 0 0 . chr7 100892017 100892018 chr7:100892018:C:T rs2571598 C T C EBF1_EBF_1 11 1 - 4.498140805016265 7.390847648620276 GATCCCCTGAGGCC chr7 100894735 100894736 chr7:100894736:G:T rs17883552 G T G EBF1_EBF_1 -20 0 - 0 0 . chr7 100929820 100929821 chr7:100929821:T:C rs62482555 T C T EBF1_EBF_1 -9 0 + 0 0 . chr7 100951643 100951644 chr7:100951644:A:G rs73163747 A G A EBF1_EBF_1 8 1 + 4.501788058855551 8.728078147741812 GCTCCCCTAGGAGC chr7 100968922 100968923 chr7:100968923:C:G rs71252787 C G G EBF1_EBF_1 -17 0 - 0 0 . chr7 100969093 100969094 chr7:100969094:C:A rs66506951 C A C EBF1_EBF_1 29 0 + 0 0 . chr7 100975856 100975857 chr7:100975857:C:G rs73712024 C G c EBF1_EBF_1 6 1 - 10.194930607308148 12.000122670781344 CTTCCCGAGGGAAT chr7 100991194 100991195 chr7:100991195:C:G rs6980143 C G - EBF1_EBF_1 1 1 - 5.522677920752833 5.332906266183615 AGTGCCAGGGGACA chr7 100992253 100992254 chr7:100992254:C:T rs35240454 C T - EBF1_EBF_1 10 1 - 5.332906266183615 0.014877806916648861 ACTGCCAGGGGACA chr7 101000085 101000086 chr7:101000086:C:T rs58159744 C T - EBF1_EBF_1 14 0 - 0 0 . chr7 101020838 101020839 chr7:101020839:T:G rs78727549 T G t EBF1_EBF_1 33 0 - 0 0 . chr7 101049398 101049399 chr7:101049399:T:G rs10246021 T G G EBF1_EBF_1 7 1 - 7.071087827723388 4.777308841353207 CTTCCCAAGGGCCC chr7 101066487 101066488 chr7:101066488:C:G rs115555038 C G C EBF1_EBF_1 13 1 + 6.315523658932111 4.827452637576696 CATCCCAGGGGGCC chr7 101088466 101088467 chr7:101088467:T:G rs61730718 T G T EBF1_EBF_1 11 1 - 5.036089254721423 0.6201275568690328 CCTCCCTGGGTACC chr7 101108311 101108312 chr7:101108312:C:T rs183185851 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 101108343 101108344 chr7:101108344:C:G rs115974749 C G C EBF1_EBF_1 -13 0 - 0 0 . chr7 101112371 101112372 chr7:101112372:G:A rs564338453 G A G EBF1_EBF_1 7 1 - 5.278362824177884 7.095867570460506 ATCCCCACGGGGGC chr7 101132404 101132405 chr7:101132405:G:T rs2227672 G T G EBF1_EBF_1 -10 0 - 0 0 . chr7 101165290 101165291 chr7:101165291:C:A chr7:101165291:C:A C A C EBF1_EBF_1 -20 0 - 0 0 . chr7 101171997 101171998 chr7:101171998:G:A rs740103 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 101173103 101173104 chr7:101173104:G:A rs551784860 G A G EBF1_EBF_1 -15 0 + 0 0 . chr7 101174129 101174130 chr7:101174130:A:C rs1053881157 A C A EBF1_EBF_1 26 0 + 0 0 . chr7 101192603 101192604 chr7:101192604:C:T rs7780766 C T C EBF1_EBF_1 -12 0 + 0 0 . chr7 101192640 101192641 chr7:101192641:A:G rs76858844 A G A EBF1_EBF_1 25 0 + 0 0 . chr7 101245275 101245276 chr7:101245276:C:G rs73713816 C G C EBF1_EBF_1 5 1 + 11.083169235771607 4.178288083136439 CTTCCCTAGGGAAA chr7 101245293 101245294 chr7:101245294:A:G rs149844138 A G A EBF1_EBF_1 23 0 + 0 0 . chr7 101278890 101278891 chr7:101278891:A:G rs11768857 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 101278906 101278907 chr7:101278907:A:G rs112620635 A G G EBF1_EBF_1 11 1 - 4.068287938609078 3.7502404968554135 CCCCCCTTGGGTCC chr7 101278914 101278915 chr7:101278915:G:A rs73187927 G A A EBF1_EBF_1 3 1 - 4.068287938609078 -2.33711080294424 CCCCCCTTGGGTCC chr7 101279664 101279665 chr7:101279665:T:C rs66646308 T C t EBF1_EBF_1 7 1 + 5.417786771904288 3.600282025621664 CGCCCCATGAGAAA chr7 101290544 101290545 chr7:101290545:C:T rs2898572 C T T EBF1_EBF_1 17 0 - 0 0 . chr7 101290546 101290547 chr7:101290547:T:C rs2898573 T C C EBF1_EBF_1 15 0 - 0 0 . chr7 101290554 101290555 chr7:101290555:C:T rs2410813 C T T EBF1_EBF_1 7 1 - 7.885930185847164 9.19838859113599 CATCCCGGGGGACT chr7 101290555 101290556 chr7:101290556:C:G rs2898574 C G G EBF1_EBF_1 6 1 - 7.885930185847164 9.691122249320362 CATCCCGGGGGACT chr7 101302738 101302739 chr7:101302739:C:A rs60697114 C A C EBF1_EBF_1 -4 0 + 0 0 . chr7 101308251 101308252 chr7:101308252:G:T rs35811165 G T g EBF1_EBF_1 -11 0 + 0 0 . chr7 101308273 101308274 chr7:101308274:A:C rs10257382 A C a EBF1_EBF_1 11 1 + 6.257243243106607 1.8412815452542175 ACCCTCCAGGGAAC chr7 101308864 101308865 chr7:101308865:G:A rs952258690 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 101308999 101309000 chr7:101309000:C:T rs35401614 C T C EBF1_EBF_1 25 0 + 0 0 . chr7 101330228 101330229 chr7:101330229:G:A rs13241528 G A G EBF1_EBF_1 -6 0 + 0 0 . chr7 101362438 101362439 chr7:101362439:C:T rs56146311 C T C EBF1_EBF_1 10 1 - 6.208452152033257 0.8904236927662916 GTTCCCGTGAGAAA chr7 101362453 101362454 chr7:101362454:C:T rs10228118 C T T EBF1_EBF_1 -5 0 - 0 0 . chr7 101386970 101386971 chr7:101386971:C:T rs1029569555 C T C EBF1_EBF_1 27 0 - 0 0 . chr7 101387004 101387005 chr7:101387005:G:A rs11975111 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 101401189 101401190 chr7:101401190:G:C rs17133749 G C C EBF1_EBF_1 -18 0 + 0 0 . chr7 101408220 101408221 chr7:101408221:C:T rs4729705 C T C EBF1_EBF_1 9 1 - 10.943674701809169 8.288735436361566 CTTCCCCAGGGATT chr7 101467900 101467901 chr7:101467901:G:A rs7800427 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 101469118 101469119 chr7:101469119:C:T rs17384427 C T C EBF1_EBF_1 17 0 - 0 0 . chr7 101472641 101472642 chr7:101472642:C:T rs1008062 C T C EBF1_EBF_1 0 1 + 5.235582470762451 5.517784531493029 CCTCCCAGGAGAGC chr7 101486271 101486272 chr7:101486272:A:T rs13232774 A T T EBF1_EBF_1 -8 0 - 0 0 . chr7 101488951 101488952 chr7:101488952:C:T rs79022082 C T C EBF1_EBF_1 33 0 - 0 0 . chr7 101498807 101498808 chr7:101498808:G:A rs79038677 G A G EBF1_EBF_1 28 0 - 0 0 . chr7 101506700 101506701 chr7:101506701:A:G rs17135548 A G A EBF1_EBF_1 15 0 - 0 0 . chr7 101517138 101517139 chr7:101517139:C:T rs17385787 C T C EBF1_EBF_1 30 0 - 0 0 . chr7 101517222 101517223 chr7:101517223:T:C rs17135580 T C C EBF1_EBF_1 -1 0 + 0 0 . chr7 101518824 101518825 chr7:101518825:G:A rs55661906 G A G EBF1_EBF_1 9 1 + 5.174319127341729 2.519379861894125 AGTCCTGAGGGACA chr7 101528033 101528034 chr7:101528034:C:T rs75394205 C T c EBF1_EBF_1 22 0 + 0 0 . chr7 101539826 101539827 chr7:101539827:T:C rs6465817 T C C EBF1_EBF_1 -17 0 - 0 0 . chr7 101546636 101546637 chr7:101546637:A:T rs17135614 A T A EBF1_EBF_1 30 0 + 0 0 . chr7 101548831 101548832 chr7:101548832:A:C rs57554553 A C C EBF1_EBF_1 26 0 - 0 0 . chr7 101548837 101548838 chr7:101548838:G:A rs62465113 G A G EBF1_EBF_1 20 0 - 0 0 . chr7 101548845 101548846 chr7:101548846:G:T rs57603158 G T G EBF1_EBF_1 12 1 - 4.6356625384065016 4.6658439368278275 GGTCCCCTGGTACG chr7 101549313 101549314 chr7:101549314:A:G rs17135621 A G . EBF1_EBF_1 -19 0 - 0 0 . chr7 101553206 101553207 chr7:101553207:T:C rs12674244 T C . EBF1_EBF_1 24 0 + 0 0 . chr7 101557635 101557636 chr7:101557636:A:G rs17393131 A G A EBF1_EBF_1 26 0 + 0 0 . chr7 101562846 101562847 chr7:101562847:A:G rs578169509 A G A EBF1_EBF_1 7 1 + 6.936782005446967 5.624323600158141 TCCCCCAAGGGGCT chr7 101565490 101565491 chr7:101565491:G:A rs1174 G A G EBF1_EBF_1 -6 0 - 0 0 . chr7 101566164 101566165 chr7:101566165:G:T rs10275953 G T G EBF1_EBF_1 13 1 - 7.940897144901632 7.567151695615656 ACTCTCTTGGGACC chr7 101577245 101577246 chr7:101577246:G:C rs1441394866 G C G EBF1_EBF_1 -20 0 + 0 0 . chr7 101589179 101589180 chr7:101589180:G:T rs560929721 G T G EBF1_EBF_1 26 0 + 0 0 . chr7 101590119 101590120 chr7:101590120:C:T rs60314756 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 101598191 101598192 chr7:101598192:C:T rs10263222 C T C EBF1_EBF_1 7 1 + 5.142267965330318 6.959772711612943 GGCCCCCCGGGAAG chr7 101599692 101599693 chr7:101599693:C:T rs1981582 C T C EBF1_EBF_1 8 1 - 5.985925803612678 1.759635714726417 AGTCCCGGGGGCCT chr7 101606086 101606087 chr7:101606087:T:C rs182303035 T C T EBF1_EBF_1 6 1 + 5.677133911673629 5.3758477828118725 AGCCCCTGGGGTCC chr7 101606903 101606904 chr7:101606904:C:T rs77326643 C T C EBF1_EBF_1 12 1 + 5.041532706107776 4.015266135556926 TTCCCTCAGGGACT chr7 101613794 101613795 chr7:101613795:T:C rs2240390 T C C EBF1_EBF_1 -12 0 - 0 0 . chr7 101614495 101614496 chr7:101614496:G:A rs13226908 G A G EBF1_EBF_1 1 1 - 6.108774192445363 7.004207632844109 ACTGCCTTGGGACA chr7 101614656 101614657 chr7:101614657:C:T rs2240391 C T C EBF1_EBF_1 11 1 - 4.31854744571247 7.211254289316481 CCTCCCTCGGGGTC chr7 101614713 101614714 chr7:101614714:C:T rs118192024 C T C EBF1_EBF_1 16 0 - 0 0 . chr7 101624154 101624155 chr7:101624155:T:C rs876825 T C T EBF1_EBF_1 7 1 + 7.405096279023155 5.587591532740531 AGCCCCATGGGGCA chr7 101627419 101627420 chr7:101627420:G:A rs72603507 G A G EBF1_EBF_1 5 1 - 7.830205497270537 2.5084182817298646 CCTCCCTGGGGAGC chr7 101635795 101635796 chr7:101635796:C:T rs59746273 C T C EBF1_EBF_1 21 0 - 0 0 . chr7 101636604 101636605 chr7:101636605:C:T rs740201 C T C EBF1_EBF_1 15 0 + 0 0 . chr7 101664494 101664495 chr7:101664495:T:C rs848670 T C C EBF1_EBF_1 -14 0 + 0 0 . chr7 101664501 101664502 chr7:101664502:G:T rs848671 G T G EBF1_EBF_1 -7 0 + 0 0 . chr7 101671426 101671427 chr7:101671427:T:C rs957716367 T C T EBF1_EBF_1 19 0 - 0 0 . chr7 101678822 101678823 chr7:101678823:G:C rs1022782248 G C G EBF1_EBF_1 18 0 - 0 0 . chr7 101688279 101688280 chr7:101688280:G:C rs1636441 G C C EBF1_EBF_1 -13 0 + 0 0 . chr7 101688318 101688319 chr7:101688319:G:C rs148480197 G C G EBF1_EBF_1 26 0 + 0 0 . chr7 101688550 101688551 chr7:101688551:C:G rs1722218 C G C EBF1_EBF_1 -14 0 + 0 0 . chr7 101706910 101706911 chr7:101706911:T:C rs2529181 T C C EBF1_EBF_1 -5 0 - 0 0 . chr7 101717632 101717633 chr7:101717633:A:T rs1052474526 A T A EBF1_EBF_1 18 0 - 0 0 . chr7 101718247 101718248 chr7:101718248:G:T rs577039443 G T G EBF1_EBF_1 6 1 - 6.6142406724280445 6.975843040229322 CACCCCCGGGGAAA chr7 101718250 101718251 chr7:101718251:G:C rs2246401 G C G EBF1_EBF_1 3 1 - 6.6142406724280445 0.7864762243128283 CACCCCCGGGGAAA chr7 101725936 101725937 chr7:101725937:C:A rs2529238 C A A EBF1_EBF_1 18 0 + 0 0 . chr7 101725945 101725946 chr7:101725946:C:T rs150181348 C T C EBF1_EBF_1 27 0 + 0 0 . chr7 101730266 101730267 chr7:101730267:A:G rs6948393 A G G EBF1_EBF_1 -4 0 - 0 0 . chr7 101744723 101744724 chr7:101744724:A:G rs10258318 A G G EBF1_EBF_1 11 1 + 7.176201149705885 4.283494306101875 ACCCCCACGGGACG chr7 101814548 101814549 chr7:101814549:C:T rs73712554 C T C EBF1_EBF_1 4 1 + 5.825364740637889 1.4559777956929647 CTCCCCTTGGGGAA chr7 101815664 101815665 chr7:101815665:C:T chr7:101815665:C:T C T . EBF1_EBF_1 7 1 + 3.818965218952936 5.636469965235561 GCCCCCTCGGGGCC chr7 101815678 101815679 chr7:101815679:T:C chr7:101815679:T:C T C . EBF1_EBF_1 21 0 + 0 0 . chr7 101871604 101871605 chr7:101871605:A:G rs10266326 A G A EBF1_EBF_1 -16 0 - 0 0 . chr7 101903371 101903372 chr7:101903372:C:G rs10081174 C G G EBF1_EBF_1 -10 0 - 0 0 . chr7 101948757 101948758 chr7:101948758:G:A rs7785266 G A g EBF1_EBF_1 27 0 - 0 0 . chr7 101961245 101961246 chr7:101961246:T:C rs427039 T C . EBF1_EBF_1 -17 0 - 0 0 . chr7 101991041 101991042 chr7:101991042:G:A chr7:101991042:G:A G A G EBF1_EBF_1 30 0 + 0 0 . chr7 101996478 101996479 chr7:101996479:A:C rs202155 A C C EBF1_EBF_1 -1 0 + 0 0 . chr7 101996504 101996505 chr7:101996505:C:T rs115196504 C T C EBF1_EBF_1 25 0 + 0 0 . chr7 102069896 102069897 chr7:102069897:G:C rs405141 G C C EBF1_EBF_1 16 0 - 0 0 . chr7 102107847 102107848 chr7:102107848:G:T rs151040478 G T G EBF1_EBF_1 24 0 - 0 0 . chr7 102113986 102113987 chr7:102113987:G:C rs146111071 G C G EBF1_EBF_1 5 1 - 5.888464090710936 -1.0164170619242325 CCTCCCAAGAGGCT chr7 102138861 102138862 chr7:102138862:C:T rs79159988 C T C EBF1_EBF_1 6 1 - 9.106612149608663 11.273406580883139 AATCCCGTGGGATC chr7 102173582 102173583 chr7:102173583:C:T rs112547598 C T C EBF1_EBF_1 17 0 - 0 0 . chr7 102173841 102173842 chr7:102173842:G:A rs546532342 G A G EBF1_EBF_1 7 1 - 6.8058310966120255 8.62333584289465 AGCCCCACGGGGCT chr7 102175515 102175516 chr7:102175516:C:T rs62484928 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 102176074 102176075 chr7:102176075:A:T rs61232541 A T A EBF1_EBF_1 19 0 + 0 0 . chr7 102235055 102235056 chr7:102235056:C:T rs73187852 C T C EBF1_EBF_1 16 0 - 0 0 . chr7 102274355 102274356 chr7:102274356:G:T rs76202142 G T G EBF1_EBF_1 -19 0 - 0 0 . chr7 102277957 102277958 chr7:102277958:C:G rs138450169 C G C EBF1_EBF_1 17 0 + 0 0 . chr7 102279501 102279502 chr7:102279502:C:T rs139291261 C T C EBF1_EBF_1 17 0 + 0 0 . chr7 102279502 102279503 chr7:102279503:G:C rs2023204 G C G EBF1_EBF_1 18 0 + 0 0 . chr7 102282090 102282091 chr7:102282091:G:C rs60786272 G C G EBF1_EBF_1 15 0 + 0 0 . chr7 102285127 102285128 chr7:102285128:C:G rs1164822820 C G C EBF1_EBF_1 33 0 - 0 0 . chr7 102288642 102288643 chr7:102288643:G:A rs968951 G A G EBF1_EBF_1 -8 0 - 0 0 . chr7 102288645 102288646 chr7:102288646:G:A rs61196486 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 102307182 102307183 chr7:102307183:C:T rs78485590 C T C EBF1_EBF_1 15 0 - 0 0 . chr7 102307216 102307217 chr7:102307217:G:A rs803090 G A G EBF1_EBF_1 -19 0 - 0 0 . chr7 102317543 102317544 chr7:102317544:A:G rs138267017 A G A EBF1_EBF_1 28 0 + 0 0 . chr7 102319248 102319249 chr7:102319249:T:C rs1734770 T C T EBF1_EBF_1 25 0 + 0 0 . chr7 102364275 102364276 chr7:102364276:C:G rs803062 C G C EBF1_EBF_1 16 0 - 0 0 . chr7 102364282 102364283 chr7:102364283:C:T rs811680 C T C EBF1_EBF_1 9 1 - 5.895089424430313 3.2401501589827078 GCTCCCGAGGGGCC chr7 102404489 102404490 chr7:102404490:G:A rs10238685 G A G EBF1_EBF_1 -15 0 - 0 0 . chr7 102434273 102434274 chr7:102434274:C:T rs190875128 C T C EBF1_EBF_1 2 1 + 3.970642359106427 5.859465770548578 CTCCCCCTGGGCCA chr7 102436229 102436230 chr7:102436230:G:T rs1133471 G T G EBF1_EBF_1 14 0 + 0 0 . chr7 102440335 102440336 chr7:102440336:C:T rs114475798 C T C EBF1_EBF_1 -16 0 + 0 0 . chr7 102447954 102447955 chr7:102447955:A:G rs6465864 A G G EBF1_EBF_1 0 1 + 5.3799848000879 3.487700930523067 ACTCCCTTGGGCTG chr7 102452013 102452014 chr7:102452014:G:T rs73712488 G T G EBF1_EBF_1 0 1 - 6.073333283774222 8.328591767470822 CTCCTCAAGGGACT chr7 102461953 102461954 chr7:102461954:C:G rs77682035 C G C EBF1_EBF_1 -7 0 + 0 0 . chr7 102470490 102470491 chr7:102470491:C:T rs12533619 C T C EBF1_EBF_1 11 1 - 6.6511235065024 9.54383035010641 AGTCCCCTGAGGCC chr7 102474060 102474061 chr7:102474061:A:G rs116733631 A G G EBF1_EBF_1 -20 0 + 0 0 . chr7 102474095 102474096 chr7:102474096:T:G rs572417991 T G t EBF1_EBF_1 15 0 + 0 0 . chr7 102474183 102474184 chr7:102474184:A:G rs56273862 A G a EBF1_EBF_1 -19 0 - 0 0 . chr7 102478898 102478899 chr7:102478899:C:G rs766397832 C G C EBF1_EBF_1 16 0 + 0 0 . chr7 102478901 102478902 chr7:102478902:C:T rs369093993 C T C EBF1_EBF_1 19 0 + 0 0 . chr7 102478907 102478908 chr7:102478908:C:T rs145506845 C T C EBF1_EBF_1 25 0 + 0 0 . chr7 102484805 102484806 chr7:102484806:G:A rs868580200 G A G EBF1_EBF_1 4 1 - 6.596185833966319 2.226798889021395 GTTCCCCTGGGCCC chr7 102497054 102497055 chr7:102497055:C:A rs4727530 C A C EBF1_EBF_1 1 1 - 8.911974891571216 9.617636677400744 GGCCCCAAGGGAAA chr7 102596217 102596218 chr7:102596218:G:A rs546329217 G A - EBF1_EBF_1 3 1 - 8.911974891571216 2.506576150017899 GGCCCCAAGGGAAA chr7 102596221 102596222 chr7:102596222:G:A rs140048771 G A - EBF1_EBF_1 -1 0 - 0 0 . chr7 102610551 102610552 chr7:102610552:G:C rs143564804 G C - EBF1_EBF_1 14 0 - 0 0 . chr7 102641725 102641726 chr7:102641726:G:C rs201108759 G C g EBF1_EBF_1 4 1 - 5.441267445954151 -0.18449765519539385 CTTCCCCAAGGAAG chr7 102672980 102672981 chr7:102672981:G:A rs369917945 G A N EBF1_EBF_1 30 0 - 0 0 . chr7 102744204 102744205 chr7:102744205:C:A rs187732003 C A N EBF1_EBF_1 3 1 + 4.895571571938552 -2.010602457527256 TCACCCACGGGACC chr7 102749043 102749044 chr7:102749044:G:A rs1167324144 G A g EBF1_EBF_1 -12 0 - 0 0 . chr7 102914789 102914790 chr7:102914790:G:A rs116349141 G A G EBF1_EBF_1 22 0 - 0 0 . chr7 102914861 102914862 chr7:102914862:C:T chr7:102914862:C:T C T C EBF1_EBF_1 12 1 - 6.041572791343262 7.46039013344274 GTACCCCAGGGAGA chr7 103034363 103034364 chr7:103034364:G:A rs79049204 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 103150269 103150270 chr7:103150270:C:T rs376932107 C T c EBF1_EBF_1 -7 0 - 0 0 . chr7 103186983 103186984 chr7:103186984:C:T rs62480134 C T T EBF1_EBF_1 7 1 + 4.72636951553998 6.543874261822603 CCTCCCACGGGCCT chr7 103280019 103280020 chr7:103280020:C:G rs190866370 C G c EBF1_EBF_1 29 0 + 0 0 . chr7 103345682 103345683 chr7:103345683:T:G rs144309058 T G T EBF1_EBF_1 14 0 - 0 0 . chr7 103347539 103347540 chr7:103347540:C:T chr7:103347540:C:T C T C EBF1_EBF_1 -16 0 + 0 0 . chr7 103446044 103446045 chr7:103446045:C:T rs148936354 C T C EBF1_EBF_1 -2 0 + 0 0 . chr7 103446071 103446072 chr7:103446072:C:T rs569591351 C T C EBF1_EBF_1 25 0 + 0 0 . chr7 103630546 103630547 chr7:103630547:T:A rs362645 T A T EBF1_EBF_1 33 0 + 0 0 . chr7 103637309 103637310 chr7:103637310:G:A rs362699 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 103715925 103715926 chr7:103715926:A:G rs10247465 A G G EBF1_EBF_1 25 0 + 0 0 . chr7 103798666 103798667 chr7:103798667:T:C rs155333 T C C EBF1_EBF_1 7 1 + 5.775512635588509 3.9580078893058848 TTTCCCATGAGGAC chr7 103855155 103855156 chr7:103855156:A:G rs34489535 A G A EBF1_EBF_1 22 0 - 0 0 . chr7 103875797 103875798 chr7:103875798:G:A rs7784991 G A G EBF1_EBF_1 9 1 + 4.410720089337343 1.7557808238897394 ATCACCTTGGGACC chr7 103875812 103875813 chr7:103875813:A:C rs7784621 A C A EBF1_EBF_1 24 0 + 0 0 . chr7 103905960 103905961 chr7:103905961:A:G rs802786 A G G EBF1_EBF_1 -18 0 + 0 0 . chr7 103922697 103922698 chr7:103922698:C:T rs7792066 C T T EBF1_EBF_1 7 1 + 4.93170992304642 6.749214669329044 TTCCCCACGAGACC chr7 104034802 104034803 chr7:104034803:C:T rs10246126 C T C EBF1_EBF_1 6 1 + 6.819920934667036 7.121207063528793 ACTCCTCAGGGAAA chr7 104208234 104208235 chr7:104208235:G:A rs2075219 G A G EBF1_EBF_1 -20 0 + 0 0 . chr7 104208274 104208275 chr7:104208275:A:G rs2075220 A G A EBF1_EBF_1 20 0 + 0 0 . chr7 104252489 104252490 chr7:104252490:G:A rs779292232 G A G EBF1_EBF_1 9 1 + 5.235825352361611 2.5808860869140067 TGTGCCAAGGGACC chr7 104689239 104689240 chr7:104689240:A:G rs10253618 A G G EBF1_EBF_1 33 0 + 0 0 . chr7 104776316 104776317 chr7:104776317:C:G rs62485076 C G C EBF1_EBF_1 -5 0 + 0 0 . chr7 104923199 104923200 chr7:104923200:C:G rs79217032 C G C EBF1_EBF_1 -20 0 + 0 0 . chr7 104931453 104931454 chr7:104931454:A:G rs117079129 A G A EBF1_EBF_1 -20 0 - 0 0 . chr7 104940390 104940391 chr7:104940391:C:T rs530835450 C T C EBF1_EBF_1 7 1 + 5.256234398744953 7.073739145027576 CTCCCCACGGGGCT chr7 104945068 104945069 chr7:104945069:G:C rs2470944 G C C EBF1_EBF_1 7 1 + 5.861448631109878 4.880128050028522 AACCCCCGAGGAAT chr7 104945312 104945313 chr7:104945313:C:A rs2470945 C A A EBF1_EBF_1 -4 0 + 0 0 . chr7 104979695 104979696 chr7:104979696:T:C chr7:104979696:T:C T C T EBF1_EBF_1 7 1 - 8.578417459033039 7.265959053744213 ACCCCCCAGGGGAT chr7 105000398 105000399 chr7:105000399:C:T rs375780894 C T C EBF1_EBF_1 5 1 + 4.5204451577925635 -0.8013420577481074 TGCCCCCAGGGGTA chr7 105012579 105012580 chr7:105012580:C:G rs118187412 C G G EBF1_EBF_1 -15 0 + 0 0 . chr7 105014792 105014793 chr7:105014793:T:C rs59206819 T C T EBF1_EBF_1 13 1 + 7.222379583864167 6.377885469278649 ACCCCCTTGAGAGT chr7 105033014 105033015 chr7:105033015:T:C rs11981882 T C C EBF1_EBF_1 -7 0 + 0 0 . chr7 105198428 105198429 chr7:105198429:T:C rs2299309 T C C EBF1_EBF_1 -5 0 + 0 0 . chr7 105246221 105246222 chr7:105246222:T:C rs7776832 T C T EBF1_EBF_1 -19 0 + 0 0 . chr7 105246232 105246233 chr7:105246233:G:T rs6961027 G T G EBF1_EBF_1 -8 0 + 0 0 . chr7 105388536 105388537 chr7:105388537:G:A rs1427105085 G A G EBF1_EBF_1 9 1 + 7.3021349031829486 4.647195637735345 CGTCCCGGGGGACC chr7 105513087 105513088 chr7:105513088:T:A rs73190155 T A A EBF1_EBF_1 10 1 - 5.194187318839368 6.329463591879069 TCTCCCCAGGAACC chr7 105521735 105521736 chr7:105521736:G:C rs4730094 G C C EBF1_EBF_1 4 1 - 5.3944331115712805 -0.23133198957826495 GTCCCCCGGGGGCC chr7 105532581 105532582 chr7:105532582:T:C rs818621 T C C EBF1_EBF_1 -5 0 - 0 0 . chr7 105588377 105588378 chr7:105588378:A:G rs10260974 A G A EBF1_EBF_1 15 0 - 0 0 . chr7 105719574 105719575 chr7:105719575:C:A rs538249 C A A EBF1_EBF_1 31 0 + 0 0 . chr7 105728688 105728689 chr7:105728689:T:A rs553946 T A A EBF1_EBF_1 -7 0 + 0 0 . chr7 105768966 105768967 chr7:105768967:C:G rs78767591 C G C EBF1_EBF_1 5 1 + 3.9459426516911846 -2.958938500943983 CCCCCCCTGGGGTC chr7 105780998 105780999 chr7:105780999:C:T rs4730105 C T C EBF1_EBF_1 20 0 - 0 0 . chr7 105784529 105784530 chr7:105784530:G:T rs182961118 G T G EBF1_EBF_1 17 0 + 0 0 . chr7 105828492 105828493 chr7:105828493:A:G rs56994060 A G A EBF1_EBF_1 -14 0 - 0 0 . chr7 105972454 105972455 chr7:105972455:A:G rs35033853 A G a EBF1_EBF_1 1 1 + 7.0157299530038095 7.276428784925112 CATCCCATGAGACA chr7 105990832 105990833 chr7:105990833:C:T rs544318272 C T C EBF1_EBF_1 6 1 + 6.415318240629238 6.716604369490996 GTACCCCAGGGAGC chr7 106042998 106042999 chr7:106042999:A:C chr7:106042999:A:C A C A EBF1_EBF_1 0 1 - 5.207368718298248 5.288141271699437 TGCCCCAGGAGACC chr7 106043001 106043002 chr7:106043002:T:C rs76244464 T C T EBF1_EBF_1 -3 0 - 0 0 . chr7 106043009 106043010 chr7:106043010:C:T rs139960801 C T C EBF1_EBF_1 -11 0 - 0 0 . chr7 106050907 106050908 chr7:106050908:T:C rs62481292 T C T EBF1_EBF_1 22 0 - 0 0 . chr7 106060586 106060587 chr7:106060587:T:C rs7781786 T C C EBF1_EBF_1 27 0 - 0 0 . chr7 106061088 106061089 chr7:106061089:T:C rs55785551 T C T EBF1_EBF_1 31 0 - 0 0 . chr7 106076845 106076846 chr7:106076846:T:C rs138126406 T C T EBF1_EBF_1 33 0 - 0 0 . chr7 106076859 106076860 chr7:106076860:C:T rs62481300 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 106118044 106118045 chr7:106118045:T:G rs12668312 T G T EBF1_EBF_1 6 1 - 5.859059027199808 5.497456659398531 TTTCCCAGGGGCTT chr7 106144187 106144188 chr7:106144188:C:T rs60639763 C T c EBF1_EBF_1 13 1 + 7.572141810548063 8.416635925133582 ATCCCCAAGGGGGC chr7 106165530 106165531 chr7:106165531:C:T rs544334584 C T C EBF1_EBF_1 6 1 + 11.976562131438289 12.277848260300045 AATCCCCGGGGAAT chr7 106179711 106179712 chr7:106179712:C:T rs76735 C T T EBF1_EBF_1 32 0 + 0 0 . chr7 106193878 106193879 chr7:106193879:A:G rs176591 A G G EBF1_EBF_1 12 1 - 8.380699737279127 9.406966307829975 AATCCCATGGGGTC chr7 106282076 106282077 chr7:106282077:A:G rs1319313 A G A EBF1_EBF_1 1 1 - 5.993509033445305 5.098075593046559 GTACCCCAGAGACT chr7 106284678 106284679 chr7:106284679:C:T rs554042309 C T C EBF1_EBF_1 -9 0 + 0 0 . chr7 106294540 106294541 chr7:106294541:A:T rs4730158 A T T EBF1_EBF_1 33 0 + 0 0 . chr7 106317010 106317011 chr7:106317011:A:G rs2539850 A G G EBF1_EBF_1 -8 0 + 0 0 . chr7 106381476 106381477 chr7:106381477:T:C chr7:106381477:T:C T C T EBF1_EBF_1 9 1 - 7.2465509208027745 9.901490186250378 AGTCCCTGGAGAGA chr7 106381501 106381502 chr7:106381502:G:C rs179885 G C C EBF1_EBF_1 -16 0 - 0 0 . chr7 106421104 106421105 chr7:106421105:T:C rs73723442 T C C EBF1_EBF_1 25 0 - 0 0 . chr7 106424094 106424095 chr7:106424095:A:C rs114533746 A C A EBF1_EBF_1 -19 0 - 0 0 . chr7 106459603 106459604 chr7:106459604:G:C rs111772509 G C G EBF1_EBF_1 6 1 - 9.386208424362597 7.5810163608894 AGCCCCCAGGGATA chr7 106465244 106465245 chr7:106465245:G:A rs1859752 G A G EBF1_EBF_1 12 1 - 7.320191458374807 6.293924887823957 TGTCCCCAAGGACT chr7 106502013 106502014 chr7:106502014:A:T rs7798770 A T T EBF1_EBF_1 24 0 - 0 0 . chr7 106502324 106502325 chr7:106502325:C:T rs73200084 C T C EBF1_EBF_1 1 1 + 5.190918737197825 6.086352177596571 GCCCCCTTGGTACT chr7 106552827 106552828 chr7:106552828:T:C rs531541146 T C T EBF1_EBF_1 13 1 + 7.637313493801784 6.792819379216266 ACTCCCTTGAGGAT chr7 106681896 106681897 chr7:106681897:C:T rs188477365 C T C EBF1_EBF_1 3 1 + 13.772489407693701 7.367090666140387 ATTCCCAAGGGAAC chr7 106768651 106768652 chr7:106768652:T:G rs1532403 T G G EBF1_EBF_1 30 0 - 0 0 . chr7 106793558 106793559 chr7:106793559:T:C rs17153449 T C C EBF1_EBF_1 17 0 + 0 0 . chr7 106821992 106821993 chr7:106821993:T:C rs55772529 T C T EBF1_EBF_1 -3 0 - 0 0 . chr7 106862623 106862624 chr7:106862624:G:A rs74473926 G A G EBF1_EBF_1 -16 0 - 0 0 . chr7 106947450 106947451 chr7:106947451:T:C rs16872316 T C T EBF1_EBF_1 27 0 + 0 0 . chr7 106947454 106947455 chr7:106947455:A:C rs138023842 A C A EBF1_EBF_1 31 0 + 0 0 . chr7 106948471 106948472 chr7:106948472:T:C rs80027771 T C T EBF1_EBF_1 -14 0 - 0 0 . chr7 106971695 106971696 chr7:106971696:C:A rs13231856 C A C EBF1_EBF_1 21 0 + 0 0 . chr7 107119530 107119531 chr7:107119531:C:T rs2237652 C T C EBF1_EBF_1 -14 0 - 0 0 . chr7 107147557 107147558 chr7:107147558:A:G rs67010796 A G A EBF1_EBF_1 -3 0 + 0 0 . chr7 107160570 107160571 chr7:107160571:G:C rs1804175 G C G EBF1_EBF_1 4 1 - 9.121661202343997 3.495896101194453 AAACCCAAGGGAAC chr7 107168967 107168968 chr7:107168968:A:T rs548102669 A T A EBF1_EBF_1 7 1 + 7.0886729395814765 6.612398699493918 AACCCCCAGGGGGT chr7 107168992 107168993 chr7:107168993:G:A rs998947794 G A G EBF1_EBF_1 32 0 + 0 0 . chr7 107507176 107507177 chr7:107507177:T:C rs117714350 T C T EBF1_EBF_1 -10 0 - 0 0 . chr7 107659879 107659880 chr7:107659880:G:A rs140430472 G A G EBF1_EBF_1 14 0 - 0 0 . chr7 107659886 107659887 chr7:107659887:T:C rs75343499 T C T EBF1_EBF_1 7 1 - 7.605255850349477 6.292797445060652 CCTCCCCAGAGAAC chr7 107659894 107659895 chr7:107659895:A:C rs2712228 A C A EBF1_EBF_1 -1 0 - 0 0 . chr7 107743668 107743669 chr7:107743669:G:C rs192852312 G C G EBF1_EBF_1 20 0 - 0 0 . chr7 107743681 107743682 chr7:107743682:G:A rs739518 G A G EBF1_EBF_1 7 1 - 6.2015926231952285 8.01909736947785 AATCCCCCGGGGTC chr7 107846527 107846528 chr7:107846528:T:C rs73191668 T C T EBF1_EBF_1 -5 0 - 0 0 . chr7 108002703 108002704 chr7:108002704:G:A rs147297312 G A G EBF1_EBF_1 -9 0 + 0 0 . chr7 108003309 108003310 chr7:108003310:T:C rs550839188 T C T EBF1_EBF_1 23 0 + 0 0 . chr7 108015329 108015330 chr7:108015330:G:A rs145156777 G A G EBF1_EBF_1 13 1 + 5.33995207790554 6.454277649974979 CTTCCCATGGTACG chr7 108037228 108037229 chr7:108037229:C:T rs1624720 C T c EBF1_EBF_1 7 1 - 5.361582833237158 6.674041238525982 ATTCCCCGGGAAGC chr7 108093410 108093411 chr7:108093411:C:T rs94604 C T T EBF1_EBF_1 3 1 + 6.873020225344079 0.4676214837907632 CAACCCCTGGGAAT chr7 108094787 108094788 chr7:108094788:A:G rs76110129 A G A EBF1_EBF_1 21 0 + 0 0 . chr7 108094788 108094789 chr7:108094789:C:A rs74639455 C A C EBF1_EBF_1 22 0 + 0 0 . chr7 108144015 108144016 chr7:108144016:C:T rs149715878 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 108171188 108171189 chr7:108171189:A:G rs449506 A G G EBF1_EBF_1 11 1 + 7.295086839977188 4.402379996373177 TTTCCCAACGGACT chr7 108284735 108284736 chr7:108284736:G:A rs79614420 G A G EBF1_EBF_1 5 1 - 4.694955733805994 -0.6268314817346765 ACTTCCTGGGGACA chr7 108310375 108310376 chr7:108310376:A:T rs1548948 A T T EBF1_EBF_1 -4 0 + 0 0 . chr7 108310376 108310377 chr7:108310377:C:T rs1548949 C T T EBF1_EBF_1 -3 0 + 0 0 . chr7 108335639 108335640 chr7:108335640:C:G rs984176793 C G C EBF1_EBF_1 27 0 + 0 0 . chr7 108347580 108347581 chr7:108347581:T:G rs10953564 T G T EBF1_EBF_1 17 0 + 0 0 . chr7 108451440 108451441 chr7:108451441:C:T rs6976752 C T C EBF1_EBF_1 -19 0 + 0 0 . chr7 108556621 108556622 chr7:108556622:C:T rs40931 C T T EBF1_EBF_1 -9 0 + 0 0 . chr7 108569574 108569575 chr7:108569575:G:C rs2233131 G C G EBF1_EBF_1 -6 0 + 0 0 . chr7 108569594 108569595 chr7:108569595:G:A rs112785303 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 108577053 108577054 chr7:108577054:A:G rs10282368 A G A EBF1_EBF_1 17 0 + 0 0 . chr7 109028520 109028521 chr7:109028521:T:C rs117146339 T C T EBF1_EBF_1 24 0 - 0 0 . chr7 109182743 109182744 chr7:109182744:C:A rs9656156 C A C EBF1_EBF_1 -2 0 + 0 0 . chr7 109219499 109219500 chr7:109219500:C:T rs1525027 C T T EBF1_EBF_1 15 0 + 0 0 . chr7 109285358 109285359 chr7:109285359:T:C rs6975873 T C T EBF1_EBF_1 -17 0 + 0 0 . chr7 109627719 109627720 chr7:109627720:C:G rs62473308 C G C EBF1_EBF_1 16 0 + 0 0 . chr7 109672048 109672049 chr7:109672049:C:T rs711478 C T T EBF1_EBF_1 4 1 + 3.91152068998558 -0.45786625495934324 AGCCCGCAGGGAAC chr7 110283127 110283128 chr7:110283128:G:C rs17157585 G C G EBF1_EBF_1 28 0 - 0 0 . chr7 110283152 110283153 chr7:110283153:C:T rs67579037 C T C EBF1_EBF_1 3 1 - 7.993059650973632 6.9146500696230415 AGTGCCTAGGGACT chr7 110534676 110534677 chr7:110534677:C:T rs953728 C T C EBF1_EBF_1 1 1 + 5.628289789550636 6.523723229949381 TCTCCCCGGGGCCT chr7 110720491 110720492 chr7:110720492:T:G rs10270652 T G G EBF1_EBF_1 26 0 + 0 0 . chr7 110760547 110760548 chr7:110760548:C:A rs6954311 C A C EBF1_EBF_1 -3 0 + 0 0 . chr7 111019432 111019433 chr7:111019433:T:C rs12671593 T C C EBF1_EBF_1 -17 0 + 0 0 . chr7 111070636 111070637 chr7:111070637:T:A rs116072852 T A T EBF1_EBF_1 13 1 - 6.618434655615996 7.83667421948749 ACTCACTAGGGAAA chr7 111084417 111084418 chr7:111084418:T:A rs10262354 T A T EBF1_EBF_1 -16 0 - 0 0 . chr7 111091052 111091053 chr7:111091053:T:G chr7:111091053:T:G T G T EBF1_EBF_1 29 0 - 0 0 . chr7 111532577 111532578 chr7:111532578:T:C rs2529491 T C C EBF1_EBF_1 -11 0 + 0 0 . chr7 111556131 111556132 chr7:111556132:T:C rs13245921 T C T EBF1_EBF_1 29 0 - 0 0 . chr7 111763796 111763797 chr7:111763797:G:A rs73432088 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 111852429 111852430 chr7:111852430:C:A rs10277083 C A C EBF1_EBF_1 -17 0 + 0 0 . chr7 111860786 111860787 chr7:111860787:C:T rs10229799 C T T EBF1_EBF_1 29 0 + 0 0 . chr7 111936706 111936707 chr7:111936707:G:C rs17159023 G C G EBF1_EBF_1 15 0 + 0 0 . chr7 111941881 111941882 chr7:111941882:A:G rs17159028 A G G EBF1_EBF_1 30 0 - 0 0 . chr7 112021532 112021533 chr7:112021533:C:T rs35835477 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 112100297 112100298 chr7:112100298:A:G rs259305 A G A EBF1_EBF_1 -9 0 + 0 0 . chr7 112173472 112173473 chr7:112173473:C:T rs11767977 C T C EBF1_EBF_1 -1 0 - 0 0 . chr7 112192412 112192413 chr7:112192413:T:C rs944068004 T C T EBF1_EBF_1 -3 0 - 0 0 . chr7 112192418 112192419 chr7:112192419:T:C rs565683582 T C T EBF1_EBF_1 -9 0 - 0 0 . chr7 112204741 112204742 chr7:112204742:A:G rs13236012 A G A EBF1_EBF_1 -15 0 + 0 0 . chr7 112206710 112206711 chr7:112206711:C:T rs779122871 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 112206715 112206716 chr7:112206716:A:G rs142246587 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 112206736 112206737 chr7:112206737:G:C chr7:112206737:G:C G C G EBF1_EBF_1 6 1 - 7.056526062598658 5.2513339991254595 AGCCCCCTGGGTCT chr7 112346747 112346748 chr7:112346748:C:A rs2249151 C A A EBF1_EBF_1 -17 0 + 0 0 . chr7 112411650 112411651 chr7:112411651:T:C rs11761827 T C T EBF1_EBF_1 33 0 - 0 0 . chr7 112411660 112411661 chr7:112411661:T:C rs12666911 T C T EBF1_EBF_1 23 0 - 0 0 . chr7 112442587 112442588 chr7:112442588:T:C rs3109105 T C C EBF1_EBF_1 21 0 - 0 0 . chr7 112442595 112442596 chr7:112442596:T:C rs7810707 T C T EBF1_EBF_1 13 1 - 7.896517679295544 6.782192107226105 CACCCCCAGGGACA chr7 112490438 112490439 chr7:112490439:C:T rs12531501 C T C EBF1_EBF_1 -11 0 + 0 0 . chr7 112580158 112580159 chr7:112580159:C:A rs11769472 C A C EBF1_EBF_1 -3 0 + 0 0 . chr7 112647040 112647041 chr7:112647041:G:A rs2157941 G A A EBF1_EBF_1 -18 0 + 0 0 . chr7 112744072 112744073 chr7:112744073:T:C rs146024973 T C T EBF1_EBF_1 -7 0 - 0 0 . chr7 112791197 112791198 chr7:112791198:G:C rs9655815 G C C EBF1_EBF_1 -3 0 - 0 0 . chr7 112793365 112793366 chr7:112793366:A:G rs1796492 A G A EBF1_EBF_1 15 0 + 0 0 . chr7 112998039 112998040 chr7:112998040:A:G rs145455674 A G A EBF1_EBF_1 1 1 + 5.963738037458014 6.224436869379316 AACCCTATGGGAAT chr7 113001817 113001818 chr7:113001818:T:C rs896018 T C T EBF1_EBF_1 22 0 - 0 0 . chr7 113014394 113014395 chr7:113014395:T:A rs73196951 T A T EBF1_EBF_1 25 0 - 0 0 . chr7 113116938 113116939 chr7:113116939:G:A rs1051997 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 113205773 113205774 chr7:113205774:T:C rs4998553 T C T EBF1_EBF_1 30 0 - 0 0 . chr7 113224704 113224705 chr7:113224705:G:A rs10500023 G A G EBF1_EBF_1 13 1 - 6.660931119162151 7.505425233747669 TCTCCCTAGGTAAC chr7 113331553 113331554 chr7:113331554:A:C rs142120267 A C A EBF1_EBF_1 33 0 - 0 0 . chr7 113331681 113331682 chr7:113331682:A:C rs1701847 A C C EBF1_EBF_1 25 0 - 0 0 . chr7 113374122 113374123 chr7:113374123:A:T rs1357744 A T T EBF1_EBF_1 -13 0 - 0 0 . chr7 113421726 113421727 chr7:113421727:T:A chr7:113421727:T:A T A T EBF1_EBF_1 -2 0 - 0 0 . chr7 113623199 113623200 chr7:113623200:G:A rs2661567 G A A EBF1_EBF_1 17 0 - 0 0 . chr7 113764805 113764806 chr7:113764806:T:C rs34669994 T C T EBF1_EBF_1 27 0 + 0 0 . chr7 113946310 113946311 chr7:113946311:T:C rs59948175 T C T EBF1_EBF_1 20 0 + 0 0 . chr7 114317036 114317037 chr7:114317037:C:G rs1527153 C G G EBF1_EBF_1 25 0 - 0 0 . chr7 114546623 114546624 chr7:114546624:A:G rs10953761 A G A EBF1_EBF_1 -20 0 + 0 0 . chr7 114922724 114922725 chr7:114922725:C:G rs1273843005 C G C EBF1_EBF_1 -18 0 + 0 0 . chr7 115008198 115008199 chr7:115008199:G:A rs2709501 G A A EBF1_EBF_1 -7 0 + 0 0 . chr7 115020031 115020032 chr7:115020032:A:C chr7:115020032:A:C A C A EBF1_EBF_1 30 0 + 0 0 . chr7 115143973 115143974 chr7:115143974:G:T rs2460481 G T G EBF1_EBF_1 17 0 - 0 0 . chr7 115199072 115199073 chr7:115199073:T:C rs11974449 T C T EBF1_EBF_1 -10 0 + 0 0 . chr7 115225964 115225965 chr7:115225965:G:A rs79373717 G A G EBF1_EBF_1 30 0 - 0 0 . chr7 115274450 115274451 chr7:115274451:A:G rs10274638 A G G EBF1_EBF_1 2 1 - 7.3229800596152 5.434156648173049 GATCCCCAGAGAGT chr7 115625236 115625237 chr7:115625237:T:C rs6466540 T C C EBF1_EBF_1 -5 0 - 0 0 . chr7 115910733 115910734 chr7:115910734:A:G rs34123487 A G A EBF1_EBF_1 29 0 - 0 0 . chr7 116103218 116103219 chr7:116103219:G:A rs17302610 G A G EBF1_EBF_1 -15 0 - 0 0 . chr7 116121050 116121051 chr7:116121051:A:T rs73462590 A T A EBF1_EBF_1 2 1 + 7.382143228833996 11.066610816432872 ACACCCAAGAGAAT chr7 116177373 116177374 chr7:116177374:T:C rs145538190 T C T EBF1_EBF_1 -16 0 + 0 0 . chr7 116259710 116259711 chr7:116259711:A:C rs12672890 A C A EBF1_EBF_1 -6 0 + 0 0 . chr7 116259735 116259736 chr7:116259736:A:G rs2740395 A G G EBF1_EBF_1 19 0 + 0 0 . chr7 116266489 116266490 chr7:116266490:T:C rs60075350 T C T EBF1_EBF_1 31 0 - 0 0 . chr7 116268688 116268689 chr7:116268689:C:T rs74887031 C T C EBF1_EBF_1 20 0 - 0 0 . chr7 116277316 116277317 chr7:116277317:A:G rs1819049 A G A EBF1_EBF_1 -7 0 + 0 0 . chr7 116308959 116308960 chr7:116308960:G:A rs6466573 G A . EBF1_EBF_1 -13 0 + 0 0 . chr7 116425775 116425776 chr7:116425776:G:A rs79109031 G A T EBF1_EBF_1 21 0 + 0 0 . chr7 116521251 116521252 chr7:116521252:C:T rs35158517 C T C EBF1_EBF_1 -7 0 + 0 0 . chr7 116535656 116535657 chr7:116535657:T:C rs10256914 T C T EBF1_EBF_1 -1 0 - 0 0 . chr7 116539901 116539902 chr7:116539902:G:C rs6466584 G C C EBF1_EBF_1 12 1 - 5.191320786757254 3.8026848430791027 CTTGCCAGGGGACT chr7 116539929 116539930 chr7:116539930:G:C rs6466585 G C C EBF1_EBF_1 -16 0 - 0 0 . chr7 116551757 116551758 chr7:116551758:G:A rs9885998 G A A EBF1_EBF_1 5 1 - 4.24403359114457 -1.0777536243961008 GCCCCCGAGAGACC chr7 116554539 116554540 chr7:116554540:T:G rs147944431 T G T EBF1_EBF_1 -16 0 + 0 0 . chr7 116631193 116631194 chr7:116631194:G:A rs116735887 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 116665718 116665719 chr7:116665719:C:G rs38837 C G C EBF1_EBF_1 11 1 - 5.654122019660312 4.130867165411933 ATTCCCGAGAGGCA chr7 116672052 116672053 chr7:116672053:G:A rs71564564 G A G EBF1_EBF_1 -11 0 + 0 0 . chr7 116672053 116672054 chr7:116672054:A:G chr7:116672054:A:G A G A EBF1_EBF_1 -10 0 + 0 0 . chr7 116771812 116771813 chr7:116771813:G:A rs35624294 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 116781176 116781177 chr7:116781177:A:C chr7:116781177:A:C A C A EBF1_EBF_1 -18 0 + 0 0 . chr7 116794738 116794739 chr7:116794739:C:A rs168686 C A A EBF1_EBF_1 -7 0 + 0 0 . chr7 117114633 117114634 chr7:117114634:G:A rs3808222 G A G EBF1_EBF_1 -4 0 - 0 0 . chr7 117230972 117230973 chr7:117230973:C:T rs921503957 C T C EBF1_EBF_1 16 0 - 0 0 . chr7 117259824 117259825 chr7:117259825:T:G rs7785752 T G T EBF1_EBF_1 -1 0 - 0 0 . chr7 117278030 117278031 chr7:117278031:C:T rs2228946 C T C EBF1_EBF_1 -13 0 - 0 0 . chr7 117314062 117314063 chr7:117314063:T:G rs39311 T G G EBF1_EBF_1 29 0 + 0 0 . chr7 117347826 117347827 chr7:117347827:T:A rs115270028 T A T EBF1_EBF_1 26 0 + 0 0 . chr7 117348388 117348389 chr7:117348389:C:G rs916726 C G G EBF1_EBF_1 17 0 + 0 0 . chr7 117349993 117349994 chr7:117349994:T:C rs6963669 T C T EBF1_EBF_1 -11 0 + 0 0 . chr7 117350009 117350010 chr7:117350010:C:T rs6943509 C T C EBF1_EBF_1 5 1 + 6.49402951569191 1.1722423001512405 GAACCCCAGGGAAA chr7 117674744 117674745 chr7:117674745:A:G rs760564177 A G A EBF1_EBF_1 -14 0 - 0 0 . chr7 117805609 117805610 chr7:117805610:A:G rs929668 A G A EBF1_EBF_1 -7 0 - 0 0 . chr7 117902473 117902474 chr7:117902474:G:A chr7:117902474:G:A G A G EBF1_EBF_1 28 0 - 0 0 . chr7 118016779 118016780 chr7:118016780:G:A rs117366027 G A G EBF1_EBF_1 1 1 - 9.174326946868039 10.069760387266784 GCTCCCAAGAGAAT chr7 118121616 118121617 chr7:118121617:G:A rs2402303 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 118214416 118214417 chr7:118214417:C:T rs17141053 C T C EBF1_EBF_1 -14 0 - 0 0 . chr7 118858854 118858855 chr7:118858855:T:C rs12539775 T C T EBF1_EBF_1 -8 0 - 0 0 . chr7 118968332 118968333 chr7:118968333:A:G rs10953884 A G A EBF1_EBF_1 13 1 - 6.306733391202549 5.462239276617031 ATTCCCAATGGAGT chr7 118999897 118999898 chr7:118999898:C:A rs28536628 C A C EBF1_EBF_1 23 0 + 0 0 . chr7 119413449 119413450 chr7:119413450:C:G rs11974665 C G a EBF1_EBF_1 8 1 - 3.5202730331531837 -1.7983858277615021 CCTCCCCCGGGCCC chr7 119713439 119713440 chr7:119713440:G:A rs7777009 G A G EBF1_EBF_1 1 1 - 9.663654773783202 10.559088214181944 ACTCCCTGGAGACT chr7 119750936 119750937 chr7:119750937:C:G rs75211441 C G G EBF1_EBF_1 -10 0 + 0 0 . chr7 119791106 119791107 chr7:119791107:T:A rs2049381 T A A EBF1_EBF_1 -16 0 - 0 0 . chr7 120056430 120056431 chr7:120056431:G:T rs76473711 G T G EBF1_EBF_1 4 1 - 7.474833101271251 1.6502734778177843 GGCCCCCCGGGAAT chr7 120131330 120131331 chr7:120131331:G:A rs10225178 G A G EBF1_EBF_1 -14 0 + 0 0 . chr7 120168264 120168265 chr7:120168265:C:T rs151201812 C T C EBF1_EBF_1 9 1 - 11.425687535441705 8.770748269994103 TTTCCCAAGGGAAA chr7 120222246 120222247 chr7:120222247:C:T rs2190183 C T T EBF1_EBF_1 -18 0 - 0 0 . chr7 120407014 120407015 chr7:120407015:G:A rs73433838 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 120474771 120474772 chr7:120474772:G:T rs67181710 G T G EBF1_EBF_1 8 1 + 6.412496161964927 -0.4789366270122675 CTTCTCCAGGGAAA chr7 120584055 120584056 chr7:120584056:A:G rs10224280 A G A EBF1_EBF_1 -15 0 + 0 0 . chr7 120989744 120989745 chr7:120989745:A:C chr7:120989745:A:C A C A EBF1_EBF_1 20 0 - 0 0 . chr7 121038207 121038208 chr7:121038208:C:T rs2013083 C T T EBF1_EBF_1 21 0 - 0 0 . chr7 121313629 121313630 chr7:121313630:G:T rs77439921 G T T EBF1_EBF_1 -8 0 + 0 0 . chr7 121314274 121314275 chr7:121314275:G:C rs147394314 G C G EBF1_EBF_1 6 1 - 9.62738546606432 7.822193402591121 TTTCCCCAGAGAAT chr7 121371560 121371561 chr7:121371561:G:T rs2536155 G T T EBF1_EBF_1 13 1 - 5.3063872056190595 4.9326417563330835 ACCCTCAGGGGAAC chr7 121463996 121463997 chr7:121463997:T:C rs1534517 T C C EBF1_EBF_1 11 1 - 5.624871490710656 2.7321646471066448 CCACCCAGGGGAAC chr7 121464023 121464024 chr7:121464024:A:G rs1534516 A G C EBF1_EBF_1 -16 0 - 0 0 . chr7 121605800 121605801 chr7:121605801:G:T rs60461337 G T G EBF1_EBF_1 8 1 + 7.703484116363297 0.8120513273861021 TGTCCCCAGAGAAA chr7 121610428 121610429 chr7:121610429:G:A rs79735353 G A A EBF1_EBF_1 -13 0 - 0 0 . chr7 121633380 121633381 chr7:121633381:C:T rs62474108 C T T EBF1_EBF_1 -14 0 + 0 0 . chr7 121658765 121658766 chr7:121658766:T:G rs7812162 T G T EBF1_EBF_1 -2 0 - 0 0 . chr7 121870984 121870985 chr7:121870985:C:A rs10275407 C A A EBF1_EBF_1 -15 0 + 0 0 . chr7 121944974 121944975 chr7:121944975:T:G rs1916876 T G T EBF1_EBF_1 30 0 - 0 0 . chr7 122559993 122559994 chr7:122559994:C:T rs75577025 C T t EBF1_EBF_1 -8 0 - 0 0 . chr7 122638584 122638585 chr7:122638585:G:T rs1860855 G T T EBF1_EBF_1 -4 0 + 0 0 . chr7 122886665 122886666 chr7:122886666:C:T rs114100627 C T C EBF1_EBF_1 4 1 + 4.417520808606274 0.04813386366134943 GTTCCCCAGAGCCC chr7 122886679 122886680 chr7:122886680:G:A rs1312901763 G A G EBF1_EBF_1 18 0 + 0 0 . chr7 123034360 123034361 chr7:123034361:C:A rs62483673 C A C EBF1_EBF_1 28 0 - 0 0 . chr7 123044334 123044335 chr7:123044335:A:G rs1917670 A G a EBF1_EBF_1 30 0 + 0 0 . chr7 123124346 123124347 chr7:123124347:C:T rs10241206 C T C EBF1_EBF_1 -8 0 - 0 0 . chr7 123204148 123204149 chr7:123204149:A:G rs2204297 A G G EBF1_EBF_1 -12 0 + 0 0 . chr7 123271502 123271503 chr7:123271503:C:A rs13438292 C A C EBF1_EBF_1 -12 0 + 0 0 . chr7 123355749 123355750 chr7:123355750:A:G rs6949944 A G G EBF1_EBF_1 26 0 - 0 0 . chr7 123557368 123557369 chr7:123557369:G:C rs775985979 G C G EBF1_EBF_1 3 1 - 6.661898157041283 0.8341337089260694 ATTCCCAAGGAAGA chr7 123795486 123795487 chr7:123795487:G:A rs6979857 G A G EBF1_EBF_1 8 1 - 4.826864382596114 3.254090454533606 CCTCCCATCGGAAC chr7 123929399 123929400 chr7:123929400:G:A rs143111799 G A G EBF1_EBF_1 5 1 - 5.8531386817256275 0.5313514661849573 AACCCCCTAGGAAC chr7 124005305 124005306 chr7:124005306:G:C rs79850459 G C G EBF1_EBF_1 -12 0 + 0 0 . chr7 124033122 124033123 chr7:124033123:G:A rs556825154 G A - EBF1_EBF_1 1 1 - 4.498999671172535 5.3944331115712805 GCCCCCCGGGGGCC chr7 124073453 124073454 chr7:124073454:T:C rs140375111 T C T EBF1_EBF_1 -11 0 + 0 0 . chr7 124161150 124161151 chr7:124161151:C:T rs6466897 C T T EBF1_EBF_1 5 1 + 5.378556884325637 0.05676966878496799 AACCCCAGAGGAAC chr7 124224488 124224489 chr7:124224489:C:T rs77073910 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 124368408 124368409 chr7:124368409:G:A rs10215793 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 124517052 124517053 chr7:124517053:T:A rs34156699 T A T EBF1_EBF_1 0 1 - 6.706225845794084 4.7331694228280625 ATACCCAAAGGAAT chr7 124609899 124609900 chr7:124609900:C:T rs13227501 C T C EBF1_EBF_1 -11 0 - 0 0 . chr7 124627971 124627972 chr7:124627972:C:T rs35936075 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 124628495 124628496 chr7:124628496:A:T rs73221428 A T A EBF1_EBF_1 2 1 - 7.619671173465093 3.9352035858662173 TATCCCTGGAGACT chr7 124709296 124709297 chr7:124709297:A:G rs849647 A G G EBF1_EBF_1 -4 0 - 0 0 . chr7 124764653 124764654 chr7:124764654:C:T rs62638682 C T C EBF1_EBF_1 1 1 - 4.8045134121076805 4.543814580186378 GGACCCCCGGGACC chr7 124764736 124764737 chr7:124764737:A:G rs149569764 A G A EBF1_EBF_1 -9 0 - 0 0 . chr7 124766448 124766449 chr7:124766449:A:C rs116926398 A C A EBF1_EBF_1 21 0 + 0 0 . chr7 124929363 124929364 chr7:124929364:A:G rs6980448 A G G EBF1_EBF_1 29 0 + 0 0 . chr7 124946782 124946783 chr7:124946783:T:C rs12540261 T C C EBF1_EBF_1 28 0 + 0 0 . chr7 125046453 125046454 chr7:125046454:A:G rs6975148 A G G EBF1_EBF_1 -5 0 + 0 0 . chr7 125386405 125386406 chr7:125386406:C:G rs2042819 C G c EBF1_EBF_1 11 1 - 5.777557959135785 4.2543031048874065 ATCCCCTGGAGGCT chr7 125563884 125563885 chr7:125563885:T:G rs792416 T G G EBF1_EBF_1 -19 0 + 0 0 . chr7 125612061 125612062 chr7:125612062:G:A rs80121623 G A G EBF1_EBF_1 13 1 - 7.291347738418024 8.135841853003543 ACTCCCTGGGTACC chr7 125770583 125770584 chr7:125770584:G:T rs1592396 G T T EBF1_EBF_1 -14 0 - 0 0 . chr7 125773622 125773623 chr7:125773623:C:T rs6977498 C T C EBF1_EBF_1 25 0 - 0 0 . chr7 126495204 126495205 chr7:126495205:G:A rs552432275 G A G EBF1_EBF_1 -20 0 - 0 0 . chr7 126644443 126644444 chr7:126644444:T:C rs1361983 T C C EBF1_EBF_1 -11 0 + 0 0 . chr7 126655812 126655813 chr7:126655813:G:A rs7792700 G A A EBF1_EBF_1 -9 0 + 0 0 . chr7 126931346 126931347 chr7:126931347:C:G rs2518954 C G C EBF1_EBF_1 8 1 - 8.250794851648244 2.932135990733559 AGCCCCTAGAGATT chr7 127253480 127253481 chr7:127253481:C:A rs555391714 C A C EBF1_EBF_1 21 0 - 0 0 . chr7 127348057 127348058 chr7:127348058:G:A chr7:127348058:G:A G A G EBF1_EBF_1 22 0 - 0 0 . chr7 127393981 127393982 chr7:127393982:G:A rs74788757 G A G EBF1_EBF_1 23 0 - 0 0 . chr7 127429387 127429388 chr7:127429388:C:T rs10224350 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 127485693 127485694 chr7:127485694:C:T rs79499703 C T C EBF1_EBF_1 1 1 - 8.462457727256565 8.201758895335262 AGTCCCATGGGTCC chr7 127526194 127526195 chr7:127526195:G:T rs74387809 G T G EBF1_EBF_1 6 1 - 6.1487023119219435 6.510304679723222 ACTCCCCTGGGTGA chr7 127586480 127586481 chr7:127586481:G:A rs3757792 G A G EBF1_EBF_1 -9 0 - 0 0 . chr7 127588061 127588062 chr7:127588062:A:G rs115150337 A G A EBF1_EBF_1 13 1 + 6.0340504220183275 4.919724849948889 GTTCCCAAGGGCTA chr7 127588083 127588084 chr7:127588084:T:C rs188405967 T C T EBF1_EBF_1 14 0 + 0 0 . chr7 127588299 127588300 chr7:127588300:C:T rs746804200 C T C EBF1_EBF_1 13 1 + 4.501788058855551 5.346282173441069 GCTCCCCTAGGAGC chr7 127613843 127613844 chr7:127613844:G:A rs77039439 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 127628751 127628752 chr7:127628752:T:C rs806188 T C C EBF1_EBF_1 -1 0 + 0 0 . chr7 127809521 127809522 chr7:127809522:A:G rs62481393 A G A EBF1_EBF_1 2 1 - 6.087606402647207 4.198782991205056 ACTCCCCTGAGGCA chr7 127946187 127946188 chr7:127946188:T:C rs141823255 T C T EBF1_EBF_1 -1 0 + 0 0 . chr7 127952589 127952590 chr7:127952590:T:C rs4731387 T C C EBF1_EBF_1 29 0 + 0 0 . chr7 128006069 128006070 chr7:128006070:C:G rs545723692 C G C EBF1_EBF_1 9 1 - 5.047166101678228 -0.028523044667236652 TTCCCCCAGGGTCA chr7 128053978 128053979 chr7:128053979:A:G rs68030304 A G A EBF1_EBF_1 -19 0 + 0 0 . chr7 128101172 128101173 chr7:128101173:T:G rs7811971 T G G EBF1_EBF_1 21 0 - 0 0 . chr7 128102121 128102122 chr7:128102122:C:G rs6467159 C G C EBF1_EBF_1 25 0 + 0 0 . chr7 128103733 128103734 chr7:128103734:G:A rs759710 G A A EBF1_EBF_1 -15 0 + 0 0 . chr7 128103769 128103770 chr7:128103770:G:A rs35990797 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 128104439 128104440 chr7:128104440:C:T rs322815 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 128109321 128109322 chr7:128109322:A:C rs58719617 A C A EBF1_EBF_1 -7 0 - 0 0 . chr7 128114931 128114932 chr7:128114932:C:T rs322786 C T C EBF1_EBF_1 -3 0 - 0 0 . chr7 128115899 128115900 chr7:128115900:A:G rs322785 A G G EBF1_EBF_1 -7 0 + 0 0 . chr7 128119788 128119789 chr7:128119789:T:G rs322776 T G G EBF1_EBF_1 -6 0 - 0 0 . chr7 128135498 128135499 chr7:128135499:C:G rs322756 C G C EBF1_EBF_1 0 1 + 8.760349506244792 9.123324120376559 CTCCCCCTGGGACC chr7 128135928 128135929 chr7:128135929:T:C chr7:128135929:T:C T C - EBF1_EBF_1 31 0 - 0 0 . chr7 128135937 128135938 chr7:128135938:C:T rs563144892 C T - EBF1_EBF_1 22 0 - 0 0 . chr7 128155297 128155298 chr7:128155298:G:A rs28625157 G A . EBF1_EBF_1 9 1 + 6.577642318782105 3.9227030533345015 AATCACAAGGGACA chr7 128158528 128158529 chr7:128158529:C:T rs322725 C T . EBF1_EBF_1 -3 0 + 0 0 . chr7 128166119 128166120 chr7:128166120:C:T rs12155392 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 128208648 128208649 chr7:128208649:A:C rs143834584 A C A EBF1_EBF_1 32 0 - 0 0 . chr7 128211574 128211575 chr7:128211575:G:A rs7778167 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 128212011 128212012 chr7:128212012:G:A rs11981584 G A A EBF1_EBF_1 -6 0 - 0 0 . chr7 128213470 128213471 chr7:128213471:G:A rs79049980 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 128222748 128222749 chr7:128222749:A:G rs791595 A G G EBF1_EBF_1 18 0 + 0 0 . chr7 128235335 128235336 chr7:128235336:T:G rs4731424 T G T EBF1_EBF_1 13 1 + 5.57685696646923 3.2442918305282973 CTTCCCAGGGGCTT chr7 128238213 128238214 chr7:128238214:T:C rs11770725 T C T EBF1_EBF_1 -3 0 - 0 0 . chr7 128240644 128240645 chr7:128240645:C:T rs28954080 C T C EBF1_EBF_1 18 0 - 0 0 . chr7 128241253 128241254 chr7:128241254:A:T rs34104384 A T A EBF1_EBF_1 -18 0 + 0 0 . chr7 128263734 128263735 chr7:128263735:G:T rs1376268 G T G EBF1_EBF_1 9 1 + 7.1993974465554444 0.36240803846663544 TTTCCCAAAGGAAA chr7 128267387 128267388 chr7:128267388:C:T rs35854831 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 128271034 128271035 chr7:128271035:C:T rs78015671 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 128272385 128272386 chr7:128272386:T:G rs116904807 T G T EBF1_EBF_1 -9 0 + 0 0 . chr7 128273155 128273156 chr7:128273156:G:A rs10274625 G A G EBF1_EBF_1 9 1 + 6.942657563107862 4.287718297660259 AGCCCCAGGGGGCC chr7 128273177 128273178 chr7:128273178:C:G rs10274395 C G C EBF1_EBF_1 31 0 + 0 0 . chr7 128310671 128310672 chr7:128310672:G:A rs12850 G A G EBF1_EBF_1 26 0 - 0 0 . chr7 128360548 128360549 chr7:128360549:G:C rs1000739643 G C G EBF1_EBF_1 9 1 + 4.709233480760533 -0.36645566558493176 AGACCCCAGGGGGC chr7 128363610 128363611 chr7:128363611:A:G rs10224521 A G A EBF1_EBF_1 1 1 + 5.195783829012177 5.45648266093348 CACCCCCAGGGGTT chr7 128381801 128381802 chr7:128381802:G:A rs62481094 G A G EBF1_EBF_1 32 0 + 0 0 . chr7 128391243 128391244 chr7:128391244:C:T rs714510 C T C EBF1_EBF_1 -15 0 + 0 0 . chr7 128454806 128454807 chr7:128454807:C:G rs189056601 C G c EBF1_EBF_1 23 0 + 0 0 . chr7 128530582 128530583 chr7:128530583:A:C chr7:128530583:A:C A C A EBF1_EBF_1 19 0 - 0 0 . chr7 128653826 128653827 chr7:128653827:G:C rs34757247 G C . EBF1_EBF_1 31 0 + 0 0 . chr7 128668957 128668958 chr7:128668958:A:G rs75541210 A G . EBF1_EBF_1 22 0 + 0 0 . chr7 128781356 128781357 chr7:128781357:T:A rs112338042 T A T EBF1_EBF_1 31 0 + 0 0 . chr7 128791131 128791132 chr7:128791132:C:G rs55867152 C G C EBF1_EBF_1 10 1 - 4.05751381986215 -2.833288567467325 TCCCCCCGGGGTCT chr7 128791142 128791143 chr7:128791143:C:G rs951329021 C G C EBF1_EBF_1 -17 0 - 0 0 . chr7 128791240 128791241 chr7:128791241:T:C rs9690481 T C C EBF1_EBF_1 9 1 - 5.494334666068352 8.149273931515957 CTCCCCTAGAGAGC chr7 128831029 128831030 chr7:128831030:G:A rs140098814 G A G EBF1_EBF_1 16 0 - 0 0 . chr7 128837810 128837811 chr7:128837811:G:A rs7787924 G A G EBF1_EBF_1 -14 0 - 0 0 . chr7 128848336 128848337 chr7:128848337:C:A rs111993847 C A C EBF1_EBF_1 9 1 - 5.185970217170567 -1.651019190918242 ATTCCCCAGGAAGG chr7 128870264 128870265 chr7:128870265:G:A rs61730231 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 128872985 128872986 chr7:128872986:A:G rs77411601 A G A EBF1_EBF_1 -9 0 + 0 0 . chr7 128873023 128873024 chr7:128873024:G:A rs76267516 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 128877065 128877066 chr7:128877066:G:A rs1418760967 G A G EBF1_EBF_1 -4 0 - 0 0 . chr7 128883847 128883848 chr7:128883848:T:C rs1005729170 T C T EBF1_EBF_1 7 1 - 6.561878780710884 5.2494203754220585 ATCCCTAAGGGAAC chr7 128883874 128883875 chr7:128883875:A:G rs961489760 A G A EBF1_EBF_1 -20 0 - 0 0 . chr7 128890497 128890498 chr7:128890498:C:T rs77218547 C T C EBF1_EBF_1 4 1 + 6.092675282173702 1.723288337228778 CTTCCCCTGGTACA chr7 128891174 128891175 chr7:128891175:C:T rs76872290 C T C EBF1_EBF_1 29 0 + 0 0 . chr7 128891412 128891413 chr7:128891413:C:T rs369963423 C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 128909976 128909977 chr7:128909977:G:A rs1609544 G A G EBF1_EBF_1 8 1 + 7.311099053907589 3.0848089650213266 ACTCCCGTGGGGCC chr7 128915175 128915176 chr7:128915176:C:T rs150868776 C T C EBF1_EBF_1 -5 0 - 0 0 . chr7 128920706 128920707 chr7:128920707:G:T rs960633 G T G EBF1_EBF_1 -18 0 - 0 0 . chr7 128929722 128929723 chr7:128929723:G:C rs76438439 G C g EBF1_EBF_1 -15 0 + 0 0 . chr7 128929971 128929972 chr7:128929972:C:G rs12706860 C G G EBF1_EBF_1 -12 0 - 0 0 . chr7 128939697 128939698 chr7:128939698:A:G rs534354039 A G A EBF1_EBF_1 -6 0 - 0 0 . chr7 128940625 128940626 chr7:128940626:G:T rs3807306 G T G EBF1_EBF_1 -16 0 + 0 0 . chr7 129123522 129123523 chr7:129123523:T:C rs138478959 T C T EBF1_EBF_1 11 1 - 7.975191046660397 5.082484203056386 GACCCCCTGGGATT chr7 129127914 129127915 chr7:129127915:A:G rs6467231 A G G EBF1_EBF_1 6 1 - 4.7996799424691385 4.4983938136073816 CGTCCCTAGAGGCA chr7 129144529 129144530 chr7:129144530:G:A rs73723923 G A G EBF1_EBF_1 2 1 - 5.292699891026162 7.181523302468313 ACCCCTAAGGGAAA chr7 129144539 129144540 chr7:129144540:A:T rs36132066 A T A EBF1_EBF_1 -8 0 - 0 0 . chr7 129189852 129189853 chr7:129189853:G:C rs55943687 G C C EBF1_EBF_1 15 0 - 0 0 . chr7 129205483 129205484 chr7:129205484:G:A rs2566872 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 129226774 129226775 chr7:129226775:A:C rs891545 A C A EBF1_EBF_1 20 0 + 0 0 . chr7 129368917 129368918 chr7:129368918:T:C rs34022136 T C T EBF1_EBF_1 -15 0 - 0 0 . chr7 129391400 129391401 chr7:129391401:G:T rs4731572 G T T EBF1_EBF_1 9 1 + 6.743257716642502 -0.09373169144630815 TCACCCATGGGAAC chr7 129432182 129432183 chr7:129432183:A:G rs6971551 A G A EBF1_EBF_1 -15 0 + 0 0 . chr7 129434011 129434012 chr7:129434012:G:A rs76387581 G A G EBF1_EBF_1 25 0 + 0 0 . chr7 129482728 129482729 chr7:129482729:C:G rs62489116 C G C EBF1_EBF_1 -7 0 + 0 0 . chr7 129502933 129502934 chr7:129502934:G:A rs610591 G A G EBF1_EBF_1 0 1 - 4.9729363913819675 5.255138452112545 CGACCCCAGGGAGA chr7 129671444 129671445 chr7:129671445:C:T rs146404476 C T C EBF1_EBF_1 -4 0 - 0 0 . chr7 129782295 129782296 chr7:129782296:A:G rs112402272 A G A EBF1_EBF_1 -7 0 + 0 0 . chr7 129791153 129791154 chr7:129791154:G:A rs2693737 G A G EBF1_EBF_1 16 0 - 0 0 . chr7 129796506 129796507 chr7:129796507:C:T rs7803772 C T T EBF1_EBF_1 -13 0 + 0 0 . chr7 129805411 129805412 chr7:129805412:T:C rs62491474 T C T EBF1_EBF_1 -17 0 + 0 0 . chr7 129805435 129805436 chr7:129805436:G:A rs62491475 G A G EBF1_EBF_1 7 1 + 4.782436714405776 6.094895119694602 TCTCCCCGGAGAGA chr7 129826178 129826179 chr7:129826179:C:T rs73469781 C T C EBF1_EBF_1 10 1 - 6.531903405634306 1.2138749463673395 GTCCCCTTGGGGCC chr7 129826224 129826225 chr7:129826225:C:T rs148694936 C T C EBF1_EBF_1 -18 0 + 0 0 . chr7 129829423 129829424 chr7:129829424:C:T rs141717747 C T C EBF1_EBF_1 30 0 - 0 0 . chr7 129910418 129910419 chr7:129910419:G:C rs80086114 G C G EBF1_EBF_1 -10 0 - 0 0 . chr7 129951422 129951423 chr7:129951423:G:T rs970038890 G T G EBF1_EBF_1 -5 0 + 0 0 . chr7 129970884 129970885 chr7:129970885:C:T rs76289486 C T c EBF1_EBF_1 17 0 + 0 0 . chr7 129978275 129978276 chr7:129978276:C:A rs4606000 C A c EBF1_EBF_1 5 1 + 4.995993722893071 -1.9088874297420968 ACCCCCCTGGGTTC chr7 129989499 129989500 chr7:129989500:T:C rs74303283 T C T EBF1_EBF_1 -15 0 + 0 0 . chr7 130010128 130010129 chr7:130010129:T:C rs570197211 T C T EBF1_EBF_1 -19 0 + 0 0 . chr7 130205711 130205712 chr7:130205712:T:C rs369270937 T C T EBF1_EBF_1 27 0 + 0 0 . chr7 130242696 130242697 chr7:130242697:G:A chr7:130242697:G:A G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 130256787 130256788 chr7:130256788:C:T rs57805291 C T C EBF1_EBF_1 -20 0 + 0 0 . chr7 130256819 130256820 chr7:130256820:T:G rs73146758 T G G EBF1_EBF_1 12 1 + 5.8304098726592235 5.468040499531922 GCTCCCAAGGGTTC chr7 130263171 130263172 chr7:130263172:G:A rs6962324 G A A EBF1_EBF_1 14 0 - 0 0 . chr7 130263205 130263206 chr7:130263206:T:C rs62489466 T C T EBF1_EBF_1 -20 0 - 0 0 . chr7 130298757 130298758 chr7:130298758:G:T rs34587586 G T T EBF1_EBF_1 21 0 + 0 0 . chr7 130344947 130344948 chr7:130344948:G:A rs1532047 G A G EBF1_EBF_1 1 1 + 4.348557230539143 4.087858398617841 CGCCCCATGGGTAC chr7 130350432 130350433 chr7:130350433:G:A rs10247835 G A G EBF1_EBF_1 9 1 + 4.234644770445026 1.579705504997422 CTTCCCCAGGCACC chr7 130353696 130353697 chr7:130353697:C:T rs142589839 C T C EBF1_EBF_1 5 1 + 4.375295028304618 -0.946492187236053 ACCCCCGAGGGCCC chr7 130367617 130367618 chr7:130367618:G:A rs4537231 G A G EBF1_EBF_1 -19 0 + 0 0 . chr7 130381489 130381490 chr7:130381490:C:T rs2239604 C T C EBF1_EBF_1 -17 0 - 0 0 . chr7 130430507 130430508 chr7:130430508:T:C rs33929476 T C T EBF1_EBF_1 -18 0 + 0 0 . chr7 130566828 130566829 chr7:130566829:C:G rs983287251 C G C EBF1_EBF_1 -9 0 + 0 0 . chr7 130650717 130650718 chr7:130650718:A:T rs11972315 A T A EBF1_EBF_1 -4 0 + 0 0 . chr7 130650729 130650730 chr7:130650730:G:A rs6973221 G A G EBF1_EBF_1 8 1 + 7.034389031265435 2.8080989423791736 ATTGCCTTGGGAAA chr7 130722184 130722185 chr7:130722185:T:G chr7:130722185:T:G T G T EBF1_EBF_1 21 0 + 0 0 . chr7 130733516 130733517 chr7:130733517:C:G rs35770036 C G C EBF1_EBF_1 2 1 + 4.698488452242769 -0.1610138265155504 TGCCCCTAGGGCCC chr7 130845386 130845387 chr7:130845387:C:T rs1394902750 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 130897729 130897730 chr7:130897730:G:A rs205757 G A A EBF1_EBF_1 15 0 + 0 0 . chr7 130905863 130905864 chr7:130905864:T:C rs144547635 T C T EBF1_EBF_1 -4 0 + 0 0 . chr7 130959031 130959032 chr7:130959032:A:T chr7:130959032:A:T A T A EBF1_EBF_1 20 0 + 0 0 . chr7 130983623 130983624 chr7:130983624:T:C rs6973318 T C C EBF1_EBF_1 -6 0 + 0 0 . chr7 130989394 130989395 chr7:130989395:T:C rs17165346 T C T EBF1_EBF_1 16 0 - 0 0 . chr7 131023236 131023237 chr7:131023237:A:G rs10233850 A G A EBF1_EBF_1 6 1 + 5.875039317338909 3.7082448860644335 GTTCCCACGAGATC chr7 131025106 131025107 chr7:131025107:A:G rs7783783 A G G EBF1_EBF_1 -8 0 - 0 0 . chr7 131055575 131055576 chr7:131055576:G:C rs10216073 G C G EBF1_EBF_1 -15 0 + 0 0 . chr7 131055602 131055603 chr7:131055603:C:G rs10216071 C G G EBF1_EBF_1 12 1 + 7.412603886020668 6.023967942342517 TATCCCCAGAGACA chr7 131072169 131072170 chr7:131072170:C:G rs6946619 C G C EBF1_EBF_1 15 0 - 0 0 . chr7 131075558 131075559 chr7:131075559:G:T rs66871626 G T G EBF1_EBF_1 -11 0 + 0 0 . chr7 131106117 131106118 chr7:131106118:G:T rs553064638 G T - EBF1_EBF_1 29 0 - 0 0 . chr7 131106345 131106346 chr7:131106346:C:T rs185718210 C T C EBF1_EBF_1 6 1 + 6.097124649438066 6.398410778299824 ACTCCCCGGCGACC chr7 131106349 131106350 chr7:131106350:G:A rs993128038 G A G EBF1_EBF_1 10 1 + 6.097124649438066 0.7790961901711 ACTCCCCGGCGACC chr7 131121560 131121561 chr7:131121561:C:T rs7798555 C T C EBF1_EBF_1 10 1 - 5.45648266093348 0.13845420166651357 CGCCCCCAGGGGTT chr7 131134678 131134679 chr7:131134679:T:C rs6467352 T C C EBF1_EBF_1 -4 0 + 0 0 . chr7 131134685 131134686 chr7:131134686:C:T rs10227571 C T C EBF1_EBF_1 3 1 + 4.968669315549716 -1.436729426003602 ATCCCCCTTGGACT chr7 131134699 131134700 chr7:131134700:T:G rs12706962 T G T EBF1_EBF_1 17 0 + 0 0 . chr7 131136969 131136970 chr7:131136970:T:C rs58442521 T C T EBF1_EBF_1 -10 0 - 0 0 . chr7 131190920 131190921 chr7:131190921:T:A rs35512611 T A A EBF1_EBF_1 -17 0 + 0 0 . chr7 131207163 131207164 chr7:131207164:G:T rs6467367 G T T EBF1_EBF_1 -4 0 + 0 0 . chr7 131272496 131272497 chr7:131272497:A:G rs6943595 A G G EBF1_EBF_1 -14 0 + 0 0 . chr7 131285233 131285234 chr7:131285234:T:C rs59351397 T C T EBF1_EBF_1 29 0 - 0 0 . chr7 131327638 131327639 chr7:131327639:G:A rs189624541 G A G EBF1_EBF_1 28 0 - 0 0 . chr7 131507994 131507995 chr7:131507995:C:G rs34232088 C G C EBF1_EBF_1 21 0 - 0 0 . chr7 131509495 131509496 chr7:131509496:G:C rs35893129 G C G EBF1_EBF_1 20 0 - 0 0 . chr7 131529315 131529316 chr7:131529316:G:A rs73722855 G A G EBF1_EBF_1 7 1 + 5.031458497439513 6.343916902728338 CTCCCCCGGGGGCC chr7 131535732 131535733 chr7:131535733:G:C rs117871875 G C G EBF1_EBF_1 -7 0 + 0 0 . chr7 131540930 131540931 chr7:131540931:C:T rs116935073 C T C EBF1_EBF_1 5 1 + 7.849982412924628 2.5281951973839583 ATTTCCCAGGGAAT chr7 131549674 131549675 chr7:131549675:T:C rs6467376 T C C EBF1_EBF_1 27 0 + 0 0 . chr7 131584396 131584397 chr7:131584397:T:G rs12666101 T G T EBF1_EBF_1 -7 0 - 0 0 . chr7 131627584 131627585 chr7:131627585:G:A rs7793095 G A G EBF1_EBF_1 -14 0 + 0 0 . chr7 131655034 131655035 chr7:131655035:G:A chr7:131655035:G:A G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 131666259 131666260 chr7:131666260:G:C rs11767902 G C G EBF1_EBF_1 33 0 + 0 0 . chr7 131689366 131689367 chr7:131689367:G:T rs112179395 G T G EBF1_EBF_1 22 0 - 0 0 . chr7 131689375 131689376 chr7:131689376:T:C rs756494318 T C T EBF1_EBF_1 13 1 - 6.3633274659954555 5.249001893926017 GTCCCCCAGGGGAA chr7 131689405 131689406 chr7:131689406:T:C rs10954323 T C C EBF1_EBF_1 -17 0 - 0 0 . chr7 131689501 131689502 chr7:131689502:C:G rs560440609 C G C EBF1_EBF_1 -2 0 - 0 0 . chr7 131727394 131727395 chr7:131727395:G:C rs10277640 G C G EBF1_EBF_1 -8 0 - 0 0 . chr7 131816680 131816681 chr7:131816681:A:G rs145092435 A G A EBF1_EBF_1 -2 0 - 0 0 . chr7 131830948 131830949 chr7:131830949:G:A rs10954339 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 131843860 131843861 chr7:131843861:C:T rs74425008 C T C EBF1_EBF_1 16 0 - 0 0 . chr7 131857472 131857473 chr7:131857473:G:A rs1425085 G A G EBF1_EBF_1 -10 0 + 0 0 . chr7 131864827 131864828 chr7:131864828:C:A rs73725641 C A C EBF1_EBF_1 0 1 - 4.788390689976981 4.707618136575792 GCTCCCCAGGGCGC chr7 131881773 131881774 chr7:131881774:G:T rs566038130 G T G EBF1_EBF_1 12 1 + 5.723185201038435 6.085554574165736 ATTCCCAGGGAAGC chr7 131898476 131898477 chr7:131898477:A:T rs4141859 A T T EBF1_EBF_1 18 0 + 0 0 . chr7 131940846 131940847 chr7:131940847:G:A rs4731831 G A G EBF1_EBF_1 -14 0 - 0 0 . chr7 131943224 131943225 chr7:131943225:G:C rs17801630 G C G EBF1_EBF_1 1 1 - 5.712180841741059 5.901952496310277 CCCCCCATGGGATG chr7 131945639 131945640 chr7:131945640:C:G rs7384046 C G G EBF1_EBF_1 14 0 + 0 0 . chr7 132004647 132004648 chr7:132004648:C:T rs151235982 C T C EBF1_EBF_1 16 0 - 0 0 . chr7 132045766 132045767 chr7:132045767:A:C rs879404 A C C EBF1_EBF_1 23 0 + 0 0 . chr7 132081024 132081025 chr7:132081025:C:A rs79684840 C A C EBF1_EBF_1 12 1 - 4.615087684811342 4.9774570579386435 CCTCCCCAAGGAGC chr7 132088847 132088848 chr7:132088848:T:A rs10275934 T A T EBF1_EBF_1 23 0 + 0 0 . chr7 132113879 132113880 chr7:132113880:G:A rs372747830 G A G EBF1_EBF_1 30 0 + 0 0 . chr7 132114330 132114331 chr7:132114331:T:G rs281914 T G G EBF1_EBF_1 -13 0 - 0 0 . chr7 132150098 132150099 chr7:132150099:C:T rs2598202 C T C EBF1_EBF_1 26 0 - 0 0 . chr7 132161239 132161240 chr7:132161240:T:C rs111697653 T C T EBF1_EBF_1 -13 0 - 0 0 . chr7 132161270 132161271 chr7:132161271:G:A rs11761595 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 132169732 132169733 chr7:132169733:A:G rs7782869 A G G EBF1_EBF_1 -5 0 - 0 0 . chr7 132175701 132175702 chr7:132175702:T:G rs62469711 T G T EBF1_EBF_1 -10 0 + 0 0 . chr7 132184156 132184157 chr7:132184157:C:A rs12536984 C A C EBF1_EBF_1 -11 0 + 0 0 . chr7 132190546 132190547 chr7:132190547:T:C rs58811609 T C T EBF1_EBF_1 -9 0 + 0 0 . chr7 132194502 132194503 chr7:132194503:T:C rs10954367 T C T EBF1_EBF_1 6 1 + 6.379696038606052 6.078409909744294 AACCCTTAGGGAAT chr7 132200643 132200644 chr7:132200644:G:A rs1020509 G A G EBF1_EBF_1 19 0 + 0 0 . chr7 132213795 132213796 chr7:132213796:G:C rs55674541 G C C EBF1_EBF_1 27 0 - 0 0 . chr7 132254993 132254994 chr7:132254994:G:T rs6966281 G T T EBF1_EBF_1 3 1 - 6.084652313405287 -0.8215217160605193 CCCCCCCTGAGAAT chr7 132264931 132264932 chr7:132264932:A:G rs67774966 A G A EBF1_EBF_1 -16 0 + 0 0 . chr7 132310673 132310674 chr7:132310674:C:T rs12155262 C T C EBF1_EBF_1 17 0 + 0 0 . chr7 132321670 132321671 chr7:132321671:G:A rs78884803 G A G EBF1_EBF_1 6 1 + 7.294824526151423 9.461618957425896 AGCCCCGGGGGACA chr7 132321688 132321689 chr7:132321689:T:C rs187235560 T C T EBF1_EBF_1 24 0 + 0 0 . chr7 132333771 132333772 chr7:132333772:G:A rs62466381 G A G EBF1_EBF_1 10 1 + 7.389135269477052 2.0711068102100856 CTTCCCAAGGGTCC chr7 132345676 132345677 chr7:132345677:T:A rs73723796 T A T EBF1_EBF_1 26 0 - 0 0 . chr7 132354004 132354005 chr7:132354005:A:G rs78523665 A G A EBF1_EBF_1 -18 0 + 0 0 . chr7 132354057 132354058 chr7:132354058:C:T rs77262067 C T C EBF1_EBF_1 -13 0 + 0 0 . chr7 132354080 132354081 chr7:132354081:G:T rs10248238 G T G EBF1_EBF_1 10 1 + 6.122469289282775 1.93971710305551 TCCCCCAAGGGGAC chr7 132354094 132354095 chr7:132354095:C:A rs74448271 C A C EBF1_EBF_1 24 0 + 0 0 . chr7 132361085 132361086 chr7:132361086:A:G rs62466387 A G A EBF1_EBF_1 8 1 + 7.743651183473758 11.969941272360018 CTTCCCCAAGGACT chr7 132380126 132380127 chr7:132380127:C:A rs10269601 C A A EBF1_EBF_1 -9 0 + 0 0 . chr7 132384967 132384968 chr7:132384968:T:G rs4731867 T G T EBF1_EBF_1 7 1 + 6.120438737264506 5.284254572063238 TTACCCATGGGACG chr7 132394267 132394268 chr7:132394268:G:A rs75793064 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 132403680 132403681 chr7:132403681:T:G rs73726039 T G T EBF1_EBF_1 14 0 + 0 0 . chr7 132423063 132423064 chr7:132423064:G:T chr7:132423064:G:T G T G EBF1_EBF_1 -1 0 - 0 0 . chr7 132428480 132428481 chr7:132428481:T:C rs277473 T C C EBF1_EBF_1 22 0 + 0 0 . chr7 132446722 132446723 chr7:132446723:C:T rs1346170 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 132486462 132486463 chr7:132486463:A:G rs10264010 A G A EBF1_EBF_1 -5 0 + 0 0 . chr7 132503440 132503441 chr7:132503441:G:A rs11768960 G A G EBF1_EBF_1 -13 0 - 0 0 . chr7 132547986 132547987 chr7:132547987:C:T rs116081177 C T C EBF1_EBF_1 -8 0 - 0 0 . chr7 132577113 132577114 chr7:132577114:G:A rs577858782 G A g EBF1_EBF_1 -15 0 - 0 0 . chr7 132644486 132644487 chr7:132644487:A:G rs6965827 A G A EBF1_EBF_1 0 1 + 4.191755104759036 2.299471235194201 ACTCCACAGGGAGC chr7 132700508 132700509 chr7:132700509:G:A rs115370351 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 132701591 132701592 chr7:132701592:A:G rs672879 A G G EBF1_EBF_1 -8 0 + 0 0 . chr7 132710405 132710406 chr7:132710406:G:A rs114221367 G A G EBF1_EBF_1 17 0 - 0 0 . chr7 132723041 132723042 chr7:132723042:A:G rs673255 A G G EBF1_EBF_1 -5 0 - 0 0 . chr7 132758107 132758108 chr7:132758108:A:T rs11770100 A T A EBF1_EBF_1 -15 0 - 0 0 . chr7 132767666 132767667 chr7:132767667:A:G rs6964701 A G A EBF1_EBF_1 16 0 - 0 0 . chr7 132859735 132859736 chr7:132859736:G:C rs4731915 G C G EBF1_EBF_1 -18 0 - 0 0 . chr7 132990680 132990681 chr7:132990681:C:T rs1421306 C T T EBF1_EBF_1 5 1 + 5.570946513566913 0.24915929802624232 TTTCACAAGGGACA chr7 133117851 133117852 chr7:133117852:C:G rs144936939 C G C EBF1_EBF_1 -9 0 - 0 0 . chr7 133117852 133117853 chr7:133117853:T:G rs147944565 T G T EBF1_EBF_1 -10 0 - 0 0 . chr7 133253160 133253161 chr7:133253161:C:T rs73436933 C T C EBF1_EBF_1 8 1 - 5.062054966571364 0.8357648776851021 AGCCCCGAGGGGTC chr7 133253338 133253339 chr7:133253339:G:A rs41274182 G A G EBF1_EBF_1 10 1 + 5.814052019523365 0.49602356025639865 CCTCCCCAGGGCCC chr7 133253355 133253356 chr7:133253356:T:C rs7790835 T C C EBF1_EBF_1 27 0 + 0 0 . chr7 133254730 133254731 chr7:133254731:C:T rs73165665 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 133330395 133330396 chr7:133330396:G:A rs1685573 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 133392130 133392131 chr7:133392131:T:C rs11562037 T C T EBF1_EBF_1 30 0 + 0 0 . chr7 133578767 133578768 chr7:133578768:C:G rs7787434 C G C EBF1_EBF_1 -20 0 - 0 0 . chr7 133583399 133583400 chr7:133583400:C:T rs10235995 C T C EBF1_EBF_1 -18 0 + 0 0 . chr7 133781692 133781693 chr7:133781693:C:T rs73152983 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 133854332 133854333 chr7:133854333:C:T rs76462497 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 133904632 133904633 chr7:133904633:A:G rs116871583 A G A EBF1_EBF_1 -19 0 - 0 0 . chr7 133945939 133945940 chr7:133945940:G:A rs61286514 G A A EBF1_EBF_1 -6 0 - 0 0 . chr7 133948591 133948592 chr7:133948592:T:C rs6955700 T C C EBF1_EBF_1 -14 0 + 0 0 . chr7 133955016 133955017 chr7:133955017:G:A rs2971962 G A G EBF1_EBF_1 -20 0 + 0 0 . chr7 133955632 133955633 chr7:133955633:C:T rs2953634 C T C EBF1_EBF_1 12 1 + 3.9884291290322067 2.962162558481357 CTTCACCGGGGACC chr7 134085853 134085854 chr7:134085854:G:T rs12668057 G T G EBF1_EBF_1 16 0 - 0 0 . chr7 134085867 134085868 chr7:134085868:G:A rs62462533 G A G EBF1_EBF_1 2 1 - 4.3476323090299 6.236455720472049 AGCCCCCTGTGAAT chr7 134154830 134154831 chr7:134154831:A:C rs6964460 A C C EBF1_EBF_1 -5 0 + 0 0 . chr7 134176206 134176207 chr7:134176207:C:T rs118127016 C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 134176207 134176208 chr7:134176208:A:G rs6467520 A G G EBF1_EBF_1 0 1 + 5.113598194178285 3.2213143246134512 ATACCCTGGGGCCT chr7 134338659 134338660 chr7:134338660:A:G rs1862045 A G A EBF1_EBF_1 19 0 - 0 0 . chr7 134363865 134363866 chr7:134363866:G:A rs1646706 G A G EBF1_EBF_1 2 1 - 4.811197341384955 6.700020752827105 AACCCCTGGAGGCT chr7 134397777 134397778 chr7:134397778:C:A rs878441 C A C EBF1_EBF_1 -13 0 + 0 0 . chr7 134418887 134418888 chr7:134418888:A:G rs3800757 A G A EBF1_EBF_1 -6 0 - 0 0 . chr7 134422346 134422347 chr7:134422347:A:G rs706154 A G A EBF1_EBF_1 -8 0 - 0 0 . chr7 134422645 134422646 chr7:134422646:A:G rs10239752 A G G EBF1_EBF_1 28 0 - 0 0 . chr7 134458482 134458483 chr7:134458483:G:A rs753421963 G A G EBF1_EBF_1 0 1 - 5.86722686506927 6.149428925799848 CCTCCCTGAGGAAT chr7 134474144 134474145 chr7:134474145:T:A rs530516002 T A T EBF1_EBF_1 -5 0 + 0 0 . chr7 134510937 134510938 chr7:134510938:T:C rs782513 T C C EBF1_EBF_1 18 0 + 0 0 . chr7 134515983 134515984 chr7:134515984:C:T rs114917337 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 134517818 134517819 chr7:134517819:T:C rs7809138 T C T EBF1_EBF_1 -6 0 + 0 0 . chr7 134589840 134589841 chr7:134589841:A:G rs6965986 A G G EBF1_EBF_1 -13 0 - 0 0 . chr7 134601623 134601624 chr7:134601624:G:A rs12674413 G A A EBF1_EBF_1 16 0 - 0 0 . chr7 134691414 134691415 chr7:134691415:T:A rs12673554 T A T EBF1_EBF_1 -11 0 - 0 0 . chr7 134779411 134779412 chr7:134779412:T:C rs529265953 T C T EBF1_EBF_1 -19 0 + 0 0 . chr7 134836724 134836725 chr7:134836725:C:T rs116302526 C T A EBF1_EBF_1 33 0 + 0 0 . chr7 134839511 134839512 chr7:134839512:A:G rs56309315 A G A EBF1_EBF_1 -7 0 - 0 0 . chr7 134842843 134842844 chr7:134842844:G:C rs1008662 G C g EBF1_EBF_1 5 1 - 5.237674551432481 -1.6672066012026876 AACCCCCAGGAAAC chr7 135023923 135023924 chr7:135023924:A:C rs4366014 A C A EBF1_EBF_1 6 1 + 7.994417136475387 7.63281476867411 AACCCCAAGGGGCC chr7 135122045 135122046 chr7:135122046:G:A rs4732099 G A G EBF1_EBF_1 -18 0 - 0 0 . chr7 135150463 135150464 chr7:135150464:T:C rs73725240 T C T EBF1_EBF_1 -2 0 + 0 0 . chr7 135165972 135165973 chr7:135165973:G:A rs6585 G A G EBF1_EBF_1 9 1 + 3.452765478103479 0.7978262126558753 GCTGCCCGGGGACC chr7 135169135 135169136 chr7:135169136:G:A rs11761021 G A G EBF1_EBF_1 -15 0 + 0 0 . chr7 135170322 135170323 chr7:135170323:G:A rs931254533 G A G EBF1_EBF_1 6 1 + 4.825146923212535 6.99194135448701 GGCCCCGCGGGAAC chr7 135193182 135193183 chr7:135193183:A:G rs292573 A G G EBF1_EBF_1 -1 0 - 0 0 . chr7 135209521 135209522 chr7:135209522:G:C rs182823401 G C G EBF1_EBF_1 32 0 + 0 0 . chr7 135262747 135262748 chr7:135262748:A:G rs292644 A G G EBF1_EBF_1 -14 0 + 0 0 . chr7 135283334 135283335 chr7:135283335:G:C rs78877756 G C G EBF1_EBF_1 29 0 + 0 0 . chr7 135312954 135312955 chr7:135312955:T:C rs35398463 T C T EBF1_EBF_1 -15 0 - 0 0 . chr7 135335395 135335396 chr7:135335396:T:A rs11770691 T A T EBF1_EBF_1 -5 0 + 0 0 . chr7 135355524 135355525 chr7:135355525:A:C rs10263235 A C A EBF1_EBF_1 -4 0 + 0 0 . chr7 135510190 135510191 chr7:135510191:G:A rs114422371 G A G EBF1_EBF_1 28 0 - 0 0 . chr7 135559961 135559962 chr7:135559962:G:A rs6467594 G A G EBF1_EBF_1 -16 0 + 0 0 . chr7 135659807 135659808 chr7:135659808:C:A rs77037991 C A C EBF1_EBF_1 23 0 + 0 0 . chr7 135677717 135677718 chr7:135677718:C:T rs7811193 C T C EBF1_EBF_1 4 1 + 6.793360992844764 2.423974047899839 ACTCCCTAGATACT chr7 135679777 135679778 chr7:135679778:G:A rs3110789 G A g EBF1_EBF_1 18 0 - 0 0 . chr7 135707452 135707453 chr7:135707453:C:T rs4548120 C T C EBF1_EBF_1 -7 0 + 0 0 . chr7 135711282 135711283 chr7:135711283:A:G rs3112356 A G G EBF1_EBF_1 7 1 + 12.252143333090595 10.93968492780177 TTTCCCCAGGGACT chr7 135716667 135716668 chr7:135716668:C:T rs116041608 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 135717899 135717900 chr7:135717900:A:G rs3110811 A G A EBF1_EBF_1 -13 0 + 0 0 . chr7 135744543 135744544 chr7:135744544:C:T rs567366704 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 135755375 135755376 chr7:135755376:C:T rs35711732 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 135755391 135755392 chr7:135755392:T:C rs180992978 T C T EBF1_EBF_1 2 1 + 5.238871527901101 3.350048116458951 AGTCCCCAGGTTCT chr7 135755415 135755416 chr7:135755416:G:A rs118059750 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 135792063 135792064 chr7:135792064:C:T rs6952670 C T C EBF1_EBF_1 -6 0 - 0 0 . chr7 135817894 135817895 chr7:135817895:C:T rs75809063 C T C EBF1_EBF_1 7 1 + 5.196297178330283 7.013801924612907 GGACCCACGGGAAC chr7 135834498 135834499 chr7:135834499:T:C rs4427109 T C C EBF1_EBF_1 6 1 - 11.385520307126919 9.218725875852446 ATCCCCAGGGGACT chr7 135879204 135879205 chr7:135879205:T:C rs751965 T C C EBF1_EBF_1 16 0 + 0 0 . chr7 135977532 135977533 chr7:135977533:A:C rs3823575 A C A EBF1_EBF_1 27 0 - 0 0 . chr7 135977536 135977537 chr7:135977537:A:C rs187842550 A C T EBF1_EBF_1 23 0 - 0 0 . chr7 136034199 136034200 chr7:136034200:A:C rs1290723 A C C EBF1_EBF_1 32 0 + 0 0 . chr7 136115136 136115137 chr7:136115137:C:T rs2087240 C T c EBF1_EBF_1 -4 0 - 0 0 . chr7 136115269 136115270 chr7:136115270:G:A rs2087241 G A G EBF1_EBF_1 8 1 + 7.870288063007124 3.6439979741208646 ACACCCGAGGGAAT chr7 136115293 136115294 chr7:136115294:C:T rs143990417 C T C EBF1_EBF_1 32 0 + 0 0 . chr7 136116374 136116375 chr7:136116375:T:C rs13312605 T C T EBF1_EBF_1 11 1 - 5.782663664524557 2.8899568209205455 ACTCCCACAGGAGT chr7 136116387 136116388 chr7:136116388:G:C rs1488006 G C G EBF1_EBF_1 -2 0 - 0 0 . chr7 136128285 136128286 chr7:136128286:G:A rs6951864 G A G EBF1_EBF_1 33 0 + 0 0 . chr7 136199689 136199690 chr7:136199690:C:G rs146906872 C G C EBF1_EBF_1 33 0 - 0 0 . chr7 136533973 136533974 chr7:136533974:G:A rs115951625 G A G EBF1_EBF_1 6 1 - 9.736811214096349 10.038097342958105 CTTCCCCAGGGAGC chr7 136846923 136846924 chr7:136846924:C:G rs1424558 C G G EBF1_EBF_1 21 0 - 0 0 . chr7 136870200 136870201 chr7:136870201:C:G rs12536964 C G C EBF1_EBF_1 22 0 - 0 0 . chr7 136871274 136871275 chr7:136871275:C:T rs534172552 C T C EBF1_EBF_1 -11 0 - 0 0 . chr7 136873108 136873109 chr7:136873109:G:T rs17487790 G T T EBF1_EBF_1 8 1 + 10.039578988845458 3.148146199868264 GTTCCCAAGAGACT chr7 136938823 136938824 chr7:136938824:G:T rs1457037687 G T G EBF1_EBF_1 19 0 - 0 0 . chr7 137015637 137015638 chr7:137015638:A:G rs142006633 A G A EBF1_EBF_1 -19 0 + 0 0 . chr7 137027407 137027408 chr7:137027408:C:T rs11971309 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 137103541 137103542 chr7:137103542:C:G rs112393014 C G C EBF1_EBF_1 -5 0 + 0 0 . chr7 137151694 137151695 chr7:137151695:C:T rs1647752 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 137335661 137335662 chr7:137335662:C:G rs78469211 C G C EBF1_EBF_1 30 0 + 0 0 . chr7 137405722 137405723 chr7:137405723:T:C rs4728409 T C T EBF1_EBF_1 -2 0 + 0 0 . chr7 137424500 137424501 chr7:137424501:G:A rs62487733 G A G EBF1_EBF_1 -7 0 + 0 0 . chr7 137488110 137488111 chr7:137488111:C:A rs7807425 C A A EBF1_EBF_1 -5 0 - 0 0 . chr7 137668217 137668218 chr7:137668218:G:A rs140765357 G A G EBF1_EBF_1 2 1 - 8.793845365373835 10.682668776815984 TTCCCCTAGGGATC chr7 137760472 137760473 chr7:137760473:G:A rs28398841 G A G EBF1_EBF_1 3 1 - 5.826530963623631 -0.5788677779296862 ATCCCTCAGGGAAA chr7 137812124 137812125 chr7:137812125:G:A rs6966474 G A A EBF1_EBF_1 8 1 + 6.790478630144954 2.5641885412586936 ATTCCCTCGGGCTT chr7 137820953 137820954 chr7:137820954:T:G rs141137359 T G T EBF1_EBF_1 7 1 + 6.861032633684185 6.024848468482919 CCTCCCCTGGGGCC chr7 137820973 137820974 chr7:137820974:G:A rs2551366 G A A EBF1_EBF_1 27 0 + 0 0 . chr7 137820979 137820980 chr7:137820980:C:T rs117344277 C T C EBF1_EBF_1 33 0 + 0 0 . chr7 137844966 137844967 chr7:137844967:T:C rs76416374 T C T EBF1_EBF_1 24 0 + 0 0 . chr7 137885619 137885620 chr7:137885620:A:G rs1342184855 A G A EBF1_EBF_1 6 1 + 10.774077362714722 8.607282931440249 AGCCCCAAGGGACA chr7 137899542 137899543 chr7:137899543:G:T rs117960839 G T G EBF1_EBF_1 -11 0 + 0 0 . chr7 137899578 137899579 chr7:137899579:T:C rs77328161 T C T EBF1_EBF_1 25 0 + 0 0 . chr7 137933102 137933103 chr7:137933103:A:G chr7:137933103:A:G A G A EBF1_EBF_1 -1 0 + 0 0 . chr7 137943363 137943364 chr7:137943364:G:A rs756488030 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 137962515 137962516 chr7:137962516:T:C rs274009 T C C EBF1_EBF_1 -1 0 + 0 0 . chr7 137986393 137986394 chr7:137986394:G:A rs113892105 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 138145265 138145266 chr7:138145266:A:C rs17194611 A C A EBF1_EBF_1 33 0 + 0 0 . chr7 138329742 138329743 chr7:138329743:C:G rs357454 C G C EBF1_EBF_1 -14 0 - 0 0 . chr7 138341421 138341422 chr7:138341422:G:T rs357433 G T T EBF1_EBF_1 -17 0 - 0 0 . chr7 138361049 138361050 chr7:138361050:G:A rs79872067 G A G EBF1_EBF_1 -15 0 + 0 0 . chr7 138425122 138425123 chr7:138425123:A:G rs6947999 A G G EBF1_EBF_1 13 1 + 6.111979017107112 4.997653445037673 ACTCACTTGGGACA chr7 138593677 138593678 chr7:138593678:C:A rs78948225 C A C EBF1_EBF_1 16 0 - 0 0 . chr7 138618920 138618921 chr7:138618921:A:C rs79877108 A C C EBF1_EBF_1 -5 0 + 0 0 . chr7 138618924 138618925 chr7:138618925:C:T rs113520440 C T C EBF1_EBF_1 -1 0 + 0 0 . chr7 138627376 138627377 chr7:138627377:A:C rs2305816 A C A EBF1_EBF_1 -15 0 + 0 0 . chr7 138739228 138739229 chr7:138739229:C:T rs3734940 C T T EBF1_EBF_1 -14 0 + 0 0 . chr7 138838896 138838897 chr7:138838897:T:G rs11973462 T G G EBF1_EBF_1 31 0 - 0 0 . chr7 138851863 138851864 chr7:138851864:G:A rs4728453 G A G EBF1_EBF_1 18 0 - 0 0 . chr7 138875800 138875801 chr7:138875801:T:A rs117751759 T A T EBF1_EBF_1 -5 0 + 0 0 . chr7 138877073 138877074 chr7:138877074:A:C rs74959567 A C A EBF1_EBF_1 18 0 - 0 0 . chr7 138935019 138935020 chr7:138935020:G:T rs79774142 G T T EBF1_EBF_1 0 1 + 4.393203198464292 4.312430645063103 GCCCCCCGGAGAAA chr7 138935026 138935027 chr7:138935027:G:A rs78860023 G A G EBF1_EBF_1 7 1 + 4.393203198464292 5.705661603753117 GCCCCCCGGAGAAA chr7 138981748 138981749 chr7:138981749:C:G rs542178502 C G C EBF1_EBF_1 3 1 + 4.371365725086901 -1.4563987230283157 GTTCCCCGGGGCGC chr7 138992491 138992492 chr7:138992492:A:G rs142309171 A G A EBF1_EBF_1 9 1 + 9.385660308986688 12.040599574434292 AATCCCCAGAGACA chr7 139094770 139094771 chr7:139094771:A:G rs4728460 A G A EBF1_EBF_1 9 1 + 5.979187186184325 8.634126451631928 ACACCCTGGAGACT chr7 139133997 139133998 chr7:139133998:G:A rs7789074 G A G EBF1_EBF_1 2 1 - 6.372107461524753 8.260930872966902 TCCCCCGTGGGAAC chr7 139135677 139135678 chr7:139135678:T:A rs139852058 T A T EBF1_EBF_1 -7 0 + 0 0 . chr7 139231661 139231662 chr7:139231662:C:G rs943981627 C G C EBF1_EBF_1 -9 0 - 0 0 . chr7 139252670 139252671 chr7:139252671:C:T rs116388934 C T C EBF1_EBF_1 26 0 - 0 0 . chr7 139340921 139340922 chr7:139340922:T:G rs752142436 T G T EBF1_EBF_1 24 0 - 0 0 . chr7 139340945 139340946 chr7:139340946:T:C rs1201703858 T C T EBF1_EBF_1 0 1 - 11.46348898623272 9.571205116667889 AATCCCAGGGGACC chr7 139359869 139359870 chr7:139359870:C:T rs1016252264 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 139359884 139359885 chr7:139359885:A:G rs142645032 A G A EBF1_EBF_1 29 0 + 0 0 . chr7 139360325 139360326 chr7:139360326:A:G rs1316002440 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 139367763 139367764 chr7:139367764:A:T rs10229249 A T T EBF1_EBF_1 20 0 + 0 0 . chr7 139385776 139385777 chr7:139385777:A:G rs6467843 A G a EBF1_EBF_1 -10 0 + 0 0 . chr7 139434857 139434858 chr7:139434858:G:A rs6954654 G A A EBF1_EBF_1 -2 0 - 0 0 . chr7 139454203 139454204 chr7:139454204:C:G rs17160911 C G C EBF1_EBF_1 20 0 - 0 0 . chr7 139471705 139471706 chr7:139471706:C:T rs73733099 C T C EBF1_EBF_1 9 1 - 10.826807741139875 8.171868475692273 AACCCCTAGGGACC chr7 139502282 139502283 chr7:139502283:C:G rs2718232 C G C EBF1_EBF_1 29 0 - 0 0 . chr7 139559697 139559698 chr7:139559698:C:G rs940544 C G C EBF1_EBF_1 19 0 - 0 0 . chr7 139566706 139566707 chr7:139566707:G:A rs6959392 G A G EBF1_EBF_1 16 0 + 0 0 . chr7 139568782 139568783 chr7:139568783:G:A rs73485515 G A G EBF1_EBF_1 30 0 - 0 0 . chr7 139568805 139568806 chr7:139568806:T:C rs28488198 T C C EBF1_EBF_1 7 1 - 7.034993923619008 5.722535518330181 AGCCCCAAGAGAAG chr7 139581372 139581373 chr7:139581373:C:A rs60585115 C A A EBF1_EBF_1 7 1 - 5.525135161267734 6.361319326469002 GAACCCCGGGGACC chr7 139591006 139591007 chr7:139591007:G:A rs75442834 G A G EBF1_EBF_1 5 1 - 6.116157804855649 0.7943705893149797 ATTCCCCAGGCACA chr7 139616739 139616740 chr7:139616740:G:T rs17161054 G T G EBF1_EBF_1 28 0 - 0 0 . chr7 139648563 139648564 chr7:139648564:T:C rs74883026 T C T EBF1_EBF_1 21 0 + 0 0 . chr7 139649435 139649436 chr7:139649436:A:C rs6969911 A C A EBF1_EBF_1 -1 0 - 0 0 . chr7 139707201 139707202 chr7:139707202:T:C rs36144291 T C C EBF1_EBF_1 26 0 + 0 0 . chr7 139768413 139768414 chr7:139768414:A:C rs4725579 A C A EBF1_EBF_1 28 0 - 0 0 . chr7 139768723 139768724 chr7:139768724:G:A rs115332183 G A G EBF1_EBF_1 16 0 - 0 0 . chr7 139821662 139821663 chr7:139821663:C:T rs17161157 C T C EBF1_EBF_1 3 1 + 7.237359503502173 0.8319607619488552 TTCCCCGTGGGACC chr7 139884461 139884462 chr7:139884462:C:T rs2299889 C T T EBF1_EBF_1 1 1 - 4.779222975565392 4.51852414364409 AGCTCCCTGGGAAT chr7 139894168 139894169 chr7:139894169:G:A rs56763698 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 139894204 139894205 chr7:139894205:C:T rs147318837 C T C EBF1_EBF_1 -17 0 - 0 0 . chr7 139894205 139894206 chr7:139894206:G:A rs8192820 G A G EBF1_EBF_1 -18 0 - 0 0 . chr7 139913272 139913273 chr7:139913273:A:G rs6464448 A G A EBF1_EBF_1 23 0 + 0 0 . chr7 139941202 139941203 chr7:139941203:C:A rs146190392 C A C EBF1_EBF_1 30 0 - 0 0 . chr7 139966531 139966532 chr7:139966532:T:C rs41720 T C C EBF1_EBF_1 2 1 + 7.507759872481395 5.6189364610392465 CTTCCCTAGGGGTC chr7 139976774 139976775 chr7:139976775:G:C rs11772680 G C G EBF1_EBF_1 -8 0 + 0 0 . chr7 139987451 139987452 chr7:139987452:T:C rs2108033 T C T EBF1_EBF_1 12 1 - 7.349588489515298 5.930771147415821 CACCCCAGGGGAAC chr7 139988204 139988205 chr7:139988205:G:A rs4726499 G A A EBF1_EBF_1 -4 0 - 0 0 . chr7 139992681 139992682 chr7:139992682:C:T rs2267704 C T C EBF1_EBF_1 0 1 - 4.296202420816867 6.188486290381701 GGCCCCCAGGGCTT chr7 139996448 139996449 chr7:139996449:T:C rs2267705 T C C EBF1_EBF_1 31 0 + 0 0 . chr7 140007339 140007340 chr7:140007340:A:G rs740204 A G A EBF1_EBF_1 18 0 + 0 0 . chr7 140057911 140057912 chr7:140057912:C:A rs61729681 C A C EBF1_EBF_1 30 0 + 0 0 . chr7 140085223 140085224 chr7:140085224:C:A rs10984 C A A EBF1_EBF_1 -13 0 + 0 0 . chr7 140147916 140147917 chr7:140147917:C:T rs147241058 C T C EBF1_EBF_1 -14 0 + 0 0 . chr7 140156575 140156576 chr7:140156576:T:C rs112213057 T C T EBF1_EBF_1 -2 0 - 0 0 . chr7 140331208 140331209 chr7:140331209:A:G rs10085561 A G A EBF1_EBF_1 -18 0 + 0 0 . chr7 140402550 140402551 chr7:140402551:G:A rs13246775 G A G EBF1_EBF_1 30 0 - 0 0 . chr7 140434408 140434409 chr7:140434409:T:G rs17161542 T G G EBF1_EBF_1 -5 0 - 0 0 . chr7 140518917 140518918 chr7:140518918:C:T rs1421334230 C T C EBF1_EBF_1 13 1 + 5.291442512313334 6.135936626898852 ATCCCCGTGGGGTC chr7 140533429 140533430 chr7:140533430:C:T rs548390484 C T C EBF1_EBF_1 -15 0 + 0 0 . chr7 140547258 140547259 chr7:140547259:C:T rs12540766 C T C EBF1_EBF_1 3 1 + 7.4502753073827535 1.0448765658294357 AACCCCAAGGGGGT chr7 140553641 140553642 chr7:140553642:C:A rs4289723 C A C EBF1_EBF_1 -19 0 + 0 0 . chr7 140558040 140558041 chr7:140558041:A:G rs10252031 A G G EBF1_EBF_1 23 0 - 0 0 . chr7 140573349 140573350 chr7:140573350:A:G rs625960 A G A EBF1_EBF_1 21 0 - 0 0 . chr7 140605150 140605151 chr7:140605151:C:A chr7:140605151:C:A C A C EBF1_EBF_1 19 0 + 0 0 . chr7 140605466 140605467 chr7:140605467:G:A rs7789086 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 140605673 140605674 chr7:140605674:G:A rs73736639 G A G EBF1_EBF_1 19 0 - 0 0 . chr7 140640223 140640224 chr7:140640224:C:T rs535958563 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 140641456 140641457 chr7:140641457:T:C rs747902152 T C T EBF1_EBF_1 14 0 + 0 0 . chr7 140667352 140667353 chr7:140667353:C:T rs10260676 C T T EBF1_EBF_1 -1 0 + 0 0 . chr7 140672577 140672578 chr7:140672578:C:A rs28517771 C A A EBF1_EBF_1 29 0 + 0 0 . chr7 140690855 140690856 chr7:140690856:G:A rs12375245 G A G EBF1_EBF_1 -8 0 - 0 0 . chr7 141073544 141073545 chr7:141073545:G:T chr7:141073545:G:T G T G EBF1_EBF_1 16 0 - 0 0 . chr7 141118976 141118977 chr7:141118977:C:T rs11982218 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 141162115 141162116 chr7:141162116:C:A rs4726358 C A C EBF1_EBF_1 3 1 + 5.708651546568371 -1.1975224828974356 GCTCCCCTAGGATT chr7 141218871 141218872 chr7:141218872:C:A rs6979775 C A C EBF1_EBF_1 -9 0 - 0 0 . chr7 141221862 141221863 chr7:141221863:G:C rs10253802 G C C EBF1_EBF_1 33 0 - 0 0 . chr7 141231590 141231591 chr7:141231591:C:T rs17488258 C T C EBF1_EBF_1 27 0 - 0 0 . chr7 141236351 141236352 chr7:141236352:G:A rs11762039 G A G EBF1_EBF_1 28 0 - 0 0 . chr7 141252482 141252483 chr7:141252483:G:A rs2159761 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 141359999 141360000 chr7:141360000:G:A rs149515231 G A G EBF1_EBF_1 28 0 + 0 0 . chr7 141419696 141419697 chr7:141419697:A:G rs17576909 A G A EBF1_EBF_1 -9 0 - 0 0 . chr7 141422967 141422968 chr7:141422968:G:A rs6971943 G A A EBF1_EBF_1 -13 0 - 0 0 . chr7 141436423 141436424 chr7:141436424:G:A rs1181742 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 141551767 141551768 chr7:141551768:C:T rs12703385 C T T EBF1_EBF_1 22 0 + 0 0 . chr7 141664415 141664416 chr7:141664416:T:C rs12538056 T C T EBF1_EBF_1 31 0 + 0 0 . chr7 141672276 141672277 chr7:141672277:T:C rs79422505 T C T EBF1_EBF_1 26 0 + 0 0 . chr7 141701690 141701691 chr7:141701691:G:C rs548820956 G C G EBF1_EBF_1 -4 0 + 0 0 . chr7 141738708 141738709 chr7:141738709:A:G rs140912469 A G A EBF1_EBF_1 16 0 - 0 0 . chr7 141790306 141790307 chr7:141790307:A:G rs2234012 A G G EBF1_EBF_1 13 1 - 5.318892898747982 4.474398784162464 GATCCCCTGGTAGT chr7 141915503 141915504 chr7:141915504:G:A rs117317551 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 142064246 142064247 chr7:142064247:C:G rs28645759 C G C EBF1_EBF_1 15 0 - 0 0 . chr7 142131860 142131861 chr7:142131861:A:G rs4473940 A G g EBF1_EBF_1 -17 0 - 0 0 . chr7 142151809 142151810 chr7:142151810:G:A rs10270056 G A G EBF1_EBF_1 -15 0 + 0 0 . chr7 142243466 142243467 chr7:142243467:A:G rs4587244 A G G EBF1_EBF_1 -1 0 + 0 0 . chr7 142249050 142249051 chr7:142249051:A:G rs2960763 A G G EBF1_EBF_1 15 0 - 0 0 . chr7 142250679 142250680 chr7:142250680:G:C rs6464478 G C G EBF1_EBF_1 5 1 - 4.3374389391213475 -2.567442213513821 GGCCCCCAGGTACA chr7 142279470 142279471 chr7:142279471:T:A rs10243629 T A T EBF1_EBF_1 -18 0 + 0 0 . chr7 142323850 142323851 chr7:142323851:G:T rs58194650 G T G EBF1_EBF_1 18 0 - 0 0 . chr7 142323872 142323873 chr7:142323873:A:G rs13241737 A G G EBF1_EBF_1 -4 0 - 0 0 . chr7 142328293 142328294 chr7:142328294:A:C rs361429 A C C EBF1_EBF_1 14 0 + 0 0 . chr7 142329005 142329006 chr7:142329006:T:C rs55874648 T C - EBF1_EBF_1 29 0 + 0 0 . chr7 142352913 142352914 chr7:142352914:G:T rs361380 G T G EBF1_EBF_1 -2 0 - 0 0 . chr7 142354037 142354038 chr7:142354038:G:A rs2015658 G A G EBF1_EBF_1 22 0 - 0 0 . chr7 142373451 142373452 chr7:142373452:G:C rs6965629 G C C EBF1_EBF_1 22 0 - 0 0 . chr7 142376118 142376119 chr7:142376119:C:T rs192143804 C T C EBF1_EBF_1 8 1 - 5.105832890726179 0.8795428018399181 CCTCCCCAGGGTTC chr7 142386116 142386117 chr7:142386117:G:A rs17301 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 142386229 142386230 chr7:142386230:C:T chr7:142386230:C:T C T C EBF1_EBF_1 0 1 - 6.613447579286394 8.505731448851227 GCTCCCAGGAGACA chr7 142409591 142409592 chr7:142409592:A:C rs6961143 A C A EBF1_EBF_1 31 0 + 0 0 . chr7 142426366 142426367 chr7:142426367:T:C rs6968533 T C t EBF1_EBF_1 31 0 - 0 0 . chr7 142455368 142455369 chr7:142455369:A:T rs1396424836 A T . EBF1_EBF_1 6 1 + 5.404703092752153 5.344386853812634 GTCCCCAAGGTACA chr7 142463179 142463180 chr7:142463180:C:G rs200076352 C G . EBF1_EBF_1 -17 0 - 0 0 . chr7 142477787 142477788 chr7:142477788:C:A rs372803036 C A . EBF1_EBF_1 12 1 + 7.892021402965851 7.922202801387177 AATCCCAGGGGCCT chr7 142478654 142478655 chr7:142478655:T:G rs2855966 T G . EBF1_EBF_1 11 1 - 9.83033293562475 5.414371237772362 ACTCCCCAGAGACC chr7 142478662 142478663 chr7:142478663:G:A rs111573955 G A . EBF1_EBF_1 3 1 - 9.83033293562475 3.424934194071433 ACTCCCCAGAGACC chr7 142496732 142496733 chr7:142496733:A:C rs113956304 A C . EBF1_EBF_1 -8 0 - 0 0 . chr7 142496735 142496736 chr7:142496736:A:T rs139114139 A T . EBF1_EBF_1 -11 0 - 0 0 . chr7 142508907 142508908 chr7:142508908:G:A rs4019333 G A A EBF1_EBF_1 4 1 - 5.880582305403519 1.5111953604585964 GCTCCCATGGGCTT chr7 142513274 142513275 chr7:142513275:T:C rs6975544 T C T EBF1_EBF_1 0 1 + 9.62738546606432 9.345183405333742 TTTCCCCAGAGAAT chr7 142513301 142513302 chr7:142513302:A:G rs6464500 A G a EBF1_EBF_1 27 0 + 0 0 . chr7 142535860 142535861 chr7:142535861:G:A rs17282 G A G EBF1_EBF_1 24 0 - 0 0 . chr7 142539865 142539866 chr7:142539866:C:T rs61260766 C T C EBF1_EBF_1 -5 0 - 0 0 . chr7 142549182 142549183 chr7:142549183:A:G rs118200969 A G A EBF1_EBF_1 29 0 - 0 0 . chr7 142549209 142549210 chr7:142549210:A:T rs2734196 A T A EBF1_EBF_1 2 1 - 7.273538475681528 3.5890708880826523 ATTCCCATGTGACT chr7 142566270 142566271 chr7:142566271:T:G rs6952486 T G T EBF1_EBF_1 29 0 + 0 0 . chr7 142567821 142567822 chr7:142567822:A:G rs7807678 A G G EBF1_EBF_1 -18 0 + 0 0 . chr7 142581591 142581592 chr7:142581592:A:G rs954790408 A G A EBF1_EBF_1 -16 0 - 0 0 . chr7 142602997 142602998 chr7:142602998:C:A rs6968260 C A C EBF1_EBF_1 9 1 - 4.242862770633485 -2.5941266374553242 GCTCCCCTGGGCAG chr7 142619092 142619093 chr7:142619093:C:T rs17260 C T C EBF1_EBF_1 10 1 - 6.613447579286394 1.2954191200194276 GCTCCCAGGAGACA chr7 142752699 142752700 chr7:142752700:A:G rs796762080 A G a EBF1_EBF_1 0 1 + 5.3799848000879 3.487700930523067 ACTCCCTTGGGCTG chr7 142758447 142758448 chr7:142758448:A:T rs1458800706 A T A EBF1_EBF_1 33 0 - 0 0 . chr7 142758455 142758456 chr7:142758456:G:A rs1157622058 G A G EBF1_EBF_1 25 0 - 0 0 . chr7 142761969 142761970 chr7:142761970:A:T rs369828800 A T A EBF1_EBF_1 25 0 - 0 0 . chr7 142762216 142762217 chr7:142762217:T:C rs4726580 T C T EBF1_EBF_1 27 0 - 0 0 . chr7 142791059 142791060 chr7:142791060:C:A rs118196040 C A C EBF1_EBF_1 -14 0 - 0 0 . chr7 142806101 142806102 chr7:142806102:A:G rs2226969 A G G EBF1_EBF_1 7 1 - 7.892963431434826 6.0754586851522 ACTCCCTTGAGAGA chr7 142809842 142809843 chr7:142809843:C:T rs55776209 C T c EBF1_EBF_1 31 0 - 0 0 . chr7 142809878 142809879 chr7:142809879:G:A rs59082036 G A g EBF1_EBF_1 -5 0 - 0 0 . chr7 142812488 142812489 chr7:142812489:C:T rs17270 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 142813604 142813605 chr7:142813605:C:T rs76725642 C T C EBF1_EBF_1 17 0 + 0 0 . chr7 142862426 142862427 chr7:142862427:C:A rs6950397 C A C EBF1_EBF_1 2 1 + 4.8288227244657325 3.0331785483090052 CACCCCTTGGGGCA chr7 142870188 142870189 chr7:142870189:G:A rs4987691 G A G EBF1_EBF_1 -16 0 + 0 0 . chr7 142877396 142877397 chr7:142877397:T:C rs4987655 T C C EBF1_EBF_1 -15 0 + 0 0 . chr7 142883881 142883882 chr7:142883882:C:G rs4987701 C G C EBF1_EBF_1 21 0 + 0 0 . chr7 142888688 142888689 chr7:142888689:C:T rs4987585 C T C EBF1_EBF_1 -12 0 + 0 0 . chr7 142896327 142896328 chr7:142896328:A:T rs4987556 A T A EBF1_EBF_1 -14 0 + 0 0 . chr7 142908169 142908170 chr7:142908170:A:T rs4252511 A T A EBF1_EBF_1 -6 0 - 0 0 . chr7 142927974 142927975 chr7:142927975:C:A rs4252419 C A C EBF1_EBF_1 27 0 + 0 0 . chr7 142934355 142934356 chr7:142934356:C:T rs4252365 C T C EBF1_EBF_1 31 0 - 0 0 . chr7 142950632 142950633 chr7:142950633:C:A rs7806742 C A A EBF1_EBF_1 -7 0 + 0 0 . chr7 142961179 142961180 chr7:142961180:A:T rs2293268 A T A EBF1_EBF_1 25 0 + 0 0 . chr7 142965595 142965596 chr7:142965596:T:C rs7779656 T C T EBF1_EBF_1 20 0 - 0 0 . chr7 142984500 142984501 chr7:142984501:T:C rs6964390 T C C EBF1_EBF_1 14 0 + 0 0 . chr7 143014500 143014501 chr7:143014501:G:T rs6977431 G T G EBF1_EBF_1 -6 0 - 0 0 . chr7 143078126 143078127 chr7:143078127:C:T rs73170668 C T C EBF1_EBF_1 30 0 - 0 0 . chr7 143229508 143229509 chr7:143229509:G:A rs576383558 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 143244185 143244186 chr7:143244186:A:G rs77812717 A G A EBF1_EBF_1 -18 0 - 0 0 . chr7 143263302 143263303 chr7:143263303:C:A chr7:143263303:C:A C A C EBF1_EBF_1 5 1 + 5.6792526999787665 -1.2256284526564025 CTCCCCAAGGGGTC chr7 143263318 143263319 chr7:143263319:A:C rs966831740 A C A EBF1_EBF_1 21 0 + 0 0 . chr7 143321814 143321815 chr7:143321815:G:A rs147317366 G A G EBF1_EBF_1 -16 0 + 0 0 . chr7 143351796 143351797 chr7:143351797:T:G rs1357088730 T G T EBF1_EBF_1 25 0 + 0 0 . chr7 143352871 143352872 chr7:143352872:T:C rs7806322 T C C EBF1_EBF_1 -20 0 + 0 0 . chr7 143352879 143352880 chr7:143352880:A:G rs7802536 A G A EBF1_EBF_1 -12 0 + 0 0 . chr7 143362908 143362909 chr7:143362909:G:T rs1243504306 G T G EBF1_EBF_1 4 1 - 8.298054222877601 2.4734945994241335 ATCCCCGAGGGAGC chr7 143381435 143381436 chr7:143381436:C:T rs752564433 C T C EBF1_EBF_1 11 1 - 4.062450599167583 6.955157442771594 CTCCCCGTGGGGCC chr7 143381446 143381447 chr7:143381447:G:C rs552114712 G C G EBF1_EBF_1 0 1 - 4.062450599167583 4.42542521329935 CTCCCCGTGGGGCC chr7 143390338 143390339 chr7:143390339:G:A rs34824368 G A G EBF1_EBF_1 20 0 - 0 0 . chr7 143408734 143408735 chr7:143408735:C:T rs79587607 C T C EBF1_EBF_1 -2 0 + 0 0 . chr7 143408736 143408737 chr7:143408737:C:A rs12703524 C A C EBF1_EBF_1 0 1 + 3.9520512623240096 6.20730974602061 CGCCCCCGGGGGCA chr7 143412114 143412115 chr7:143412115:T:G rs75045569 T G T EBF1_EBF_1 -2 0 + 0 0 . chr7 143511447 143511448 chr7:143511448:T:C rs7809591 T C C EBF1_EBF_1 7 1 + 6.277378057216424 4.4598733109338005 AGTCCCCTGAGGCA chr7 143728284 143728285 chr7:143728285:G:T rs12540107 G T G EBF1_EBF_1 19 0 - 0 0 . chr7 143876104 143876105 chr7:143876105:G:A rs117822670 G A G EBF1_EBF_1 -3 0 - 0 0 . chr7 143882876 143882877 chr7:143882877:G:A chr7:143882877:G:A G A G EBF1_EBF_1 27 0 - 0 0 . chr7 143907167 143907168 chr7:143907168:G:A rs75584536 G A G EBF1_EBF_1 21 0 - 0 0 . chr7 143907183 143907184 chr7:143907184:G:C rs1433221358 G C G EBF1_EBF_1 5 1 - 5.944550337119245 -0.9603308155159231 ATTCCCAAAGGCCT chr7 144031877 144031878 chr7:144031878:G:A rs4725636 G A G EBF1_EBF_1 3 1 - 5.594841677396962 -0.8105570641563535 AACCCCACGAGAAA chr7 144255349 144255350 chr7:144255350:T:C rs3735286 T C N EBF1_EBF_1 23 0 + 0 0 . chr7 144602056 144602057 chr7:144602057:T:C rs17170241 T C C EBF1_EBF_1 -5 0 + 0 0 . chr7 144727181 144727182 chr7:144727182:T:C rs10278788 T C C EBF1_EBF_1 -11 0 - 0 0 . chr7 144728784 144728785 chr7:144728785:T:C rs1259437556 T C T EBF1_EBF_1 -15 0 + 0 0 . chr7 144785895 144785896 chr7:144785896:T:C rs1623765 T C C EBF1_EBF_1 23 0 + 0 0 . chr7 144936512 144936513 chr7:144936513:T:G rs10255074 T G T EBF1_EBF_1 6 1 - 6.022259691592328 5.6606573237910505 GTTGCCAAGGGACC chr7 144936527 144936528 chr7:144936528:T:C rs11767427 T C T EBF1_EBF_1 -9 0 - 0 0 . chr7 144972235 144972236 chr7:144972236:C:T rs10239167 C T C EBF1_EBF_1 0 1 - 4.649789775695112 6.542073645259944 GTCCCCCTGGAACT chr7 145030945 145030946 chr7:145030946:T:C rs1525460 T C C EBF1_EBF_1 18 0 + 0 0 . chr7 145137942 145137943 chr7:145137943:C:T rs7784261 C T C EBF1_EBF_1 20 0 + 0 0 . chr7 145158251 145158252 chr7:145158252:C:T rs2040979 C T C EBF1_EBF_1 13 1 - 6.459858762884822 7.574184334954261 ATTCACAAGGGAAG chr7 145158275 145158276 chr7:145158276:A:G rs4523168 A G A EBF1_EBF_1 -11 0 - 0 0 . chr7 145273181 145273182 chr7:145273182:C:T rs62495883 C T C EBF1_EBF_1 21 0 + 0 0 . chr7 145293258 145293259 chr7:145293259:G:A rs7806648 G A G EBF1_EBF_1 -19 0 + 0 0 . chr7 145418990 145418991 chr7:145418991:T:C rs1100669 T C C EBF1_EBF_1 12 1 - 5.863617796521467 4.44480045442199 TCTCACTAGGGAAT chr7 145644574 145644575 chr7:145644575:A:C rs4725678 A C A EBF1_EBF_1 27 0 - 0 0 . chr7 145644574 145644575 chr7:145644575:A:T chr7:145644575:A:T A T A EBF1_EBF_1 27 0 - 0 0 . chr7 145666088 145666089 chr7:145666089:G:C rs6979892 G C G EBF1_EBF_1 3 1 - 5.566761510176232 -0.2610029379389833 ATCCCCTAGAGCCT chr7 145779267 145779268 chr7:145779268:C:T rs6464698 C T C EBF1_EBF_1 9 1 - 7.16907433194553 4.514135066497928 CATCCCAAAGGAAT chr7 145784674 145784675 chr7:145784675:G:T rs55788321 G T G EBF1_EBF_1 28 0 + 0 0 . chr7 145786384 145786385 chr7:145786385:G:A rs115161759 G A G EBF1_EBF_1 10 1 + 6.256953601388966 0.9389251421220006 AACCCCTAAGGAAA chr7 145910064 145910065 chr7:145910065:T:G rs4726775 T G G EBF1_EBF_1 6 1 - 8.503311105331306 8.141708737530028 GTCCCCAAGGGAAG chr7 145916898 145916899 chr7:145916899:A:G rs73462824 A G A EBF1_EBF_1 17 0 - 0 0 . chr7 146002635 146002636 chr7:146002636:G:A rs10224502 G A G EBF1_EBF_1 10 1 + 7.338307473119872 2.0202790138529054 ACTCCCAGAGGAAC chr7 146063204 146063205 chr7:146063205:G:A rs62504452 G A G EBF1_EBF_1 -5 0 + 0 0 . chr7 146063235 146063236 chr7:146063236:G:A rs7792724 G A G EBF1_EBF_1 26 0 + 0 0 . chr7 146115754 146115755 chr7:146115755:G:T chr7:146115755:G:T G T G EBF1_EBF_1 24 0 - 0 0 . chr7 146406718 146406719 chr7:146406719:A:G rs802194 A G G EBF1_EBF_1 -1 0 - 0 0 . chr7 146720374 146720375 chr7:146720375:A:G rs62481396 A G A EBF1_EBF_1 -2 0 + 0 0 . chr7 146769320 146769321 chr7:146769321:A:C rs7794249 A C C EBF1_EBF_1 14 0 - 0 0 . chr7 146769348 146769349 chr7:146769349:A:C rs71530760 A C A EBF1_EBF_1 -14 0 - 0 0 . chr7 146992638 146992639 chr7:146992639:T:C rs10268606 T C C EBF1_EBF_1 18 0 - 0 0 . chr7 147048178 147048179 chr7:147048179:A:G rs79992116 A G A EBF1_EBF_1 13 1 - 6.505503956404042 5.661009841818524 CTCCCCAGGAGAAT chr7 147048209 147048210 chr7:147048210:C:T rs74788259 C T C EBF1_EBF_1 -18 0 - 0 0 . chr7 147136523 147136524 chr7:147136524:T:C rs115949369 T C T EBF1_EBF_1 9 1 - 7.026340891416375 9.681280156863977 CCTCCCTTGAGACA chr7 147146412 147146413 chr7:147146413:A:T rs10952672 A T A EBF1_EBF_1 -8 0 - 0 0 . chr7 147207038 147207039 chr7:147207039:A:G rs1496541 A G G EBF1_EBF_1 -13 0 + 0 0 . chr7 147235660 147235661 chr7:147235661:A:G rs2036118 A G a EBF1_EBF_1 -13 0 + 0 0 . chr7 147444096 147444097 chr7:147444097:A:G rs2046052 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 147565206 147565207 chr7:147565207:A:C rs10269610 A C a EBF1_EBF_1 0 1 + 7.106101930597886 4.850843446901286 ATCCCCCAGGGCAC chr7 147565234 147565235 chr7:147565235:T:C rs10272825 T C C EBF1_EBF_1 28 0 + 0 0 . chr7 147610659 147610660 chr7:147610660:G:C rs6967426 G C C EBF1_EBF_1 1 1 - 6.193024243295581 6.382795897864798 ACTCCCCTGGGCTA chr7 147685167 147685168 chr7:147685168:T:G rs148564531 T G T EBF1_EBF_1 27 0 + 0 0 . chr7 147700872 147700873 chr7:147700873:T:C rs144270554 T C T EBF1_EBF_1 -20 0 + 0 0 . chr7 147775736 147775737 chr7:147775737:C:T rs965397784 C T C EBF1_EBF_1 16 0 - 0 0 . chr7 147803642 147803643 chr7:147803643:C:T rs851670 C T C EBF1_EBF_1 -15 0 - 0 0 . chr7 147827362 147827363 chr7:147827363:C:G rs17825248 C G C EBF1_EBF_1 17 0 + 0 0 . chr7 147861199 147861200 chr7:147861200:A:G rs6464842 A G A EBF1_EBF_1 -10 0 + 0 0 . chr7 148031674 148031675 chr7:148031675:T:A rs4726914 T A T EBF1_EBF_1 12 1 - 8.144905652666502 7.088457683694326 ATTCCCCTGGGCAA chr7 148138613 148138614 chr7:148138614:T:C rs2906310 T C T EBF1_EBF_1 11 1 - 6.62389150153684 3.731184657932828 ATCCCCTAGAGATG chr7 148170653 148170654 chr7:148170654:A:T rs2189978 A T T EBF1_EBF_1 31 0 + 0 0 . chr7 148266099 148266100 chr7:148266100:T:C rs12667850 T C T EBF1_EBF_1 -8 0 + 0 0 . chr7 148266118 148266119 chr7:148266119:A:G rs57769048 A G A EBF1_EBF_1 11 1 + 9.464168560785936 6.571461717181924 GCCCCCATGGGAAT chr7 148290856 148290857 chr7:148290857:C:T rs73466206 C T C EBF1_EBF_1 12 1 + 5.464525067362221 4.438258496811371 TGTCCCTGAGGACC chr7 148336982 148336983 chr7:148336983:T:A rs62470628 T A T EBF1_EBF_1 11 1 - 5.202323937123937 1.1044096810252122 CTACCCCTGGGAGA chr7 148340323 148340324 chr7:148340324:C:T rs10271315 C T C EBF1_EBF_1 4 1 + 6.896004853616383 2.5266179086714597 CATCCCGAGGGAAG chr7 148371082 148371083 chr7:148371083:T:C rs1632783 T C T EBF1_EBF_1 30 0 + 0 0 . chr7 148374090 148374091 chr7:148374091:G:A rs1730399 G A G EBF1_EBF_1 31 0 - 0 0 . chr7 148374127 148374128 chr7:148374128:G:A rs1730400 G A G EBF1_EBF_1 -6 0 - 0 0 . chr7 148382283 148382284 chr7:148382284:G:A rs1730418 G A A EBF1_EBF_1 -13 0 + 0 0 . chr7 148462723 148462724 chr7:148462724:C:T rs55803728 C T - EBF1_EBF_1 -15 0 - 0 0 . chr7 148491333 148491334 chr7:148491334:A:G rs7796510 A G A EBF1_EBF_1 -8 0 + 0 0 . chr7 148508218 148508219 chr7:148508219:A:G rs59554401 A G A EBF1_EBF_1 14 0 - 0 0 . chr7 148548194 148548195 chr7:148548195:A:T rs10236063 A T T EBF1_EBF_1 22 0 - 0 0 . chr7 148564606 148564607 chr7:148564607:A:G rs150611574 A G A EBF1_EBF_1 -1 0 - 0 0 . chr7 148575724 148575725 chr7:148575725:T:C rs1280552809 T C T EBF1_EBF_1 25 0 - 0 0 . chr7 148686284 148686285 chr7:148686285:A:G rs73158212 A G A EBF1_EBF_1 14 0 - 0 0 . chr7 148697696 148697697 chr7:148697697:C:T rs138150925 C T C EBF1_EBF_1 -4 0 + 0 0 . chr7 148697702 148697703 chr7:148697703:C:A rs189724508 C A C EBF1_EBF_1 2 1 + 6.1236239440894265 4.3279797679327 ATCCCCCCGGGGTT chr7 148697730 148697731 chr7:148697731:G:A chr7:148697731:G:A G A G EBF1_EBF_1 30 0 + 0 0 . chr7 148699683 148699684 chr7:148699684:G:T rs73465465 G T G EBF1_EBF_1 13 1 - 6.936601873592506 6.56285642430653 TCTCCCAGGAGAAC chr7 148940958 148940959 chr7:148940959:C:A rs541783297 C A C EBF1_EBF_1 21 0 + 0 0 . chr7 148983318 148983319 chr7:148983319:G:A rs2709330 G A G EBF1_EBF_1 22 0 - 0 0 . chr7 149014497 149014498 chr7:149014498:C:T rs10269104 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 149026883 149026884 chr7:149026884:T:C rs6464934 T C C EBF1_EBF_1 -14 0 - 0 0 . chr7 149027143 149027144 chr7:149027144:A:T rs7781312 A T A EBF1_EBF_1 -9 0 - 0 0 . chr7 149065515 149065516 chr7:149065516:A:G rs143303284 A G A EBF1_EBF_1 13 1 - 6.010348471813582 5.1658543572280635 GCTCCCTGGGGCCT chr7 149065541 149065542 chr7:149065542:G:C rs146836558 G C G EBF1_EBF_1 -13 0 - 0 0 . chr7 149065758 149065759 chr7:149065759:T:C rs139717083 T C T EBF1_EBF_1 13 1 + 7.682087681142078 6.83759356655656 GAACCCCAGGGACT chr7 149065767 149065768 chr7:149065768:C:T rs755381656 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 149147236 149147237 chr7:149147237:A:G rs116232054 A G A EBF1_EBF_1 30 0 - 0 0 . chr7 149147791 149147792 chr7:149147792:G:A rs2693317 G A G EBF1_EBF_1 31 0 - 0 0 . chr7 149221453 149221454 chr7:149221454:C:T rs11771746 C T T EBF1_EBF_1 -11 0 + 0 0 . chr7 149224912 149224913 chr7:149224913:T:C rs11547159 T C C EBF1_EBF_1 -6 0 + 0 0 . chr7 149233900 149233901 chr7:149233901:C:T rs77802589 C T C EBF1_EBF_1 27 0 + 0 0 . chr7 149245591 149245592 chr7:149245592:A:G rs112076454 A G A EBF1_EBF_1 1 1 + 6.495842515229473 6.756541347150774 AATCCCCTGGGCTC chr7 149245612 149245613 chr7:149245613:T:C rs115683636 T C C EBF1_EBF_1 22 0 + 0 0 . chr7 149280508 149280509 chr7:149280509:C:G rs73162537 C G C EBF1_EBF_1 1 1 - 10.912662462677446 10.722890808108229 AGTCCCCAGGGAGA chr7 149293579 149293580 chr7:149293580:T:C rs553483872 T C T EBF1_EBF_1 -15 0 - 0 0 . chr7 149294532 149294533 chr7:149294533:C:T rs76675865 C T C EBF1_EBF_1 28 0 + 0 0 . chr7 149306926 149306927 chr7:149306927:A:G rs56007083 A G A EBF1_EBF_1 32 0 + 0 0 . chr7 149322586 149322587 chr7:149322587:T:A rs873748 T A A EBF1_EBF_1 19 0 - 0 0 . chr7 149322620 149322621 chr7:149322621:C:T rs4725805 C T T EBF1_EBF_1 -15 0 - 0 0 . chr7 149358255 149358256 chr7:149358256:G:A rs36022446 G A G EBF1_EBF_1 33 0 + 0 0 . chr7 149358890 149358891 chr7:149358891:G:C rs57589528 G C G EBF1_EBF_1 23 0 - 0 0 . chr7 149490973 149490974 chr7:149490974:C:A rs181630259 C A C EBF1_EBF_1 4 1 + 4.832528427268555 -0.9920311961849126 AGTCCCCTGGAGCT chr7 149490977 149490978 chr7:149490978:G:A rs111778738 G A G EBF1_EBF_1 8 1 + 4.832528427268555 0.6062383383822942 AGTCCCCTGGAGCT chr7 149497408 149497409 chr7:149497409:C:T rs1350830001 C T C EBF1_EBF_1 -15 0 + 0 0 . chr7 149508581 149508582 chr7:149508582:G:A rs855911 G A G EBF1_EBF_1 -3 0 + 0 0 . chr7 149616719 149616720 chr7:149616720:C:T rs735120 C T c EBF1_EBF_1 -7 0 - 0 0 . chr7 149633950 149633951 chr7:149633951:T:G rs62490577 T G T EBF1_EBF_1 -6 0 - 0 0 . chr7 149662680 149662681 chr7:149662681:A:G rs34521389 A G A EBF1_EBF_1 -10 0 - 0 0 . chr7 149662880 149662881 chr7:149662881:C:T rs550385704 C T C EBF1_EBF_1 -18 0 - 0 0 . chr7 149691408 149691409 chr7:149691409:G:A rs1048021126 G A G EBF1_EBF_1 4 1 - 7.851235678592518 3.481848733647596 AGCCCCTAGGGGAA chr7 149719528 149719529 chr7:149719529:G:A rs144662378 G A G EBF1_EBF_1 7 1 + 5.218286749782693 6.530745155071518 CCCCCCCGGAGACT chr7 149720138 149720139 chr7:149720139:G:A rs10231875 G A G EBF1_EBF_1 21 0 + 0 0 . chr7 149720550 149720551 chr7:149720551:G:T rs571370688 G T G EBF1_EBF_1 28 0 - 0 0 . chr7 149721764 149721765 chr7:149721765:C:T rs68139633 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 149729140 149729141 chr7:149729141:C:T rs855757 C T C EBF1_EBF_1 16 0 - 0 0 . chr7 149735593 149735594 chr7:149735594:G:A rs78983307 G A A EBF1_EBF_1 -8 0 - 0 0 . chr7 149761174 149761175 chr7:149761175:A:G rs1635779 A G G EBF1_EBF_1 -18 0 + 0 0 . chr7 149771389 149771390 chr7:149771390:A:G rs73481806 A G A EBF1_EBF_1 32 0 - 0 0 . chr7 149771423 149771424 chr7:149771424:G:T rs73725600 G T G EBF1_EBF_1 -2 0 - 0 0 . chr7 149772454 149772455 chr7:149772455:G:A chr7:149772455:G:A G A G EBF1_EBF_1 13 1 - 4.933992713477786 5.778486828063304 GGTCCCGAGAGAGC chr7 149773785 149773786 chr7:149773786:G:T rs544412633 G T g EBF1_EBF_1 -12 0 - 0 0 . chr7 149773790 149773791 chr7:149773791:G:A rs953206266 G A G EBF1_EBF_1 -17 0 - 0 0 . chr7 149776138 149776139 chr7:149776139:G:A rs34153778 G A G EBF1_EBF_1 -1 0 + 0 0 . chr7 149777303 149777304 chr7:149777304:A:G rs709061 A G G EBF1_EBF_1 0 1 - 4.797954713467745 4.515752652737167 TGTCCCCAGGGCCG chr7 149777414 149777415 chr7:149777415:C:G rs76309912 C G C EBF1_EBF_1 -7 0 + 0 0 . chr7 149802229 149802230 chr7:149802230:C:T rs2074690 C T C EBF1_EBF_1 7 1 + 8.789390249401427 10.60689499568405 CTTCCCTCGGGAAA chr7 149809382 149809383 chr7:149809383:C:T rs6953743 C T C EBF1_EBF_1 3 1 + 5.912280285537184 -0.49311845601613347 TGTCCCCAGGGCCA chr7 149815988 149815989 chr7:149815989:G:C rs2240362 G C G EBF1_EBF_1 -14 0 - 0 0 . chr7 149817562 149817563 chr7:149817563:C:G rs77636271 C G C EBF1_EBF_1 -12 0 - 0 0 . chr7 149819396 149819397 chr7:149819397:A:G rs6464034 A G G EBF1_EBF_1 -20 0 - 0 0 . chr7 149819700 149819701 chr7:149819701:G:A rs62492765 G A G EBF1_EBF_1 27 0 - 0 0 . chr7 149820297 149820298 chr7:149820298:A:G rs60683676 A G A EBF1_EBF_1 17 0 - 0 0 . chr7 149820951 149820952 chr7:149820952:C:T rs117930273 C T C EBF1_EBF_1 9 1 - 4.864568228366307 2.2096289629187025 GCTCCCCGGGGCCC chr7 149821506 149821507 chr7:149821507:G:A rs79806814 G A G EBF1_EBF_1 13 1 - 4.11304816068724 4.9575422752727585 GTCCCCCAGGGTGC chr7 149827823 149827824 chr7:149827824:G:A rs12534509 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 149828918 149828919 chr7:149828919:A:T rs11760225 A T A EBF1_EBF_1 2 1 - 5.417045364518941 1.7325777769200639 AGTCCCAAGGTGGT chr7 149864743 149864744 chr7:149864744:G:A rs115413635 G A G EBF1_EBF_1 1 1 + 4.864828885861832 4.60413005394053 AGCCCCCAGAGGCA chr7 149867957 149867958 chr7:149867958:G:A rs14022 G A G EBF1_EBF_1 8 1 + 9.505305827524134 5.279015738637874 GCTCCCACGGGACT chr7 149868399 149868400 chr7:149868400:T:C rs3735334 T C C EBF1_EBF_1 -11 0 - 0 0 . chr7 149871172 149871173 chr7:149871173:A:G rs4725834 A G . EBF1_EBF_1 -18 0 - 0 0 . chr7 149873603 149873604 chr7:149873604:A:C rs4401760 A C . EBF1_EBF_1 17 0 + 0 0 . chr7 149878170 149878171 chr7:149878171:G:C rs113762471 G C . EBF1_EBF_1 2 1 - 5.20373439673745 0.34423211797913245 AACCCTCAGGGACC chr7 149878617 149878618 chr7:149878618:C:G rs6946997 C G . EBF1_EBF_1 3 1 + 5.111683392096837 -0.7160810560183786 CCTCCCCTGGGTGT chr7 149878635 149878636 chr7:149878636:C:T rs6947011 C T . EBF1_EBF_1 21 0 + 0 0 . chr7 149885373 149885374 chr7:149885374:C:T rs10259070 C T . EBF1_EBF_1 3 1 + 6.004949457635396 -0.4004492839179201 AGCCGCCAGGGACT chr7 149941063 149941064 chr7:149941064:G:A rs7800741 G A . EBF1_EBF_1 -7 0 + 0 0 . chr7 149950060 149950061 chr7:149950061:G:T rs117850005 G T . EBF1_EBF_1 -20 0 - 0 0 . chr7 149962835 149962836 chr7:149962836:T:G rs147476818 T G . EBF1_EBF_1 25 0 + 0 0 . chr7 150143611 150143612 chr7:150143612:G:T rs73468262 G T N EBF1_EBF_1 -7 0 - 0 0 . chr7 150220266 150220267 chr7:150220267:T:C rs2533349 T C . EBF1_EBF_1 0 1 - 9.835859773875475 7.9435759043106415 ATCCCCCGGGGAAA chr7 150340837 150340838 chr7:150340838:C:T rs55974958 C T C EBF1_EBF_1 -2 0 + 0 0 . chr7 150353337 150353338 chr7:150353338:T:C rs10259796 T C T EBF1_EBF_1 -14 0 - 0 0 . chr7 150373573 150373574 chr7:150373574:T:C rs1051764 T C C EBF1_EBF_1 11 1 - 5.385325893828404 2.4926190502243926 AATGCCTTGGGATC chr7 150375410 150375411 chr7:150375411:G:C rs10480296 G C C EBF1_EBF_1 -14 0 - 0 0 . chr7 150375412 150375413 chr7:150375413:T:C rs10480297 T C T EBF1_EBF_1 -16 0 - 0 0 . chr7 150385095 150385096 chr7:150385096:C:T rs117770379 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 150397762 150397763 chr7:150397763:A:G rs13225910 A G . EBF1_EBF_1 -7 0 - 0 0 . chr7 150397764 150397765 chr7:150397765:G:A rs7780011 G A . EBF1_EBF_1 -9 0 - 0 0 . chr7 150399791 150399792 chr7:150399792:C:T rs10156097 C T C EBF1_EBF_1 12 1 - 4.181431261759331 5.600248603858809 ACTCCCTGGGGCGG chr7 150402461 150402462 chr7:150402462:C:G rs78241661 C G C EBF1_EBF_1 -19 0 - 0 0 . chr7 150404527 150404528 chr7:150404528:T:C rs941924260 T C t EBF1_EBF_1 -7 0 + 0 0 . chr7 150406120 150406121 chr7:150406121:T:A rs752212957 T A T EBF1_EBF_1 -13 0 + 0 0 . chr7 150413949 150413950 chr7:150413950:T:C rs9969310 T C T EBF1_EBF_1 -3 0 + 0 0 . chr7 150423699 150423700 chr7:150423700:T:C rs6944281 T C C EBF1_EBF_1 -8 0 + 0 0 . chr7 150423727 150423728 chr7:150423728:A:T rs6962146 A T T EBF1_EBF_1 20 0 + 0 0 . chr7 150431263 150431264 chr7:150431264:T:G rs60362177 T G T EBF1_EBF_1 0 1 - 6.046860623716448 3.7916021400198474 AATCCCCTGAGGAA chr7 150443673 150443674 chr7:150443674:C:T rs7806921 C T T EBF1_EBF_1 -10 0 + 0 0 . chr7 150445110 150445111 chr7:150445111:G:C rs78674163 G C C EBF1_EBF_1 24 0 + 0 0 . chr7 150446886 150446887 chr7:150446887:C:A rs57299368 C A C EBF1_EBF_1 23 0 - 0 0 . chr7 150446926 150446927 chr7:150446927:C:A rs73476396 C A A EBF1_EBF_1 -17 0 - 0 0 . chr7 150463702 150463703 chr7:150463703:G:A rs77307233 G A G EBF1_EBF_1 -15 0 - 0 0 . chr7 150503926 150503927 chr7:150503927:G:T rs79885761 G T T EBF1_EBF_1 -3 0 - 0 0 . chr7 150523224 150523225 chr7:150523225:C:T rs35677477 C T C EBF1_EBF_1 20 0 - 0 0 . chr7 150587153 150587154 chr7:150587154:G:T rs6954709 G T G EBF1_EBF_1 2 1 - 5.418043748300143 3.622399572143417 AGCCCCAGGAGAGA chr7 150612853 150612854 chr7:150612854:T:C rs13221085 T C C EBF1_EBF_1 -10 0 + 0 0 . chr7 150629771 150629772 chr7:150629772:T:A rs80007702 T A T EBF1_EBF_1 30 0 - 0 0 . chr7 150630106 150630107 chr7:150630107:C:A rs117935084 C A C EBF1_EBF_1 10 1 - 6.78770601713462 2.6049538309073554 TTCCCCAGGAGAAT chr7 150655798 150655799 chr7:150655799:A:G rs2140144 A G G EBF1_EBF_1 -7 0 - 0 0 . chr7 150719975 150719976 chr7:150719976:A:C rs78632930 A C A EBF1_EBF_1 29 0 - 0 0 . chr7 150755651 150755652 chr7:150755652:G:A rs117847307 G A G EBF1_EBF_1 3 1 - 9.301975412838724 2.8965766712854064 TGTCCCCAGGGATA chr7 150756481 150756482 chr7:150756482:C:T rs116521232 C T C EBF1_EBF_1 13 1 - 7.143397625160403 8.257723197229842 AGTCCCCAGAGAGG chr7 150781216 150781217 chr7:150781217:T:G rs6464102 T G G EBF1_EBF_1 -17 0 - 0 0 . chr7 150815011 150815012 chr7:150815012:G:A rs11982695 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 150856516 150856517 chr7:150856517:C:T rs10156191 C T T EBF1_EBF_1 -15 0 + 0 0 . chr7 150857942 150857943 chr7:150857943:C:T rs374520146 C T C EBF1_EBF_1 2 1 + 6.743043997138514 8.631867408580664 ACCCCCGAGGGGCT chr7 150858913 150858914 chr7:150858914:T:C rs1049748 T C C EBF1_EBF_1 -20 0 + 0 0 . chr7 150859063 150859064 chr7:150859064:G:A rs11981217 G A - EBF1_EBF_1 5 1 - 5.164242193033823 -0.15754502250684688 GGCCCCCTGGGTCT chr7 150864408 150864409 chr7:150864409:C:T rs80116301 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 150867111 150867112 chr7:150867112:C:G rs77535797 C G C EBF1_EBF_1 26 0 + 0 0 . chr7 150896439 150896440 chr7:150896440:C:T rs764206325 C T C EBF1_EBF_1 5 1 + 4.67420983834294 -0.6475773771977292 CCTCCCCAGGGTAG chr7 150923706 150923707 chr7:150923707:G:T rs7785951 G T G EBF1_EBF_1 13 1 - 3.514904219309803 3.1411587700238273 CGTCCACAGGGACC chr7 150939223 150939224 chr7:150939224:G:A rs910998381 G A G EBF1_EBF_1 -16 0 + 0 0 . chr7 150950480 150950481 chr7:150950481:T:C rs4725983 T C C EBF1_EBF_1 29 0 + 0 0 . chr7 150970981 150970982 chr7:150970982:C:T rs10236214 C T T EBF1_EBF_1 8 1 - 7.056526062598658 2.830235973712396 AGCCCCCTGGGTCT chr7 150971968 150971969 chr7:150971969:G:A rs3807372 G A G EBF1_EBF_1 15 0 + 0 0 . chr7 150978477 150978478 chr7:150978478:C:A chr7:150978478:C:A C A C EBF1_EBF_1 33 0 - 0 0 . chr7 150982624 150982625 chr7:150982625:T:C rs146661723 T C T EBF1_EBF_1 31 0 + 0 0 . chr7 150987659 150987660 chr7:150987660:G:C rs76299290 G C G EBF1_EBF_1 12 1 - 6.083068449733326 4.694432506055175 AGCCCCCAGAGGCT chr7 150995355 150995356 chr7:150995356:G:A rs1800781 G A G EBF1_EBF_1 -2 0 - 0 0 . chr7 150998919 150998920 chr7:150998920:A:G rs1007311 A G g EBF1_EBF_1 -20 0 - 0 0 . chr7 151001689 151001690 chr7:151001690:A:C rs567038837 A C a EBF1_EBF_1 1 1 - 4.9575422752727585 4.25188048944323 GTCCCCCAGGGTGT chr7 151006826 151006827 chr7:151006827:G:T rs2853796 G T G EBF1_EBF_1 -15 0 + 0 0 . chr7 151007311 151007312 chr7:151007312:C:A rs3730305 C A A EBF1_EBF_1 9 1 - 5.941778209646135 -0.8952111984426752 CATCCCAGGGGGCA chr7 151035561 151035562 chr7:151035562:C:T rs76884999 C T C EBF1_EBF_1 -10 0 + 0 0 . chr7 151047214 151047215 chr7:151047215:G:A rs116563575 G A G EBF1_EBF_1 0 1 + 4.892622160545436 6.78490603011027 GCCCCCTAGAGAAG chr7 151064592 151064593 chr7:151064593:C:A rs1050702 C A C EBF1_EBF_1 -7 0 + 0 0 . chr7 151081016 151081017 chr7:151081017:C:T rs114259190 C T c EBF1_EBF_1 19 0 - 0 0 . chr7 151096334 151096335 chr7:151096335:G:A rs117227230 G A G EBF1_EBF_1 -9 0 - 0 0 . chr7 151113367 151113368 chr7:151113368:G:A rs185596365 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 151122081 151122082 chr7:151122082:C:T rs4725995 C T T EBF1_EBF_1 23 0 + 0 0 . chr7 151131081 151131082 chr7:151131082:G:A rs35673456 G A G EBF1_EBF_1 -5 0 + 0 0 . chr7 151139413 151139414 chr7:151139414:G:T rs772937931 G T G EBF1_EBF_1 25 0 + 0 0 . chr7 151146162 151146163 chr7:151146163:A:G rs7808684 A G A EBF1_EBF_1 13 1 - 5.377443578876754 4.532949464291236 TTTCCACAGGGAAT chr7 151178720 151178721 chr7:151178721:C:G rs73478622 C G C EBF1_EBF_1 17 0 - 0 0 . chr7 151186199 151186200 chr7:151186200:C:T rs2257073 C T C EBF1_EBF_1 26 0 + 0 0 . chr7 151186673 151186674 chr7:151186674:C:T rs2257090 C T C EBF1_EBF_1 24 0 - 0 0 . chr7 151199524 151199525 chr7:151199525:G:A rs114458140 G A A EBF1_EBF_1 23 0 - 0 0 . chr7 151199567 151199568 chr7:151199568:A:G rs116523326 A G A EBF1_EBF_1 -20 0 - 0 0 . chr7 151233134 151233135 chr7:151233135:G:A chr7:151233135:G:A G A G EBF1_EBF_1 0 1 + 3.4668026003551304 5.359086469919965 GGCCCCTGGGGCCC chr7 151238343 151238344 chr7:151238344:G:C rs3748098 G C G EBF1_EBF_1 -8 0 - 0 0 . chr7 151249167 151249168 chr7:151249168:G:A rs71539873 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 151257045 151257046 chr7:151257046:T:C rs72617363 T C T EBF1_EBF_1 25 0 + 0 0 . chr7 151277086 151277087 chr7:151277087:G:C rs1019970137 G C G EBF1_EBF_1 8 1 + 8.299209345137339 2.980550484222653 GCTCCCCCGGGACC chr7 151312588 151312589 chr7:151312589:C:T rs117357915 C T C EBF1_EBF_1 28 0 + 0 0 . chr7 151341304 151341305 chr7:151341305:C:T rs73472479 C T C EBF1_EBF_1 -6 0 + 0 0 . chr7 151349745 151349746 chr7:151349746:A:G rs414168 A G G EBF1_EBF_1 -6 0 - 0 0 . chr7 151374884 151374885 chr7:151374885:A:G rs2302127 A G G EBF1_EBF_1 16 0 - 0 0 . chr7 151382102 151382103 chr7:151382103:G:T chr7:151382103:G:T G T G EBF1_EBF_1 -9 0 - 0 0 . chr7 151382434 151382435 chr7:151382435:C:T rs732749 C T C EBF1_EBF_1 19 0 + 0 0 . chr7 151386304 151386305 chr7:151386305:T:C rs7787581 T C T EBF1_EBF_1 18 0 + 0 0 . chr7 151399865 151399866 chr7:151399866:T:C rs10215283 T C g EBF1_EBF_1 26 0 + 0 0 . chr7 151404786 151404787 chr7:151404787:C:G rs62479784 C G C EBF1_EBF_1 12 1 + 8.634126451631928 7.245490507953777 ACACCCTGGGGACT chr7 151406190 151406191 chr7:151406191:C:T rs55941776 C T C EBF1_EBF_1 -19 0 + 0 0 . chr7 151416953 151416954 chr7:151416954:T:C rs9655606 T C C EBF1_EBF_1 -15 0 + 0 0 . chr7 151429133 151429134 chr7:151429134:T:C rs1430943256 T C T EBF1_EBF_1 16 0 + 0 0 . chr7 151435348 151435349 chr7:151435349:G:A rs73727198 G A G EBF1_EBF_1 13 1 + 6.172317717544025 7.286643289613464 ACTCCCACGGGGCG chr7 151459242 151459243 chr7:151459243:T:A rs4368893 T A T EBF1_EBF_1 17 0 - 0 0 . chr7 151494735 151494736 chr7:151494736:C:T rs73729819 C T C EBF1_EBF_1 15 0 - 0 0 . chr7 151520291 151520292 chr7:151520292:G:C rs1184169662 G C G EBF1_EBF_1 26 0 - 0 0 . chr7 151520666 151520667 chr7:151520667:G:A rs547808653 G A G EBF1_EBF_1 3 1 - 4.974356880886997 -1.4310418606663187 GCACCCCTGGGAAG chr7 151571771 151571772 chr7:151571772:G:A rs17715460 G A - EBF1_EBF_1 23 0 - 0 0 . chr7 151650375 151650376 chr7:151650376:A:C rs2727527 A C - EBF1_EBF_1 17 0 - 0 0 . chr7 151679249 151679250 chr7:151679250:G:A rs143677961 G A - EBF1_EBF_1 2 1 - 5.4839617594602235 7.372785170902373 ATCCCCCTGAGAGG chr7 151684178 151684179 chr7:151684179:G:A rs117369711 G A - EBF1_EBF_1 25 0 + 0 0 . chr7 151686495 151686496 chr7:151686496:G:A rs116550844 G A - EBF1_EBF_1 -17 0 + 0 0 . chr7 151694734 151694735 chr7:151694735:G:T rs2536083 G T - EBF1_EBF_1 -1 0 + 0 0 . chr7 151694748 151694749 chr7:151694749:C:T rs763701080 C T - EBF1_EBF_1 13 1 + 7.192612309909157 8.037106424494675 TCTCCCAAGAGATC chr7 151694922 151694923 chr7:151694923:C:T rs7778356 C T - EBF1_EBF_1 -13 0 - 0 0 . chr7 151697118 151697119 chr7:151697119:G:A rs75411036 G A - EBF1_EBF_1 7 1 + 7.084246741770717 8.396705147059542 CATCCCCGGGGAGA chr7 151698262 151698263 chr7:151698263:T:C rs7780804 T C - EBF1_EBF_1 11 1 - 4.767056461723565 1.8743496181195536 CCTCACAAGGGACC chr7 151716582 151716583 chr7:151716583:T:G rs780057228 T G - EBF1_EBF_1 -8 0 + 0 0 . chr7 151716593 151716594 chr7:151716594:C:A rs79913076 C A - EBF1_EBF_1 3 1 + 6.5551772006741205 -0.35099682879168626 AATCCCTTAGGAAG chr7 151716611 151716612 chr7:151716612:T:G rs79712154 T G - EBF1_EBF_1 21 0 + 0 0 . chr7 151721594 151721595 chr7:151721595:G:A rs73484151 G A - EBF1_EBF_1 -15 0 + 0 0 . chr7 151722515 151722516 chr7:151722516:C:T rs2536075 C T - EBF1_EBF_1 18 0 + 0 0 . chr7 151727758 151727759 chr7:151727759:T:C rs73728282 T C - EBF1_EBF_1 11 1 - 7.879145660051382 4.98643881644737 CCTCCCAGGGGATA chr7 151728164 151728165 chr7:151728165:G:A rs56995564 G A - EBF1_EBF_1 -2 0 + 0 0 . chr7 151729018 151729019 chr7:151729019:A:G rs2536066 A G - EBF1_EBF_1 21 0 - 0 0 . chr7 151754265 151754266 chr7:151754266:G:A rs115934139 G A G EBF1_EBF_1 -8 0 + 0 0 . chr7 151776580 151776581 chr7:151776581:A:G rs7809018 A G G EBF1_EBF_1 -16 0 - 0 0 . chr7 151784643 151784644 chr7:151784644:G:A rs150693476 G A G EBF1_EBF_1 -18 0 + 0 0 . chr7 151784993 151784994 chr7:151784994:G:A rs192272595 G A G EBF1_EBF_1 -9 0 + 0 0 . chr7 151793602 151793603 chr7:151793603:G:A rs149884104 G A G EBF1_EBF_1 7 1 + 4.80090751857568 6.113365923864506 ACTGCCCGGGGAGT chr7 151805324 151805325 chr7:151805325:G:A rs115813192 G A A EBF1_EBF_1 16 0 - 0 0 . chr7 151807264 151807265 chr7:151807265:C:T rs75798679 C T C EBF1_EBF_1 -8 0 + 0 0 . chr7 151815090 151815091 chr7:151815091:C:T rs6954429 C T T EBF1_EBF_1 -14 0 - 0 0 . chr7 151819439 151819440 chr7:151819440:G:A rs115101269 G A G EBF1_EBF_1 4 1 + 5.540119732491701 5.341325210187778 AGTCGCTAGAGACT chr7 151819564 151819565 chr7:151819565:A:G rs1881630 A G G EBF1_EBF_1 32 0 + 0 0 . chr7 151822506 151822507 chr7:151822507:C:T rs12533239 C T C EBF1_EBF_1 29 0 - 0 0 . chr7 151822507 151822508 chr7:151822508:G:A rs11763769 G A G EBF1_EBF_1 28 0 - 0 0 . chr7 151823844 151823845 chr7:151823845:A:C rs7805942 A C C EBF1_EBF_1 2 1 - 6.7558536360554085 0.00752794585494021 ACTCCCCAGAGGTT chr7 151832561 151832562 chr7:151832562:C:T rs10238109 C T T EBF1_EBF_1 6 1 + 8.60096018866845 8.902246317530206 CTTCCCCTGGGGCT chr7 151833463 151833464 chr7:151833464:G:A rs1881625 G A G EBF1_EBF_1 3 1 - 6.709485459922111 0.3040867183687931 CTTCCCCAGGGCCC chr7 151834793 151834794 chr7:151834794:A:C rs77041940 A C a EBF1_EBF_1 -6 0 - 0 0 . chr7 151837659 151837660 chr7:151837660:C:T rs79369446 C T C EBF1_EBF_1 28 0 - 0 0 . chr7 151859199 151859200 chr7:151859200:G:T rs6967007 G T T EBF1_EBF_1 15 0 + 0 0 . chr7 151859251 151859252 chr7:151859252:G:A rs79452285 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 151869573 151869574 chr7:151869574:G:A rs78168617 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 151896050 151896051 chr7:151896051:T:C rs10952326 T C T EBF1_EBF_1 -12 0 + 0 0 . chr7 151909705 151909706 chr7:151909706:G:C rs11980303 G C G EBF1_EBF_1 21 0 - 0 0 . chr7 151930799 151930800 chr7:151930800:G:C rs111890832 G C G EBF1_EBF_1 5 1 - 5.348964811304495 -1.555916341330673 ATTCCCATGGCATC chr7 151955625 151955626 chr7:151955626:T:C rs7797169 T C T EBF1_EBF_1 30 0 - 0 0 . chr7 151958480 151958481 chr7:151958481:G:C rs12703186 G C C EBF1_EBF_1 27 0 + 0 0 . chr7 151960718 151960719 chr7:151960719:A:G rs6464190 A G G EBF1_EBF_1 9 1 + 4.990414923674267 7.645354189121872 GATCCCCAGAGAGG chr7 151960739 151960740 chr7:151960740:G:T rs6464191 G T G EBF1_EBF_1 30 0 + 0 0 . chr7 151961238 151961239 chr7:151961239:G:A rs62478446 G A G EBF1_EBF_1 26 0 - 0 0 . chr7 152025302 152025303 chr7:152025303:A:G rs13243627 A G G EBF1_EBF_1 21 0 - 0 0 . chr7 152025334 152025335 chr7:152025335:C:T rs28681224 C T C EBF1_EBF_1 -11 0 - 0 0 . chr7 152043305 152043306 chr7:152043306:G:C rs148583418 G C G EBF1_EBF_1 -19 0 + 0 0 . chr7 152196609 152196610 chr7:152196610:C:T rs34580279 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 152344248 152344249 chr7:152344249:C:T rs13226101 C T T EBF1_EBF_1 -18 0 - 0 0 . chr7 152434969 152434970 chr7:152434970:A:G rs185569678 A G A EBF1_EBF_1 6 1 + 5.2080885612857895 3.041294130011315 GATCCCACAGGACT chr7 152434993 152434994 chr7:152434994:G:A rs377344325 G A G EBF1_EBF_1 30 0 + 0 0 . chr7 152436128 152436129 chr7:152436129:G:C chr7:152436129:G:C G C T EBF1_EBF_1 4 1 - 4.785411019149859 -0.840354081999686 AGACCCCGGGGGCC chr7 152457574 152457575 chr7:152457575:C:T rs58114664 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 152464641 152464642 chr7:152464642:G:C chr7:152464642:G:C G C G EBF1_EBF_1 22 0 - 0 0 . chr7 152464911 152464912 chr7:152464912:C:T rs112443911 C T C EBF1_EBF_1 30 0 - 0 0 . chr7 152504628 152504629 chr7:152504629:G:A rs12536568 G A A EBF1_EBF_1 27 0 + 0 0 . chr7 152571145 152571146 chr7:152571146:G:A rs7806336 G A G EBF1_EBF_1 -12 0 + 0 0 . chr7 152581254 152581255 chr7:152581255:C:A rs546674513 C A C EBF1_EBF_1 30 0 - 0 0 . chr7 152581302 152581303 chr7:152581303:A:G chr7:152581303:A:G A G A EBF1_EBF_1 -18 0 - 0 0 . chr7 152581924 152581925 chr7:152581925:A:C rs10237552 A C C EBF1_EBF_1 7 1 + 5.668481864824558 3.374702878454376 GTCCCCAAGGGGTA chr7 152615461 152615462 chr7:152615462:A:G rs73166412 A G A EBF1_EBF_1 -7 0 + 0 0 . chr7 152615465 152615466 chr7:152615466:G:A rs73728818 G A G EBF1_EBF_1 -3 0 + 0 0 . chr7 152633263 152633264 chr7:152633264:G:C rs16884493 G C G EBF1_EBF_1 4 1 - 7.3894754022897855 1.7637103011402415 CATCCCATGAGACC chr7 152633283 152633284 chr7:152633284:C:T rs6464262 C T T EBF1_EBF_1 -16 0 - 0 0 . chr7 152662466 152662467 chr7:152662467:C:G rs3218467 C G C EBF1_EBF_1 15 0 - 0 0 . chr7 152675838 152675839 chr7:152675839:G:A rs2106776 G A G EBF1_EBF_1 26 0 - 0 0 . chr7 152675849 152675850 chr7:152675850:G:A rs55771537 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 152683483 152683484 chr7:152683484:G:A rs73166447 G A A EBF1_EBF_1 15 0 - 0 0 . chr7 152766325 152766326 chr7:152766326:A:G rs2462114 A G T EBF1_EBF_1 27 0 - 0 0 . chr7 152824867 152824868 chr7:152824868:T:A rs3864523 T A A EBF1_EBF_1 17 0 - 0 0 . chr7 152864578 152864579 chr7:152864579:C:T rs75711910 C T C EBF1_EBF_1 15 0 - 0 0 . chr7 152865258 152865259 chr7:152865259:G:T rs7796849 G T T EBF1_EBF_1 -17 0 + 0 0 . chr7 152865288 152865289 chr7:152865289:A:G rs10235710 A G A EBF1_EBF_1 13 1 + 6.39396141103546 5.279635838966021 CTTCCCTTGGTACA chr7 152869255 152869256 chr7:152869256:A:G rs56687061 A G A EBF1_EBF_1 27 0 - 0 0 . chr7 152870025 152870026 chr7:152870026:C:T rs76330036 C T C EBF1_EBF_1 -12 0 - 0 0 . chr7 152871144 152871145 chr7:152871145:T:C rs73493122 T C T EBF1_EBF_1 -15 0 - 0 0 . chr7 152871624 152871625 chr7:152871625:C:T rs551023386 C T A EBF1_EBF_1 5 1 + 7.027532901675775 1.7057456861351046 CTTCCCCAGGGTCC chr7 152903731 152903732 chr7:152903732:G:A rs2535969 G A G EBF1_EBF_1 6 1 + 6.139135810965321 8.305930242239798 ATTCCCGTGGGCGT chr7 152903942 152903943 chr7:152903943:A:G rs2689604 A G G EBF1_EBF_1 20 0 + 0 0 . chr7 152923986 152923987 chr7:152923987:A:T rs62492977 A T A EBF1_EBF_1 10 1 + 5.3088026673561135 6.444078940395816 AGTCCCCAGGAGCT chr7 152924007 152924008 chr7:152924008:G:A rs62492978 G A G EBF1_EBF_1 31 0 + 0 0 . chr7 152934152 152934153 chr7:152934153:C:T rs7779009 C T C EBF1_EBF_1 4 1 + 4.402249269260538 0.032862324315614436 CCTGCCCAGGGACC chr7 152946905 152946906 chr7:152946906:T:C rs62495671 T C T EBF1_EBF_1 23 0 + 0 0 . chr7 152986785 152986786 chr7:152986786:C:G rs56316718 C G G EBF1_EBF_1 -9 0 + 0 0 . chr7 153010555 153010556 chr7:153010556:T:C rs7800857 T C C EBF1_EBF_1 6 1 - 5.02010102378843 2.853306592513955 GTTCCCAGGAGGAC chr7 153048850 153048851 chr7:153048851:G:A rs4725480 G A A EBF1_EBF_1 6 1 - 5.690260465082488 5.991546593944246 TGCCCCCGGAGACT chr7 153048854 153048855 chr7:153048855:G:A rs12673190 G A G EBF1_EBF_1 2 1 - 5.690260465082488 7.579083876524638 TGCCCCCGGAGACT chr7 153063679 153063680 chr7:153063680:A:G rs117384127 A G A EBF1_EBF_1 24 0 - 0 0 . chr7 153086570 153086571 chr7:153086571:A:G rs9942708 A G G EBF1_EBF_1 6 1 + 6.187719285055676 4.020924853781202 AGCCCCAAGGGCGT chr7 153109719 153109720 chr7:153109720:T:C rs104800 T C C EBF1_EBF_1 29 0 + 0 0 . chr7 153175739 153175740 chr7:153175740:G:A rs4349902 G A G EBF1_EBF_1 -14 0 + 0 0 . chr7 153323141 153323142 chr7:153323142:C:G rs56274930 C G C EBF1_EBF_1 8 1 - 8.085940727172321 2.767281866257637 AGTCCCCTGAGAAG chr7 153421306 153421307 chr7:153421307:T:C rs111293063 T C T EBF1_EBF_1 20 0 - 0 0 . chr7 153458779 153458780 chr7:153458780:A:G rs4726300 A G A EBF1_EBF_1 0 1 - 5.843554446936527 5.5613523862059475 TATCCCCAGGGCTT chr7 153507742 153507743 chr7:153507743:G:A rs3110885 G A A EBF1_EBF_1 6 1 + 5.818025598080537 7.984820029355013 ACTCCCGTGGGCAC chr7 153587860 153587861 chr7:153587861:C:G rs116147234 C G C EBF1_EBF_1 -9 0 + 0 0 . chr7 153628463 153628464 chr7:153628464:T:C rs79311674 T C . EBF1_EBF_1 1 1 + 4.0753112120485655 3.179877771649819 ATCTCCTGGGGACC chr7 153835534 153835535 chr7:153835535:A:G rs62486231 A G A EBF1_EBF_1 -6 0 + 0 0 . chr7 153858318 153858319 chr7:153858319:C:A rs55952387 C A C EBF1_EBF_1 10 1 - 6.077775134569859 1.895022948342596 AATCCCCTGGGTGA chr7 153951236 153951237 chr7:153951237:A:C rs39179 A C C EBF1_EBF_1 12 1 - 5.911806502638116 5.549437129510815 ACTCCCCCGGGTTT chr7 153951261 153951262 chr7:153951262:C:T rs78850980 C T C EBF1_EBF_1 -13 0 - 0 0 . chr7 154030807 154030808 chr7:154030808:C:T rs6942545 C T C EBF1_EBF_1 -17 0 - 0 0 . chr7 154222022 154222023 chr7:154222023:A:G rs77420053 A G G EBF1_EBF_1 -17 0 - 0 0 . chr7 154272415 154272416 chr7:154272416:G:A rs77791075 G A G EBF1_EBF_1 5 1 - 5.148741600806925 -0.1730456147337447 CTTCCCCTCGGATT chr7 154298767 154298768 chr7:154298768:A:C rs62475063 A C A EBF1_EBF_1 0 1 + 6.955664408957367 4.7004059252607675 ACTCCCAAGAGGAA chr7 154299024 154299025 chr7:154299025:G:A rs6464398 G A - EBF1_EBF_1 28 0 + 0 0 . chr7 154340920 154340921 chr7:154340921:C:T rs6464412 C T c EBF1_EBF_1 -17 0 + 0 0 . chr7 154372054 154372055 chr7:154372055:G:C rs2075135 G C G EBF1_EBF_1 -14 0 + 0 0 . chr7 154372069 154372070 chr7:154372070:C:T rs35473063 C T C EBF1_EBF_1 1 1 + 8.739848555248749 9.635281995647492 ACCCCCCGGGGAGT chr7 154372300 154372301 chr7:154372301:C:T rs6945892 C T C EBF1_EBF_1 -13 0 - 0 0 . chr7 154425534 154425535 chr7:154425535:G:C rs2201135 G C C EBF1_EBF_1 26 0 - 0 0 . chr7 154425566 154425567 chr7:154425567:T:G rs113337001 T G T EBF1_EBF_1 -6 0 - 0 0 . chr7 154442269 154442270 chr7:154442270:A:G rs4725543 A G A EBF1_EBF_1 19 0 + 0 0 . chr7 154475334 154475335 chr7:154475335:G:T rs73726895 G T G EBF1_EBF_1 6 1 - 4.92566202473127 5.287264392532548 CTCCCCCGGAGAAA chr7 154516466 154516467 chr7:154516467:A:C rs6953095 A C A EBF1_EBF_1 26 0 + 0 0 . chr7 154594062 154594063 chr7:154594063:T:C rs6964189 T C C EBF1_EBF_1 -13 0 - 0 0 . chr7 154619868 154619869 chr7:154619869:G:C rs7793751 G C G EBF1_EBF_1 3 1 - 4.702746791068162 -1.1250176570470538 CATCACCTGGGACT chr7 154647886 154647887 chr7:154647887:A:G rs1387180 A G G EBF1_EBF_1 7 1 - 5.114665157920327 3.2971604116377033 ACACCCATGGGCCT chr7 154649559 154649560 chr7:154649560:T:C rs10271636 T C C EBF1_EBF_1 33 0 - 0 0 . chr7 154649594 154649595 chr7:154649595:T:C rs12538959 T C C EBF1_EBF_1 -2 0 - 0 0 . chr7 154651814 154651815 chr7:154651815:C:T rs7807195 C T C EBF1_EBF_1 16 0 + 0 0 . chr7 154673021 154673022 chr7:154673022:G:A rs12719719 G A G EBF1_EBF_1 4 1 - 6.77939606775037 2.4100091228054463 TTCCCCATGAGAAC chr7 154686692 154686693 chr7:154686693:G:A rs1463689 G A G EBF1_EBF_1 -6 0 - 0 0 . chr7 154696027 154696028 chr7:154696028:G:A rs730066 G A G EBF1_EBF_1 -13 0 + 0 0 . chr7 154720399 154720400 chr7:154720400:G:A rs729269 G A G EBF1_EBF_1 -6 0 + 0 0 . chr7 154804806 154804807 chr7:154804807:G:A rs2280659 G A G EBF1_EBF_1 26 0 - 0 0 . chr7 154832622 154832623 chr7:154832623:C:T rs61027511 C T C EBF1_EBF_1 -7 0 + 0 0 . chr7 154844638 154844639 chr7:154844639:G:A rs2316533 G A G EBF1_EBF_1 -7 0 + 0 0 . chr7 154864367 154864368 chr7:154864368:G:A rs71534170 G A G EBF1_EBF_1 0 1 - 4.431262178872213 4.713464239602791 CGTCCCATGGGCAG chr7 154866411 154866412 chr7:154866412:G:T rs4960630 G T G EBF1_EBF_1 22 0 - 0 0 . chr7 154892652 154892653 chr7:154892653:C:T rs76896340 C T C EBF1_EBF_1 5 1 + 5.341329047100973 0.019541831560303313 CTTCCCCGGGGTCA chr7 154898221 154898222 chr7:154898222:G:A rs551861298 G A G EBF1_EBF_1 8 1 + 5.180463366998041 0.9541732781117798 CTCCCCCCGGGAGA chr7 154899348 154899349 chr7:154899349:C:G rs7789809 C G C EBF1_EBF_1 -7 0 + 0 0 . chr7 154906000 154906001 chr7:154906001:T:C rs7800464 T C C EBF1_EBF_1 -14 0 + 0 0 . chr7 154910365 154910366 chr7:154910366:G:A rs11762141 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 154911623 154911624 chr7:154911624:G:T rs2037610 G T G EBF1_EBF_1 -12 0 + 0 0 . chr7 154911664 154911665 chr7:154911665:G:A rs11763195 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 154915338 154915339 chr7:154915339:A:G rs561056865 A G A EBF1_EBF_1 -15 0 + 0 0 . chr7 155002663 155002664 chr7:155002664:G:A rs182797102 G A G EBF1_EBF_1 10 1 + 5.775531516849784 0.45750305758281845 ACTCCCCCGGGCCC chr7 155059275 155059276 chr7:155059276:G:A rs79904389 G A G EBF1_EBF_1 -6 0 + 0 0 . chr7 155088527 155088528 chr7:155088528:C:T rs893112 C T T EBF1_EBF_1 25 0 + 0 0 . chr7 155103432 155103433 chr7:155103433:G:C rs1730141 G C G EBF1_EBF_1 10 1 + 9.501043501679264 2.6102411143497894 TCTCCCTGGGGACC chr7 155104154 155104155 chr7:155104155:A:G rs57365677 A G A EBF1_EBF_1 -3 0 - 0 0 . chr7 155118940 155118941 chr7:155118941:G:A rs1730160 G A G EBF1_EBF_1 2 1 - 10.395594974896138 12.284418386338288 ATCCCCAAGGGAAG chr7 155140031 155140032 chr7:155140032:G:A rs10229099 G A G EBF1_EBF_1 -11 0 + 0 0 . chr7 155146025 155146026 chr7:155146026:A:G rs6946862 A G G EBF1_EBF_1 -11 0 + 0 0 . chr7 155146051 155146052 chr7:155146052:A:G rs4386935 A G G EBF1_EBF_1 15 0 + 0 0 . chr7 155146064 155146065 chr7:155146065:T:C rs1730205 T C T EBF1_EBF_1 28 0 + 0 0 . chr7 155178160 155178161 chr7:155178161:C:T rs147000126 C T C EBF1_EBF_1 23 0 - 0 0 . chr7 155192369 155192370 chr7:155192370:T:C rs1730177 T C T EBF1_EBF_1 28 0 + 0 0 . chr7 155198271 155198272 chr7:155198272:G:A rs28664023 G A G EBF1_EBF_1 19 0 + 0 0 . chr7 155202155 155202156 chr7:155202156:T:C rs4960579 T C C EBF1_EBF_1 16 0 - 0 0 . chr7 155203577 155203578 chr7:155203578:C:T rs7805550 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 155203578 155203579 chr7:155203579:G:A rs73730194 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 155213924 155213925 chr7:155213925:C:G rs76177904 C G G EBF1_EBF_1 -5 0 - 0 0 . chr7 155218267 155218268 chr7:155218268:G:A rs117674832 G A G EBF1_EBF_1 18 0 - 0 0 . chr7 155228658 155228659 chr7:155228659:A:G rs12216597 A G N EBF1_EBF_1 6 1 + 7.222635001485464 5.0558405702109885 CCTCCCATGGGGCC chr7 155249542 155249543 chr7:155249543:G:A rs62471582 G A G EBF1_EBF_1 -15 0 + 0 0 . chr7 155251984 155251985 chr7:155251985:G:A rs4960713 G A A EBF1_EBF_1 27 0 - 0 0 . chr7 155258217 155258218 chr7:155258218:A:G rs4960590 A G N EBF1_EBF_1 -15 0 + 0 0 . chr7 155258247 155258248 chr7:155258248:G:A rs4960719 G A g EBF1_EBF_1 15 0 + 0 0 . chr7 155259734 155259735 chr7:155259735:T:C rs73176696 T C t EBF1_EBF_1 32 0 + 0 0 . chr7 155261837 155261838 chr7:155261838:G:A rs116271907 G A g EBF1_EBF_1 33 0 + 0 0 . chr7 155263083 155263084 chr7:155263084:A:C rs67596227 A C N EBF1_EBF_1 6 1 - 7.113659634509189 5.007181442174233 CACCCCTAGGGAAG chr7 155263244 155263245 chr7:155263245:A:C rs1394456294 A C - EBF1_EBF_1 6 1 - 7.113659634509189 5.007181442174233 CACCCCTAGGGAAG chr7 155263287 155263288 chr7:155263288:C:T rs7804786 C T - EBF1_EBF_1 -1 0 - 0 0 . chr7 155263320 155263321 chr7:155263321:G:A rs7790767 G A - EBF1_EBF_1 33 0 - 0 0 . chr7 155282954 155282955 chr7:155282955:C:T rs144326773 C T C EBF1_EBF_1 33 0 + 0 0 . chr7 155290752 155290753 chr7:155290753:C:T rs73485842 C T C EBF1_EBF_1 5 1 + 4.957357848707312 -0.364429366833358 AGTCCCGGAGGACA chr7 155295804 155295805 chr7:155295805:G:A rs187327732 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 155324911 155324912 chr7:155324912:C:T rs36174135 C T - EBF1_EBF_1 20 0 - 0 0 . chr7 155328753 155328754 chr7:155328754:C:A chr7:155328754:C:A C A - EBF1_EBF_1 -19 0 + 0 0 . chr7 155328753 155328754 chr7:155328754:C:T rs376243228 C T - EBF1_EBF_1 -19 0 + 0 0 . chr7 155338864 155338865 chr7:155338865:A:T rs10251741 A T A EBF1_EBF_1 24 0 + 0 0 . chr7 155339109 155339110 chr7:155339110:C:A rs10256748 C A C EBF1_EBF_1 33 0 - 0 0 . chr7 155340215 155340216 chr7:155340216:T:C rs191614749 T C T EBF1_EBF_1 -5 0 + 0 0 . chr7 155340421 155340422 chr7:155340422:G:A rs13236000 G A G EBF1_EBF_1 -12 0 - 0 0 . chr7 155341975 155341976 chr7:155341976:C:T rs6973712 C T C EBF1_EBF_1 7 1 + 4.894632030943676 6.712136777226299 CTCCCCCCGGGGCT chr7 155350162 155350163 chr7:155350163:G:A rs28594687 G A G EBF1_EBF_1 -6 0 - 0 0 . chr7 155353265 155353266 chr7:155353266:G:A rs6422759 G A G EBF1_EBF_1 -9 0 + 0 0 . chr7 155353288 155353289 chr7:155353289:C:G rs4076188 C G C EBF1_EBF_1 14 0 + 0 0 . chr7 155353599 155353600 chr7:155353600:C:T rs6605568 C T C EBF1_EBF_1 17 0 - 0 0 . chr7 155355267 155355268 chr7:155355268:G:A rs56043959 G A G EBF1_EBF_1 -2 0 + 0 0 . chr7 155357776 155357777 chr7:155357777:G:A rs3922604 G A G EBF1_EBF_1 -19 0 + 0 0 . chr7 155359965 155359966 chr7:155359966:G:A rs6949387 G A G EBF1_EBF_1 6 1 + 5.198780298859523 7.365574730133996 AATCCCGGGGGTCC chr7 155360232 155360233 chr7:155360233:C:T rs6945164 C T C EBF1_EBF_1 1 1 + 5.722508630967967 6.617942071366713 TCTCCCCAGGGCCA chr7 155361273 155361274 chr7:155361274:T:A rs114260459 T A T EBF1_EBF_1 0 1 - 8.381048063840089 6.407991640874066 ACTCCCCCGAGACT chr7 155383631 155383632 chr7:155383632:C:T rs77134441 C T C EBF1_EBF_1 -16 0 - 0 0 . chr7 155396254 155396255 chr7:155396255:A:G rs62482277 A G G EBF1_EBF_1 25 0 - 0 0 . chr7 155401850 155401851 chr7:155401851:C:T rs13241991 C T C EBF1_EBF_1 7 1 - 6.152002507984822 7.464460913273647 AATCACCGGGGAAT chr7 155403741 155403742 chr7:155403742:C:T rs73732603 C T c EBF1_EBF_1 14 0 + 0 0 . chr7 155404176 155404177 chr7:155404177:G:A rs71542563 G A G EBF1_EBF_1 16 0 - 0 0 . chr7 155418171 155418172 chr7:155418172:C:T rs60025316 C T C EBF1_EBF_1 -3 0 + 0 0 . chr7 155418890 155418891 chr7:155418891:C:T rs75951226 C T C EBF1_EBF_1 28 0 - 0 0 . chr7 155424557 155424558 chr7:155424558:G:A rs6459969 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 155432019 155432020 chr7:155432020:T:C rs61510607 T C T EBF1_EBF_1 -3 0 - 0 0 . chr7 155432022 155432023 chr7:155432023:G:C rs4716962 G C C EBF1_EBF_1 -6 0 - 0 0 . chr7 155440983 155440984 chr7:155440984:T:C rs6965955 T C T EBF1_EBF_1 6 1 + 6.0470622883372265 5.745776159475469 CACCCCTTGGGGCT chr7 155449632 155449633 chr7:155449633:G:A rs141025813 G A G EBF1_EBF_1 -19 0 - 0 0 . chr7 155465198 155465199 chr7:155465199:A:G rs1861958 A G A EBF1_EBF_1 13 1 + 8.133908081349885 7.019582509280446 TTCCCCTGGGGACA chr7 155471269 155471270 chr7:155471270:C:T rs34930362 C T C EBF1_EBF_1 21 0 - 0 0 . chr7 155471405 155471406 chr7:155471406:C:T rs184204868 C T C EBF1_EBF_1 -18 0 + 0 0 . chr7 155474141 155474142 chr7:155474142:C:T rs6951131 C T T EBF1_EBF_1 24 0 - 0 0 . chr7 155486847 155486848 chr7:155486848:C:T rs17837549 C T C EBF1_EBF_1 28 0 + 0 0 . chr7 155491043 155491044 chr7:155491044:G:A rs969920948 G A G EBF1_EBF_1 27 0 + 0 0 . chr7 155491046 155491047 chr7:155491047:C:T rs79175607 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 155507870 155507871 chr7:155507871:G:A rs6459705 G A G EBF1_EBF_1 15 0 + 0 0 . chr7 155515444 155515445 chr7:155515445:C:A rs11761204 C A C EBF1_EBF_1 5 1 + 6.464137040683196 -0.4407441119519718 CCCCCCATGGGAGA chr7 155532895 155532896 chr7:155532896:C:A rs62481208 C A A EBF1_EBF_1 12 1 + 6.3458431199475385 6.376024518368864 AGTCCCTGGGAACC chr7 155533585 155533586 chr7:155533586:G:A rs34356436 G A A EBF1_EBF_1 -18 0 + 0 0 . chr7 155533938 155533939 chr7:155533939:A:G rs1863038 A G G EBF1_EBF_1 18 0 + 0 0 . chr7 155543545 155543546 chr7:155543546:T:C rs113372868 T C T EBF1_EBF_1 20 0 - 0 0 . chr7 155545854 155545855 chr7:155545855:G:A rs2161881 G A A EBF1_EBF_1 4 1 - 5.31682331969302 0.9474363747480963 ATCCCGATGGGACT chr7 155559442 155559443 chr7:155559443:A:G rs6973429 A G G EBF1_EBF_1 7 1 - 5.3617802074652055 3.544275461182581 AGTCCCCTGTGACC chr7 155559446 155559447 chr7:155559447:G:T rs6951047 G T G EBF1_EBF_1 3 1 - 5.3617802074652055 -1.5443938220006015 AGTCCCCTGTGACC chr7 155559771 155559772 chr7:155559772:T:C rs10252132 T C C EBF1_EBF_1 -4 0 + 0 0 . chr7 155587657 155587658 chr7:155587658:T:A rs73733577 T A T EBF1_EBF_1 20 0 - 0 0 . chr7 155603452 155603453 chr7:155603453:C:T rs73165179 C T C EBF1_EBF_1 26 0 + 0 0 . chr7 155619199 155619200 chr7:155619200:T:C rs556180812 T C T EBF1_EBF_1 19 0 + 0 0 . chr7 155732852 155732853 chr7:155732853:A:G rs6948930 A G G EBF1_EBF_1 13 1 + 4.391478434106329 3.27715286203689 GCTGCCCAGGGACA chr7 155738259 155738260 chr7:155738260:T:C rs2178428 T C C EBF1_EBF_1 31 0 + 0 0 . chr7 155743823 155743824 chr7:155743824:T:G rs67030275 T G T EBF1_EBF_1 6 1 + 4.621034207310064 2.5145560149751067 GGCCCCTTGGGTCC chr7 155764496 155764497 chr7:155764497:C:T rs116794934 C T C EBF1_EBF_1 9 1 - 6.916418747784718 4.261479482337114 AATCCCCAGGGTTA chr7 155782776 155782777 chr7:155782777:C:T rs35649643 C T C EBF1_EBF_1 12 1 + 4.3259809981679505 3.2997144276171007 CCTCCCCAGGGCCG chr7 155803896 155803897 chr7:155803897:C:G rs73735499 C G C EBF1_EBF_1 -10 0 + 0 0 . chr7 155818716 155818717 chr7:155818717:G:C rs71536012 G C G EBF1_EBF_1 6 1 - 7.234756422986033 5.429564359512834 TCCCCCCAGGGAGC chr7 155821005 155821006 chr7:155821006:G:A rs146620404 G A G EBF1_EBF_1 26 0 - 0 0 . chr7 155833778 155833779 chr7:155833779:A:G rs34160780 A G A EBF1_EBF_1 -4 0 + 0 0 . chr7 155852697 155852698 chr7:155852698:C:T rs922228 C T C EBF1_EBF_1 12 1 + 6.013808929936265 4.987542359385415 ACCCCCTGGGGCCT chr7 155861088 155861089 chr7:155861089:T:G rs1731843 T G G EBF1_EBF_1 30 0 - 0 0 . chr7 155863176 155863177 chr7:155863177:C:T rs34652818 C T C EBF1_EBF_1 -6 0 - 0 0 . chr7 155863177 155863178 chr7:155863178:G:A rs73737226 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 155863908 155863909 chr7:155863909:A:G rs10261156 A G G EBF1_EBF_1 25 0 - 0 0 . chr7 155869965 155869966 chr7:155869966:G:A rs73169163 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 155870016 155870017 chr7:155870017:G:C rs11760842 G C G EBF1_EBF_1 -19 0 - 0 0 . chr7 155882682 155882683 chr7:155882683:G:A rs564095255 G A G EBF1_EBF_1 14 0 - 0 0 . chr7 155889864 155889865 chr7:155889865:T:C rs7780925 T C C EBF1_EBF_1 2 1 + 4.28170065767369 2.39287724623154 AGTCCCCTGGCATC chr7 155900574 155900575 chr7:155900575:C:T rs3857649 C T C EBF1_EBF_1 28 0 + 0 0 . chr7 155920374 155920375 chr7:155920375:C:T rs73169200 C T C EBF1_EBF_1 12 1 + 6.963561424485768 5.937294853934918 CCTCCCCAGGGGCA chr7 155954223 155954224 chr7:155954224:C:T rs558170023 C T C EBF1_EBF_1 -19 0 + 0 0 . chr7 155954253 155954254 chr7:155954254:C:T rs117601792 C T C EBF1_EBF_1 11 1 + 4.645673937254161 4.963721379007826 CTCCCCTTGGGCCC chr7 155954704 155954705 chr7:155954705:G:A rs77738460 G A G EBF1_EBF_1 -7 0 - 0 0 . chr7 155956461 155956462 chr7:155956462:T:C rs76290029 T C T EBF1_EBF_1 23 0 + 0 0 . chr7 155961890 155961891 chr7:155961891:G:C chr7:155961891:G:C G C G EBF1_EBF_1 17 0 - 0 0 . chr7 155961927 155961928 chr7:155961928:T:G rs779743133 T G T EBF1_EBF_1 -20 0 - 0 0 . chr7 155969118 155969119 chr7:155969119:G:A rs10949791 G A G EBF1_EBF_1 -1 0 + 0 0 . chr7 155969146 155969147 chr7:155969147:C:T rs12113746 C T C EBF1_EBF_1 6 1 - 5.588775910457027 7.7555703417315005 ATCCCCGAGGGTCC chr7 155980293 155980294 chr7:155980294:T:A rs10268958 T A T EBF1_EBF_1 -13 0 + 0 0 . chr7 155984155 155984156 chr7:155984156:A:C rs79819235 A C A EBF1_EBF_1 13 1 + 5.515306306917237 5.889051756203213 CCTCCCATAGGACA chr7 155984171 155984172 chr7:155984172:G:C rs13231039 G C G EBF1_EBF_1 29 0 + 0 0 . chr7 155994624 155994625 chr7:155994625:T:C rs746796281 T C T EBF1_EBF_1 19 0 + 0 0 . chr7 155994631 155994632 chr7:155994632:A:G rs10243047 A G G EBF1_EBF_1 26 0 + 0 0 . chr7 155998516 155998517 chr7:155998517:T:A rs7788581 T A A EBF1_EBF_1 13 1 + 4.938980299553877 3.7207407356823827 GCTCCCAGGAGGCT chr7 155998807 155998808 chr7:155998808:C:G rs7777470 C G G EBF1_EBF_1 6 1 - 4.143478866620395 5.948670930093592 CCTCCCGAGGGGGC chr7 156001129 156001130 chr7:156001130:C:T rs113913681 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 156029393 156029394 chr7:156029394:C:T rs10235613 C T C EBF1_EBF_1 17 0 + 0 0 . chr7 156038477 156038478 chr7:156038478:C:G rs57426520 C G C EBF1_EBF_1 -20 0 + 0 0 . chr7 156038494 156038495 chr7:156038495:T:G rs11773897 T G T EBF1_EBF_1 -3 0 + 0 0 . chr7 156041725 156041726 chr7:156041726:G:A rs60127361 G A A EBF1_EBF_1 17 0 - 0 0 . chr7 156041993 156041994 chr7:156041994:T:C rs73481159 T C C EBF1_EBF_1 24 0 + 0 0 . chr7 156057144 156057145 chr7:156057145:G:A rs116446577 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 156074858 156074859 chr7:156074859:G:A rs56181358 G A G EBF1_EBF_1 -10 0 + 0 0 . chr7 156077339 156077340 chr7:156077340:A:G rs960313 A G A EBF1_EBF_1 -19 0 - 0 0 . chr7 156091809 156091810 chr7:156091810:C:A rs7812066 C A A EBF1_EBF_1 24 0 - 0 0 . chr7 156095461 156095462 chr7:156095462:T:C rs734683 T C C EBF1_EBF_1 -1 0 - 0 0 . chr7 156097397 156097398 chr7:156097398:G:A rs113341026 G A G EBF1_EBF_1 8 1 + 8.12258539436896 3.896295305482699 AGTCCCTGGGGCAT chr7 156106115 156106116 chr7:156106116:C:T rs6951462 C T C EBF1_EBF_1 8 1 - 6.482519641731339 2.256229552845077 ACTGCCTTGGGACC chr7 156109947 156109948 chr7:156109948:C:T rs12535907 C T C EBF1_EBF_1 21 0 - 0 0 . chr7 156139800 156139801 chr7:156139801:G:A rs13238349 G A G EBF1_EBF_1 -14 0 + 0 0 . chr7 156153134 156153135 chr7:156153135:A:G rs66605026 A G A EBF1_EBF_1 11 1 + 7.3910951260014235 4.498388282397412 AGTCCCATGAGATG chr7 156157054 156157055 chr7:156157055:C:T rs114422793 C T C EBF1_EBF_1 26 0 + 0 0 . chr7 156157055 156157056 chr7:156157056:G:A rs116652593 G A G EBF1_EBF_1 27 0 + 0 0 . chr7 156162778 156162779 chr7:156162779:G:A rs374909176 G A G EBF1_EBF_1 12 1 - 5.3217681031854 4.2955015326345505 TGCCCCGGGGGACA chr7 156168554 156168555 chr7:156168555:G:A rs61355269 G A G EBF1_EBF_1 18 0 - 0 0 . chr7 156169701 156169702 chr7:156169702:T:C rs59102432 T C C EBF1_EBF_1 0 1 - 7.44742075892918 5.555136889364346 AGCCCCCTGGGGAC chr7 156173883 156173884 chr7:156173884:C:T rs1181921 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 156180691 156180692 chr7:156180692:T:C rs375101309 T C T EBF1_EBF_1 33 0 - 0 0 . chr7 156193678 156193679 chr7:156193679:C:A rs1882457 C A C EBF1_EBF_1 -9 0 + 0 0 . chr7 156219496 156219497 chr7:156219497:G:A rs1045930685 G A G EBF1_EBF_1 23 0 + 0 0 . chr7 156219621 156219622 chr7:156219622:T:C rs34537459 T C T EBF1_EBF_1 22 0 - 0 0 . chr7 156224125 156224126 chr7:156224126:G:T rs6974523 G T G EBF1_EBF_1 33 0 - 0 0 . chr7 156361292 156361293 chr7:156361293:C:T rs113335692 C T C EBF1_EBF_1 8 1 - 5.434209821908735 1.2079197330224734 CCTCCCGTGGGATG chr7 156366583 156366584 chr7:156366584:A:G rs10266659 A G G EBF1_EBF_1 -12 0 + 0 0 . chr7 156366625 156366626 chr7:156366626:C:G rs117254232 C G C EBF1_EBF_1 30 0 + 0 0 . chr7 156382585 156382586 chr7:156382586:G:T rs144623947 G T G EBF1_EBF_1 1 1 - 4.375295028304618 4.304367850952533 ACCCCCGAGGGCCC chr7 156384482 156384483 chr7:156384483:C:T rs56288411 C T C EBF1_EBF_1 1 1 - 9.095183885171448 8.834485053250146 CGTCCCAGGGGACA chr7 156384483 156384484 chr7:156384484:G:A rs562721554 G A G EBF1_EBF_1 0 1 - 9.095183885171448 9.377385945902024 CGTCCCAGGGGACA chr7 156439395 156439396 chr7:156439396:C:T rs7786076 C T C EBF1_EBF_1 17 0 - 0 0 . chr7 156439619 156439620 chr7:156439620:A:C rs13242381 A C C EBF1_EBF_1 11 1 + 5.032083704539722 0.6161220066873323 GCTTCCCAGGGACT chr7 156455672 156455673 chr7:156455673:C:T rs143258412 C T C EBF1_EBF_1 -9 0 - 0 0 . chr7 156463813 156463814 chr7:156463814:G:A rs1524429 G A g EBF1_EBF_1 5 1 - 5.8194458131087075 0.49765859756803704 AATCCCGAGGGCCA chr7 156503365 156503366 chr7:156503366:G:A rs2177559 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 156516200 156516201 chr7:156516201:G:A rs11768221 G A G EBF1_EBF_1 14 0 - 0 0 . chr7 156559642 156559643 chr7:156559643:C:A rs13236262 C A A EBF1_EBF_1 4 1 + 5.1750126296001175 -0.64954699385335 AGCCCCTGAGGACA chr7 156570040 156570041 chr7:156570041:G:A rs849095 G A G EBF1_EBF_1 -15 0 + 0 0 . chr7 156572824 156572825 chr7:156572825:G:A rs7809983 G A g EBF1_EBF_1 14 0 + 0 0 . chr7 156579335 156579336 chr7:156579336:C:T rs140033866 C T C EBF1_EBF_1 15 0 - 0 0 . chr7 156601423 156601424 chr7:156601424:C:T rs55795115 C T C EBF1_EBF_1 -10 0 - 0 0 . chr7 156611084 156611085 chr7:156611085:A:G rs55789195 A G A EBF1_EBF_1 -3 0 - 0 0 . chr7 156668473 156668474 chr7:156668474:C:T rs369888684 C T C EBF1_EBF_1 32 0 + 0 0 . chr7 156676061 156676062 chr7:156676062:T:G rs3823615 T G g EBF1_EBF_1 2 1 + 5.4048225990736105 -1.343503091126857 AGTCCCGGGGTAAC chr7 156697534 156697535 chr7:156697535:T:G rs184079730 T G T EBF1_EBF_1 -20 0 + 0 0 . chr7 156797009 156797010 chr7:156797010:G:A rs112735543 G A G EBF1_EBF_1 10 1 + 6.378281593096399 1.0602531338294323 AATCCCATGAGGCA chr7 156811874 156811875 chr7:156811875:G:A rs58681226 G A G EBF1_EBF_1 12 1 - 8.303829730485347 7.277563159934497 CTTCCCTGGAGACT chr7 156821078 156821079 chr7:156821079:C:T rs559337989 C T C EBF1_EBF_1 8 1 - 6.515517325984519 2.2892272370982583 GGTCCCATGGTAAC chr7 156892579 156892580 chr7:156892580:G:A rs531926995 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 156893591 156893592 chr7:156893592:C:T rs757929822 C T C EBF1_EBF_1 21 0 - 0 0 . chr7 156903880 156903881 chr7:156903881:G:A rs144508570 G A G EBF1_EBF_1 7 1 - 6.22928595860424 8.046790704886863 AGCCCCACGAGAAC chr7 156927695 156927696 chr7:156927696:C:T rs80344848 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 156928351 156928352 chr7:156928352:C:T rs9886186 C T C EBF1_EBF_1 -16 0 + 0 0 . chr7 156934750 156934751 chr7:156934751:G:A rs75396211 G A A EBF1_EBF_1 -16 0 + 0 0 . chr7 156935604 156935605 chr7:156935605:C:T rs10260163 C T C EBF1_EBF_1 12 1 + 8.41766233454703 7.391395763996182 GGCCCCCTGGGACC chr7 156942812 156942813 chr7:156942813:A:G rs57832460 A G a EBF1_EBF_1 21 0 - 0 0 . chr7 156943594 156943595 chr7:156943595:C:T rs114308821 C T C EBF1_EBF_1 6 1 + 4.736068404185165 5.037354533046921 GATCCCCGGGAACT chr7 156949224 156949225 chr7:156949225:T:C rs115048824 T C T EBF1_EBF_1 -2 0 - 0 0 . chr7 156961384 156961385 chr7:156961385:G:C rs55687578 G C G EBF1_EBF_1 -13 0 - 0 0 . chr7 157002630 157002631 chr7:157002631:C:G rs73741908 C G C EBF1_EBF_1 1 1 - 4.907684733321027 4.71791307875181 TGTCCCCTGGAACC chr7 157002774 157002775 chr7:157002775:T:C rs114724917 T C T EBF1_EBF_1 6 1 - 6.317470298809303 4.150675867534828 TCCCCCACGGGACA chr7 157005287 157005288 chr7:157005288:C:T rs66587043 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 157005303 157005304 chr7:157005304:T:G rs116769834 T G T EBF1_EBF_1 30 0 + 0 0 . chr7 157005305 157005306 chr7:157005306:A:T rs114585602 A T A EBF1_EBF_1 32 0 + 0 0 . chr7 157010581 157010582 chr7:157010582:C:T rs540322947 C T C EBF1_EBF_1 15 0 + 0 0 . chr7 157039685 157039686 chr7:157039686:C:G rs61137735 C G G EBF1_EBF_1 -19 0 + 0 0 . chr7 157075933 157075934 chr7:157075934:T:A rs148056284 T A T EBF1_EBF_1 -20 0 - 0 0 . chr7 157096993 157096994 chr7:157096994:C:T rs73174343 C T T EBF1_EBF_1 33 0 + 0 0 . chr7 157142759 157142760 chr7:157142760:A:G rs6459734 A G G EBF1_EBF_1 11 1 + 7.86473712256311 4.972030278959099 ACTCCCCGGGTAAT chr7 157233938 157233939 chr7:157233939:G:A rs1182442 G A G EBF1_EBF_1 3 1 - 6.506920181323455 0.10152143977013889 AGTCACCAGGGAAA chr7 157233955 157233956 chr7:157233956:A:G rs1182441 A G A EBF1_EBF_1 -14 0 - 0 0 . chr7 157261072 157261073 chr7:157261073:C:T rs3779593 C T C EBF1_EBF_1 -6 0 + 0 0 . chr7 157272268 157272269 chr7:157272269:G:C rs549046697 G C G EBF1_EBF_1 5 1 - 7.798123026406298 0.8932418737711303 AACCCCTAGAGACA chr7 157276133 157276134 chr7:157276134:C:T rs7779491 C T C EBF1_EBF_1 -9 0 - 0 0 . chr7 157289722 157289723 chr7:157289723:G:A rs6962298 G A G EBF1_EBF_1 24 0 - 0 0 . chr7 157297184 157297185 chr7:157297185:G:C rs2527869 G C C EBF1_EBF_1 10 1 + 6.583841041456025 -0.30696134587345 TTCCCCTAGGGGAA chr7 157297230 157297231 chr7:157297231:T:C rs2527868 T C C EBF1_EBF_1 9 1 + 6.3619437774169585 8.123244039160305 ATTCCCCAGTGATT chr7 157300499 157300500 chr7:157300500:A:G rs73494932 A G A EBF1_EBF_1 -10 0 - 0 0 . chr7 157323443 157323444 chr7:157323444:C:G rs6946185 C G C EBF1_EBF_1 11 1 - 5.132212412245777 3.6089575579973987 AGCCCCAGGAGGCT chr7 157336384 157336385 chr7:157336385:C:T rs114558102 C T T EBF1_EBF_1 15 0 - 0 0 . chr7 157357983 157357984 chr7:157357984:G:A rs12698050 G A A EBF1_EBF_1 33 0 - 0 0 . chr7 157360367 157360368 chr7:157360368:A:G rs533879160 A G A EBF1_EBF_1 19 0 + 0 0 . chr7 157360376 157360377 chr7:157360377:G:T rs1232906410 G T G EBF1_EBF_1 28 0 + 0 0 . chr7 157402595 157402596 chr7:157402596:G:A rs12698051 G A G EBF1_EBF_1 31 0 - 0 0 . chr7 157402615 157402616 chr7:157402616:T:C rs4716473 T C T EBF1_EBF_1 11 1 - 9.753739477288194 6.861032633684185 CCTCCCCTGGGACC chr7 157402627 157402628 chr7:157402628:G:T rs4716718 G T G EBF1_EBF_1 -1 0 - 0 0 . chr7 157403422 157403423 chr7:157403423:G:A rs10243294 G A A EBF1_EBF_1 8 1 + 5.617772931161857 1.3914828422755958 AGCCCCACGGGGAA chr7 157405671 157405672 chr7:157405672:G:A rs184899519 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 157407351 157407352 chr7:157407352:C:T rs17837757 C T c EBF1_EBF_1 7 1 - 5.427208453054611 6.739666858343437 CTTCCCCGGGGCAC chr7 157424142 157424143 chr7:157424143:G:A rs13307078 G A G EBF1_EBF_1 7 1 - 4.995618205374489 6.813122951657112 AATCCCACGGGTGC chr7 157424646 157424647 chr7:157424647:T:A rs4716721 T A T EBF1_EBF_1 2 1 + 7.390847648620276 3.706380061021397 GATCCCCTGAGACC chr7 157424647 157424648 chr7:157424648:C:T rs4716476 C T C EBF1_EBF_1 3 1 + 7.390847648620276 0.9854489070669579 GATCCCCTGAGACC chr7 157428348 157428349 chr7:157428349:C:T rs78766557 C T T EBF1_EBF_1 -11 0 - 0 0 . chr7 157432443 157432444 chr7:157432444:T:C rs6948652 T C C EBF1_EBF_1 -5 0 + 0 0 . chr7 157439183 157439184 chr7:157439184:T:C rs13311459 T C - EBF1_EBF_1 25 0 + 0 0 . chr7 157445496 157445497 chr7:157445497:G:A rs56808516 G A G EBF1_EBF_1 -9 0 - 0 0 . chr7 157474923 157474924 chr7:157474924:C:T rs75267865 C T C EBF1_EBF_1 -19 0 + 0 0 . chr7 157483540 157483541 chr7:157483541:C:T rs550239902 C T C EBF1_EBF_1 -7 0 - 0 0 . chr7 157484620 157484621 chr7:157484621:T:C rs78421997 T C C EBF1_EBF_1 -18 0 - 0 0 . chr7 157502318 157502319 chr7:157502319:G:C rs570721798 G C G EBF1_EBF_1 -10 0 - 0 0 . chr7 157508225 157508226 chr7:157508226:G:A rs118189495 G A G EBF1_EBF_1 -13 0 + 0 0 . chr7 157515727 157515728 chr7:157515728:G:A rs11770979 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 157520039 157520040 chr7:157520040:T:C rs79748379 T C T EBF1_EBF_1 24 0 - 0 0 . chr7 157522067 157522068 chr7:157522068:T:C rs10263303 T C C EBF1_EBF_1 1 1 - 4.6942967060402205 4.954995537961522 GATGCCCAGGGACC chr7 157540681 157540682 chr7:157540682:G:A rs1122307 G A G EBF1_EBF_1 -4 0 + 0 0 . chr7 157575429 157575430 chr7:157575430:C:T rs79421961 C T C EBF1_EBF_1 -4 0 - 0 0 . chr7 157613019 157613020 chr7:157613020:G:A rs541103023 G A G EBF1_EBF_1 -1 0 - 0 0 . chr7 157613792 157613793 chr7:157613793:G:C rs10260609 G C G EBF1_EBF_1 -17 0 + 0 0 . chr7 157620890 157620891 chr7:157620891:G:C rs10267847 G C C EBF1_EBF_1 7 1 + 9.762049426672448 8.780728845591092 CCTCCCCGGGGACT chr7 157644891 157644892 chr7:157644892:C:T rs1638020 C T C EBF1_EBF_1 5 1 + 4.294261224637448 -1.0275259909032222 CCACCCGTGGGAAC chr7 157644910 157644911 chr7:157644911:C:T rs181785678 C T C EBF1_EBF_1 24 0 + 0 0 . chr7 157659337 157659338 chr7:157659338:C:T rs111455054 C T - EBF1_EBF_1 -10 0 - 0 0 . chr7 157663342 157663343 chr7:157663343:T:C rs1263567 T C C EBF1_EBF_1 6 1 - 5.788810820855888 3.6220163895814137 CTTCCCAGGGGCAC chr7 157663652 157663653 chr7:157663653:C:T rs6965223 C T C EBF1_EBF_1 24 0 - 0 0 . chr7 157663685 157663686 chr7:157663686:G:A rs74437980 G A G EBF1_EBF_1 -9 0 - 0 0 . chr7 157667765 157667766 chr7:157667766:T:A rs1978229 T A T EBF1_EBF_1 21 0 + 0 0 . chr7 157673471 157673472 chr7:157673472:C:T rs143726993 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 157674953 157674954 chr7:157674954:G:A rs73163860 G A G EBF1_EBF_1 32 0 + 0 0 . chr7 157676566 157676567 chr7:157676567:G:A rs146635543 G A G EBF1_EBF_1 10 1 + 6.684654200187816 1.3666257409208495 AGACCCACGGGACA chr7 157692472 157692473 chr7:157692473:T:G rs1638006 T G T EBF1_EBF_1 32 0 + 0 0 . chr7 157708419 157708420 chr7:157708420:G:A rs11768569 G A G EBF1_EBF_1 -4 0 + 0 0 . chr7 157712141 157712142 chr7:157712142:G:A rs73516851 G A G EBF1_EBF_1 22 0 - 0 0 . chr7 157712404 157712405 chr7:157712405:G:A rs115645793 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 157713637 157713638 chr7:157713638:A:C rs117745136 A C A EBF1_EBF_1 23 0 + 0 0 . chr7 157714760 157714761 chr7:157714761:C:T rs10234907 C T C EBF1_EBF_1 13 1 + 10.623169729928847 11.467663844514366 GCTCCCCAGGGAAC chr7 157714919 157714920 chr7:157714920:A:G rs10250276 A G A EBF1_EBF_1 27 0 + 0 0 . chr7 157714982 157714983 chr7:157714983:G:A rs936883759 G A G EBF1_EBF_1 17 0 + 0 0 . chr7 157719013 157719014 chr7:157719014:G:A rs2907679 G A G EBF1_EBF_1 20 0 - 0 0 . chr7 157725458 157725459 chr7:157725459:G:T rs199845280 G T - EBF1_EBF_1 30 0 + 0 0 . chr7 157738210 157738211 chr7:157738211:C:G rs117062117 C G C EBF1_EBF_1 9 1 - 4.651664414991859 -0.4240247313536061 GTCCCCCTGGGTCA chr7 157741388 157741389 chr7:157741389:A:T rs79976925 A T A EBF1_EBF_1 6 1 + 4.628791645312598 4.568475406373077 CCTCCCATGGGTGC chr7 157741412 157741413 chr7:157741413:C:T rs10949662 C T C EBF1_EBF_1 30 0 + 0 0 . chr7 157746193 157746194 chr7:157746194:G:C rs549679909 G C - EBF1_EBF_1 -9 0 + 0 0 . chr7 157786585 157786586 chr7:157786586:G:A rs4716779 G A G EBF1_EBF_1 33 0 + 0 0 . chr7 157792417 157792418 chr7:157792418:A:G rs4716497 A G a EBF1_EBF_1 -20 0 + 0 0 . chr7 157801653 157801654 chr7:157801654:A:C rs7803301 A C A EBF1_EBF_1 6 1 - 8.710432031054582 6.603953838719627 TTTCCCTAGAGAAA chr7 157812266 157812267 chr7:157812267:G:A rs566134312 G A G EBF1_EBF_1 -5 0 - 0 0 . chr7 157840888 157840889 chr7:157840889:G:A rs188415990 G A G EBF1_EBF_1 -12 0 + 0 0 . chr7 157841905 157841906 chr7:157841906:G:C rs74741583 G C G EBF1_EBF_1 6 1 - 8.024327378711002 6.219135315237803 AGTCCCCGGGTACT chr7 157843555 157843556 chr7:157843556:T:C rs10247346 T C T EBF1_EBF_1 31 0 - 0 0 . chr7 157873349 157873350 chr7:157873350:A:T rs73165878 A T A EBF1_EBF_1 -10 0 + 0 0 . chr7 157875706 157875707 chr7:157875707:T:C rs6957178 T C C EBF1_EBF_1 -18 0 + 0 0 . chr7 157877085 157877086 chr7:157877086:G:C rs6976276 G C - EBF1_EBF_1 20 0 + 0 0 . chr7 157896082 157896083 chr7:157896083:C:T rs13223831 C T C EBF1_EBF_1 -2 0 - 0 0 . chr7 157898297 157898298 chr7:157898298:G:A rs67872903 G A A EBF1_EBF_1 1 1 + 5.832977658237759 5.572278826316458 AGTCCCAGGAGGAA chr7 157915422 157915423 chr7:157915423:A:G rs4282442 A G G EBF1_EBF_1 7 1 - 6.632625569107041 4.815120822824417 TTTCCCTTAGGACA chr7 157915449 157915450 chr7:157915450:G:A rs112991962 G A A EBF1_EBF_1 -20 0 - 0 0 . chr7 157926940 157926941 chr7:157926941:A:G rs113058215 A G a EBF1_EBF_1 29 0 + 0 0 . chr7 157926986 157926987 chr7:157926987:G:C rs111961085 G C G EBF1_EBF_1 15 0 + 0 0 . chr7 157931588 157931589 chr7:157931589:A:C rs147058438 A C A EBF1_EBF_1 16 0 - 0 0 . chr7 157951449 157951450 chr7:157951450:C:G rs1560952 C G G EBF1_EBF_1 6 1 + 4.326868319945717 2.521676256472519 AGTCCCCGGAGGCG chr7 157952854 157952855 chr7:157952855:G:C rs4019375 G C C EBF1_EBF_1 -1 0 + 0 0 . chr7 157953420 157953421 chr7:157953421:C:G rs550928553 C G C EBF1_EBF_1 25 0 + 0 0 . chr7 157968914 157968915 chr7:157968915:G:A rs76630763 G A G EBF1_EBF_1 12 1 + 5.1146342554022795 6.533451597501757 TTTCCCATGGGCGA chr7 157971816 157971817 chr7:157971817:C:T rs1434989 C T C EBF1_EBF_1 6 1 + 9.97093460916609 10.272220738027848 ACTCCCCAGGGATG chr7 157975131 157975132 chr7:157975132:G:A rs10240447 G A G EBF1_EBF_1 23 0 + 0 0 . chr7 157976596 157976597 chr7:157976597:C:A rs34424588 C A C EBF1_EBF_1 -7 0 + 0 0 . chr7 157990381 157990382 chr7:157990382:G:A rs951505968 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 158003983 158003984 chr7:158003984:T:C rs6459827 T C C EBF1_EBF_1 -2 0 - 0 0 . chr7 158015125 158015126 chr7:158015126:G:A rs892736 G A A EBF1_EBF_1 31 0 - 0 0 . chr7 158019108 158019109 chr7:158019109:T:C rs71543628 T C T EBF1_EBF_1 1 1 + 4.715333746180176 3.81990030578143 ATCCCCCACGGAAG chr7 158024631 158024632 chr7:158024632:C:T rs9691095 C T C EBF1_EBF_1 15 0 - 0 0 . chr7 158035571 158035572 chr7:158035572:T:C rs7456472 T C T EBF1_EBF_1 19 0 + 0 0 . chr7 158044664 158044665 chr7:158044665:G:A rs7783724 G A G EBF1_EBF_1 33 0 - 0 0 . chr7 158051009 158051010 chr7:158051010:G:A rs543358595 G A g EBF1_EBF_1 7 1 + 5.05131526852272 6.363773673811545 CCACCCCGGGGATT chr7 158051396 158051397 chr7:158051397:A:G rs549564832 A G A EBF1_EBF_1 6 1 + 5.4806333805881735 3.3138389493136984 ACTCCCAAGGAGCT chr7 158054024 158054025 chr7:158054025:T:C rs113542541 T C - EBF1_EBF_1 21 0 + 0 0 . chr7 158055835 158055836 chr7:158055836:A:C rs73171546 A C T EBF1_EBF_1 -13 0 + 0 0 . chr7 158056286 158056287 chr7:158056287:G:A rs73171551 G A A EBF1_EBF_1 -3 0 + 0 0 . chr7 158056305 158056306 chr7:158056306:T:C rs73748005 T C C EBF1_EBF_1 16 0 + 0 0 . chr7 158057871 158057872 chr7:158057872:C:A rs79855297 C A C EBF1_EBF_1 19 0 + 0 0 . chr7 158061398 158061399 chr7:158061399:G:C rs117779046 G C G EBF1_EBF_1 3 1 - 5.696438875409734 -0.13132557270548118 ATTCCCTCGGAACA chr7 158064842 158064843 chr7:158064843:C:T rs1806608 C T C EBF1_EBF_1 17 0 - 0 0 . chr7 158072735 158072736 chr7:158072736:C:T rs112566316 C T C EBF1_EBF_1 22 0 + 0 0 . chr7 158078851 158078852 chr7:158078852:C:G rs12698135 C G c EBF1_EBF_1 -10 0 - 0 0 . chr7 158098314 158098315 chr7:158098315:C:G rs73748058 C G C EBF1_EBF_1 8 1 - 8.841377773697603 3.5227189127829166 CCTCCCCAGGGAGC chr7 158110785 158110786 chr7:158110786:A:G rs3800861 A G G EBF1_EBF_1 -20 0 + 0 0 . chr7 158110811 158110812 chr7:158110812:C:T rs3800860 C T C EBF1_EBF_1 6 1 + 4.313915738269005 4.615201867130763 AAACCCCAGGGCCC chr7 158114701 158114702 chr7:158114702:C:T rs11761540 C T C EBF1_EBF_1 25 0 + 0 0 . chr7 158126693 158126694 chr7:158126694:C:T rs111918830 C T C EBF1_EBF_1 -9 0 + 0 0 . chr7 158129648 158129649 chr7:158129649:G:C rs56299768 G C G EBF1_EBF_1 4 1 - 5.243452785391873 -0.38231231575767194 CCTCCCTAGGAAAC chr7 158138640 158138641 chr7:158138641:G:T rs114663881 G T g EBF1_EBF_1 -19 0 - 0 0 . chr7 158142111 158142112 chr7:158142112:G:T rs571805356 G T G EBF1_EBF_1 5 1 - 6.314560738814721 -0.5903204138204476 AGCCCCCAGGGTCA chr7 158142128 158142129 chr7:158142129:G:A rs73745146 G A A EBF1_EBF_1 -12 0 - 0 0 . chr7 158160244 158160245 chr7:158160245:T:C rs11770806 T C T EBF1_EBF_1 -1 0 - 0 0 . chr7 158166813 158166814 chr7:158166814:T:C rs10236479 T C T EBF1_EBF_1 9 1 - 4.674028504071885 7.328967769519489 ACTCCCCCGAGGAC chr7 158186305 158186306 chr7:158186306:A:G rs7809921 A G G EBF1_EBF_1 16 0 - 0 0 . chr7 158189054 158189055 chr7:158189055:A:C rs10260462 A C A EBF1_EBF_1 -11 0 - 0 0 . chr7 158239249 158239250 chr7:158239250:A:G rs73746440 A G G EBF1_EBF_1 13 1 + 6.077385402947141 4.963059830877702 TTCCCCTTGGGGCA chr7 158261323 158261324 chr7:158261324:G:T rs11970802 G T T EBF1_EBF_1 28 0 - 0 0 . chr7 158265970 158265971 chr7:158265971:C:T rs4909162 C T C EBF1_EBF_1 -2 0 + 0 0 . chr7 158270562 158270563 chr7:158270563:A:G rs140411752 A G A EBF1_EBF_1 -13 0 + 0 0 . chr7 158272234 158272235 chr7:158272235:C:T rs4909165 C T C EBF1_EBF_1 12 1 + 7.004370436473827 5.978103865922978 AGCCCCCCGGGACG chr7 158272235 158272236 chr7:158272236:G:A rs113813906 G A G EBF1_EBF_1 13 1 + 7.004370436473827 8.118696008543266 AGCCCCCCGGGACG chr7 158272245 158272246 chr7:158272246:C:T rs113450793 C T G EBF1_EBF_1 23 0 + 0 0 . chr7 158275109 158275110 chr7:158275110:G:A rs118174386 G A G EBF1_EBF_1 14 0 + 0 0 . chr7 158277055 158277056 chr7:158277056:G:A rs10244739 G A G EBF1_EBF_1 22 0 + 0 0 . chr7 158313293 158313294 chr7:158313294:T:G rs75858616 T G T EBF1_EBF_1 13 1 + 5.879399827959611 3.5468346920186784 CATCCCCAGGGTTT chr7 158325979 158325980 chr7:158325980:G:A rs71545585 G A G EBF1_EBF_1 15 0 - 0 0 . chr7 158325980 158325981 chr7:158325981:C:T rs10250985 C T C EBF1_EBF_1 14 0 - 0 0 . chr7 158327793 158327794 chr7:158327794:G:A rs7782393 G A G EBF1_EBF_1 0 1 + 4.104975848587988 5.997259718152822 GGTGCCCTGGGACA chr7 158328675 158328676 chr7:158328676:T:A rs56389048 T A A EBF1_EBF_1 30 0 - 0 0 . chr7 158342877 158342878 chr7:158342878:G:T rs62493650 G T G EBF1_EBF_1 29 0 - 0 0 . chr7 158342899 158342900 chr7:158342900:T:C rs62493651 T C C EBF1_EBF_1 7 1 - 7.705710598760437 6.3932521934716116 AGCCCCTAAGGACT chr7 158344809 158344810 chr7:158344810:C:T rs62493653 C T C EBF1_EBF_1 0 1 - 5.890524048136792 7.782807917701624 GGTCCCCTGGGCCC chr7 158372133 158372134 chr7:158372134:T:A rs7797114 T A A EBF1_EBF_1 18 0 - 0 0 . chr7 158372136 158372137 chr7:158372137:G:C rs7809617 G C C EBF1_EBF_1 15 0 - 0 0 . chr7 158378320 158378321 chr7:158378321:G:A rs140114438 G A G EBF1_EBF_1 -16 0 + 0 0 . chr7 158447483 158447484 chr7:158447484:G:A rs58312731 G A G EBF1_EBF_1 32 0 - 0 0 . chr7 158458892 158458893 chr7:158458893:C:G rs1733163 C G G EBF1_EBF_1 21 0 + 0 0 . chr7 158460739 158460740 chr7:158460740:T:C rs1670370 T C C EBF1_EBF_1 -10 0 - 0 0 . chr7 158465900 158465901 chr7:158465901:C:T rs112561183 C T C EBF1_EBF_1 14 0 + 0 0 . chr7 158465910 158465911 chr7:158465911:C:T rs1670363 C T T EBF1_EBF_1 24 0 + 0 0 . chr7 158467857 158467858 chr7:158467858:C:T rs1733145 C T C EBF1_EBF_1 6 1 + 8.01587241597651 8.317158544838264 AGCCCCCGGGGAAG chr7 158467870 158467871 chr7:158467871:G:C rs1670360 G C G EBF1_EBF_1 19 0 + 0 0 . chr7 158478949 158478950 chr7:158478950:C:T rs1670338 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 158499139 158499140 chr7:158499140:T:G rs79768429 T G T EBF1_EBF_1 6 1 + 5.851606538653493 3.7451283463185376 ACCCCCTTAGGAAA chr7 158501292 158501293 chr7:158501293:C:G rs10278989 C G G EBF1_EBF_1 -18 0 + 0 0 . chr7 158526317 158526318 chr7:158526318:G:A rs11766174 G A G EBF1_EBF_1 -14 0 + 0 0 . chr7 158549737 158549738 chr7:158549738:G:A rs10279869 G A A EBF1_EBF_1 -4 0 + 0 0 . chr7 158590914 158590915 chr7:158590915:C:T rs12535897 C T C EBF1_EBF_1 18 0 + 0 0 . chr7 158709933 158709934 chr7:158709934:C:T rs13225986 C T c EBF1_EBF_1 1 1 - 4.881036877769886 4.620338045848583 CGTCCCCGAGGACC chr7 158712946 158712947 chr7:158712947:C:T rs9801117 C T C EBF1_EBF_1 26 0 - 0 0 . chr7 158712983 158712984 chr7:158712984:G:A rs571569376 G A G EBF1_EBF_1 -11 0 - 0 0 . chr7 158729929 158729930 chr7:158729930:T:C rs12111775 T C C EBF1_EBF_1 -15 0 - 0 0 . chr7 158729934 158729935 chr7:158729935:A:G rs9718354 A G A EBF1_EBF_1 -20 0 - 0 0 . chr7 158739730 158739731 chr7:158739731:G:A rs562300856 G A G EBF1_EBF_1 5 1 - 6.208571489890456 0.8867842743497851 GGTCCCCTGGGTCC chr7 158741680 158741681 chr7:158741681:G:A rs57686648 G A G EBF1_EBF_1 13 1 - 4.76230009506025 5.606794209645768 AGCCCCCTGAGGCC chr7 158741689 158741690 chr7:158741690:G:C rs59980573 G C G EBF1_EBF_1 4 1 - 4.76230009506025 -0.8634650060892957 AGCCCCCTGAGGCC chr7 158743642 158743643 chr7:158743643:G:A rs2305474 G A G EBF1_EBF_1 0 1 + 11.51860317032759 13.410887039892424 GTTCCCCAGGGAAC chr7 158743653 158743654 chr7:158743654:A:G rs2305475 A G A EBF1_EBF_1 11 1 + 11.51860317032759 8.625896326723579 GTTCCCCAGGGAAC chr7 158753851 158753852 chr7:158753852:G:A rs710422 G A G EBF1_EBF_1 25 0 + 0 0 . chr7 158796780 158796781 chr7:158796781:G:A rs2788504 G A G EBF1_EBF_1 28 0 + 0 0 . chr7 158796785 158796786 chr7:158796786:G:T rs2602553 G T T EBF1_EBF_1 33 0 + 0 0 . chr7 158814863 158814864 chr7:158814864:G:A rs1189220 G A A EBF1_EBF_1 16 0 - 0 0 . chr7 158815232 158815233 chr7:158815233:C:T rs6979070 C T C EBF1_EBF_1 19 0 - 0 0 . chr7 158829789 158829790 chr7:158829790:T:C rs74811915 T C C EBF1_EBF_1 -3 0 + 0 0 . chr7 158838130 158838131 chr7:158838131:C:G rs2657371 C G G EBF1_EBF_1 20 0 - 0 0 . chr7 158856313 158856314 chr7:158856314:T:C rs2788474 T C C EBF1_EBF_1 6 1 - 9.556245153337361 7.389450722062888 GTTCCCACGGGACC chr7 158856371 158856372 chr7:158856372:T:G rs73527662 T G T EBF1_EBF_1 19 0 - 0 0 . chr7 158865481 158865482 chr7:158865482:G:T rs185154622 G T G EBF1_EBF_1 -9 0 - 0 0 . chr7 158932026 158932027 chr7:158932027:A:G rs2730244 A G G EBF1_EBF_1 -1 0 + 0 0 . chr7 158944421 158944422 chr7:158944422:G:A rs2527199 G A A EBF1_EBF_1 -14 0 - 0 0 . chr7 158962821 158962822 chr7:158962822:C:G rs10949750 C G C EBF1_EBF_1 -6 0 + 0 0 . chr7 158974381 158974382 chr7:158974382:C:T rs75341666 C T C EBF1_EBF_1 32 0 - 0 0 . chr7 158977113 158977114 chr7:158977114:C:G rs114602536 C G C EBF1_EBF_1 -12 0 + 0 0 . chr7 158977145 158977146 chr7:158977146:T:A rs73730110 T A T EBF1_EBF_1 20 0 + 0 0 . chr7 158997312 158997313 chr7:158997313:G:C rs10247405 G C C EBF1_EBF_1 33 0 + 0 0 . chr7 159014938 159014939 chr7:159014939:G:A rs77957585 G A G EBF1_EBF_1 30 0 - 0 0 . chr7 159014951 159014952 chr7:159014952:T:G rs769531629 T G T EBF1_EBF_1 17 0 - 0 0 . chr7 159026639 159026640 chr7:159026640:G:A rs79931050 G A G EBF1_EBF_1 -4 0 + 0 0 . chr7 159035248 159035249 chr7:159035249:G:A rs150532769 G A G EBF1_EBF_1 2 1 - 6.555408805727524 8.444232217169674 GCCCCCGAGGGAAA chr7 159041501 159041502 chr7:159041502:G:C rs892005190 G C G EBF1_EBF_1 5 1 - 8.815588156816895 1.9107070041817267 AGACCCTTGGGACC chr7 159055320 159055321 chr7:159055321:C:T rs79169519 C T A EBF1_EBF_1 26 0 - 0 0 . chr7 159055341 159055342 chr7:159055342:G:T rs2730259 G T G EBF1_EBF_1 5 1 - 4.687656154652865 -2.2172249979823038 TCTCACCAGGGACC chr7 159062031 159062032 chr7:159062032:G:C rs3812302 G C G EBF1_EBF_1 6 1 + 3.937932778698082 5.743124842171279 CATCCCGAGGGCCC chr7 159063877 159063878 chr7:159063878:G:A rs12698311 G A G EBF1_EBF_1 21 0 - 0 0 . chr7 159068807 159068808 chr7:159068808:T:C rs73730134 T C C EBF1_EBF_1 6 1 - 9.996627387052914 7.829832955778438 CTCCCCATGGGAAT chr7 159068828 159068829 chr7:159068829:T:C rs73730135 T C C EBF1_EBF_1 -15 0 - 0 0 . chr7 159090070 159090071 chr7:159090071:C:T rs145838313 C T T EBF1_EBF_1 26 0 + 0 0 . chr7 159091010 159091011 chr7:159091011:C:T rs149760809 C T C EBF1_EBF_1 26 0 + 0 0 . chr7 159091125 159091126 chr7:159091126:C:T rs12669515 C T C EBF1_EBF_1 25 0 - 0 0 . chr7 159091126 159091127 chr7:159091127:G:A rs73169238 G A G EBF1_EBF_1 24 0 - 0 0 . chr7 159115223 159115224 chr7:159115224:C:T rs117626674 C T c EBF1_EBF_1 -8 0 - 0 0 . chr7 159122390 159122391 chr7:159122391:C:T rs17837881 C T C EBF1_EBF_1 8 1 + 6.034333111313399 4.461559183250891 GCTCCCATCGGAAT chr7 159145020 159145021 chr7:159145021:A:G rs190056502 A G G EBF1_EBF_1 -14 0 + 0 0 . chr7 159153278 159153279 chr7:159153279:C:A rs62487877 C A C EBF1_EBF_1 31 0 + 0 0 . chr7 159191478 159191479 chr7:159191479:A:G rs365175 A G G EBF1_EBF_1 24 0 + 0 0 . chr7 159191874 159191875 chr7:159191875:G:T chr7:159191875:G:T G T G EBF1_EBF_1 -3 0 + 0 0 . chr7 159192795 159192796 chr7:159192796:C:T rs404255 C T C EBF1_EBF_1 -17 0 + 0 0 . chr7 159196797 159196798 chr7:159196798:C:G rs79598186 C G C EBF1_EBF_1 21 0 + 0 0 . chr7 159196805 159196806 chr7:159196806:G:A rs74472047 G A G EBF1_EBF_1 29 0 + 0 0 . chr7 159211337 159211338 chr7:159211338:C:T rs425143 C T C EBF1_EBF_1 11 1 + 5.414371237772362 5.732418679526026 ACTCCCCAGAGCCC chr7 159211516 159211517 chr7:159211517:C:A rs425550 C A C EBF1_EBF_1 20 0 - 0 0 . chr7 159291364 159291365 chr7:159291365:A:G rs142166080 A G . EBF1_EBF_1 -15 0 + 0 0 . chr8 266045 266046 chr8:266046:C:A rs62486640 C A . EBF1_EBF_1 10 1 - 5.574925480807616 1.3921732945803509 CCTCCCCAGGGGGA chr8 266046 266047 chr8:266047:C:G rs74889749 C G . EBF1_EBF_1 9 1 - 5.574925480807616 0.49923633446215193 CCTCCCCAGGGGGA chr8 274320 274321 chr8:274321:C:T rs11774528 C T . EBF1_EBF_1 22 0 + 0 0 . chr8 274321 274322 chr8:274322:A:G rs73668562 A G . EBF1_EBF_1 23 0 + 0 0 . chr8 276249 276250 chr8:276250:T:C rs3008255 T C . EBF1_EBF_1 0 1 + 9.337053351097598 9.054851290367019 TTCCCCCAGGGATT chr8 276491 276492 chr8:276492:T:C rs57783944 T C . EBF1_EBF_1 -12 0 - 0 0 . chr8 284408 284409 chr8:284409:C:G rs17272997 C G . EBF1_EBF_1 -20 0 + 0 0 . chr8 302582 302583 chr8:302583:G:A rs12547990 G A . EBF1_EBF_1 -15 0 - 0 0 . chr8 332850 332851 chr8:332851:G:C rs62484735 G C . EBF1_EBF_1 14 0 - 0 0 . chr8 336044 336045 chr8:336045:C:T rs17063999 C T . EBF1_EBF_1 33 0 + 0 0 . chr8 337122 337123 chr8:337123:G:A rs11774607 G A . EBF1_EBF_1 16 0 + 0 0 . chr8 364655 364656 chr8:364656:T:C rs75405748 T C . EBF1_EBF_1 29 0 - 0 0 . chr8 364682 364683 chr8:364683:A:G rs6558695 A G . EBF1_EBF_1 2 1 - 7.231510401063501 5.34268698962135 CCTCCCCAGAGAAA chr8 380404 380405 chr8:380405:C:T rs11774933 C T . EBF1_EBF_1 17 0 - 0 0 . chr8 382117 382118 chr8:382118:C:T rs140084134 C T . EBF1_EBF_1 -10 0 + 0 0 . chr8 383855 383856 chr8:383856:G:T rs75803057 G T . EBF1_EBF_1 16 0 + 0 0 . chr8 404609 404610 chr8:404610:T:C rs7834645 T C . EBF1_EBF_1 -4 0 + 0 0 . chr8 479356 479357 chr8:479357:A:G rs117844281 A G A EBF1_EBF_1 15 0 + 0 0 . chr8 484337 484338 chr8:484338:G:A rs116550423 G A G EBF1_EBF_1 9 1 + 10.187735795372863 7.532796529925259 CCTCCCTAGGGAAA chr8 490677 490678 chr8:490678:T:C rs1043720 T C C EBF1_EBF_1 -11 0 - 0 0 . chr8 499753 499754 chr8:499754:G:A rs114126289 G A G EBF1_EBF_1 8 1 + 6.4074179307194 2.181127841833139 CATCCCCCGGGAAG chr8 516261 516262 chr8:516262:T:C rs13266503 T C T EBF1_EBF_1 27 0 - 0 0 . chr8 544348 544349 chr8:544349:C:A rs958864365 C A T EBF1_EBF_1 -20 0 - 0 0 . chr8 613999 614000 chr8:614000:C:T rs1669699 C T C EBF1_EBF_1 -10 0 + 0 0 . chr8 614011 614012 chr8:614012:T:G rs78340129 T G T EBF1_EBF_1 2 1 + 6.508458045645961 -0.2398676445545065 ATTCCCAGGAGGCA chr8 615638 615639 chr8:615639:T:C rs6994245 T C T EBF1_EBF_1 -20 0 - 0 0 . chr8 627422 627423 chr8:627423:C:A rs35017864 C A A EBF1_EBF_1 -3 0 + 0 0 . chr8 628503 628504 chr8:628504:C:T rs113418083 C T C EBF1_EBF_1 17 0 + 0 0 . chr8 628560 628561 chr8:628561:G:A rs534654527 G A G EBF1_EBF_1 32 0 + 0 0 . chr8 631466 631467 chr8:631467:C:A rs66516008 C A C EBF1_EBF_1 -11 0 - 0 0 . chr8 632806 632807 chr8:632807:T:C rs62486195 T C T EBF1_EBF_1 -4 0 - 0 0 . chr8 635701 635702 chr8:635702:A:G rs35544426 A G A EBF1_EBF_1 4 1 - 6.416833499254499 10.78622044419942 AGCCTCCAGGGACC chr8 643433 643434 chr8:643434:T:C rs1669714 T C C EBF1_EBF_1 -2 0 - 0 0 . chr8 650280 650281 chr8:650281:G:A rs116872372 G A G EBF1_EBF_1 -7 0 - 0 0 . chr8 656821 656822 chr8:656822:G:A rs4735899 G A G EBF1_EBF_1 -4 0 + 0 0 . chr8 658033 658034 chr8:658034:T:C rs10090260 T C C EBF1_EBF_1 15 0 + 0 0 . chr8 697237 697238 chr8:697238:C:T rs73178828 C T C EBF1_EBF_1 -8 0 + 0 0 . chr8 702450 702451 chr8:702451:C:T rs117526810 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 709368 709369 chr8:709369:C:T rs78380509 C T C EBF1_EBF_1 12 1 - 4.736153140567352 6.15497048266683 ACCCCCCAGGGTGA chr8 709376 709377 chr8:709377:G:C rs73521174 G C C EBF1_EBF_1 4 1 - 4.736153140567352 -0.8896119605821922 ACCCCCCAGGGTGA chr8 732412 732413 chr8:732413:G:A rs6999434 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 733208 733209 chr8:733209:G:C rs73178888 G C G EBF1_EBF_1 31 0 + 0 0 . chr8 737478 737479 chr8:737479:G:A rs138775704 G A G EBF1_EBF_1 7 1 + 4.317307818942798 5.6297662242316235 AGTCCCCGGGTGAC chr8 737478 737479 chr8:737479:G:T chr8:737479:G:T G T G EBF1_EBF_1 7 1 + 4.317307818942798 5.153491984144065 AGTCCCCGGGTGAC chr8 763709 763710 chr8:763710:G:A rs62485574 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 770314 770315 chr8:770315:C:T rs7461088 C T C EBF1_EBF_1 -19 0 + 0 0 . chr8 770328 770329 chr8:770329:G:A rs12545531 G A G EBF1_EBF_1 -5 0 + 0 0 . chr8 799019 799020 chr8:799020:G:A rs4075375 G A G EBF1_EBF_1 5 1 - 5.626298286330086 0.30451107078941575 TGTCCCCAGCGACC chr8 801756 801757 chr8:801757:C:T rs4735930 C T C EBF1_EBF_1 31 0 - 0 0 . chr8 801775 801776 chr8:801776:C:A rs66491931 C A A EBF1_EBF_1 12 1 - 5.228272093730926 5.590641466858227 ACCCCCAGGAGAGA chr8 806645 806646 chr8:806646:G:A rs34673948 G A G EBF1_EBF_1 32 0 - 0 0 . chr8 818236 818237 chr8:818237:A:C rs7464517 A C A EBF1_EBF_1 -2 0 - 0 0 . chr8 838840 838841 chr8:838841:C:T rs148097759 C T C EBF1_EBF_1 25 0 - 0 0 . chr8 879365 879366 chr8:879366:G:A rs6559198 G A G EBF1_EBF_1 30 0 - 0 0 . chr8 891453 891454 chr8:891454:C:T rs80070741 C T C EBF1_EBF_1 10 1 - 8.262366113053119 2.944337653786154 AACCCCAAGAGAAC chr8 892492 892493 chr8:892493:C:T rs12545374 C T T EBF1_EBF_1 1 1 - 6.294078221866328 6.033379389945027 AGCCCCAGGGGCAT chr8 899932 899933 chr8:899933:C:T rs76154365 C T C EBF1_EBF_1 28 0 + 0 0 . chr8 903543 903544 chr8:903544:T:C rs1466919 T C T EBF1_EBF_1 7 1 + 5.296414175246544 3.47890942896392 CTTCCCATAGGACG chr8 915241 915242 chr8:915242:G:C rs4735956 G C G EBF1_EBF_1 -4 0 - 0 0 . chr8 919677 919678 chr8:919678:A:T rs56218719 A T A EBF1_EBF_1 0 1 - 5.9939329767035225 7.9669893996695444 TTCCTCCAGGGACT chr8 920138 920139 chr8:920139:G:C rs1502995 G C g EBF1_EBF_1 5 1 - 4.34002323548664 -2.5648579171485277 CCCCTCCTGGGACT chr8 934573 934574 chr8:934574:C:T rs557714177 C T C EBF1_EBF_1 -10 0 - 0 0 . chr8 947054 947055 chr8:947055:C:T rs76768528 C T C EBF1_EBF_1 -2 0 + 0 0 . chr8 979874 979875 chr8:979875:G:A rs13255791 G A G EBF1_EBF_1 -9 0 - 0 0 . chr8 982117 982118 chr8:982118:C:G rs62486457 C G C EBF1_EBF_1 13 1 + 7.698909241573021 6.210838220217606 CCTCCCAAGGGGCC chr8 1024427 1024428 chr8:1024428:C:T rs11137123 C T - EBF1_EBF_1 29 0 - 0 0 . chr8 1024452 1024453 chr8:1024453:G:A rs11137124 G A - EBF1_EBF_1 4 1 - 5.393556163547953 1.0241692186030296 CACCCCCAGGGAGG chr8 1024471 1024472 chr8:1024472:G:A rs10867028 G A - EBF1_EBF_1 -15 0 - 0 0 . chr8 1032352 1032353 chr8:1032353:G:A rs139407881 G A G EBF1_EBF_1 31 0 + 0 0 . chr8 1059312 1059313 chr8:1059313:T:C rs2123060 T C C EBF1_EBF_1 11 1 + 6.45957355684423 6.141526115090566 CATCCCCTGGGTAT chr8 1061961 1061962 chr8:1061962:A:C rs62488537 A C A EBF1_EBF_1 -16 0 - 0 0 . chr8 1080115 1080116 chr8:1080116:G:A rs550727791 G A G EBF1_EBF_1 22 0 - 0 0 . chr8 1080132 1080133 chr8:1080133:G:A rs12675046 G A G EBF1_EBF_1 5 1 - 5.550941041706067 0.2291538261653972 ACCCCCCAGCGAAC chr8 1092617 1092618 chr8:1092618:C:T rs73180701 C T T EBF1_EBF_1 18 0 + 0 0 . chr8 1095924 1095925 chr8:1095925:G:C rs2701958 G C C EBF1_EBF_1 23 0 + 0 0 . chr8 1096306 1096307 chr8:1096307:G:C rs6559217 G C G EBF1_EBF_1 17 0 + 0 0 . chr8 1102866 1102867 chr8:1102867:C:G rs9644303 C G C EBF1_EBF_1 10 1 - 7.1801311171806095 0.2893287298511346 AGACCCCAGAGACT chr8 1102872 1102873 chr8:1102873:G:T rs9644304 G T G EBF1_EBF_1 4 1 - 7.1801311171806095 1.355571493727143 AGACCCCAGAGACT chr8 1116058 1116059 chr8:1116059:T:G rs76536698 T G T EBF1_EBF_1 -3 0 - 0 0 . chr8 1116168 1116169 chr8:1116169:G:A rs12386796 G A G EBF1_EBF_1 -8 0 - 0 0 . chr8 1117754 1117755 chr8:1117755:G:A rs80036393 G A G EBF1_EBF_1 11 1 + 7.597380023124164 10.490086866728175 ACCCCCAGGGGGCT chr8 1139765 1139766 chr8:1139766:A:G rs10104725 A G G EBF1_EBF_1 -18 0 + 0 0 . chr8 1157347 1157348 chr8:1157348:G:C rs73182695 G C G EBF1_EBF_1 13 1 - 4.3551029921550155 2.8670319707996006 ACTCCCTGAGGGCC chr8 1162724 1162725 chr8:1162725:C:T rs78752200 C T C EBF1_EBF_1 -3 0 - 0 0 . chr8 1163232 1163233 chr8:1163233:C:T rs141809249 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 1164168 1164169 chr8:1164169:C:T rs11785028 C T G EBF1_EBF_1 13 1 + 4.288203222212982 5.1326973367985 GCCCCCCAGGGCCC chr8 1164312 1164313 chr8:1164313:T:C rs67224142 T C - EBF1_EBF_1 19 0 + 0 0 . chr8 1164312 1164313 chr8:1164313:T:G chr8:1164313:T:G T G - EBF1_EBF_1 19 0 + 0 0 . chr8 1164324 1164325 chr8:1164325:C:T rs73184503 C T T EBF1_EBF_1 31 0 + 0 0 . chr8 1167514 1167515 chr8:1167515:G:A rs62487546 G A A EBF1_EBF_1 -2 0 + 0 0 . chr8 1167518 1167519 chr8:1167519:A:C rs62487547 A C C EBF1_EBF_1 2 1 + 7.013453660004298 8.809097836161023 AGACCCAGGGGATC chr8 1176789 1176790 chr8:1176790:G:C rs28453719 G C G EBF1_EBF_1 -9 0 - 0 0 . chr8 1183109 1183110 chr8:1183110:G:C rs17065748 G C G EBF1_EBF_1 -1 0 + 0 0 . chr8 1194503 1194504 chr8:1194504:G:C rs66509042 G C C EBF1_EBF_1 10 1 + 6.443111936442676 -0.44769045088679793 ATCCCCAGGGGTCC chr8 1198783 1198784 chr8:1198784:C:T rs6988661 C T C EBF1_EBF_1 8 1 - 10.336516086734507 6.1102259978482465 ACTCCCGAGGGAAA chr8 1199980 1199981 chr8:1199981:A:G rs7827363 A G G EBF1_EBF_1 -8 0 + 0 0 . chr8 1281738 1281739 chr8:1281739:A:G rs35628529 A G a EBF1_EBF_1 18 0 - 0 0 . chr8 1289628 1289629 chr8:1289629:G:A rs75186418 G A G EBF1_EBF_1 -13 0 - 0 0 . chr8 1291776 1291777 chr8:1291777:G:C rs4141053 G C G EBF1_EBF_1 11 1 + 6.581055195306586 5.057800341058208 AGCCCCCGGGGGCC chr8 1291789 1291790 chr8:1291790:A:G rs4141052 A G G EBF1_EBF_1 24 0 + 0 0 . chr8 1304151 1304152 chr8:1304152:T:C rs7010534 T C T EBF1_EBF_1 13 1 - 4.786542550668299 3.6722169785988603 GCTCCCATGGAACA chr8 1325984 1325985 chr8:1325985:C:G rs6558448 C G C EBF1_EBF_1 -13 0 - 0 0 . chr8 1330002 1330003 chr8:1330003:C:T rs11136383 C T C EBF1_EBF_1 -1 0 + 0 0 . chr8 1336165 1336166 chr8:1336166:C:A rs17816653 C A C EBF1_EBF_1 30 0 + 0 0 . chr8 1356566 1356567 chr8:1356567:C:T rs1983529 C T T EBF1_EBF_1 30 0 + 0 0 . chr8 1372779 1372780 chr8:1372780:A:G rs13249719 A G A EBF1_EBF_1 -15 0 + 0 0 . chr8 1372823 1372824 chr8:1372824:G:A rs73170496 G A A EBF1_EBF_1 29 0 + 0 0 . chr8 1380673 1380674 chr8:1380674:C:T rs141775946 C T c EBF1_EBF_1 8 1 - 5.868235845974089 1.6419457570878289 AGTCCCCAGTGAAC chr8 1384652 1384653 chr8:1384653:G:C rs77926450 G C - EBF1_EBF_1 16 0 + 0 0 . chr8 1384943 1384944 chr8:1384944:C:T rs73172503 C T - EBF1_EBF_1 13 1 + 7.318753264831137 8.163247379416655 CGTCCCCTGAGAAC chr8 1385283 1385284 chr8:1385284:C:G rs368334629 C G - EBF1_EBF_1 20 0 + 0 0 . chr8 1385699 1385700 chr8:1385700:A:G rs139461233 A G - EBF1_EBF_1 24 0 + 0 0 . chr8 1391193 1391194 chr8:1391194:T:G rs34007256 T G G EBF1_EBF_1 11 1 - 9.515107886599163 5.099146188746773 GTCCCCATGGGAAC chr8 1392340 1392341 chr8:1392341:C:T rs7017774 C T C EBF1_EBF_1 23 0 - 0 0 . chr8 1392357 1392358 chr8:1392358:C:T rs7017920 C T c EBF1_EBF_1 6 1 - 6.411015613678961 8.577810044953438 CTCCCCGTGGGAGT chr8 1392678 1392679 chr8:1392679:A:G rs115736050 A G A EBF1_EBF_1 2 1 - 7.5057669982877036 5.616943586845554 AGTCCCCAGAGATG chr8 1410695 1410696 chr8:1410696:T:C rs74388125 T C T EBF1_EBF_1 7 1 + 4.584123673028368 2.7666189267457444 CACCCCATGGGCCT chr8 1419607 1419608 chr8:1419608:T:C rs4875849 T C C EBF1_EBF_1 -19 0 + 0 0 . chr8 1419655 1419656 chr8:1419656:C:T rs4875850 C T C EBF1_EBF_1 29 0 + 0 0 . chr8 1424056 1424057 chr8:1424057:T:C rs6983401 T C T EBF1_EBF_1 25 0 - 0 0 . chr8 1432885 1432886 chr8:1432886:C:T rs2158787 C T C EBF1_EBF_1 0 1 + 7.764846106497369 8.047048167227945 CGTCCCCAGAGACC chr8 1432900 1432901 chr8:1432901:G:T rs73172534 G T G EBF1_EBF_1 15 0 + 0 0 . chr8 1432916 1432917 chr8:1432917:C:T rs73172536 C T C EBF1_EBF_1 31 0 + 0 0 . chr8 1451322 1451323 chr8:1451323:A:G rs7834824 A G G EBF1_EBF_1 -10 0 - 0 0 . chr8 1455403 1455404 chr8:1455404:G:C rs740247 G C C EBF1_EBF_1 4 1 - 9.123324120376559 3.4975590192270136 GTCCCCCTGGGACC chr8 1459228 1459229 chr8:1459229:G:A rs28433671 G A - EBF1_EBF_1 30 0 + 0 0 . chr8 1476593 1476594 chr8:1476594:G:A rs73536511 G A G EBF1_EBF_1 -20 0 - 0 0 . chr8 1507545 1507546 chr8:1507546:C:G rs191679716 C G C EBF1_EBF_1 -8 0 + 0 0 . chr8 1507567 1507568 chr8:1507568:T:C rs11136403 T C C EBF1_EBF_1 14 0 + 0 0 . chr8 1517877 1517878 chr8:1517878:A:G rs876664 A G G EBF1_EBF_1 -20 0 - 0 0 . chr8 1547780 1547781 chr8:1547781:A:G rs3812479 A G G EBF1_EBF_1 20 0 - 0 0 . chr8 1547814 1547815 chr8:1547815:C:T rs3812478 C T C EBF1_EBF_1 -14 0 - 0 0 . chr8 1626924 1626925 chr8:1626925:C:A rs80120802 C A C EBF1_EBF_1 17 0 + 0 0 . chr8 1647216 1647217 chr8:1647217:G:A rs4242537 G A A EBF1_EBF_1 7 1 + 7.7125631036470645 9.02502150893589 GACCCCAGGGGAAC chr8 1658091 1658092 chr8:1658092:T:G rs74304042 T G T EBF1_EBF_1 -15 0 - 0 0 . chr8 1675352 1675353 chr8:1675353:G:C rs4875886 G C C EBF1_EBF_1 19 0 - 0 0 . chr8 1742039 1742040 chr8:1742040:C:T rs111704322 C T C EBF1_EBF_1 32 0 + 0 0 . chr8 1763700 1763701 chr8:1763701:G:A rs565506859 G A G EBF1_EBF_1 29 0 - 0 0 . chr8 1780125 1780126 chr8:1780126:T:C rs12546965 T C T EBF1_EBF_1 -4 0 - 0 0 . chr8 1798783 1798784 chr8:1798784:A:G rs11986414 A G a EBF1_EBF_1 -4 0 - 0 0 . chr8 1812018 1812019 chr8:1812019:G:A rs77559808 G A G EBF1_EBF_1 -19 0 - 0 0 . chr8 1814971 1814972 chr8:1814972:G:A rs73538705 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 1823573 1823574 chr8:1823574:A:T rs553661534 A T A EBF1_EBF_1 15 0 + 0 0 . chr8 1823587 1823588 chr8:1823588:A:G rs891358731 A G A EBF1_EBF_1 29 0 + 0 0 . chr8 1826532 1826533 chr8:1826533:G:A rs74987216 G A A EBF1_EBF_1 29 0 - 0 0 . chr8 1830682 1830683 chr8:1830683:C:T rs141480796 C T T EBF1_EBF_1 -6 0 - 0 0 . chr8 1832176 1832177 chr8:1832177:A:G rs78488845 A G A EBF1_EBF_1 -7 0 + 0 0 . chr8 1832179 1832180 chr8:1832180:G:T rs62477472 G T T EBF1_EBF_1 -4 0 + 0 0 . chr8 1837734 1837735 chr8:1837735:T:C rs11990890 T C C EBF1_EBF_1 11 1 - 5.601168689482258 2.7084618458782463 CCTCCCCTGGTAAC chr8 1842627 1842628 chr8:1842628:G:C rs116910152 G C G EBF1_EBF_1 -14 0 + 0 0 . chr8 1858205 1858206 chr8:1858206:T:C rs9801821 T C c EBF1_EBF_1 11 1 - 6.214619735952656 3.321912892348645 ACTCACCTGGGAAC chr8 1858214 1858215 chr8:1858215:A:G rs118016818 A G A EBF1_EBF_1 2 1 - 6.214619735952656 4.325796324510505 ACTCACCTGGGAAC chr8 1858239 1858240 chr8:1858240:G:A rs188978848 G A G EBF1_EBF_1 24 0 - 0 0 . chr8 1860820 1860821 chr8:1860821:A:G rs60049301 A G A EBF1_EBF_1 -10 0 + 0 0 . chr8 1861836 1861837 chr8:1861837:A:C rs28654886 A C G EBF1_EBF_1 31 0 + 0 0 . chr8 1863259 1863260 chr8:1863260:C:G rs77815092 C G C EBF1_EBF_1 -10 0 + 0 0 . chr8 1866980 1866981 chr8:1866981:G:C rs13277141 G C G EBF1_EBF_1 -10 0 - 0 0 . chr8 1881542 1881543 chr8:1881543:G:A rs78477042 G A G EBF1_EBF_1 32 0 - 0 0 . chr8 1887852 1887853 chr8:1887853:A:G rs34179776 A G a EBF1_EBF_1 21 0 - 0 0 . chr8 1887880 1887881 chr8:1887881:G:A rs73180737 G A g EBF1_EBF_1 -7 0 - 0 0 . chr8 1897477 1897478 chr8:1897478:G:C rs371662231 G C - EBF1_EBF_1 3 1 - 5.747037504757399 -0.08072694335781638 GATCCCTTAGGACA chr8 1916099 1916100 chr8:1916100:C:T rs13257421 C T C EBF1_EBF_1 4 1 + 5.92292520381302 1.5535382588680973 ACTCCCTCAGGAAA chr8 1916111 1916112 chr8:1916112:G:A rs73671049 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 1931397 1931398 chr8:1931398:A:G rs3779704 A G A EBF1_EBF_1 -19 0 - 0 0 . chr8 1939809 1939810 chr8:1939810:G:A rs10099606 G A G EBF1_EBF_1 7 1 + 3.912857411942798 5.225315817231623 AGCTCCCGGGGACT chr8 1944143 1944144 chr8:1944144:T:C rs11136447 T C C EBF1_EBF_1 -8 0 + 0 0 . chr8 1944232 1944233 chr8:1944233:G:A rs4385504 G A g EBF1_EBF_1 11 1 + 6.3261345973446765 9.218841440948687 CCTCCCTGGGGGCC chr8 1950798 1950799 chr8:1950799:G:C rs13268639 G C C EBF1_EBF_1 22 0 - 0 0 . chr8 1962061 1962062 chr8:1962062:G:A rs577409114 G A G EBF1_EBF_1 13 1 - 6.343916902728338 7.1884110173138565 CTCCCCCAGGGGCC chr8 1962771 1962772 chr8:1962772:A:C rs12677706 A C A EBF1_EBF_1 -8 0 + 0 0 . chr8 1973591 1973592 chr8:1973592:C:G rs113519693 C G G EBF1_EBF_1 7 1 - 5.57822921279972 4.596908631718365 GTTCCCCGGGGCTT chr8 1973601 1973602 chr8:1973602:A:G rs6982400 A G G EBF1_EBF_1 -3 0 - 0 0 . chr8 1973926 1973927 chr8:1973927:C:A rs111971949 C A C EBF1_EBF_1 29 0 - 0 0 . chr8 1974497 1974498 chr8:1974498:C:T rs79757851 C T C EBF1_EBF_1 17 0 - 0 0 . chr8 1975738 1975739 chr8:1975739:A:T rs11776836 A T A EBF1_EBF_1 14 0 + 0 0 . chr8 1975739 1975740 chr8:1975740:G:C rs117259946 G C G EBF1_EBF_1 15 0 + 0 0 . chr8 1979042 1979043 chr8:1979043:C:G rs12675904 C G G EBF1_EBF_1 -3 0 - 0 0 . chr8 1983223 1983224 chr8:1983224:G:C rs10110902 G C G EBF1_EBF_1 5 1 - 6.17122829230459 -0.7336528603305794 ATTCACTGGGGACA chr8 2005453 2005454 chr8:2005454:G:A rs114558766 G A G EBF1_EBF_1 -7 0 - 0 0 . chr8 2008468 2008469 chr8:2008469:T:C rs11991195 T C C EBF1_EBF_1 -3 0 + 0 0 . chr8 2008487 2008488 chr8:2008488:A:G rs11990435 A G G EBF1_EBF_1 16 0 + 0 0 . chr8 2023782 2023783 chr8:2023783:G:T rs79598160 G T G EBF1_EBF_1 29 0 - 0 0 . chr8 2029092 2029093 chr8:2029093:C:A rs55788059 C A C EBF1_EBF_1 -18 0 - 0 0 . chr8 2045465 2045466 chr8:2045466:C:T rs78965763 C T C EBF1_EBF_1 11 1 - 5.52495549094302 8.41766233454703 GGCCCCCTGGGGCC chr8 2046482 2046483 chr8:2046483:A:G rs10104822 A G G EBF1_EBF_1 21 0 - 0 0 . chr8 2050749 2050750 chr8:2050750:T:C rs112542689 T C T EBF1_EBF_1 31 0 - 0 0 . chr8 2056109 2056110 chr8:2056110:C:G rs3779863 C G C EBF1_EBF_1 14 0 - 0 0 . chr8 2057227 2057228 chr8:2057228:C:T rs7833402 C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 2057804 2057805 chr8:2057805:T:C rs117274617 T C T EBF1_EBF_1 1 1 - 7.824170286915288 8.08486911883659 CACCCCCTGGGAAC chr8 2058921 2058922 chr8:2058922:C:T rs1465886 C T c EBF1_EBF_1 -10 0 + 0 0 . chr8 2063794 2063795 chr8:2063795:G:A rs10110668 G A g EBF1_EBF_1 4 1 - 8.286865979226436 3.917479034281511 AACCCCCTGGGAGA chr8 2071883 2071884 chr8:2071884:T:G rs11136462 T G t EBF1_EBF_1 -18 0 + 0 0 . chr8 2071903 2071904 chr8:2071904:T:C rs75613701 T C c EBF1_EBF_1 2 1 + 6.116968123863915 4.228144712421764 TCTCCCCTGGGGTC chr8 2074082 2074083 chr8:2074083:G:A rs13270745 G A a EBF1_EBF_1 -4 0 + 0 0 . chr8 2076314 2076315 chr8:2076315:C:T rs77157834 C T C EBF1_EBF_1 -6 0 - 0 0 . chr8 2076324 2076325 chr8:2076325:G:A rs3817698 G A G EBF1_EBF_1 -16 0 - 0 0 . chr8 2079643 2079644 chr8:2079644:A:G rs4389966 A G A EBF1_EBF_1 13 1 - 10.366132294701774 9.521638180116256 AATCCCCAGGGGCT chr8 2079674 2079675 chr8:2079675:A:G rs190588475 A G A EBF1_EBF_1 -18 0 - 0 0 . chr8 2097077 2097078 chr8:2097078:T:C rs7460985 T C C EBF1_EBF_1 27 0 - 0 0 . chr8 2098342 2098343 chr8:2098343:C:G rs3779844 C G C EBF1_EBF_1 -10 0 + 0 0 . chr8 2098365 2098366 chr8:2098366:A:C rs3779843 A C C EBF1_EBF_1 13 1 + 6.534775294622668 6.908520743908644 GCACCCCAGGGACA chr8 2114001 2114002 chr8:2114002:C:T rs77029955 C T C EBF1_EBF_1 5 1 + 5.0807393785223205 -0.2410478370183493 GCTCCCAAGGGCAG chr8 2122598 2122599 chr8:2122599:G:C rs13273712 G C C EBF1_EBF_1 24 0 - 0 0 . chr8 2129329 2129330 chr8:2129330:G:T rs118140566 G T G EBF1_EBF_1 -12 0 - 0 0 . chr8 2134733 2134734 chr8:2134734:C:G rs73657742 C G C EBF1_EBF_1 9 1 - 6.1648835521704335 1.089194405824969 GCTCCCAAGGGCCA chr8 2137512 2137513 chr8:2137513:C:T rs5029668 C T c EBF1_EBF_1 6 1 - 6.335364515195964 8.50215894647044 AGACCCGTGGGACA chr8 2157359 2157360 chr8:2157360:T:C rs10112039 T C c EBF1_EBF_1 33 0 - 0 0 . chr8 2157399 2157400 chr8:2157400:A:G rs10109232 A G a EBF1_EBF_1 -7 0 - 0 0 . chr8 2180582 2180583 chr8:2180583:G:A rs11987758 G A G EBF1_EBF_1 -9 0 - 0 0 . chr8 2195886 2195887 chr8:2195887:T:C rs11136478 T C C EBF1_EBF_1 -14 0 + 0 0 . chr8 2195894 2195895 chr8:2195895:C:G rs74545079 C G c EBF1_EBF_1 -6 0 + 0 0 . chr8 2216253 2216254 chr8:2216254:G:A rs7834227 G A C EBF1_EBF_1 -16 0 - 0 0 . chr8 2216911 2216912 chr8:2216912:T:C rs1382608 T C C EBF1_EBF_1 -20 0 - 0 0 . chr8 2217202 2217203 chr8:2217203:T:C rs112840637 T C T EBF1_EBF_1 -16 0 + 0 0 . chr8 2366004 2366005 chr8:2366005:G:A rs117287664 G A - EBF1_EBF_1 -2 0 - 0 0 . chr8 2484056 2484057 chr8:2484057:C:A rs186095345 C A c EBF1_EBF_1 1 1 + 5.627952875481929 5.557025698129845 CCCCCCAGGGGAGA chr8 2503377 2503378 chr8:2503378:G:C rs76688054 G C G EBF1_EBF_1 12 1 - 5.419402708859484 4.030766765181332 AGCCCCAGGGGCCC chr8 2506843 2506844 chr8:2506844:A:C rs73498325 A C A EBF1_EBF_1 -18 0 + 0 0 . chr8 2506875 2506876 chr8:2506876:C:A rs148986769 C A C EBF1_EBF_1 14 0 + 0 0 . chr8 2521992 2521993 chr8:2521993:T:A rs17069041 T A A EBF1_EBF_1 18 0 - 0 0 . chr8 2522021 2522022 chr8:2522022:A:G rs28489986 A G G EBF1_EBF_1 -11 0 - 0 0 . chr8 2562202 2562203 chr8:2562203:G:C rs73657436 G C C EBF1_EBF_1 -20 0 + 0 0 . chr8 2562205 2562206 chr8:2562206:C:G rs532160080 C G C EBF1_EBF_1 -17 0 + 0 0 . chr8 2562206 2562207 chr8:2562207:G:C rs73657437 G C G EBF1_EBF_1 -16 0 + 0 0 . chr8 2562225 2562226 chr8:2562226:C:A rs73657438 C A C EBF1_EBF_1 3 1 + 4.443777076288476 -2.4623969531773326 TGCCTCCAGGGACC chr8 2691963 2691964 chr8:2691964:A:C rs66470412 A C C EBF1_EBF_1 1 1 + 5.936982722203163 6.007909899555248 TAACCCCTGGGAAA chr8 2715253 2715254 chr8:2715254:A:G rs73175193 A G G EBF1_EBF_1 16 0 - 0 0 . chr8 2727061 2727062 chr8:2727062:A:G rs4602917 A G A EBF1_EBF_1 -14 0 + 0 0 . chr8 2727342 2727343 chr8:2727343:C:T rs75003689 C T C EBF1_EBF_1 -6 0 - 0 0 . chr8 2916534 2916535 chr8:2916535:T:C rs2604135 T C C EBF1_EBF_1 17 0 - 0 0 . chr8 3097458 3097459 chr8:3097459:G:C rs7838234 G C C EBF1_EBF_1 19 0 - 0 0 . chr8 3246218 3246219 chr8:3246219:A:C rs73185548 A C C EBF1_EBF_1 -16 0 - 0 0 . chr8 3247309 3247310 chr8:3247310:T:C rs17063092 T C C EBF1_EBF_1 30 0 + 0 0 . chr8 3269287 3269288 chr8:3269288:A:G rs28379685 A G A EBF1_EBF_1 0 1 + 6.669161823439027 4.776877953874194 AGTCCCATGAGGAA chr8 3269288 3269289 chr8:3269289:G:T rs28722172 G T G EBF1_EBF_1 1 1 + 6.669161823439027 7.374823609268554 AGTCCCATGAGGAA chr8 3324020 3324021 chr8:3324021:G:A rs200569867 G A G EBF1_EBF_1 19 0 + 0 0 . chr8 3324498 3324499 chr8:3324499:T:C rs7012898 T C T EBF1_EBF_1 -3 0 + 0 0 . chr8 3341629 3341630 chr8:3341630:C:A rs17320962 C A C EBF1_EBF_1 -11 0 - 0 0 . chr8 3386987 3386988 chr8:3386988:C:G rs7004117 C G G EBF1_EBF_1 29 0 + 0 0 . chr8 3461435 3461436 chr8:3461436:G:C rs10448103 G C G EBF1_EBF_1 15 0 + 0 0 . chr8 3486681 3486682 chr8:3486682:C:T rs11777685 C T C EBF1_EBF_1 -3 0 + 0 0 . chr8 3573377 3573378 chr8:3573378:T:C rs7844661 T C T EBF1_EBF_1 -19 0 + 0 0 . chr8 3690662 3690663 chr8:3690663:C:G rs2623606 C G g EBF1_EBF_1 1 1 - 6.487471034888943 6.297699380319725 AGCCCCTAAGGACA chr8 3704437 3704438 chr8:3704438:A:G rs2624107 A G G EBF1_EBF_1 -8 0 + 0 0 . chr8 3807874 3807875 chr8:3807875:A:C rs11136668 A C C EBF1_EBF_1 -10 0 - 0 0 . chr8 3809059 3809060 chr8:3809060:C:T rs11774223 C T C EBF1_EBF_1 8 1 - 11.332492912452604 7.106202823566346 ATTCCCCAGGGGCT chr8 3822704 3822705 chr8:3822705:G:A rs17067680 G A - EBF1_EBF_1 26 0 - 0 0 . chr8 3827860 3827861 chr8:3827861:G:C rs35099007 G C G EBF1_EBF_1 -19 0 + 0 0 . chr8 3831274 3831275 chr8:3831275:G:A rs11778973 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 4001646 4001647 chr8:4001647:T:C rs7000915 T C T EBF1_EBF_1 20 0 + 0 0 . chr8 4035166 4035167 chr8:4035167:G:C rs2554565 G C C EBF1_EBF_1 -6 0 - 0 0 . chr8 4044481 4044482 chr8:4044482:G:A rs2554559 G A G EBF1_EBF_1 5 1 - 5.137208888635313 -0.18457832690535758 GGTCCCCTGAGATG chr8 4107913 4107914 chr8:4107914:A:C rs4372022 A C A EBF1_EBF_1 -19 0 + 0 0 . chr8 4186046 4186047 chr8:4186047:G:A rs1504762 G A A EBF1_EBF_1 28 0 + 0 0 . chr8 4191966 4191967 chr8:4191967:C:G rs13439849 C G C EBF1_EBF_1 -12 0 + 0 0 . chr8 4270788 4270789 chr8:4270789:C:T rs78686130 C T C EBF1_EBF_1 19 0 + 0 0 . chr8 4313295 4313296 chr8:4313296:T:C rs10088341 T C C EBF1_EBF_1 -17 0 + 0 0 . chr8 4373145 4373146 chr8:4373146:G:T rs7842780 G T T EBF1_EBF_1 8 1 + 7.654592881203947 0.7631600922267516 CCTCCCTAGGGAGG chr8 4433028 4433029 chr8:4433029:A:T rs146782943 A T A EBF1_EBF_1 29 0 + 0 0 . chr8 4435651 4435652 chr8:4435652:G:A rs35455544 G A c EBF1_EBF_1 -1 0 - 0 0 . chr8 4457866 4457867 chr8:4457867:G:C rs988378 G C G EBF1_EBF_1 8 1 + 9.37999402800222 4.061335167087535 CCTCCCCTGGGACA chr8 4463729 4463730 chr8:4463730:C:G rs1217664 C G G EBF1_EBF_1 31 0 + 0 0 . chr8 4662562 4662563 chr8:4662563:G:A rs145747422 G A G EBF1_EBF_1 20 0 + 0 0 . chr8 4732398 4732399 chr8:4732399:G:T rs143696711 G T A EBF1_EBF_1 10 1 + 8.094663257004585 3.911911070777321 TTTCCCCTGAGATT chr8 4779816 4779817 chr8:4779817:G:C rs79366782 G C G EBF1_EBF_1 -11 0 - 0 0 . chr8 4945943 4945944 chr8:4945944:A:T chr8:4945944:A:T A T A EBF1_EBF_1 15 0 + 0 0 . chr8 4995674 4995675 chr8:4995675:G:A rs11776188 G A G EBF1_EBF_1 27 0 - 0 0 . chr8 5051004 5051005 chr8:5051005:C:T rs41343644 C T C EBF1_EBF_1 -19 0 - 0 0 . chr8 5115507 5115508 chr8:5115508:C:A rs78518553 C A C EBF1_EBF_1 -10 0 - 0 0 . chr8 5165572 5165573 chr8:5165573:G:A rs4875460 G A G EBF1_EBF_1 21 0 - 0 0 . chr8 5215771 5215772 chr8:5215772:T:C rs11136831 T C T EBF1_EBF_1 18 0 + 0 0 . chr8 5255102 5255103 chr8:5255103:T:C rs36122141 T C T EBF1_EBF_1 6 1 - 6.573017279092185 4.406222847817709 ACTGCCATGGGAAC chr8 5301481 5301482 chr8:5301482:C:A rs11780989 C A C EBF1_EBF_1 4 1 + 8.422538551930117 2.5979789284766497 TTCCCCAAGGGAAG chr8 5308283 5308284 chr8:5308284:C:T rs1946161 C T C EBF1_EBF_1 -12 0 + 0 0 . chr8 5308314 5308315 chr8:5308315:T:A rs1946160 T A T EBF1_EBF_1 19 0 + 0 0 . chr8 5339596 5339597 chr8:5339597:G:C rs1362678 G C C EBF1_EBF_1 -3 0 + 0 0 . chr8 5473470 5473471 chr8:5473471:C:A rs11782359 C A A EBF1_EBF_1 -15 0 + 0 0 . chr8 5532640 5532641 chr8:5532641:A:T rs2527730 A T A EBF1_EBF_1 17 0 + 0 0 . chr8 5568695 5568696 chr8:5568696:T:A rs1468390 T A A EBF1_EBF_1 30 0 + 0 0 . chr8 5654109 5654110 chr8:5654110:A:C rs62485070 A C A EBF1_EBF_1 18 0 - 0 0 . chr8 5655865 5655866 chr8:5655866:A:G rs2732972 A G A EBF1_EBF_1 -14 0 - 0 0 . chr8 5816293 5816294 chr8:5816294:T:A rs6990316 T A A EBF1_EBF_1 31 0 - 0 0 . chr8 5894421 5894422 chr8:5894422:T:G rs7003745 T G T EBF1_EBF_1 -4 0 + 0 0 . chr8 5894422 5894423 chr8:5894423:A:C rs6999670 A C A EBF1_EBF_1 -3 0 + 0 0 . chr8 5894452 5894453 chr8:5894453:A:T rs2816505 A T A EBF1_EBF_1 27 0 + 0 0 . chr8 5915878 5915879 chr8:5915879:C:T rs2816511 C T T EBF1_EBF_1 12 1 + 7.382720624796956 6.3564540542461065 CTTCCCCTGGGGCA chr8 5936405 5936406 chr8:5936406:T:A rs10867001 T A T EBF1_EBF_1 -16 0 + 0 0 . chr8 5969456 5969457 chr8:5969457:A:C rs9314586 A C C EBF1_EBF_1 14 0 + 0 0 . chr8 5988041 5988042 chr8:5988042:A:G rs2247875 A G A EBF1_EBF_1 -8 0 - 0 0 . chr8 6020824 6020825 chr8:6020825:T:C rs2703230 T C C EBF1_EBF_1 -14 0 + 0 0 . chr8 6036416 6036417 chr8:6036417:C:G rs13260309 C G C EBF1_EBF_1 28 0 - 0 0 . chr8 6038603 6038604 chr8:6038604:T:C rs13254109 T C C EBF1_EBF_1 -3 0 + 0 0 . chr8 6045145 6045146 chr8:6045146:G:A rs117495241 G A G EBF1_EBF_1 -17 0 - 0 0 . chr8 6076894 6076895 chr8:6076895:A:G rs117083788 A G A EBF1_EBF_1 17 0 + 0 0 . chr8 6076898 6076899 chr8:6076899:C:G rs144966466 C G C EBF1_EBF_1 21 0 + 0 0 . chr8 6134045 6134046 chr8:6134046:G:A rs1345953 G A A EBF1_EBF_1 23 0 - 0 0 . chr8 6134046 6134047 chr8:6134047:C:T rs968244 C T T EBF1_EBF_1 22 0 - 0 0 . chr8 6154660 6154661 chr8:6154661:A:G rs74923897 A G A EBF1_EBF_1 -2 0 - 0 0 . chr8 6201556 6201557 chr8:6201557:G:A rs77644198 G A G EBF1_EBF_1 12 1 - 4.994448620375924 3.9681820498250744 ACTGCCTTGGGACG chr8 6206047 6206048 chr8:6206048:G:A rs7007868 G A G EBF1_EBF_1 -20 0 - 0 0 . chr8 6215948 6215949 chr8:6215949:T:A rs7813433 T A t EBF1_EBF_1 -9 0 + 0 0 . chr8 6274452 6274453 chr8:6274453:C:T rs73192633 C T C EBF1_EBF_1 32 0 + 0 0 . chr8 6288801 6288802 chr8:6288802:G:A rs6994029 G A A EBF1_EBF_1 26 0 + 0 0 . chr8 6294119 6294120 chr8:6294120:G:C rs68077700 G C G EBF1_EBF_1 24 0 - 0 0 . chr8 6302100 6302101 chr8:6302101:T:C rs4840690 T C T EBF1_EBF_1 -14 0 + 0 0 . chr8 6319851 6319852 chr8:6319852:G:T rs7010759 G T T EBF1_EBF_1 5 1 - 9.965014360666688 3.0601332080315204 TATCCCCTGGGACC chr8 6334793 6334794 chr8:6334794:G:A rs35048612 G A A EBF1_EBF_1 27 0 - 0 0 . chr8 6335041 6335042 chr8:6335042:G:A rs75228530 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 6339111 6339112 chr8:6339112:C:A rs77154347 C A C EBF1_EBF_1 25 0 + 0 0 . chr8 6399903 6399904 chr8:6399904:C:G rs78319826 C G C EBF1_EBF_1 33 0 - 0 0 . chr8 6406417 6406418 chr8:6406418:C:T rs2243755 C T C EBF1_EBF_1 -4 0 + 0 0 . chr8 6406425 6406426 chr8:6406426:C:G rs2243756 C G C EBF1_EBF_1 4 1 + 7.421587275203115 1.7958221740535714 ACTCCCAGGAGAAG chr8 6406431 6406432 chr8:6406432:G:C rs3020244 G C G EBF1_EBF_1 10 1 + 7.421587275203115 0.5307848878736396 ACTCCCAGGAGAAG chr8 6406476 6406477 chr8:6406477:G:A rs557033375 G A G EBF1_EBF_1 -11 0 + 0 0 . chr8 6406493 6406494 chr8:6406494:C:T rs115140989 C T C EBF1_EBF_1 6 1 + 6.869342583068437 7.170628711930195 CCTCCCCGGGGGCT chr8 6406494 6406495 chr8:6406495:G:C rs116171906 G C G EBF1_EBF_1 7 1 + 6.869342583068437 5.888022001987081 CCTCCCCGGGGGCT chr8 6406506 6406507 chr8:6406507:C:A rs553168307 C A C EBF1_EBF_1 19 0 + 0 0 . chr8 6406516 6406517 chr8:6406517:T:G rs187395120 T G T EBF1_EBF_1 29 0 + 0 0 . chr8 6406758 6406759 chr8:6406759:C:G rs149814233 C G C EBF1_EBF_1 20 0 - 0 0 . chr8 6425150 6425151 chr8:6425151:T:A rs17076830 T A T EBF1_EBF_1 7 1 - 6.468640568980578 5.99236632889302 TCTCCCCAGAGAGC chr8 6425175 6425176 chr8:6425176:C:G rs2515555 C G G EBF1_EBF_1 -18 0 - 0 0 . chr8 6463532 6463533 chr8:6463533:A:G rs2440402 A G A EBF1_EBF_1 7 1 + 6.046257651641977 4.7337992463531515 CATCCCCAGAGAAG chr8 6509858 6509859 chr8:6509859:T:C rs2922907 T C T EBF1_EBF_1 -10 0 + 0 0 . chr8 6517667 6517668 chr8:6517668:C:T rs79799803 C T C EBF1_EBF_1 4 1 + 5.39276684115713 1.0233798962122058 CTACCCCAGGGGCT chr8 6534934 6534935 chr8:6534935:G:T rs117679969 G T G EBF1_EBF_1 29 0 + 0 0 . chr8 6540946 6540947 chr8:6540947:A:G rs1367704083 A G A EBF1_EBF_1 27 0 - 0 0 . chr8 6540948 6540949 chr8:6540949:A:T rs77238441 A T A EBF1_EBF_1 25 0 - 0 0 . chr8 6550298 6550299 chr8:6550299:C:G rs3020226 C G C EBF1_EBF_1 14 0 + 0 0 . chr8 6559324 6559325 chr8:6559325:C:G rs569307138 C G C EBF1_EBF_1 -12 0 - 0 0 . chr8 6579396 6579397 chr8:6579397:C:T rs12549864 C T C EBF1_EBF_1 32 0 + 0 0 . chr8 6613577 6613578 chr8:6613578:G:A rs2980665 G A A EBF1_EBF_1 28 0 - 0 0 . chr8 6613604 6613605 chr8:6613605:G:A rs2980664 G A G EBF1_EBF_1 1 1 - 9.229938771238833 10.125372211637577 TCTCCCCGGGGAAC chr8 6648183 6648184 chr8:6648184:A:T rs1055749 A T T EBF1_EBF_1 23 0 - 0 0 . chr8 6648404 6648405 chr8:6648405:A:G rs17077756 A G A EBF1_EBF_1 17 0 - 0 0 . chr8 6648427 6648428 chr8:6648428:C:A rs1055752 C A C EBF1_EBF_1 -6 0 - 0 0 . chr8 6651422 6651423 chr8:6651423:C:G rs2928587 C G c EBF1_EBF_1 -8 0 - 0 0 . chr8 6661602 6661603 chr8:6661603:C:T rs184307888 C T C EBF1_EBF_1 0 1 + 3.1641442251628833 3.446346285893461 CGCCCCAGGGGCCC chr8 6674892 6674893 chr8:6674893:C:A rs7845960 C A C EBF1_EBF_1 29 0 + 0 0 . chr8 6711740 6711741 chr8:6711741:C:T rs992813 C T C EBF1_EBF_1 -4 0 - 0 0 . chr8 6711748 6711749 chr8:6711749:T:G rs13259999 T G T EBF1_EBF_1 -12 0 - 0 0 . chr8 6746634 6746635 chr8:6746635:G:C rs59698252 G C G EBF1_EBF_1 5 1 - 6.801192534855095 -0.10368861778007377 AGTCCCCAGGGCAG chr8 6765194 6765195 chr8:6765195:C:T rs73186329 C T C EBF1_EBF_1 -3 0 + 0 0 . chr8 6773183 6773184 chr8:6773184:T:C rs2912039 T C C EBF1_EBF_1 25 0 - 0 0 . chr8 6773675 6773676 chr8:6773676:T:C rs2936523 T C T EBF1_EBF_1 32 0 - 0 0 . chr8 6773723 6773724 chr8:6773724:G:A rs542928722 G A G EBF1_EBF_1 -16 0 - 0 0 . chr8 6776180 6776181 chr8:6776181:T:G rs17637986 T G T EBF1_EBF_1 6 1 + 6.19758465616249 4.0911064638275345 ATCCGCTAGGGAAC chr8 6777692 6777693 chr8:6777693:G:A rs2077488 G A G EBF1_EBF_1 17 0 - 0 0 . chr8 6786314 6786315 chr8:6786315:T:C rs73661477 T C T EBF1_EBF_1 0 1 + 6.334512184764412 6.052310124033834 TATCCCTAAGGATT chr8 6794373 6794374 chr8:6794374:A:C rs12681051 A C A EBF1_EBF_1 2 1 + 6.827748190507456 8.623392366664183 TCACCCCAGGGACC chr8 6794381 6794382 chr8:6794382:G:T rs11774245 G T G EBF1_EBF_1 10 1 + 6.827748190507456 2.6449960042801903 TCACCCCAGGGACC chr8 6815226 6815227 chr8:6815227:G:C rs113084430 G C G EBF1_EBF_1 33 0 + 0 0 . chr8 6834679 6834680 chr8:6834680:C:T rs188889753 C T C EBF1_EBF_1 3 1 + 7.390040244362395 0.9846415028090787 CCACCCCAGGGACT chr8 6852979 6852980 chr8:6852980:A:C rs2951863 A C A EBF1_EBF_1 7 1 - 5.825364740637889 4.989180575436621 CTCCCCTTGGGGAA chr8 6854611 6854612 chr8:6854612:G:A rs557691252 G A g EBF1_EBF_1 -4 0 - 0 0 . chr8 6860994 6860995 chr8:6860995:G:C rs115710215 G C G EBF1_EBF_1 -17 0 - 0 0 . chr8 6877713 6877714 chr8:6877714:C:T rs5743420 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 6931845 6931846 chr8:6931846:T:C rs4526381 T C T EBF1_EBF_1 23 0 - 0 0 . chr8 6940189 6940190 chr8:6940190:C:T rs2741674 C T T EBF1_EBF_1 8 1 - 3.8780216941207204 -0.3482683947655405 CCACCCCCGGGACA chr8 6941375 6941376 chr8:6941376:C:G rs2615772 C G C EBF1_EBF_1 27 0 + 0 0 . chr8 6999497 6999498 chr8:6999498:A:G rs2615780 A G . EBF1_EBF_1 -7 0 - 0 0 . chr8 6999500 6999501 chr8:6999501:C:T rs866360019 C T . EBF1_EBF_1 -10 0 - 0 0 . chr8 7018609 7018610 chr8:7018610:A:G rs71239274 A G . EBF1_EBF_1 -20 0 - 0 0 . chr8 7062225 7062226 chr8:7062226:G:T rs7834209 G T . EBF1_EBF_1 -3 0 - 0 0 . chr8 7271155 7271156 chr8:7271156:G:C rs117763891 G C . EBF1_EBF_1 22 0 - 0 0 . chr8 7355292 7355293 chr8:7355293:G:A rs544353918 G A g EBF1_EBF_1 -17 0 + 0 0 . chr8 7355332 7355333 chr8:7355333:G:A rs182644232 G A g EBF1_EBF_1 23 0 + 0 0 . chr8 7417701 7417702 chr8:7417702:C:T rs2737535 C T C EBF1_EBF_1 18 0 - 0 0 . chr8 7541015 7541016 chr8:7541016:C:G rs770871358 C G . EBF1_EBF_1 5 1 + 5.484805348613148 -1.4200758040220212 CTTCCCCAGGTAAG chr8 7714474 7714475 chr8:7714475:T:A rs180973107 T A . EBF1_EBF_1 -2 0 - 0 0 . chr8 7714483 7714484 chr8:7714484:G:T rs79297896 G T . EBF1_EBF_1 -11 0 - 0 0 . chr8 7860664 7860665 chr8:7860665:T:G rs12063 T G T EBF1_EBF_1 -1 0 - 0 0 . chr8 7863721 7863722 chr8:7863722:C:T rs77003603 C T C EBF1_EBF_1 5 1 + 9.566721760956671 4.244934545416001 GCTCCCCAGGGATC chr8 8031622 8031623 chr8:8031623:G:A rs200999514 G A . EBF1_EBF_1 8 1 + 5.092889118124202 0.8665990292379422 ACCCCTCAGGGATT chr8 8031631 8031632 chr8:8031632:T:G rs74588847 T G . EBF1_EBF_1 17 0 + 0 0 . chr8 8228844 8228845 chr8:8228845:G:A rs561770369 G A . EBF1_EBF_1 1 1 + 5.837145512251033 5.57644668032973 CGTCCCTGGGGCCT chr8 8229084 8229085 chr8:8229085:C:A rs2898049 C A . EBF1_EBF_1 -19 0 + 0 0 . chr8 8229110 8229111 chr8:8229111:A:C rs2408917 A C . EBF1_EBF_1 7 1 + 7.431805978270436 5.138026991900254 TGTCCCTAGGGCCT chr8 8229221 8229222 chr8:8229222:C:G rs113797267 C G . EBF1_EBF_1 4 1 + 9.501043501679264 3.8752784005297203 TCTCCCTGGGGACC chr8 8246259 8246260 chr8:8246260:C:T rs712253 C T C EBF1_EBF_1 -12 0 - 0 0 . chr8 8252413 8252414 chr8:8252414:A:G rs2945230 A G G EBF1_EBF_1 32 0 + 0 0 . chr8 8285407 8285408 chr8:8285408:G:A rs181755028 G A g EBF1_EBF_1 7 1 - 6.297180834340214 8.114685580622838 GACCCCTCGGGAAA chr8 8329985 8329986 chr8:8329986:A:G rs2979210 A G G EBF1_EBF_1 27 0 - 0 0 . chr8 8333048 8333049 chr8:8333049:A:G rs1850726 A G G EBF1_EBF_1 -17 0 + 0 0 . chr8 8443758 8443759 chr8:8443759:A:C rs117114375 A C A EBF1_EBF_1 13 1 - 5.863693322199085 3.531128186258152 TCTCCCTTGGAACT chr8 8443763 8443764 chr8:8443764:C:T rs118090789 C T C EBF1_EBF_1 8 1 - 5.863693322199085 1.637403233312823 TCTCCCTTGGAACT chr8 8443778 8443779 chr8:8443779:C:T rs75807343 C T C EBF1_EBF_1 -7 0 - 0 0 . chr8 8508735 8508736 chr8:8508736:G:A rs2921098 G A A EBF1_EBF_1 -20 0 + 0 0 . chr8 8517695 8517696 chr8:8517696:C:T rs7841715 C T C EBF1_EBF_1 28 0 + 0 0 . chr8 8549086 8549087 chr8:8549087:T:C rs74645567 T C T EBF1_EBF_1 -7 0 - 0 0 . chr8 8583479 8583480 chr8:8583480:G:A rs73190541 G A G EBF1_EBF_1 -20 0 + 0 0 . chr8 8628194 8628195 chr8:8628195:C:T rs2140243 C T C EBF1_EBF_1 12 1 + 4.059787511492996 3.0335209409421466 ACCCCACTGGGACT chr8 8645886 8645887 chr8:8645887:A:T rs12334389 A T A EBF1_EBF_1 -15 0 + 0 0 . chr8 8645926 8645927 chr8:8645927:T:C rs6986287 T C C EBF1_EBF_1 25 0 + 0 0 . chr8 8646084 8646085 chr8:8646085:A:C rs12675306 A C C EBF1_EBF_1 0 1 + 10.449341087797418 8.194082604100817 AACCCCAGGGGAAT chr8 8646189 8646190 chr8:8646190:T:C rs61040371 T C C EBF1_EBF_1 13 1 - 5.956773272495101 4.842447700425662 ATTCCCCTGAGGTA chr8 8681730 8681731 chr8:8681731:G:A rs17154584 G A g EBF1_EBF_1 26 0 + 0 0 . chr8 8690131 8690132 chr8:8690132:A:G rs17684514 A G A EBF1_EBF_1 27 0 + 0 0 . chr8 8707279 8707280 chr8:8707280:A:G rs1358919594 A G A EBF1_EBF_1 -7 0 - 0 0 . chr8 8774321 8774322 chr8:8774322:C:G rs2012679 C G C EBF1_EBF_1 21 0 + 0 0 . chr8 8784102 8784103 chr8:8784103:T:A rs77877563 T A T EBF1_EBF_1 20 0 - 0 0 . chr8 8791606 8791607 chr8:8791607:A:C rs10092720 A C T EBF1_EBF_1 12 1 + 7.0307469783851655 7.0005655799638395 ACTCCCTGGGAAAT chr8 8793246 8793247 chr8:8793247:T:G rs10103282 T G T EBF1_EBF_1 30 0 + 0 0 . chr8 8794944 8794945 chr8:8794945:G:A rs111721564 G A G EBF1_EBF_1 24 0 - 0 0 . chr8 8797844 8797845 chr8:8797845:G:A rs3748142 G A G EBF1_EBF_1 17 0 + 0 0 . chr8 8802767 8802768 chr8:8802768:G:T rs73519953 G T G EBF1_EBF_1 22 0 + 0 0 . chr8 8822175 8822176 chr8:8822176:C:G rs78886904 C G C EBF1_EBF_1 24 0 - 0 0 . chr8 8822355 8822356 chr8:8822356:G:T rs56091524 G T G EBF1_EBF_1 -16 0 - 0 0 . chr8 8824167 8824168 chr8:8824168:A:G rs73504207 A G A EBF1_EBF_1 19 0 + 0 0 . chr8 8870690 8870691 chr8:8870691:G:A rs117005373 G A g EBF1_EBF_1 -1 0 + 0 0 . chr8 8889251 8889252 chr8:8889252:G:A rs73524056 G A G EBF1_EBF_1 -14 0 + 0 0 . chr8 8892822 8892823 chr8:8892823:G:C rs115597655 G C G EBF1_EBF_1 9 1 + 4.725994729013599 -0.34969441733186496 AGCCCCTCGGGTAC chr8 8936143 8936144 chr8:8936144:A:G rs17700611 A G A EBF1_EBF_1 -3 0 + 0 0 . chr8 8936585 8936586 chr8:8936586:C:T rs6601271 C T C EBF1_EBF_1 4 1 - 5.298157784047862 5.099363261743939 AACCGCCTGGGAAT chr8 8940063 8940064 chr8:8940064:C:G rs79805067 C G C EBF1_EBF_1 6 1 + 6.143692558600571 4.338500495127374 AATCACCTGGGAAC chr8 8940181 8940182 chr8:8940182:C:G rs61293336 C G C EBF1_EBF_1 21 0 + 0 0 . chr8 8941617 8941618 chr8:8941618:G:T rs6999615 G T G EBF1_EBF_1 -20 0 + 0 0 . chr8 8957686 8957687 chr8:8957687:T:C rs74795027 T C T EBF1_EBF_1 -18 0 + 0 0 . chr8 8963776 8963777 chr8:8963777:A:G rs79481691 A G A EBF1_EBF_1 -1 0 + 0 0 . chr8 8963807 8963808 chr8:8963808:T:C rs6981573 T C T EBF1_EBF_1 30 0 + 0 0 . chr8 8971390 8971391 chr8:8971391:G:A rs79063746 G A G EBF1_EBF_1 5 1 - 6.483543372888367 1.1617561573476975 CTTCCCGAGAGATT chr8 8995054 8995055 chr8:8995055:A:G rs6983030 A G G EBF1_EBF_1 31 0 + 0 0 . chr8 9041315 9041316 chr8:9041316:A:C rs140040416 A C A EBF1_EBF_1 9 1 + 6.456497487495879 4.035747606598019 TCTCCCAAGAGAGA chr8 9151193 9151194 chr8:9151194:G:A chr8:9151194:G:A G A G EBF1_EBF_1 10 1 + 4.7921089079420875 -0.5259195513248789 GCTCCCTGGGGCCA chr8 9151205 9151206 chr8:9151206:G:C rs544554145 G C G EBF1_EBF_1 22 0 + 0 0 . chr8 9151348 9151349 chr8:9151349:G:A rs79459561 G A G EBF1_EBF_1 -13 0 + 0 0 . chr8 9151397 9151398 chr8:9151398:A:C rs330930 A C C EBF1_EBF_1 13 1 - 4.558722280117906 2.226157144176973 TTCCCCCAGGGCGT chr8 9151401 9151402 chr8:9151402:C:A rs79363886 C A A EBF1_EBF_1 9 1 - 4.558722280117906 -2.2782671279709037 TTCCCCCAGGGCGT chr8 9225064 9225065 chr8:9225065:C:T rs11787450 C T T EBF1_EBF_1 6 1 + 11.144857721041616 11.446143849903374 GTTCCCCAGGGAAA chr8 9243480 9243481 chr8:9243481:G:A rs67081905 G A G EBF1_EBF_1 6 1 + 7.05566412878459 9.222458560059064 ATTCCCGTGAGAGC chr8 9271653 9271654 chr8:9271654:A:T rs62493777 A T A EBF1_EBF_1 9 1 - 4.924810084997432 9.106860227638638 AACCCCAAGTGAAT chr8 9285970 9285971 chr8:9285971:G:A rs12542921 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 9298174 9298175 chr8:9298175:C:T rs330078 C T T EBF1_EBF_1 24 0 + 0 0 . chr8 9331504 9331505 chr8:9331505:G:C rs17716678 G C G EBF1_EBF_1 15 0 - 0 0 . chr8 9351457 9351458 chr8:9351458:C:T rs36090863 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 9432722 9432723 chr8:9432723:C:T rs113713837 C T C EBF1_EBF_1 13 1 + 6.112744205323118 6.957238319908636 GCCCCCTAGGGGCC chr8 9556068 9556069 chr8:9556069:A:C rs201138981 A C A EBF1_EBF_1 -1 0 - 0 0 . chr8 9608641 9608642 chr8:9608642:C:T rs4562329 C T C EBF1_EBF_1 -14 0 - 0 0 . chr8 9884866 9884867 chr8:9884867:G:C rs185293929 G C G EBF1_EBF_1 2 1 - 4.864828885861832 0.005326607103513403 AGCCCCCAGAGGCA chr8 9893361 9893362 chr8:9893362:G:A rs13278851 G A G EBF1_EBF_1 31 0 - 0 0 . chr8 9905469 9905470 chr8:9905470:C:T rs115515315 C T C EBF1_EBF_1 24 0 - 0 0 . chr8 9906478 9906479 chr8:9906479:A:T rs608095 A T T EBF1_EBF_1 -1 0 + 0 0 . chr8 9906485 9906486 chr8:9906486:C:A rs77162181 C A C EBF1_EBF_1 6 1 + 4.998581897932069 5.360184265733346 CCTCCCCGGGGGTC chr8 9906500 9906501 chr8:9906501:C:T rs192876047 C T C EBF1_EBF_1 21 0 + 0 0 . chr8 9908377 9908378 chr8:9908378:G:A rs13252510 G A G EBF1_EBF_1 26 0 + 0 0 . chr8 9946201 9946202 chr8:9946202:T:C rs13271489 T C T EBF1_EBF_1 -13 0 + 0 0 . chr8 9965982 9965983 chr8:9965983:C:T rs79827930 C T T EBF1_EBF_1 21 0 - 0 0 . chr8 9981425 9981426 chr8:9981426:C:T rs17737355 C T C EBF1_EBF_1 23 0 - 0 0 . chr8 10054178 10054179 chr8:10054179:G:A rs73664915 G A G EBF1_EBF_1 17 0 + 0 0 . chr8 10054237 10054238 chr8:10054238:G:C rs532090394 G C G EBF1_EBF_1 -12 0 + 0 0 . chr8 10054252 10054253 chr8:10054253:C:T rs1390044011 C T C EBF1_EBF_1 3 1 + 5.989835441445496 -0.41556330010782067 CGTCCCGAGAGAAC chr8 10054267 10054268 chr8:10054268:G:A rs540920242 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 10055225 10055226 chr8:10055226:A:G rs554699792 A G A EBF1_EBF_1 13 1 + 7.4355351397855625 6.3212095677161235 TGTCCCCAGGGGCA chr8 10083510 10083511 chr8:10083511:C:T rs814422 C T C EBF1_EBF_1 7 1 - 7.397973625765757 8.710432031054582 TTTCCCTGGAGAAA chr8 10088156 10088157 chr8:10088157:T:C rs73528908 T C T EBF1_EBF_1 16 0 + 0 0 . chr8 10089807 10089808 chr8:10089808:A:C rs7831989 A C A EBF1_EBF_1 30 0 + 0 0 . chr8 10090177 10090178 chr8:10090178:T:C rs374998434 T C T EBF1_EBF_1 2 1 + 10.110524187065986 8.221700775623836 ATTCCCTAGGGCCT chr8 10131613 10131614 chr8:10131614:G:T rs2046399 G T G EBF1_EBF_1 -9 0 + 0 0 . chr8 10203127 10203128 chr8:10203128:A:G rs10097315 A G g EBF1_EBF_1 0 1 + 5.160455343049878 3.268171473485043 AGCCGCCAGGGACC chr8 10292559 10292560 chr8:10292560:G:C rs7003360 G C G EBF1_EBF_1 19 0 - 0 0 . chr8 10313251 10313252 chr8:10313252:A:G rs4329276 A G A EBF1_EBF_1 6 1 + 6.604618892541682 4.437824461267207 AATGCCAAGGGAAA chr8 10329278 10329279 chr8:10329279:T:C rs7816713 T C T EBF1_EBF_1 -13 0 + 0 0 . chr8 10335713 10335714 chr8:10335714:A:G rs28406568 A G A EBF1_EBF_1 1 1 + 5.104317521704527 5.36501635362583 AATCCCCAGAGGGA chr8 10351770 10351771 chr8:10351771:C:T rs73195306 C T C EBF1_EBF_1 19 0 - 0 0 . chr8 10362684 10362685 chr8:10362685:C:G rs11779480 C G C EBF1_EBF_1 20 0 + 0 0 . chr8 10371027 10371028 chr8:10371028:C:G chr8:10371028:C:G C G C EBF1_EBF_1 -14 0 + 0 0 . chr8 10380469 10380470 chr8:10380470:A:C rs17151859 A C A EBF1_EBF_1 12 1 - 5.78201526531198 5.419645892184678 AGTCCCTTGGAATA chr8 10394275 10394276 chr8:10394276:C:T rs75821120 C T C EBF1_EBF_1 4 1 + 6.111283687940694 1.74189674299577 AGTCCCCAGCGACG chr8 10399530 10399531 chr8:10399531:T:G rs11250002 T G T EBF1_EBF_1 -15 0 + 0 0 . chr8 10403557 10403558 chr8:10403558:T:C rs7459532 T C T EBF1_EBF_1 -15 0 - 0 0 . chr8 10411645 10411646 chr8:10411646:A:G rs75064792 A G A EBF1_EBF_1 14 0 - 0 0 . chr8 10411864 10411865 chr8:10411865:T:C rs7817793 T C T EBF1_EBF_1 29 0 - 0 0 . chr8 10416619 10416620 chr8:10416620:G:A rs6997671 G A A EBF1_EBF_1 13 1 - 4.895571571938552 5.74006568652407 TCACCCACGGGACC chr8 10424927 10424928 chr8:10424928:G:C rs4503103 G C C EBF1_EBF_1 28 0 + 0 0 . chr8 10425025 10425026 chr8:10425026:G:T rs117382880 G T G EBF1_EBF_1 -10 0 - 0 0 . chr8 10431958 10431959 chr8:10431959:G:A rs62493491 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 10438055 10438056 chr8:10438056:G:C rs35073964 G C G EBF1_EBF_1 -2 0 + 0 0 . chr8 10464145 10464146 chr8:10464146:G:A rs13248291 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 10479169 10479170 chr8:10479170:G:T rs11787125 G T G EBF1_EBF_1 32 0 - 0 0 . chr8 10524865 10524866 chr8:10524866:G:C rs142993608 G C G EBF1_EBF_1 6 1 + 7.477599321899175 9.282791385372372 ATTCCCGAGGGTCC chr8 10540225 10540226 chr8:10540226:C:T rs10088572 C T T EBF1_EBF_1 7 1 + 8.05779313110687 9.875297877389492 AGTCCCTCGAGAAC chr8 10542161 10542162 chr8:10542162:C:T rs35847318 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 10546117 10546118 chr8:10546118:T:C rs374866358 T C T EBF1_EBF_1 -11 0 - 0 0 . chr8 10563400 10563401 chr8:10563401:T:C rs73201125 T C T EBF1_EBF_1 -19 0 + 0 0 . chr8 10563409 10563410 chr8:10563410:C:A chr8:10563410:C:A C A C EBF1_EBF_1 -10 0 + 0 0 . chr8 10563410 10563411 chr8:10563411:G:A rs13270972 G A G EBF1_EBF_1 -9 0 + 0 0 . chr8 10564246 10564247 chr8:10564247:G:A rs7834360 G A A EBF1_EBF_1 -1 0 - 0 0 . chr8 10587424 10587425 chr8:10587425:A:C rs12549970 A C C EBF1_EBF_1 -8 0 + 0 0 . chr8 10587448 10587449 chr8:10587449:T:G chr8:10587449:T:G T G t EBF1_EBF_1 16 0 + 0 0 . chr8 10587460 10587461 chr8:10587461:A:C rs11250041 A C C EBF1_EBF_1 28 0 + 0 0 . chr8 10591685 10591686 chr8:10591686:G:A rs10109892 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 10595214 10595215 chr8:10595215:A:G rs113620626 A G A EBF1_EBF_1 19 0 - 0 0 . chr8 10599639 10599640 chr8:10599640:T:C rs62490813 T C C EBF1_EBF_1 2 1 + 4.3257214437302896 2.43689803228814 AGTCCCCATGGAAG chr8 10602724 10602725 chr8:10602725:C:T rs144854633 C T C EBF1_EBF_1 33 0 - 0 0 . chr8 10607091 10607092 chr8:10607092:C:T rs1234117561 C T C EBF1_EBF_1 20 0 - 0 0 . chr8 10625791 10625792 chr8:10625792:G:A rs4484648 G A G EBF1_EBF_1 -18 0 + 0 0 . chr8 10626446 10626447 chr8:10626447:G:A rs4543506 G A A EBF1_EBF_1 7 1 + 5.056441380498866 6.368899785787692 AGCCCCCGGAGAGA chr8 10631791 10631792 chr8:10631792:A:G rs73201173 A G A EBF1_EBF_1 28 0 - 0 0 . chr8 10631833 10631834 chr8:10631834:A:T rs190895978 A T A EBF1_EBF_1 -14 0 - 0 0 . chr8 10641362 10641363 chr8:10641363:T:A chr8:10641363:T:A T A T EBF1_EBF_1 -9 0 - 0 0 . chr8 10644834 10644835 chr8:10644835:G:C rs4841402 G C G EBF1_EBF_1 -11 0 - 0 0 . chr8 10653908 10653909 chr8:10653909:C:T rs28722737 C T C EBF1_EBF_1 27 0 + 0 0 . chr8 10660615 10660616 chr8:10660616:C:A rs80273833 C A C EBF1_EBF_1 5 1 + 8.647305594340624 1.7424244417054549 TCTCCCCTGGGAGC chr8 10660809 10660810 chr8:10660810:G:A rs7014633 G A G EBF1_EBF_1 20 0 - 0 0 . chr8 10662744 10662745 chr8:10662745:T:C rs7815184 T C C EBF1_EBF_1 25 0 - 0 0 . chr8 10663510 10663511 chr8:10663511:T:C rs4395858 T C C EBF1_EBF_1 4 1 + 6.412496161964927 10.78188310690985 CTTCTCCAGGGAAA chr8 10664067 10664068 chr8:10664068:T:A rs28521236 T A A EBF1_EBF_1 4 1 + 6.142828833161409 4.687656154652865 TCTCTCCAGGGACC chr8 10672707 10672708 chr8:10672708:C:T rs11250058 C T T EBF1_EBF_1 30 0 + 0 0 . chr8 10673153 10673154 chr8:10673154:C:G rs73200713 C G C EBF1_EBF_1 28 0 - 0 0 . chr8 10713463 10713464 chr8:10713464:A:G rs3923469 A G A EBF1_EBF_1 -17 0 + 0 0 . chr8 10715497 10715498 chr8:10715498:A:G rs150099881 A G A EBF1_EBF_1 -10 0 + 0 0 . chr8 10726398 10726399 chr8:10726399:G:C rs4841433 G C G EBF1_EBF_1 12 1 - 4.145184941958218 2.756548998280066 ACTCCCCCGGCACT chr8 10730333 10730334 chr8:10730334:G:C chr8:10730334:G:C G C G EBF1_EBF_1 14 0 + 0 0 . chr8 10732539 10732540 chr8:10732540:G:C rs35633274 G C G EBF1_EBF_1 25 0 - 0 0 . chr8 10732561 10732562 chr8:10732562:G:A rs111991353 G A G EBF1_EBF_1 3 1 - 6.059724002372849 -0.345674739180469 CCCCCCGTGGGACC chr8 10771436 10771437 chr8:10771437:T:C rs6601521 T C T EBF1_EBF_1 23 0 + 0 0 . chr8 10807558 10807559 chr8:10807559:A:G rs4551304 A G G EBF1_EBF_1 5 1 - 5.963738037458014 11.285525252998683 AACCCTATGGGAAT chr8 10817677 10817678 chr8:10817678:T:C rs7814757 T C C EBF1_EBF_1 14 0 - 0 0 . chr8 10819031 10819032 chr8:10819032:G:T rs34653170 G T T EBF1_EBF_1 -16 0 + 0 0 . chr8 10819064 10819065 chr8:10819065:G:A rs13270324 G A G EBF1_EBF_1 17 0 + 0 0 . chr8 10840707 10840708 chr8:10840708:T:C rs3808603 T C C EBF1_EBF_1 -14 0 - 0 0 . chr8 10850697 10850698 chr8:10850698:C:A rs61738882 C A C EBF1_EBF_1 -17 0 + 0 0 . chr8 10903156 10903157 chr8:10903157:G:C rs73209954 G C G EBF1_EBF_1 20 0 + 0 0 . chr8 10908517 10908518 chr8:10908518:T:C rs6997839 T C C EBF1_EBF_1 26 0 + 0 0 . chr8 10926662 10926663 chr8:10926663:G:A rs112157413 G A G EBF1_EBF_1 -14 0 - 0 0 . chr8 10928868 10928869 chr8:10928869:C:A rs28566988 C A C EBF1_EBF_1 -19 0 - 0 0 . chr8 10944544 10944545 chr8:10944545:G:A rs11783749 G A A EBF1_EBF_1 10 1 + 6.26648925467767 0.9484607954107036 ACTCCCTCAGGACC chr8 10947332 10947333 chr8:10947333:G:A rs7000276 G A G EBF1_EBF_1 -1 0 - 0 0 . chr8 10948693 10948694 chr8:10948694:A:G rs11990141 A G A EBF1_EBF_1 23 0 - 0 0 . chr8 10952936 10952937 chr8:10952937:C:G rs7004551 C G G EBF1_EBF_1 12 1 + 8.066733048601453 6.678097104923301 ATTCCCACAGGACT chr8 10952940 10952941 chr8:10952941:A:G rs10096511 A G G EBF1_EBF_1 16 0 + 0 0 . chr8 10964264 10964265 chr8:10964265:C:G rs527851009 C G C EBF1_EBF_1 -7 0 + 0 0 . chr8 10964335 10964336 chr8:10964336:G:C rs75334921 G C G EBF1_EBF_1 -5 0 + 0 0 . chr8 10968089 10968090 chr8:10968090:C:T rs532453709 C T C EBF1_EBF_1 2 1 + 4.577597320017528 6.466420731459678 CTCCCCCTGGTACC chr8 10969810 10969811 chr8:10969811:G:C rs3923293 G C G EBF1_EBF_1 10 1 + 7.020014591351073 0.1292122040215969 TGTCCCAAGAGAGC chr8 10979245 10979246 chr8:10979246:C:G rs80270292 C G C EBF1_EBF_1 -3 0 + 0 0 . chr8 10979903 10979904 chr8:10979904:A:C rs10092831 A C A EBF1_EBF_1 -15 0 + 0 0 . chr8 10979909 10979910 chr8:10979910:A:G rs10092835 A G C EBF1_EBF_1 -9 0 + 0 0 . chr8 10998651 10998652 chr8:10998652:G:C rs76605112 G C G EBF1_EBF_1 5 1 - 9.889858054614217 2.984976901979051 AATCCCCTGGGGCT chr8 11003874 11003875 chr8:11003875:G:T rs7350066 G T T EBF1_EBF_1 -4 0 - 0 0 . chr8 11017997 11017998 chr8:11017998:C:T rs114686239 C T C EBF1_EBF_1 12 1 + 3.8111850453460745 2.784918474795225 TGTCCCCAGGCACC chr8 11030719 11030720 chr8:11030720:G:A rs6994928 G A G EBF1_EBF_1 31 0 + 0 0 . chr8 11059398 11059399 chr8:11059399:G:C rs1488624540 G C G EBF1_EBF_1 27 0 + 0 0 . chr8 11059403 11059404 chr8:11059404:C:G rs569222260 C G C EBF1_EBF_1 32 0 + 0 0 . chr8 11077855 11077856 chr8:11077856:T:C rs4545055 T C C EBF1_EBF_1 28 0 - 0 0 . chr8 11077857 11077858 chr8:11077858:A:C rs2898257 A C C EBF1_EBF_1 26 0 - 0 0 . chr8 11159175 11159176 chr8:11159176:C:T rs73198960 C T C EBF1_EBF_1 21 0 - 0 0 . chr8 11170537 11170538 chr8:11170538:C:T rs77843813 C T C EBF1_EBF_1 1 1 - 5.301513823090907 5.040814991169604 AGCCCCTGGGAACT chr8 11171519 11171520 chr8:11171520:T:G rs4840550 T G G EBF1_EBF_1 2 1 - 10.932515934680346 12.72816011083707 ATACCCAAGGGAAT chr8 11226705 11226706 chr8:11226706:A:T rs60719151 A T A EBF1_EBF_1 13 1 + 7.770564790613838 8.988804354485332 ACTCCCATAGGACA chr8 11238643 11238644 chr8:11238644:G:C rs11777002 G C G EBF1_EBF_1 26 0 - 0 0 . chr8 11241255 11241256 chr8:11241256:G:A rs2736366 G A G EBF1_EBF_1 -5 0 - 0 0 . chr8 11243132 11243133 chr8:11243133:C:T rs138804446 C T C EBF1_EBF_1 3 1 + 7.7371952513258515 1.3317965097725355 GTTCCCAAGAGAAG chr8 11243155 11243156 chr8:11243156:C:A rs2572435 C A C EBF1_EBF_1 26 0 + 0 0 . chr8 11275256 11275257 chr8:11275257:T:A rs2736381 T A A EBF1_EBF_1 22 0 + 0 0 . chr8 11284510 11284511 chr8:11284511:G:C rs575881729 G C G EBF1_EBF_1 17 0 + 0 0 . chr8 11284524 11284525 chr8:11284525:T:C rs73665044 T C C EBF1_EBF_1 31 0 + 0 0 . chr8 11348550 11348551 chr8:11348551:C:G rs116019190 C G C EBF1_EBF_1 0 1 + 4.409753039706692 4.7727276538384595 CTCCCCCTGGGGAG chr8 11390304 11390305 chr8:11390305:G:A rs13269118 G A G EBF1_EBF_1 -7 0 + 0 0 . chr8 11391500 11391501 chr8:11391501:G:T rs2572440 G T G EBF1_EBF_1 -2 0 - 0 0 . chr8 11409970 11409971 chr8:11409971:C:A rs112048005 C A C EBF1_EBF_1 -2 0 + 0 0 . chr8 11443208 11443209 chr8:11443209:G:A rs533758466 G A G EBF1_EBF_1 20 0 - 0 0 . chr8 11449944 11449945 chr8:11449945:A:G rs4841536 A G G EBF1_EBF_1 7 1 + 5.0807393785223205 3.7682809732334954 GCTCCCAAGGGCAG chr8 11449959 11449960 chr8:11449960:G:A rs11250136 G A G EBF1_EBF_1 22 0 + 0 0 . chr8 11451104 11451105 chr8:11451105:G:A rs58387061 G A G EBF1_EBF_1 3 1 - 6.21553984421513 -0.18985889733818806 AAACCCCAGGGATG chr8 11464567 11464568 chr8:11464568:C:G rs73533636 C G G EBF1_EBF_1 27 0 - 0 0 . chr8 11466586 11466587 chr8:11466587:C:T rs371166487 C T C EBF1_EBF_1 14 0 - 0 0 . chr8 11466588 11466589 chr8:11466589:G:C rs186183983 G C G EBF1_EBF_1 12 1 - 5.564542530806701 4.17590658712855 CTTTCCCAGGGACT chr8 11466591 11466592 chr8:11466592:C:T rs373629490 C T C EBF1_EBF_1 9 1 - 5.564542530806701 2.909603265359098 CTTTCCCAGGGACT chr8 11480338 11480339 chr8:11480339:C:T rs150120942 C T C EBF1_EBF_1 4 1 + 5.8402672285521975 1.4708802836072723 CCCCCCAAGGGGAC chr8 11492119 11492120 chr8:11492120:C:T rs375146346 C T C EBF1_EBF_1 6 1 + 5.101843116718783 5.403129245580541 TCTCCCCGGGGTCC chr8 11505756 11505757 chr8:11505757:T:C rs2280804 T C T EBF1_EBF_1 17 0 - 0 0 . chr8 11514452 11514453 chr8:11514453:G:T rs144831397 G T G EBF1_EBF_1 27 0 + 0 0 . chr8 11549503 11549504 chr8:11549504:G:C rs73195287 G C C EBF1_EBF_1 -4 0 - 0 0 . chr8 11559125 11559126 chr8:11559126:G:A rs11785333 G A G EBF1_EBF_1 10 1 + 10.077896627539754 4.759868168272789 ACCCCCTTGGGAAA chr8 11559133 11559134 chr8:11559134:G:A rs11785335 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 11560875 11560876 chr8:11560876:G:A rs2898289 G A G EBF1_EBF_1 10 1 + 5.748787511034432 0.4307590517674655 CTTCCCGAGGGCCT chr8 11574878 11574879 chr8:11574879:C:T rs115540653 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 11591228 11591229 chr8:11591229:G:T rs11783137 G T G EBF1_EBF_1 -18 0 - 0 0 . chr8 11616886 11616887 chr8:11616887:G:C chr8:11616887:G:C G C G EBF1_EBF_1 -17 0 - 0 0 . chr8 11616985 11616986 chr8:11616986:C:G rs137992312 C G C EBF1_EBF_1 22 0 - 0 0 . chr8 11629200 11629201 chr8:11629201:A:G rs193300545 A G A EBF1_EBF_1 26 0 - 0 0 . chr8 11629204 11629205 chr8:11629205:A:C rs4841572 A C A EBF1_EBF_1 22 0 - 0 0 . chr8 11641557 11641558 chr8:11641558:A:G rs2252908 A G G EBF1_EBF_1 33 0 - 0 0 . chr8 11648793 11648794 chr8:11648794:C:G rs1975956 C G C EBF1_EBF_1 20 0 + 0 0 . chr8 11648794 11648795 chr8:11648795:C:T rs6999340 C T C EBF1_EBF_1 21 0 + 0 0 . chr8 11649423 11649424 chr8:11649424:G:C rs2251926 G C G EBF1_EBF_1 10 1 + 10.732168831096875 3.8413664437674013 TGTCCCCAGGGAAC chr8 11649437 11649438 chr8:11649438:C:A rs75932381 C A C EBF1_EBF_1 24 0 + 0 0 . chr8 11651437 11651438 chr8:11651438:G:A rs190851260 G A G EBF1_EBF_1 33 0 + 0 0 . chr8 11680103 11680104 chr8:11680104:A:T rs11780085 A T A EBF1_EBF_1 13 1 + 7.069728867164048 8.287968431035543 CTTCCCAAGAGAGA chr8 11697888 11697889 chr8:11697889:G:C rs56936550 G C G EBF1_EBF_1 22 0 - 0 0 . chr8 11710142 11710143 chr8:11710143:G:A rs62489320 G A G EBF1_EBF_1 24 0 - 0 0 . chr8 11727039 11727040 chr8:11727040:G:A rs34960871 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 11736579 11736580 chr8:11736580:C:G rs117982404 C G C EBF1_EBF_1 22 0 - 0 0 . chr8 11736606 11736607 chr8:11736607:A:G rs60893253 A G A EBF1_EBF_1 -5 0 - 0 0 . chr8 11743560 11743561 chr8:11743561:A:G rs116543625 A G A EBF1_EBF_1 -7 0 - 0 0 . chr8 11743565 11743566 chr8:11743566:G:A rs13275657 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 11744000 11744001 chr8:11744001:A:C rs4841584 A C A EBF1_EBF_1 -1 0 + 0 0 . chr8 11756357 11756358 chr8:11756358:T:C rs28438570 T C T EBF1_EBF_1 33 0 - 0 0 . chr8 11756406 11756407 chr8:11756407:C:T rs374088187 C T C EBF1_EBF_1 -16 0 - 0 0 . chr8 11758888 11758889 chr8:11758889:T:C rs7008652 T C T EBF1_EBF_1 30 0 - 0 0 . chr8 11758900 11758901 chr8:11758901:C:T rs1062219 C T C EBF1_EBF_1 18 0 - 0 0 . chr8 11759006 11759007 chr8:11759007:T:C rs904018 T C T EBF1_EBF_1 28 0 - 0 0 . chr8 11759037 11759038 chr8:11759038:C:G rs12825 C G G EBF1_EBF_1 -3 0 - 0 0 . chr8 11768636 11768637 chr8:11768637:T:G rs11774833 T G T EBF1_EBF_1 -10 0 + 0 0 . chr8 11783451 11783452 chr8:11783452:G:A rs143736920 G A G EBF1_EBF_1 13 1 - 6.32680549361719 7.171299608202708 ACTCCCACAGGACC chr8 11800386 11800387 chr8:11800387:A:G rs111235618 A G A EBF1_EBF_1 -11 0 - 0 0 . chr8 11802759 11802760 chr8:11802760:T:C rs117617276 T C T EBF1_EBF_1 -15 0 + 0 0 . chr8 11802760 11802761 chr8:11802761:C:T rs547646473 C T C EBF1_EBF_1 -14 0 + 0 0 . chr8 11802762 11802763 chr8:11802763:A:G rs565845978 A G A EBF1_EBF_1 -12 0 + 0 0 . chr8 11802764 11802765 chr8:11802765:C:T rs530241001 C T C EBF1_EBF_1 -10 0 + 0 0 . chr8 11841406 11841407 chr8:11841407:G:C rs77008496 G C G EBF1_EBF_1 15 0 + 0 0 . chr8 11857047 11857048 chr8:11857048:G:C rs145147919 G C G EBF1_EBF_1 23 0 + 0 0 . chr8 11857468 11857469 chr8:11857469:G:T rs76893470 G T G EBF1_EBF_1 5 1 - 4.917264403397357 -1.9876167492378123 AGCCCCCGGGTACA chr8 11862717 11862718 chr8:11862718:G:C rs1293303 G C G EBF1_EBF_1 25 0 + 0 0 . chr8 11867228 11867229 chr8:11867229:C:T rs73663033 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 11867878 11867879 chr8:11867879:G:A chr8:11867879:G:A G A g EBF1_EBF_1 10 1 + 7.405865553279173 2.087837094012207 GGCCCCCAGGGACG chr8 11867878 11867879 chr8:11867879:G:T rs1293311 G T g EBF1_EBF_1 10 1 + 7.405865553279173 3.2231133670519085 GGCCCCCAGGGACG chr8 11874808 11874809 chr8:11874809:C:A rs1736118 C A C EBF1_EBF_1 11 1 + 7.902632341378416 12.318594039230804 ACTCCCTGGGGCCT chr8 11875165 11875166 chr8:11875166:G:C rs1692803 G C G EBF1_EBF_1 -11 0 + 0 0 . chr8 11877191 11877192 chr8:11877192:G:A rs1619200 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 11908546 11908547 chr8:11908547:G:C rs6992574 G C - EBF1_EBF_1 5 1 - 4.850944339869747 -2.053936812765423 CTTCCCCAAGGGCT chr8 11929500 11929501 chr8:11929501:A:G rs34937417 A G - EBF1_EBF_1 14 0 + 0 0 . chr8 11933706 11933707 chr8:11933707:A:G rs13261205 A G - EBF1_EBF_1 10 1 - 7.276253444294242 4.568203243192031 ACTCCCCAGGTATC chr8 11936096 11936097 chr8:11936097:G:A rs9329251 G A A EBF1_EBF_1 -1 0 + 0 0 . chr8 11942456 11942457 chr8:11942457:C:T rs56200666 C T C EBF1_EBF_1 3 1 + 6.861032633684185 0.45563389213086775 CCTCCCCTGGGGCC chr8 11953352 11953353 chr8:11953353:G:A rs6985543 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 11954625 11954626 chr8:11954626:C:T rs4841652 C T C EBF1_EBF_1 17 0 - 0 0 . chr8 11955192 11955193 chr8:11955193:G:C rs34503021 G C C EBF1_EBF_1 -2 0 + 0 0 . chr8 11961655 11961656 chr8:11961656:C:T rs12550278 C T C EBF1_EBF_1 25 0 + 0 0 . chr8 11963929 11963930 chr8:11963930:G:C rs3934747 G C C EBF1_EBF_1 19 0 + 0 0 . chr8 11968066 11968067 chr8:11968067:C:G rs4076491 C G G EBF1_EBF_1 23 0 + 0 0 . chr8 11968155 11968156 chr8:11968156:C:T rs58399622 C T C EBF1_EBF_1 12 1 + 5.709024045618287 4.6827574750674374 ATTCCCTAGAAACC chr8 12174538 12174539 chr8:12174539:C:T rs74204326 C T c EBF1_EBF_1 4 1 + 5.5392850548418 1.1698981098968768 TTCCCCAGGAGACA chr8 12176736 12176737 chr8:12176737:A:T rs199831390 A T a EBF1_EBF_1 11 1 + 5.092889118124202 0.9949748620254775 ACCCCTCAGGGATT chr8 12176740 12176741 chr8:12176741:C:G rs1442447184 C G c EBF1_EBF_1 15 0 + 0 0 . chr8 12374011 12374012 chr8:12374012:C:T rs75383389 C T c EBF1_EBF_1 12 1 - 6.617780865983781 8.036598208083259 ATTCCCTCAGGAGT chr8 12374913 12374914 chr8:12374914:A:G rs2739957 A G - EBF1_EBF_1 7 1 - 6.361063424705527 4.543558678422904 ACTGCCATGGGATT chr8 12417929 12417930 chr8:12417930:C:T rs112951872 C T c EBF1_EBF_1 -9 0 + 0 0 . chr8 12545090 12545091 chr8:12545091:G:A rs1806764 G A . EBF1_EBF_1 10 1 + 5.4490559874318665 0.1310275281649007 CTCCCCAGGAGATT chr8 12566883 12566884 chr8:12566884:C:A rs685998 C A . EBF1_EBF_1 -1 0 + 0 0 . chr8 12574952 12574953 chr8:12574953:G:T rs3988644 G T . EBF1_EBF_1 0 1 + 7.319247194352328 7.238474640951139 GCCCCCTGGGGACA chr8 12574973 12574974 chr8:12574974:A:G rs12381542 A G . EBF1_EBF_1 21 0 + 0 0 . chr8 12577812 12577813 chr8:12577813:T:C rs10100531 T C . EBF1_EBF_1 -17 0 - 0 0 . chr8 12586285 12586286 chr8:12586286:T:G rs1811166 T G . EBF1_EBF_1 -20 0 - 0 0 . chr8 12595907 12595908 chr8:12595908:C:T rs62496584 C T . EBF1_EBF_1 -3 0 + 0 0 . chr8 12598400 12598401 chr8:12598401:C:A rs150262338 C A . EBF1_EBF_1 9 1 + 5.274844354706856 7.695594235604716 AACCCCTTGCGACC chr8 12633534 12633535 chr8:12633535:A:T rs614914 A T . EBF1_EBF_1 6 1 + 8.316149658738198 8.255833419798677 ATTCACATGGGAAT chr8 12658501 12658502 chr8:12658502:C:G rs56281531 C G . EBF1_EBF_1 25 0 - 0 0 . chr8 12673668 12673669 chr8:12673669:G:T rs4831693 G T . EBF1_EBF_1 30 0 + 0 0 . chr8 12805543 12805544 chr8:12805544:T:C rs10888128 T C t EBF1_EBF_1 27 0 - 0 0 . chr8 12813938 12813939 chr8:12813939:G:A rs117236282 G A G EBF1_EBF_1 7 1 - 5.406502501533329 7.224007247815952 GCTCCCCCGGGGCC chr8 12813953 12813954 chr8:12813954:A:G rs13276438 A G A EBF1_EBF_1 -8 0 - 0 0 . chr8 12813954 12813955 chr8:12813955:C:T rs77825360 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 12813960 12813961 chr8:12813961:G:T rs1433809366 G T G EBF1_EBF_1 -15 0 - 0 0 . chr8 12858234 12858235 chr8:12858235:A:G rs17120965 A G A EBF1_EBF_1 19 0 - 0 0 . chr8 12887458 12887459 chr8:12887459:G:A rs140221228 G A G EBF1_EBF_1 -12 0 + 0 0 . chr8 12915903 12915904 chr8:12915904:A:C rs2956755 A C C EBF1_EBF_1 21 0 + 0 0 . chr8 12945355 12945356 chr8:12945356:C:T rs34160064 C T C EBF1_EBF_1 5 1 + 8.502799293416366 3.1810120778756983 TTTCCCAAGGGGCA chr8 13044168 13044169 chr8:13044169:A:G rs75110377 A G A EBF1_EBF_1 27 0 - 0 0 . chr8 13063591 13063592 chr8:13063592:A:G rs506956 A G A EBF1_EBF_1 1 1 + 5.518355407041331 5.779054238962632 AATCCCAGGGTAGA chr8 13067813 13067814 chr8:13067814:C:T rs73550445 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 13068538 13068539 chr8:13068539:A:G rs630498 A G G EBF1_EBF_1 19 0 + 0 0 . chr8 13080324 13080325 chr8:13080325:G:C rs2460361 G C C EBF1_EBF_1 23 0 - 0 0 . chr8 13080343 13080344 chr8:13080344:G:C rs35208966 G C G EBF1_EBF_1 4 1 - 6.624512904664703 0.9987478035151589 GGTCCCATGAGAGC chr8 13080363 13080364 chr8:13080364:A:G rs57889625 A G A EBF1_EBF_1 -16 0 - 0 0 . chr8 13121890 13121891 chr8:13121891:G:A rs12541254 G A A EBF1_EBF_1 8 1 + 5.865489075183158 1.639198986296897 CCTCCCCAGGTATT chr8 13132164 13132165 chr8:13132165:G:A rs188648664 G A G EBF1_EBF_1 22 0 + 0 0 . chr8 13158849 13158850 chr8:13158850:C:A rs67404687 C A A EBF1_EBF_1 -19 0 + 0 0 . chr8 13158869 13158870 chr8:13158870:G:A rs67035513 G A A EBF1_EBF_1 1 1 + 5.7499881104759405 5.489289278554639 GGTCCCCTGGTACA chr8 13225235 13225236 chr8:13225236:C:A rs1209107 C A A EBF1_EBF_1 8 1 - 5.194321344305727 -1.6971114446714688 AATCCCACGGAAAC chr8 13239294 13239295 chr8:13239295:C:A rs17188796 C A c EBF1_EBF_1 21 0 + 0 0 . chr8 13301640 13301641 chr8:13301641:T:A rs17116022 T A A EBF1_EBF_1 17 0 + 0 0 . chr8 13368510 13368511 chr8:13368511:C:G rs76557922 C G G EBF1_EBF_1 21 0 + 0 0 . chr8 13401417 13401418 chr8:13401418:G:C rs2306484 G C G EBF1_EBF_1 -14 0 + 0 0 . chr8 13403454 13403455 chr8:13403455:C:G rs1481675 C G C EBF1_EBF_1 3 1 + 6.413041131670499 0.5852766835552823 CTTCCCATGGGCTT chr8 13421865 13421866 chr8:13421866:C:A chr8:13421866:C:A C A G EBF1_EBF_1 31 0 + 0 0 . chr8 13421865 13421866 chr8:13421866:C:G rs1454958 C G G EBF1_EBF_1 31 0 + 0 0 . chr8 13440298 13440299 chr8:13440299:C:T rs13272331 C T C EBF1_EBF_1 1 1 - 5.6507176452490455 5.390018813327743 AGTCTCCAGAGACC chr8 13472236 13472237 chr8:13472237:T:C rs117779851 T C T EBF1_EBF_1 21 0 + 0 0 . chr8 13630180 13630181 chr8:13630181:C:G rs10107968 C G C EBF1_EBF_1 27 0 - 0 0 . chr8 13641720 13641721 chr8:13641721:A:G rs62494769 A G G EBF1_EBF_1 21 0 - 0 0 . chr8 13641745 13641746 chr8:13641746:G:A rs71514467 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 13673524 13673525 chr8:13673525:C:T rs1481582 C T - EBF1_EBF_1 26 0 - 0 0 . chr8 13704831 13704832 chr8:13704832:G:T rs908093819 G T G EBF1_EBF_1 31 0 + 0 0 . chr8 13756129 13756130 chr8:13756130:C:T rs73563087 C T T EBF1_EBF_1 26 0 - 0 0 . chr8 13820804 13820805 chr8:13820805:T:C rs12544886 T C T EBF1_EBF_1 21 0 + 0 0 . chr8 13824970 13824971 chr8:13824971:G:A rs73208218 G A G EBF1_EBF_1 10 1 + 4.990504515574519 -0.3275239436924476 ACCCCCAGGGGGGA chr8 13837476 13837477 chr8:13837477:C:A rs12675887 C A C EBF1_EBF_1 -11 0 - 0 0 . chr8 13855390 13855391 chr8:13855391:T:C rs36072426 T C T EBF1_EBF_1 6 1 - 6.5170709767668535 4.3502765454923775 ATTTCCATGGGAAA chr8 14058748 14058749 chr8:14058749:C:A rs62492821 C A A EBF1_EBF_1 3 1 + 5.043448181214462 -1.8627258482513454 GTCCCCATGGGTAA chr8 14142779 14142780 chr8:14142780:C:G rs73522479 C G C EBF1_EBF_1 19 0 + 0 0 . chr8 14397913 14397914 chr8:14397914:C:T rs11992296 C T T EBF1_EBF_1 1 1 + 4.938096997684805 5.833530438083549 ACTCCCCTGAGCCC chr8 14427546 14427547 chr8:14427547:G:A rs7815232 G A G EBF1_EBF_1 7 1 + 5.4490559874318665 6.7615143927206915 CTCCCCAGGAGATT chr8 14656086 14656087 chr8:14656087:C:T rs13249873 C T T EBF1_EBF_1 -11 0 + 0 0 . chr8 14761867 14761868 chr8:14761868:G:C rs2252093 G C G EBF1_EBF_1 -2 0 + 0 0 . chr8 14782336 14782337 chr8:14782337:C:G rs73664476 C G C EBF1_EBF_1 -4 0 + 0 0 . chr8 14860287 14860288 chr8:14860288:C:T rs73666905 C T C EBF1_EBF_1 1 1 + 5.432409554708989 6.327842995107733 ACTCCCAAGAGCAA chr8 14860300 14860301 chr8:14860301:T:A rs73666906 T A A EBF1_EBF_1 14 0 + 0 0 . chr8 14953291 14953292 chr8:14953292:C:G rs7387381 C G G EBF1_EBF_1 10 1 - 9.2928983700239 2.4020959826944255 TCCCCCTTGGGACT chr8 15372609 15372610 chr8:15372610:G:C rs4487765 G C C EBF1_EBF_1 18 0 - 0 0 . chr8 15389025 15389026 chr8:15389026:G:A rs62501733 G A G EBF1_EBF_1 -14 0 - 0 0 . chr8 15506262 15506263 chr8:15506263:C:G rs55649348 C G C EBF1_EBF_1 -1 0 + 0 0 . chr8 15563016 15563017 chr8:15563017:A:T rs7825304 A T T EBF1_EBF_1 -13 0 - 0 0 . chr8 15689492 15689493 chr8:15689493:A:G rs12548856 A G A EBF1_EBF_1 32 0 - 0 0 . chr8 15689503 15689504 chr8:15689504:C:T rs35174544 C T C EBF1_EBF_1 21 0 - 0 0 . chr8 15801995 15801996 chr8:15801996:G:C rs115860793 G C G EBF1_EBF_1 -10 0 - 0 0 . chr8 15886040 15886041 chr8:15886041:T:A rs2720612 T A T EBF1_EBF_1 18 0 + 0 0 . chr8 15949532 15949533 chr8:15949533:T:G rs75068084 T G T EBF1_EBF_1 -11 0 + 0 0 . chr8 15992583 15992584 chr8:15992584:G:C rs4831790 G C G EBF1_EBF_1 -9 0 + 0 0 . chr8 16099979 16099980 chr8:16099980:G:T rs2410376 G T T EBF1_EBF_1 27 0 + 0 0 . chr8 16121099 16121100 chr8:16121100:C:A rs12678776 C A A EBF1_EBF_1 3 1 + 11.425687535441705 4.519513505975899 TTTCCCAAGGGAAA chr8 16186303 16186304 chr8:16186304:C:T rs931192843 C T C EBF1_EBF_1 -11 0 + 0 0 . chr8 16186307 16186308 chr8:16186308:T:C rs77061383 T C T EBF1_EBF_1 -7 0 + 0 0 . chr8 16601927 16601928 chr8:16601928:G:C rs547449889 G C G EBF1_EBF_1 -18 0 - 0 0 . chr8 16759796 16759797 chr8:16759797:C:T rs499473 C T C EBF1_EBF_1 27 0 - 0 0 . chr8 16759833 16759834 chr8:16759834:G:A rs499586 G A G EBF1_EBF_1 -10 0 - 0 0 . chr8 16810367 16810368 chr8:16810368:T:G rs34150718 T G T EBF1_EBF_1 6 1 - 9.081764897507098 8.72016252970582 ACCCCCATGGGATA chr8 16862351 16862352 chr8:16862352:G:C rs681254 G C G EBF1_EBF_1 26 0 + 0 0 . chr8 16862351 16862352 chr8:16862352:G:T chr8:16862352:G:T G T G EBF1_EBF_1 26 0 + 0 0 . chr8 17027116 17027117 chr8:17027117:G:T rs144085348 G T G EBF1_EBF_1 -12 0 + 0 0 . chr8 17083450 17083451 chr8:17083451:C:T rs12543283 C T C EBF1_EBF_1 -15 0 - 0 0 . chr8 17106328 17106329 chr8:17106329:C:A rs142596690 C A C EBF1_EBF_1 -4 0 + 0 0 . chr8 17142263 17142264 chr8:17142264:A:G rs2705213 A G A EBF1_EBF_1 -9 0 - 0 0 . chr8 17143979 17143980 chr8:17143980:T:C rs75826223 T C T EBF1_EBF_1 7 1 - 7.360324369096755 6.047865963807929 ACTCCCAAGGGTGC chr8 17246918 17246919 chr8:17246919:G:T rs906145136 G T G EBF1_EBF_1 10 1 + 2.8377256902545707 -1.3450264959726947 CGCCCCCGGGGGCG chr8 17246933 17246934 chr8:17246934:T:C chr8:17246934:T:C T C T EBF1_EBF_1 25 0 + 0 0 . chr8 17247342 17247343 chr8:17247343:C:A rs373895020 C A C EBF1_EBF_1 -2 0 + 0 0 . chr8 17247376 17247377 chr8:17247377:G:A rs145945235 G A G EBF1_EBF_1 32 0 + 0 0 . chr8 17346306 17346307 chr8:17346307:G:A rs2285290 G A A EBF1_EBF_1 17 0 - 0 0 . chr8 17349948 17349949 chr8:17349949:T:C rs17503097 T C T EBF1_EBF_1 27 0 - 0 0 . chr8 17414076 17414077 chr8:17414077:C:T rs6587047 C T T EBF1_EBF_1 18 0 - 0 0 . chr8 17449630 17449631 chr8:17449631:T:C rs61231022 T C T EBF1_EBF_1 -15 0 + 0 0 . chr8 17450509 17450510 chr8:17450510:C:T rs78775689 C T C EBF1_EBF_1 4 1 + 6.488022032157399 2.118635087212475 TTTCCCCTGGAAAT chr8 17496795 17496796 chr8:17496796:G:T rs7830597 G T G EBF1_EBF_1 -2 0 + 0 0 . chr8 17581621 17581622 chr8:17581622:G:A rs2517272 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 17606602 17606603 chr8:17606603:G:C rs118053377 G C G EBF1_EBF_1 14 0 + 0 0 . chr8 17606605 17606606 chr8:17606606:G:A rs2517192 G A G EBF1_EBF_1 17 0 + 0 0 . chr8 17608027 17608028 chr8:17608028:C:T rs2517196 C T T EBF1_EBF_1 -2 0 - 0 0 . chr8 17611092 17611093 chr8:17611093:C:T chr8:17611093:C:T C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 17611095 17611096 chr8:17611096:A:G rs17632963 A G G EBF1_EBF_1 -17 0 + 0 0 . chr8 17617405 17617406 chr8:17617406:C:T rs2237826 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 17627078 17627079 chr8:17627079:C:T rs75877532 C T C EBF1_EBF_1 22 0 - 0 0 . chr8 17628627 17628628 chr8:17628628:T:C rs747611386 T C T EBF1_EBF_1 29 0 + 0 0 . chr8 17641432 17641433 chr8:17641433:C:T rs11780427 C T C EBF1_EBF_1 9 1 - 6.9908641438212245 4.3359248783736195 AGTCCCCAAGGAAG chr8 17641786 17641787 chr8:17641787:G:T rs76757544 G T G EBF1_EBF_1 22 0 - 0 0 . chr8 17654256 17654257 chr8:17654257:G:A rs73668808 G A G EBF1_EBF_1 -16 0 + 0 0 . chr8 17684447 17684448 chr8:17684448:C:T rs74754090 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 17695001 17695002 chr8:17695002:G:A rs7817843 G A A EBF1_EBF_1 3 1 - 5.3360174129050995 -1.0693813286482186 GATCCCAAGAGGCC chr8 17696329 17696330 chr8:17696330:A:C rs435126 A C A EBF1_EBF_1 -6 0 + 0 0 . chr8 17696336 17696337 chr8:17696337:A:G rs576732144 A G A EBF1_EBF_1 1 1 + 6.216039950980827 6.476738782902129 AATCACCAGGGACA chr8 17697519 17697520 chr8:17697520:G:C rs437548 G C G EBF1_EBF_1 -20 0 + 0 0 . chr8 17763606 17763607 chr8:17763607:C:T rs17125299 C T C EBF1_EBF_1 -11 0 - 0 0 . chr8 17765138 17765139 chr8:17765139:A:G rs209565 A G G EBF1_EBF_1 -2 0 + 0 0 . chr8 17770604 17770605 chr8:17770605:G:A rs573573120 G A G EBF1_EBF_1 24 0 - 0 0 . chr8 17800963 17800964 chr8:17800964:C:T rs71526159 C T C EBF1_EBF_1 4 1 + 4.691265223200668 0.321878278255744 CCTCCCCGAGGACC chr8 17801398 17801399 chr8:17801399:A:C rs188579011 A C A EBF1_EBF_1 25 0 - 0 0 . chr8 17801438 17801439 chr8:17801439:T:C rs775484944 T C T EBF1_EBF_1 -15 0 - 0 0 . chr8 17805154 17805155 chr8:17805155:G:C rs6586652 G C G EBF1_EBF_1 3 1 - 6.040200545012773 0.21243609689755688 CTACCCAAGGGAGA chr8 17836801 17836802 chr8:17836802:G:A rs17125629 G A G EBF1_EBF_1 -17 0 - 0 0 . chr8 17872549 17872550 chr8:17872550:A:G rs7846018 A G G EBF1_EBF_1 -6 0 - 0 0 . chr8 17874878 17874879 chr8:17874879:A:G rs2157649 A G A EBF1_EBF_1 -15 0 + 0 0 . chr8 17923368 17923369 chr8:17923369:C:T rs537564903 C T C EBF1_EBF_1 3 1 + 5.218699499698354 -1.1866992418549627 GCTCCCTCAGGACT chr8 17923966 17923967 chr8:17923967:C:A rs191856134 C A C EBF1_EBF_1 4 1 + 8.011737856390909 2.1871782329374403 ACTCCCCAGGAACT chr8 17923995 17923996 chr8:17923996:T:G rs570004817 T G T EBF1_EBF_1 33 0 + 0 0 . chr8 18068008 18068009 chr8:18068009:C:T rs2299604 C T T EBF1_EBF_1 1 1 + 6.339967562286643 7.2354010026853866 TCTCCCTAGGAACT chr8 18210639 18210640 chr8:18210640:C:A rs28359489 C A C EBF1_EBF_1 13 1 + 6.837299711590566 6.46355426230459 TGTCCCAAAGGACC chr8 18287753 18287754 chr8:18287754:C:T rs7835402 C T T EBF1_EBF_1 -19 0 + 0 0 . chr8 18287771 18287772 chr8:18287772:G:C rs7834402 G C G EBF1_EBF_1 -1 0 + 0 0 . chr8 18306602 18306603 chr8:18306603:T:C rs4428701 T C T EBF1_EBF_1 14 0 - 0 0 . chr8 18306603 18306604 chr8:18306604:G:A rs4440669 G A A EBF1_EBF_1 13 1 - 4.79861010647538 5.643104221060898 GCTCACCAGGGAAC chr8 18380770 18380771 chr8:18380771:G:C rs115067120 G C G EBF1_EBF_1 -14 0 + 0 0 . chr8 18436685 18436686 chr8:18436686:T:C rs79990163 T C T EBF1_EBF_1 23 0 - 0 0 . chr8 18451299 18451300 chr8:18451300:T:G rs10109552 T G T EBF1_EBF_1 30 0 + 0 0 . chr8 18621741 18621742 chr8:18621742:G:A rs76091335 G A g EBF1_EBF_1 14 0 + 0 0 . chr8 18760916 18760917 chr8:18760917:C:T rs7012496 C T T EBF1_EBF_1 0 1 + 6.722286154426086 7.004488215156665 CTCCCCCAGGGATG chr8 18841327 18841328 chr8:18841328:T:C rs35761635 T C T EBF1_EBF_1 7 1 - 6.615909653627876 5.30345124833905 ACTCCCAAGGTGCT chr8 18870121 18870122 chr8:18870122:T:C rs34635619 T C C EBF1_EBF_1 -1 0 + 0 0 . chr8 18872018 18872019 chr8:18872019:C:G rs78452893 C G C EBF1_EBF_1 5 1 + 5.529057790164515 -1.3758233624706528 ATCCCCCTGGGTGC chr8 18954570 18954571 chr8:18954571:A:G rs67940952 A G G EBF1_EBF_1 -17 0 + 0 0 . chr8 18964716 18964717 chr8:18964717:C:T rs1896197 C T T EBF1_EBF_1 28 0 + 0 0 . chr8 18974431 18974432 chr8:18974432:T:C rs7012239 T C t EBF1_EBF_1 -7 0 - 0 0 . chr8 18974541 18974542 chr8:18974542:G:T rs74550310 G T G EBF1_EBF_1 -19 0 + 0 0 . chr8 18991422 18991423 chr8:18991423:T:C rs13267824 T C C EBF1_EBF_1 -1 0 - 0 0 . chr8 19003645 19003646 chr8:19003646:G:A rs77591078 G A G EBF1_EBF_1 -9 0 + 0 0 . chr8 19013809 19013810 chr8:19013810:G:T rs905083542 G T - EBF1_EBF_1 27 0 - 0 0 . chr8 19013819 19013820 chr8:19013820:T:G chr8:19013820:T:G T G - EBF1_EBF_1 17 0 - 0 0 . chr8 19013829 19013830 chr8:19013830:C:G rs111353622 C G - EBF1_EBF_1 7 1 - 2.8025418573616063 1.8212212762802502 CGCCCCCGGGGCCC chr8 19034780 19034781 chr8:19034781:C:T rs2048090 C T T EBF1_EBF_1 -16 0 + 0 0 . chr8 19034815 19034816 chr8:19034816:A:C rs11780253 A C C EBF1_EBF_1 19 0 + 0 0 . chr8 19082370 19082371 chr8:19082371:G:C rs185475229 G C G EBF1_EBF_1 19 0 + 0 0 . chr8 19083027 19083028 chr8:19083028:C:T rs143704851 C T A EBF1_EBF_1 28 0 - 0 0 . chr8 19171633 19171634 chr8:19171634:T:C rs596532 T C t EBF1_EBF_1 28 0 + 0 0 . chr8 19172019 19172020 chr8:19172020:C:T rs1035941610 C T c EBF1_EBF_1 14 0 + 0 0 . chr8 19271856 19271857 chr8:19271857:C:G rs2683280 C G C EBF1_EBF_1 4 1 + 7.3264405177378835 1.7006754165883398 AACCCCCAGAGAGT chr8 19313419 19313420 chr8:19313420:A:G rs548840127 A G A EBF1_EBF_1 -1 0 + 0 0 . chr8 19314074 19314075 chr8:19314075:G:C rs867586936 G C g EBF1_EBF_1 -14 0 + 0 0 . chr8 19409215 19409216 chr8:19409216:C:A rs3802329 C A C EBF1_EBF_1 -14 0 - 0 0 . chr8 19417999 19418000 chr8:19418000:G:A rs77915903 G A G EBF1_EBF_1 4 1 - 4.967853031767923 0.5984660868229992 GCCCCCAAGGGTCC chr8 19446272 19446273 chr8:19446273:C:G rs17407449 C G C EBF1_EBF_1 31 0 - 0 0 . chr8 19452433 19452434 chr8:19452434:A:G rs12677088 A G G EBF1_EBF_1 -14 0 - 0 0 . chr8 19475501 19475502 chr8:19475502:A:T rs4921648 A T t EBF1_EBF_1 12 1 + 6.849004171884319 5.792556202912143 AGCCCCCGGAGAAC chr8 19587177 19587178 chr8:19587178:G:T rs35590769 G T G EBF1_EBF_1 3 1 - 5.5270246665376686 -1.3791493629281382 CATCCCAGGAGATC chr8 19602366 19602367 chr8:19602367:T:G rs61518292 T G T EBF1_EBF_1 -7 0 + 0 0 . chr8 19627045 19627046 chr8:19627046:C:T rs74913338 C T T EBF1_EBF_1 9 1 - 6.83460167858162 4.179662413134017 ATACCCAAGGGTAT chr8 19627065 19627066 chr8:19627066:G:A rs1440851750 G A G EBF1_EBF_1 -11 0 - 0 0 . chr8 19633916 19633917 chr8:19633917:C:G rs873936 C G C EBF1_EBF_1 -7 0 + 0 0 . chr8 19661219 19661220 chr8:19661220:T:C rs73214684 T C T EBF1_EBF_1 16 0 + 0 0 . chr8 19686827 19686828 chr8:19686828:G:A rs568246136 G A G EBF1_EBF_1 20 0 + 0 0 . chr8 19697483 19697484 chr8:19697484:C:T rs12114790 C T T EBF1_EBF_1 -10 0 - 0 0 . chr8 19720875 19720876 chr8:19720876:T:C rs73202516 T C T EBF1_EBF_1 -5 0 + 0 0 . chr8 19720911 19720912 chr8:19720912:A:G rs17409730 A G G EBF1_EBF_1 31 0 + 0 0 . chr8 19756635 19756636 chr8:19756636:T:C rs6995621 T C T EBF1_EBF_1 7 1 + 6.402224947011869 4.5847202007292465 CGTCCCCTGGGCAT chr8 19756648 19756649 chr8:19756649:G:A rs10103941 G A A EBF1_EBF_1 20 0 + 0 0 . chr8 19757842 19757843 chr8:19757843:T:C rs73592459 T C T EBF1_EBF_1 17 0 + 0 0 . chr8 19796341 19796342 chr8:19796342:A:G chr8:19796342:A:G A G A EBF1_EBF_1 -7 0 + 0 0 . chr8 19889986 19889987 chr8:19889987:G:A rs34955499 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 19951072 19951073 chr8:19951073:G:T rs80181352 G T G EBF1_EBF_1 -14 0 - 0 0 . chr8 19996755 19996756 chr8:19996756:C:T rs920588 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 20003714 20003715 chr8:20003715:T:C rs4083261 T C C EBF1_EBF_1 11 1 - 5.20373439673745 2.311027553133438 AACCCTCAGGGACC chr8 20059198 20059199 chr8:20059199:C:T rs7845015 C T T EBF1_EBF_1 26 0 - 0 0 . chr8 20087055 20087056 chr8:20087056:C:T rs35066075 C T C EBF1_EBF_1 -15 0 + 0 0 . chr8 20109704 20109705 chr8:20109705:T:C rs9325864 T C T EBF1_EBF_1 -17 0 - 0 0 . chr8 20123658 20123659 chr8:20123659:T:C rs74411138 T C T EBF1_EBF_1 6 1 + 4.620417170587831 4.319131041726073 GCTGCCTTGGGAAC chr8 20164974 20164975 chr8:20164975:T:A rs17092126 T A C EBF1_EBF_1 -2 0 - 0 0 . chr8 20226395 20226396 chr8:20226396:C:G rs141260794 C G C EBF1_EBF_1 -17 0 - 0 0 . chr8 20237270 20237271 chr8:20237271:G:A rs73603968 G A G EBF1_EBF_1 19 0 - 0 0 . chr8 20237276 20237277 chr8:20237277:G:T rs113755748 G T G EBF1_EBF_1 13 1 - 4.278491871630237 3.904746422344261 ACCCCCACGGGCAC chr8 20244562 20244563 chr8:20244563:G:T rs78861396 G T G EBF1_EBF_1 9 1 + 5.2029784598912086 -1.634010948197602 CTCCCCATGGGGTC chr8 20253492 20253493 chr8:20253493:G:A rs35103297 G A G EBF1_EBF_1 19 0 - 0 0 . chr8 20273765 20273766 chr8:20273766:C:G rs62499973 C G C EBF1_EBF_1 -9 0 - 0 0 . chr8 20281013 20281014 chr8:20281014:T:C rs28485611 T C T EBF1_EBF_1 26 0 + 0 0 . chr8 20301741 20301742 chr8:20301742:G:C rs6986009 G C C EBF1_EBF_1 9 1 + 7.021868919074015 1.9461797727285515 TTTCCCCAGGGCAC chr8 20354891 20354892 chr8:20354892:T:C rs1872346 T C C EBF1_EBF_1 -12 0 - 0 0 . chr8 20480076 20480077 chr8:20480077:C:T rs58021006 C T C EBF1_EBF_1 32 0 - 0 0 . chr8 20493515 20493516 chr8:20493516:G:T rs777757929 G T G EBF1_EBF_1 31 0 - 0 0 . chr8 20584463 20584464 chr8:20584464:A:G rs555806806 A G A EBF1_EBF_1 7 1 - 5.355816096372435 3.538311350089812 CCTCCCATGGGCAA chr8 20691305 20691306 chr8:20691306:A:G rs7839655 A G A EBF1_EBF_1 -1 0 + 0 0 . chr8 20737340 20737341 chr8:20737341:C:G rs73224102 C G C EBF1_EBF_1 -12 0 + 0 0 . chr8 20800269 20800270 chr8:20800270:T:G rs1441791 T G T EBF1_EBF_1 17 0 - 0 0 . chr8 20800718 20800719 chr8:20800719:C:T rs7000415 C T C EBF1_EBF_1 -6 0 - 0 0 . chr8 20867117 20867118 chr8:20867118:A:G rs2616200 A G A EBF1_EBF_1 -20 0 + 0 0 . chr8 20907311 20907312 chr8:20907312:A:G rs6988688 A G A EBF1_EBF_1 17 0 + 0 0 . chr8 20914820 20914821 chr8:20914821:C:T rs7017108 C T T EBF1_EBF_1 21 0 + 0 0 . chr8 20941038 20941039 chr8:20941039:G:C rs28397985 G C G EBF1_EBF_1 23 0 + 0 0 . chr8 20941040 20941041 chr8:20941041:C:T rs189956878 C T C EBF1_EBF_1 25 0 + 0 0 . chr8 20941044 20941045 chr8:20941045:A:G rs117238745 A G A EBF1_EBF_1 29 0 + 0 0 . chr8 20974129 20974130 chr8:20974130:G:A rs62499586 G A G EBF1_EBF_1 11 1 + 8.742545668094808 11.63525251169882 ACTCCCCTGGGGCA chr8 20997014 20997015 chr8:20997015:T:C rs17423670 T C T EBF1_EBF_1 0 1 - 5.542097427895132 3.6498135583302984 AATCCCAGGAGGCA chr8 21022175 21022176 chr8:21022176:T:C rs34764630 T C T EBF1_EBF_1 28 0 + 0 0 . chr8 21039367 21039368 chr8:21039368:C:T rs34010880 C T C EBF1_EBF_1 18 0 - 0 0 . chr8 21041003 21041004 chr8:21041004:G:A rs80059322 G A G EBF1_EBF_1 7 1 + 5.37860755439152 6.691065959680346 TCTCCCAGGGTACC chr8 21041826 21041827 chr8:21041827:C:T rs141389833 C T C EBF1_EBF_1 3 1 + 6.083656115225604 -0.3217426263277142 AGCCCCCTAGGACC chr8 21110039 21110040 chr8:21110040:C:G rs7015657 C G G EBF1_EBF_1 13 1 + 5.728494581916369 4.240423560560954 CTTCCCTGGGGCAC chr8 21125391 21125392 chr8:21125392:G:A rs10097964 G A G EBF1_EBF_1 11 1 + 7.2457634852163455 10.138470328820356 TCTCCCCAGGGGCA chr8 21163889 21163890 chr8:21163890:C:T rs1010203 C T C EBF1_EBF_1 -6 0 + 0 0 . chr8 21168617 21168618 chr8:21168618:C:T rs2613715 C T T EBF1_EBF_1 30 0 + 0 0 . chr8 21168939 21168940 chr8:21168940:T:G rs2613717 T G T EBF1_EBF_1 -1 0 + 0 0 . chr8 21171994 21171995 chr8:21171995:C:T rs12681262 C T C EBF1_EBF_1 2 1 + 4.8637375704947905 6.752560981936939 TTCCCCCAGGGGGA chr8 21172005 21172006 chr8:21172006:A:C rs9650605 A C A EBF1_EBF_1 13 1 + 4.8637375704947905 5.2374830197807665 TTCCCCCAGGGGGA chr8 21180175 21180176 chr8:21180176:G:A rs17093653 G A G EBF1_EBF_1 -3 0 + 0 0 . chr8 21207644 21207645 chr8:21207645:C:G rs139795523 C G C EBF1_EBF_1 29 0 - 0 0 . chr8 21221970 21221971 chr8:21221971:G:T rs1563748 G T T EBF1_EBF_1 3 1 - 7.896517679295544 0.9903436498297373 CACCCCCAGGGACA chr8 21266509 21266510 chr8:21266510:T:C rs2631896 T C C EBF1_EBF_1 27 0 + 0 0 . chr8 21415727 21415728 chr8:21415728:C:A rs28459819 C A A EBF1_EBF_1 18 0 + 0 0 . chr8 21415735 21415736 chr8:21415736:A:G rs28568646 A G G EBF1_EBF_1 26 0 + 0 0 . chr8 21416264 21416265 chr8:21416265:G:A rs13263769 G A G EBF1_EBF_1 -19 0 + 0 0 . chr8 21417423 21417424 chr8:21417424:A:T rs1002833 A T A EBF1_EBF_1 -4 0 + 0 0 . chr8 21417444 21417445 chr8:21417445:G:T rs1002832 G T G EBF1_EBF_1 17 0 + 0 0 . chr8 21441076 21441077 chr8:21441077:C:T rs7460673 C T C EBF1_EBF_1 16 0 + 0 0 . chr8 21460008 21460009 chr8:21460009:C:T rs35836438 C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 21466182 21466183 chr8:21466183:A:C rs4739249 A C A EBF1_EBF_1 26 0 - 0 0 . chr8 21467180 21467181 chr8:21467181:A:G rs80036153 A G A EBF1_EBF_1 14 0 - 0 0 . chr8 21475607 21475608 chr8:21475608:C:T rs142539992 C T c EBF1_EBF_1 0 1 - 7.189672362595787 9.081956232160621 GTTCCCTAAGGACA chr8 21517295 21517296 chr8:21517296:C:G rs13272925 C G G EBF1_EBF_1 28 0 + 0 0 . chr8 21524420 21524421 chr8:21524421:A:G rs11204177 A G A EBF1_EBF_1 -2 0 + 0 0 . chr8 21555539 21555540 chr8:21555540:G:A rs191442635 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 21566286 21566287 chr8:21566287:C:A rs1221867920 C A C EBF1_EBF_1 -19 0 - 0 0 . chr8 21611237 21611238 chr8:21611238:G:C rs73217462 G C G EBF1_EBF_1 10 1 + 6.553291237088518 -0.337511150240957 CATCCCAGGAGACC chr8 21614751 21614752 chr8:21614752:G:A rs146721316 G A G EBF1_EBF_1 0 1 + 4.66371441363224 6.5559982831970744 GTTCCCAGGGGCAG chr8 21674776 21674777 chr8:21674777:A:G rs144008406 A G A EBF1_EBF_1 6 1 + 5.0058652020111225 2.839070770736648 GGTCCCATCGGAAA chr8 21683467 21683468 chr8:21683468:G:A chr8:21683468:G:A G A G EBF1_EBF_1 32 0 + 0 0 . chr8 21689827 21689828 chr8:21689828:C:T rs10090737 C T C EBF1_EBF_1 8 1 - 5.636975608067094 1.4106855191808334 AGCCCCCTGAGGAT chr8 21705709 21705710 chr8:21705710:C:T rs7813735 C T C EBF1_EBF_1 10 1 - 4.3716129538801685 -0.9464155053867978 GGCCCCAGGGGCCT chr8 21715582 21715583 chr8:21715583:T:C rs4078157 T C T EBF1_EBF_1 22 0 + 0 0 . chr8 21715619 21715620 chr8:21715620:A:G rs4078156 A G A EBF1_EBF_1 1 1 - 4.626589869122981 3.7311564287242343 TTCCCCCTGGGCCC chr8 21739660 21739661 chr8:21739661:C:A rs12155871 C A C EBF1_EBF_1 5 1 + 6.1290867959794575 -0.77579435665571 TCCCCCCTGGGGAT chr8 21757051 21757052 chr8:21757052:A:G rs6587005 A G G EBF1_EBF_1 19 0 - 0 0 . chr8 21764760 21764761 chr8:21764761:C:A rs36162741 C A c EBF1_EBF_1 28 0 + 0 0 . chr8 21784489 21784490 chr8:21784490:T:G rs368719398 T G T EBF1_EBF_1 17 0 + 0 0 . chr8 21784499 21784500 chr8:21784500:A:G rs79003513 A G G EBF1_EBF_1 27 0 + 0 0 . chr8 21789324 21789325 chr8:21789325:C:G rs373468660 C G g EBF1_EBF_1 13 1 + 5.53437385334981 4.046302831994395 CCACCCTGGGGACC chr8 21795047 21795048 chr8:21795048:G:A rs113635273 G A G EBF1_EBF_1 15 0 + 0 0 . chr8 21795087 21795088 chr8:21795088:T:C rs34981733 T C C EBF1_EBF_1 -2 0 + 0 0 . chr8 21795143 21795144 chr8:21795144:T:C rs966378193 T C T EBF1_EBF_1 6 1 - 9.093140973665772 6.926346542391298 ACCCCCATGGGAGC chr8 21815549 21815550 chr8:21815550:G:C rs73219570 G C G EBF1_EBF_1 15 0 - 0 0 . chr8 21815577 21815578 chr8:21815578:G:C rs10096540 G C C EBF1_EBF_1 -13 0 - 0 0 . chr8 21838928 21838929 chr8:21838929:G:A rs9644147 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 21846566 21846567 chr8:21846567:A:T rs10090515 A T A EBF1_EBF_1 -12 0 + 0 0 . chr8 21849677 21849678 chr8:21849678:A:C rs1547450 A C A EBF1_EBF_1 -1 0 - 0 0 . chr8 21865855 21865856 chr8:21865856:G:C rs1120739 G C C EBF1_EBF_1 17 0 + 0 0 . chr8 21871376 21871377 chr8:21871377:C:G rs755423 C G C EBF1_EBF_1 24 0 + 0 0 . chr8 21885866 21885867 chr8:21885867:A:G rs75090622 A G A EBF1_EBF_1 29 0 + 0 0 . chr8 21891365 21891366 chr8:21891366:C:G rs28494556 C G c EBF1_EBF_1 9 1 - 6.412496161964927 1.3368070156194634 CTTCTCCAGGGAAA chr8 21897068 21897069 chr8:21897069:C:T rs58363278 C T C EBF1_EBF_1 13 1 + 7.244130888823927 8.088625003409446 CTCCCCTCGGGACC chr8 21909521 21909522 chr8:21909522:T:C rs145725971 T C T EBF1_EBF_1 24 0 + 0 0 . chr8 21911253 21911254 chr8:21911254:G:A rs59181113 G A G EBF1_EBF_1 4 1 - 3.8543014307291292 -0.5150855142157941 CACCCCCAGGGCCC chr8 21920083 21920084 chr8:21920084:C:G rs4379446 C G G EBF1_EBF_1 10 1 - 6.4360943196881095 -0.4547080676413655 CTCCCCCGGGGACG chr8 21978116 21978117 chr8:21978117:A:G rs733544 A G A EBF1_EBF_1 28 0 - 0 0 . chr8 21978155 21978156 chr8:21978156:T:G rs561753708 T G T EBF1_EBF_1 -11 0 - 0 0 . chr8 22038218 22038219 chr8:22038219:A:G rs13249150 A G A EBF1_EBF_1 -20 0 + 0 0 . chr8 22038261 22038262 chr8:22038262:G:A rs57323256 G A G EBF1_EBF_1 23 0 + 0 0 . chr8 22054218 22054219 chr8:22054219:C:T rs1211979965 C T C EBF1_EBF_1 5 1 + 5.326695993139593 0.00490877759892018 GTCCCCTTGGGTCC chr8 22056312 22056313 chr8:22056313:G:C rs112043336 G C G EBF1_EBF_1 8 1 + 4.861837021476475 -0.4568218394382098 CCTCCCAGGAGAGA chr8 22058987 22058988 chr8:22058988:C:T rs117302571 C T C EBF1_EBF_1 13 1 + 5.405212927944213 6.249707042529731 GCACCCATGGGAGC chr8 22066929 22066930 chr8:22066930:C:T rs560047010 C T C EBF1_EBF_1 21 0 - 0 0 . chr8 22067121 22067122 chr8:22067122:G:A rs113145439 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 22082919 22082920 chr8:22082920:T:C rs7836493 T C T EBF1_EBF_1 20 0 + 0 0 . chr8 22089617 22089618 chr8:22089618:C:A rs550733540 C A C EBF1_EBF_1 -16 0 + 0 0 . chr8 22095466 22095467 chr8:22095467:G:T rs534010842 G T G EBF1_EBF_1 6 1 - 8.37137170435493 8.732974072156209 CCCCCCCAGGGAAC chr8 22102348 22102349 chr8:22102349:G:A rs36025727 G A G EBF1_EBF_1 -8 0 - 0 0 . chr8 22108498 22108499 chr8:22108499:G:A rs7825990 G A G EBF1_EBF_1 2 1 - 5.336785848567983 7.225609260010133 AGCCCCCAGCGACA chr8 22117721 22117722 chr8:22117722:A:G rs116202926 A G A EBF1_EBF_1 14 0 + 0 0 . chr8 22129778 22129779 chr8:22129779:C:G rs6990781 C G G EBF1_EBF_1 0 1 - 7.257226740146321 6.894252126014555 GTTCCCCAGCGACT chr8 22131861 22131862 chr8:22131862:C:T rs113666356 C T C EBF1_EBF_1 8 1 - 4.433470326079099 0.20718023719283757 ACTCTCCCGGGAGC chr8 22133284 22133285 chr8:22133285:G:A rs4335126 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 22137307 22137308 chr8:22137308:C:G rs59216465 C G C EBF1_EBF_1 18 0 - 0 0 . chr8 22137325 22137326 chr8:22137326:C:T rs113460776 C T C EBF1_EBF_1 0 1 - 4.751363129011329 6.643646998576162 GATCCCTGGGGCAA chr8 22137632 22137633 chr8:22137633:C:G rs79472476 C G C EBF1_EBF_1 1 1 - 7.043493911221878 6.853722256652659 AGCCCCCTGGGGCA chr8 22147676 22147677 chr8:22147677:G:A rs73225817 G A g EBF1_EBF_1 32 0 - 0 0 . chr8 22158618 22158619 chr8:22158619:C:T rs8192309 C T C EBF1_EBF_1 27 0 + 0 0 . chr8 22164598 22164599 chr8:22164599:G:A rs62494025 G A G EBF1_EBF_1 -2 0 - 0 0 . chr8 22165067 22165068 chr8:22165068:C:G rs555474297 C G C EBF1_EBF_1 11 1 - 3.455990604821169 1.9327357505727911 CCCCCCTCGGGGCC chr8 22165075 22165076 chr8:22165076:G:C rs541706087 G C G EBF1_EBF_1 3 1 - 3.455990604821169 -2.3717738432940476 CCCCCCTCGGGGCC chr8 22169792 22169793 chr8:22169793:A:G rs61240476 A G A EBF1_EBF_1 -11 0 - 0 0 . chr8 22209508 22209509 chr8:22209509:C:A rs77242743 C A C EBF1_EBF_1 21 0 - 0 0 . chr8 22215954 22215955 chr8:22215955:T:G rs62494059 T G T EBF1_EBF_1 -3 0 + 0 0 . chr8 22220284 22220285 chr8:22220285:C:T rs115919099 C T C EBF1_EBF_1 7 1 - 8.373413482994298 9.685871888283122 CCTCCCCGGGGAGT chr8 22224711 22224712 chr8:22224712:C:G rs6990502 C G G EBF1_EBF_1 -16 0 - 0 0 . chr8 22227269 22227270 chr8:22227270:G:T rs11997130 G T G EBF1_EBF_1 -12 0 - 0 0 . chr8 22229600 22229601 chr8:22229601:A:C rs4872429 A C C EBF1_EBF_1 31 0 - 0 0 . chr8 22232250 22232251 chr8:22232251:G:A rs62496063 G A G EBF1_EBF_1 8 1 + 5.006454767848904 0.7801646789626426 TGTCCTCAGGGACA chr8 22329573 22329574 chr8:22329574:C:G rs117036346 C G C EBF1_EBF_1 -7 0 + 0 0 . chr8 22339033 22339034 chr8:22339034:A:G rs76729134 A G A EBF1_EBF_1 14 0 + 0 0 . chr8 22387383 22387384 chr8:22387384:C:T rs11783730 C T C EBF1_EBF_1 32 0 - 0 0 . chr8 22418737 22418738 chr8:22418738:A:G rs7000551 A G A EBF1_EBF_1 32 0 - 0 0 . chr8 22441212 22441213 chr8:22441213:T:G rs2272080 T G G EBF1_EBF_1 27 0 + 0 0 . chr8 22541798 22541799 chr8:22541799:A:G rs17060908 A G A EBF1_EBF_1 16 0 - 0 0 . chr8 22553329 22553330 chr8:22553330:G:A rs118133171 G A G EBF1_EBF_1 10 1 + 6.559930355313162 1.2419018960461952 AGCCCCCAAGGACC chr8 22553734 22553735 chr8:22553735:C:T rs113383060 C T C EBF1_EBF_1 13 1 + 4.415024314042415 5.259518428627933 ACACCCCAGGGCAC chr8 22554265 22554266 chr8:22554266:G:A rs184452376 G A G EBF1_EBF_1 -2 0 - 0 0 . chr8 22554597 22554598 chr8:22554598:G:A chr8:22554598:G:A G A G EBF1_EBF_1 6 1 + 6.738617799327756 8.90541223060223 CCTCCCGGGGGACA chr8 22554600 22554601 chr8:22554601:G:C rs144354172 G C G EBF1_EBF_1 9 1 + 6.738617799327756 1.6629286529822918 CCTCCCGGGGGACA chr8 22557227 22557228 chr8:22557228:T:C rs2449347 T C T EBF1_EBF_1 15 0 + 0 0 . chr8 22558059 22558060 chr8:22558060:G:A rs10104875 G A A EBF1_EBF_1 14 0 + 0 0 . chr8 22570272 22570273 chr8:22570273:G:A rs2469767 G A G EBF1_EBF_1 27 0 - 0 0 . chr8 22572496 22572497 chr8:22572497:T:A rs117852268 T A T EBF1_EBF_1 -5 0 - 0 0 . chr8 22576385 22576386 chr8:22576386:G:T rs76313661 G T G EBF1_EBF_1 12 1 - 3.6915676369950354 3.7217490354163623 ACCCCACAGGGACC chr8 22578283 22578284 chr8:22578284:A:C rs73229808 A C A EBF1_EBF_1 -20 0 + 0 0 . chr8 22579627 22579628 chr8:22579628:G:A rs11786352 G A G EBF1_EBF_1 -9 0 - 0 0 . chr8 22579957 22579958 chr8:22579958:G:C rs148641539 G C G EBF1_EBF_1 2 1 - 6.343916902728338 1.48441462397002 CTCCCCCAGGGGCC chr8 22583228 22583229 chr8:22583229:C:G rs13266443 C G C EBF1_EBF_1 -18 0 - 0 0 . chr8 22585394 22585395 chr8:22585395:G:A rs11989168 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 22590224 22590225 chr8:22590225:C:G rs73672766 C G C EBF1_EBF_1 30 0 - 0 0 . chr8 22596413 22596414 chr8:22596414:A:G rs118165391 A G A EBF1_EBF_1 -18 0 + 0 0 . chr8 22596414 22596415 chr8:22596415:G:C rs11136091 G C G EBF1_EBF_1 -17 0 + 0 0 . chr8 22599648 22599649 chr8:22599649:C:T rs3817695 C T - EBF1_EBF_1 16 0 - 0 0 . chr8 22620847 22620848 chr8:22620848:C:T rs13439369 C T C EBF1_EBF_1 13 1 + 5.500477522205805 6.344971636791323 GTTCCCGAGGTACC chr8 22626961 22626962 chr8:22626962:C:T rs552190165 C T C EBF1_EBF_1 7 1 - 4.564497792175713 5.876956197464538 ATCCCCCGAGGAGC chr8 22627035 22627036 chr8:22627036:C:T rs73671217 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 22629874 22629875 chr8:22629875:C:G rs6558170 C G G EBF1_EBF_1 -10 0 - 0 0 . chr8 22642413 22642414 chr8:22642414:G:A rs190595775 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 22658955 22658956 chr8:22658956:G:A rs538335832 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 22658970 22658971 chr8:22658971:C:T rs75975917 C T C EBF1_EBF_1 7 1 + 5.043527887401563 6.861032633684185 CCTCCCCCGGGGCC chr8 22672161 22672162 chr8:22672162:G:C rs4872005 G C G EBF1_EBF_1 0 1 - 5.86722686506927 6.230201479201036 CCTCCCTGAGGAAT chr8 22672181 22672182 chr8:22672182:A:G rs4872531 A G G EBF1_EBF_1 -20 0 - 0 0 . chr8 22673643 22673644 chr8:22673644:G:A rs76369719 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 22694659 22694660 chr8:22694660:T:C rs1533306 T C T EBF1_EBF_1 13 1 + 6.010348471813582 5.1658543572280635 GCTCCCTGGGGCCT chr8 22703654 22703655 chr8:22703655:G:A chr8:22703655:G:A G A G EBF1_EBF_1 1 1 + 4.020924853781202 3.7602260218599 AGCCCCGAGGGCGT chr8 22703684 22703685 chr8:22703685:A:C rs891752677 A C A EBF1_EBF_1 31 0 + 0 0 . chr8 22706809 22706810 chr8:22706810:C:T rs1104794 C T C EBF1_EBF_1 27 0 - 0 0 . chr8 22708370 22708371 chr8:22708371:C:T rs73212832 C T C EBF1_EBF_1 27 0 - 0 0 . chr8 22708371 22708372 chr8:22708372:C:T rs73212833 C T C EBF1_EBF_1 26 0 - 0 0 . chr8 22711589 22711590 chr8:22711590:T:G rs4872536 T G G EBF1_EBF_1 -2 0 + 0 0 . chr8 22711601 22711602 chr8:22711602:G:A rs4872537 G A G EBF1_EBF_1 10 1 + 5.46344548452006 0.14541702525309252 TCTCCCAGGGGTCC chr8 22715871 22715872 chr8:22715872:G:C rs117921022 G C G EBF1_EBF_1 -9 0 - 0 0 . chr8 22744273 22744274 chr8:22744274:A:G rs11781095 A G G EBF1_EBF_1 -19 0 + 0 0 . chr8 22748799 22748800 chr8:22748800:G:A rs113507310 G A G EBF1_EBF_1 -13 0 + 0 0 . chr8 22756804 22756805 chr8:22756805:A:T rs75853930 A T A EBF1_EBF_1 22 0 - 0 0 . chr8 22757920 22757921 chr8:22757921:G:A rs181094429 G A G EBF1_EBF_1 15 0 - 0 0 . chr8 22773533 22773534 chr8:22773534:C:T rs6557592 C T C EBF1_EBF_1 7 1 - 4.724624167844244 6.037082573133069 GCCCCCAGGAGACA chr8 22781819 22781820 chr8:22781820:A:C rs13279077 A C A EBF1_EBF_1 27 0 - 0 0 . chr8 22804682 22804683 chr8:22804683:C:T rs12679264 C T C EBF1_EBF_1 6 1 + 5.56998341155442 5.8712695404161765 CATCCCCTGAGAAG chr8 22806693 22806694 chr8:22806694:A:T rs28711145 A T T EBF1_EBF_1 18 0 + 0 0 . chr8 22877656 22877657 chr8:22877657:C:G rs1374755651 C G C EBF1_EBF_1 19 0 + 0 0 . chr8 22890159 22890160 chr8:22890160:C:T rs1553775 C T C EBF1_EBF_1 17 0 + 0 0 . chr8 22898612 22898613 chr8:22898613:G:A rs11135684 G A G EBF1_EBF_1 7 1 - 7.84692659911713 9.664431345399754 ATTCCCTCGGGCAT chr8 22949334 22949335 chr8:22949335:T:C rs7010858 T C t EBF1_EBF_1 18 0 + 0 0 . chr8 22960890 22960891 chr8:22960891:A:G rs73218791 A G A EBF1_EBF_1 -19 0 - 0 0 . chr8 22975090 22975091 chr8:22975091:C:T rs11781468 C T C EBF1_EBF_1 -3 0 + 0 0 . chr8 22975473 22975474 chr8:22975474:C:T rs62503587 C T C EBF1_EBF_1 -10 0 - 0 0 . chr8 22987165 22987166 chr8:22987166:G:A rs12155972 G A G EBF1_EBF_1 25 0 + 0 0 . chr8 22987170 22987171 chr8:22987171:G:A rs375708393 G A G EBF1_EBF_1 30 0 + 0 0 . chr8 22992265 22992266 chr8:22992266:T:C rs2042013 T C T EBF1_EBF_1 7 1 + 5.378934669366452 3.561429923083829 AAACCCATGGGGAA chr8 22996957 22996958 chr8:22996958:T:G rs113731013 T G T EBF1_EBF_1 11 1 - 4.3637287665869575 -0.05223293126543248 ACTGCCCCGGGACC chr8 23000712 23000713 chr8:23000713:C:A rs560773430 C A C EBF1_EBF_1 5 1 + 6.926346542391298 0.021465389756129286 ACCCCCGTGGGAGC chr8 23009801 23009802 chr8:23009802:T:G rs117115787 T G T EBF1_EBF_1 -14 0 + 0 0 . chr8 23014543 23014544 chr8:23014544:G:T rs2466183 G T T EBF1_EBF_1 31 0 + 0 0 . chr8 23015593 23015594 chr8:23015594:C:A rs112223910 C A C EBF1_EBF_1 10 1 - 8.778644799577275 4.595892613350011 ATCCCCAGGGGAGA chr8 23018096 23018097 chr8:23018097:A:G rs2472565 A G G EBF1_EBF_1 -6 0 - 0 0 . chr8 23027817 23027818 chr8:23027818:A:T rs2293400 A T A EBF1_EBF_1 11 1 + 4.67912214171597 0.5812078856172447 CCTCCCAGAGGACA chr8 23028616 23028617 chr8:23028617:A:G rs2293399 A G G EBF1_EBF_1 29 0 + 0 0 . chr8 23069426 23069427 chr8:23069427:A:C chr8:23069427:A:C A C A EBF1_EBF_1 12 1 + 5.788810820855888 5.758629422434562 CTTCCCAGGGGCAC chr8 23069437 23069438 chr8:23069438:C:G chr8:23069438:C:G C G C EBF1_EBF_1 23 0 + 0 0 . chr8 23074389 23074390 chr8:23074390:C:T chr8:23074390:C:T C T C EBF1_EBF_1 -19 0 + 0 0 . chr8 23074390 23074391 chr8:23074391:C:G chr8:23074391:C:G C G C EBF1_EBF_1 -18 0 + 0 0 . chr8 23084024 23084025 chr8:23084025:T:C rs188172680 T C T EBF1_EBF_1 -15 0 - 0 0 . chr8 23090753 23090754 chr8:23090754:C:T rs28756204 C T C EBF1_EBF_1 -10 0 - 0 0 . chr8 23109924 23109925 chr8:23109925:G:A rs9314261 G A A EBF1_EBF_1 28 0 - 0 0 . chr8 23109969 23109970 chr8:23109970:T:G rs10103755 T G T EBF1_EBF_1 -17 0 - 0 0 . chr8 23115765 23115766 chr8:23115766:C:T rs111474434 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 23129876 23129877 chr8:23129877:T:A rs562147548 T A T EBF1_EBF_1 14 0 + 0 0 . chr8 23163940 23163941 chr8:23163941:G:C rs200464773 G C G EBF1_EBF_1 13 1 + 8.4475430949736 9.935614116329013 AGTCCCATGAGAAG chr8 23168138 23168139 chr8:23168139:G:C rs11776966 G C G EBF1_EBF_1 17 0 - 0 0 . chr8 23225974 23225975 chr8:23225975:G:T rs73224403 G T G EBF1_EBF_1 13 1 - 6.341926063103427 5.968180613817451 TCCCCCGTGGGACC chr8 23225987 23225988 chr8:23225988:A:G rs73224404 A G A EBF1_EBF_1 0 1 - 6.341926063103427 6.059724002372849 TCCCCCGTGGGACC chr8 23263928 23263929 chr8:23263929:C:G rs56336407 C G C EBF1_EBF_1 19 0 - 0 0 . chr8 23273294 23273295 chr8:23273295:G:A rs12681749 G A G EBF1_EBF_1 8 1 + 4.7994269338780216 0.5731368449917598 GATCCCTTGAGGCC chr8 23275500 23275501 chr8:23275501:A:G rs117628636 A G A EBF1_EBF_1 -15 0 + 0 0 . chr8 23275531 23275532 chr8:23275532:G:A rs6557650 G A A EBF1_EBF_1 16 0 + 0 0 . chr8 23291700 23291701 chr8:23291701:C:T rs13273587 C T C EBF1_EBF_1 13 1 - 8.114386133676465 9.228711705745905 TTTCCCGAGGGACG chr8 23294603 23294604 chr8:23294604:G:A rs112448720 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 23295065 23295066 chr8:23295066:G:A rs141687709 G A G EBF1_EBF_1 32 0 - 0 0 . chr8 23297823 23297824 chr8:23297824:G:C rs2280936 G C C EBF1_EBF_1 -14 0 + 0 0 . chr8 23297851 23297852 chr8:23297852:G:A rs11785468 G A G EBF1_EBF_1 14 0 + 0 0 . chr8 23297862 23297863 chr8:23297863:C:T rs13221 C T C EBF1_EBF_1 25 0 + 0 0 . chr8 23302778 23302779 chr8:23302779:C:G rs73224432 C G C EBF1_EBF_1 22 0 + 0 0 . chr8 23303511 23303512 chr8:23303512:C:T rs189951734 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 23304133 23304134 chr8:23304134:C:T rs145935192 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 23304155 23304156 chr8:23304156:G:A rs549666352 G A G EBF1_EBF_1 6 1 - 7.724992185633881 8.026278314495638 AACCCCCGGGGACG chr8 23304230 23304231 chr8:23304231:C:T rs904013979 C T C EBF1_EBF_1 26 0 - 0 0 . chr8 23304234 23304235 chr8:23304235:G:A rs60915619 G A G EBF1_EBF_1 22 0 - 0 0 . chr8 23304250 23304251 chr8:23304251:C:T rs550298908 C T C EBF1_EBF_1 6 1 - 6.9669320309684695 9.133726462242944 CCTCCCGAGGGAAG chr8 23305444 23305445 chr8:23305445:T:A rs3779895 T A T EBF1_EBF_1 -5 0 + 0 0 . chr8 23305681 23305682 chr8:23305682:A:G rs3824214 A G A EBF1_EBF_1 -10 0 - 0 0 . chr8 23315502 23315503 chr8:23315503:G:T rs17089061 G T G EBF1_EBF_1 -3 0 + 0 0 . chr8 23315949 23315950 chr8:23315950:T:C rs4493911 T C C EBF1_EBF_1 -13 0 + 0 0 . chr8 23320198 23320199 chr8:23320199:C:T rs77049507 C T C EBF1_EBF_1 -4 0 - 0 0 . chr8 23320199 23320200 chr8:23320200:G:A rs78622961 G A G EBF1_EBF_1 -5 0 - 0 0 . chr8 23324447 23324448 chr8:23324448:G:T rs11782403 G T G EBF1_EBF_1 1 1 - 5.307971327136845 5.237044149784761 ACCCCCCACGGAAC chr8 23343589 23343590 chr8:23343590:G:A rs7013955 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 23349367 23349368 chr8:23349368:T:C rs4436135 T C C EBF1_EBF_1 -17 0 + 0 0 . chr8 23350114 23350115 chr8:23350115:C:T rs6981769 C T T EBF1_EBF_1 23 0 + 0 0 . chr8 23350724 23350725 chr8:23350725:A:G rs55951871 A G A EBF1_EBF_1 6 1 + 6.388197017680571 4.221402586406096 ACCCCCAAAGGAAA chr8 23366629 23366630 chr8:23366630:C:T rs7835427 C T C EBF1_EBF_1 11 1 + 4.239657129465204 4.557704571218868 GCTCCCTTGGGCGA chr8 23366639 23366640 chr8:23366640:G:A rs7004710 G A G EBF1_EBF_1 21 0 + 0 0 . chr8 23373884 23373885 chr8:23373885:G:T rs7819048 G T G EBF1_EBF_1 11 1 + 5.217379412124196 4.012171999629482 ATCCCCCAAGGGCT chr8 23387318 23387319 chr8:23387319:G:C rs10112621 G C G EBF1_EBF_1 -7 0 - 0 0 . chr8 23387402 23387403 chr8:23387403:C:T rs148798651 C T C EBF1_EBF_1 0 1 + 6.976593575862547 7.258795636593125 CCTCCCCAGGGTCT chr8 23405009 23405010 chr8:23405010:C:T rs17089202 C T C EBF1_EBF_1 -8 0 + 0 0 . chr8 23432937 23432938 chr8:23432938:C:T rs17089244 C T C EBF1_EBF_1 6 1 + 5.929015395942368 6.230301524804125 GGTCCCCGGGGCAT chr8 23486889 23486890 chr8:23486890:T:C rs10216695 T C T EBF1_EBF_1 -9 0 - 0 0 . chr8 23498247 23498248 chr8:23498248:G:A rs7826411 G A A EBF1_EBF_1 10 1 + 7.711741144630079 2.3937126853631137 AGCCCCCAGGGGTT chr8 23529678 23529679 chr8:23529679:C:T rs62503282 C T C EBF1_EBF_1 20 0 - 0 0 . chr8 23542176 23542177 chr8:23542177:T:C rs76458701 T C T EBF1_EBF_1 11 1 - 5.806373476874738 2.9136666332707266 TGCCCCTAGGTAAT chr8 23544514 23544515 chr8:23544515:C:G rs6557686 C G T EBF1_EBF_1 18 0 + 0 0 . chr8 23544761 23544762 chr8:23544762:A:G rs7829094 A G G EBF1_EBF_1 23 0 + 0 0 . chr8 23547520 23547521 chr8:23547521:C:T rs112173270 C T C EBF1_EBF_1 -2 0 - 0 0 . chr8 23553865 23553866 chr8:23553866:T:C rs2928670 T C C EBF1_EBF_1 11 1 - 6.132553361550309 3.2398465179462983 AACCCCTGAGGACT chr8 23641421 23641422 chr8:23641422:C:T rs1949163 C T C EBF1_EBF_1 6 1 + 7.340336629814991 7.641622758676747 AATCCCCTGGGCTT chr8 23654622 23654623 chr8:23654623:G:C rs7831442 G C C EBF1_EBF_1 -12 0 - 0 0 . chr8 23682978 23682979 chr8:23682979:C:A rs1272989331 C A C EBF1_EBF_1 0 1 - 4.300740373730748 4.21996782032956 GCTCCCCTGGGCTA chr8 23692757 23692758 chr8:23692758:G:C rs577411662 G C G EBF1_EBF_1 2 1 - 4.789628874084521 -0.06987340467379766 AACTCCTTGGGACT chr8 23706394 23706395 chr8:23706395:A:G rs61732911 A G G EBF1_EBF_1 21 0 + 0 0 . chr8 23915016 23915017 chr8:23915017:A:G rs9650405 A G G EBF1_EBF_1 -11 0 - 0 0 . chr8 23980543 23980544 chr8:23980544:G:A rs76548749 G A G EBF1_EBF_1 20 0 + 0 0 . chr8 24016966 24016967 chr8:24016967:C:G rs13439112 C G C EBF1_EBF_1 -14 0 + 0 0 . chr8 24150178 24150179 chr8:24150179:A:T rs73673420 A T A EBF1_EBF_1 26 0 + 0 0 . chr8 24218540 24218541 chr8:24218541:A:G rs1001278370 A G A EBF1_EBF_1 26 0 - 0 0 . chr8 24260507 24260508 chr8:24260508:A:C rs75013957 A C a EBF1_EBF_1 -11 0 + 0 0 . chr8 24280590 24280591 chr8:24280591:T:A rs7004514 T A A EBF1_EBF_1 32 0 - 0 0 . chr8 24280614 24280615 chr8:24280615:C:T rs6980834 C T T EBF1_EBF_1 8 1 - 10.583276980924776 6.356986892038516 ACTCCCACGGGAAC chr8 24509380 24509381 chr8:24509381:G:T rs3173956 G T G EBF1_EBF_1 -1 0 - 0 0 . chr8 24649760 24649761 chr8:24649761:A:G rs112877283 A G A EBF1_EBF_1 -1 0 + 0 0 . chr8 24731096 24731097 chr8:24731097:T:C rs10095932 T C C EBF1_EBF_1 11 1 + 9.593454427360424 9.27540698560676 ACTCCCAAGGGTCT chr8 24732162 24732163 chr8:24732163:G:A rs7822262 G A G EBF1_EBF_1 -4 0 + 0 0 . chr8 24953807 24953808 chr8:24953808:A:G rs76347846 A G A EBF1_EBF_1 -4 0 - 0 0 . chr8 24990066 24990067 chr8:24990067:G:T rs4577964 G T G EBF1_EBF_1 29 0 + 0 0 . chr8 24996328 24996329 chr8:24996329:A:C rs13264292 A C A EBF1_EBF_1 25 0 + 0 0 . chr8 25001686 25001687 chr8:25001687:G:C rs2976409 G C C EBF1_EBF_1 -15 0 - 0 0 . chr8 25109704 25109705 chr8:25109705:G:A rs1358266 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 25179823 25179824 chr8:25179824:G:C rs186607244 G C G EBF1_EBF_1 21 0 + 0 0 . chr8 25184429 25184430 chr8:25184430:C:T rs533945440 C T C EBF1_EBF_1 5 1 + 4.8045134121076805 -0.5172738034329901 GGACCCCCGGGACC chr8 25184434 25184435 chr8:25184435:G:T rs1034437490 G T G EBF1_EBF_1 10 1 + 4.8045134121076805 0.621761225880415 GGACCCCCGGGACC chr8 25187779 25187780 chr8:25187780:G:T rs57377296 G T G EBF1_EBF_1 18 0 - 0 0 . chr8 25315189 25315190 chr8:25315190:G:A rs2468893 G A A EBF1_EBF_1 -20 0 - 0 0 . chr8 25347076 25347077 chr8:25347077:G:C rs12550407 G C C EBF1_EBF_1 27 0 - 0 0 . chr8 25369819 25369820 chr8:25369820:C:G rs2048081 C G G EBF1_EBF_1 8 1 - 6.498144297219022 1.1794854363043363 ACTCCCACGAGATA chr8 25392409 25392410 chr8:25392410:C:T rs2709644 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 25457533 25457534 chr8:25457534:G:A rs116562814 G A G EBF1_EBF_1 27 0 - 0 0 . chr8 25518533 25518534 chr8:25518534:C:G rs4872321 C G C EBF1_EBF_1 -20 0 + 0 0 . chr8 25538382 25538383 chr8:25538383:G:A rs114946349 G A G EBF1_EBF_1 5 1 - 9.582886389696222 4.261099174155553 AATCCCGAGGGATC chr8 25577991 25577992 chr8:25577992:A:G rs56255577 A G A EBF1_EBF_1 2 1 - 7.68061260902827 5.791789197586119 AGTCCCAGGAGAGC chr8 25578547 25578548 chr8:25578548:G:A rs7814858 G A G EBF1_EBF_1 -11 0 + 0 0 . chr8 25578553 25578554 chr8:25578554:T:C rs7837356 T C C EBF1_EBF_1 -5 0 + 0 0 . chr8 25664065 25664066 chr8:25664066:T:A rs17054120 T A T EBF1_EBF_1 -16 0 - 0 0 . chr8 25802875 25802876 chr8:25802876:A:G rs1365004 A G A EBF1_EBF_1 13 1 - 7.08620167337781 6.241707558792292 ATTCTCATGAGACT chr8 25857550 25857551 chr8:25857551:A:G rs17054488 A G A EBF1_EBF_1 -5 0 + 0 0 . chr8 25921886 25921887 chr8:25921887:G:A rs61339925 G A G EBF1_EBF_1 15 0 - 0 0 . chr8 25989590 25989591 chr8:25989591:A:G rs1485746 A G A EBF1_EBF_1 -3 0 - 0 0 . chr8 26046122 26046123 chr8:26046123:A:C rs1077668 A C C EBF1_EBF_1 -3 0 + 0 0 . chr8 26046779 26046780 chr8:26046780:C:A rs112073448 C A C EBF1_EBF_1 -11 0 + 0 0 . chr8 26049120 26049121 chr8:26049121:G:A rs883281 G A G EBF1_EBF_1 2 1 - 5.06837283509213 6.95719624653428 GTCCCCCTGAGATA chr8 26051580 26051581 chr8:26051581:T:C rs571433826 T C T EBF1_EBF_1 24 0 - 0 0 . chr8 26109409 26109410 chr8:26109410:A:G rs2976302 A G A EBF1_EBF_1 0 1 + 7.417881201606665 5.525597332041832 ATTCCCCTGGGTGC chr8 26123213 26123214 chr8:26123214:C:T rs6987909 C T T EBF1_EBF_1 -19 0 + 0 0 . chr8 26133691 26133692 chr8:26133692:G:C rs4307352 G C G EBF1_EBF_1 24 0 - 0 0 . chr8 26174723 26174724 chr8:26174724:A:G rs2952024 A G A EBF1_EBF_1 -7 0 + 0 0 . chr8 26183567 26183568 chr8:26183568:T:C rs1365481648 T C T EBF1_EBF_1 -2 0 - 0 0 . chr8 26186121 26186122 chr8:26186122:T:C rs4623439 T C T EBF1_EBF_1 -10 0 - 0 0 . chr8 26190196 26190197 chr8:26190197:C:T rs112957671 C T C EBF1_EBF_1 9 1 - 6.207694610723568 3.5527553452759646 CTTCCCCTGGGTAA chr8 26226876 26226877 chr8:26226877:C:T rs28493486 C T C EBF1_EBF_1 15 0 + 0 0 . chr8 26250587 26250588 chr8:26250588:C:A rs1825183 C A C EBF1_EBF_1 29 0 - 0 0 . chr8 26271722 26271723 chr8:26271723:T:G chr8:26271723:T:G T G T EBF1_EBF_1 13 1 + 4.599120791673741 2.2665556557328075 CCTCCCAAGTGACT chr8 26278771 26278772 chr8:26278772:C:A rs112824284 C A C EBF1_EBF_1 -16 0 + 0 0 . chr8 26291872 26291873 chr8:26291873:A:T rs201258778 A T C EBF1_EBF_1 -15 0 + 0 0 . chr8 26291875 26291876 chr8:26291876:C:T rs551416760 C T C EBF1_EBF_1 -12 0 + 0 0 . chr8 26291878 26291879 chr8:26291879:C:T rs374712190 C T C EBF1_EBF_1 -9 0 + 0 0 . chr8 26388141 26388142 chr8:26388142:G:A rs2874670 G A G EBF1_EBF_1 -7 0 - 0 0 . chr8 26413287 26413288 chr8:26413288:C:T rs913873599 C T C EBF1_EBF_1 -19 0 + 0 0 . chr8 26449215 26449216 chr8:26449216:C:T rs117775110 C T c EBF1_EBF_1 10 1 - 8.798840854050368 3.4808123947834035 CTCCCCCGGGGAAT chr8 26449769 26449770 chr8:26449770:G:A chr8:26449770:G:A G A G EBF1_EBF_1 -6 0 - 0 0 . chr8 26449782 26449783 chr8:26449783:C:G rs328105 C G G EBF1_EBF_1 -19 0 - 0 0 . chr8 26451680 26451681 chr8:26451681:C:T rs960607435 C T C EBF1_EBF_1 -12 0 + 0 0 . chr8 26458002 26458003 chr8:26458003:G:A rs2034038 G A g EBF1_EBF_1 6 1 + 7.574801964529023 9.741596395803498 CCTCCCGTGGGACA chr8 26458020 26458021 chr8:26458021:G:A rs147447701 G A g EBF1_EBF_1 24 0 + 0 0 . chr8 26488826 26488827 chr8:26488827:C:T rs11990868 C T C EBF1_EBF_1 -4 0 - 0 0 . chr8 26568602 26568603 chr8:26568603:T:G rs11774816 T G G EBF1_EBF_1 12 1 - 6.272243190137735 6.242061791716409 GTTCCCTAGAGGAC chr8 26571491 26571492 chr8:26571492:C:A rs380423 C A A EBF1_EBF_1 13 1 + 9.020757666464021 8.647012217178046 AGACCCCAGGGAAC chr8 26571495 26571496 chr8:26571496:T:C rs148590465 T C T EBF1_EBF_1 17 0 + 0 0 . chr8 26571496 26571497 chr8:26571497:G:A rs4733053 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 26576497 26576498 chr8:26576498:G:A rs2585456 G A G EBF1_EBF_1 3 1 - 5.438275521035883 -0.9671232205174346 ACCCCCGTGGGAGG chr8 26587037 26587038 chr8:26587038:C:T rs327235 C T C EBF1_EBF_1 7 1 - 7.580601290178874 8.8930596954677 CTCCCCCGGGGAAA chr8 26609778 26609779 chr8:26609779:T:C rs984579683 T C T EBF1_EBF_1 11 1 + 6.688306188100697 6.370258746347033 AGCCCCCAGGGTCC chr8 26624457 26624458 chr8:26624458:G:A rs17322051 G A G EBF1_EBF_1 -19 0 - 0 0 . chr8 26627928 26627929 chr8:26627929:C:A rs138059963 C A C EBF1_EBF_1 24 0 + 0 0 . chr8 26650736 26650737 chr8:26650737:G:A rs149552105 G A G EBF1_EBF_1 -19 0 - 0 0 . chr8 26655847 26655848 chr8:26655848:G:A rs373100566 G A G EBF1_EBF_1 -3 0 + 0 0 . chr8 26661392 26661393 chr8:26661393:C:T rs57846118 C T C EBF1_EBF_1 18 0 - 0 0 . chr8 26661393 26661394 chr8:26661394:T:C rs56322416 T C T EBF1_EBF_1 17 0 - 0 0 . chr8 26661735 26661736 chr8:26661736:T:C rs55754454 T C C EBF1_EBF_1 29 0 + 0 0 . chr8 26661939 26661940 chr8:26661940:C:T rs188662002 C T C EBF1_EBF_1 13 1 - 4.210242162139628 5.324567734209067 CTTCCCTGGGGCCG chr8 26728598 26728599 chr8:26728599:G:T rs76295777 G T G EBF1_EBF_1 20 0 + 0 0 . chr8 26907471 26907472 chr8:26907472:G:A rs529237 G A A EBF1_EBF_1 4 1 - 6.880095041831235 2.5107080968863107 CCCCCCTAGGGAGA chr8 26976590 26976591 chr8:26976591:C:G rs2086524 C G c EBF1_EBF_1 2 1 + 9.141014172704576 4.281511893946259 ATCCCCAGGGGATA chr8 27012839 27012840 chr8:27012840:T:C rs150624625 T C t EBF1_EBF_1 13 1 + 4.352697757776653 3.508203643191134 CTCCCCCGGGGCCT chr8 27158844 27158845 chr8:27158845:G:C rs2028912 G C G EBF1_EBF_1 4 1 - 8.498409737882739 2.8726446367331926 TTCCCCCTGGGAGT chr8 27169482 27169483 chr8:27169483:G:A rs75378245 G A g EBF1_EBF_1 6 1 - 9.231535514769341 9.532821643631095 ATTCCCCGGAGATT chr8 27175128 27175129 chr8:27175129:A:T rs62503697 A T A EBF1_EBF_1 33 0 - 0 0 . chr8 27233406 27233407 chr8:27233407:A:G rs1446675 A G G EBF1_EBF_1 26 0 - 0 0 . chr8 27251958 27251959 chr8:27251959:C:G rs56298508 C G c EBF1_EBF_1 -19 0 + 0 0 . chr8 27254481 27254482 chr8:27254482:T:A rs73239470 T A A EBF1_EBF_1 33 0 - 0 0 . chr8 27254521 27254522 chr8:27254522:C:T rs73563942 C T c EBF1_EBF_1 -7 0 - 0 0 . chr8 27257270 27257271 chr8:27257271:A:G rs73239483 A G A EBF1_EBF_1 23 0 + 0 0 . chr8 27257277 27257278 chr8:27257278:G:T rs751916340 G T G EBF1_EBF_1 30 0 + 0 0 . chr8 27258976 27258977 chr8:27258977:C:T rs7005224 C T C EBF1_EBF_1 -19 0 - 0 0 . chr8 27263057 27263058 chr8:27263058:C:T rs1867887 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 27267448 27267449 chr8:27267449:T:C rs4733045 T C C EBF1_EBF_1 19 0 + 0 0 . chr8 27274718 27274719 chr8:27274719:G:A chr8:27274719:G:A G A G EBF1_EBF_1 16 0 - 0 0 . chr8 27274724 27274725 chr8:27274725:C:T rs73681509 C T C EBF1_EBF_1 10 1 - 5.758642708205711 0.4406142489387452 GTTCCCCGGAGAGA chr8 27311612 27311613 chr8:27311613:A:T rs6988218 A T t EBF1_EBF_1 -9 0 + 0 0 . chr8 27333427 27333428 chr8:27333428:G:A rs62502392 G A g EBF1_EBF_1 -8 0 + 0 0 . chr8 27334251 27334252 chr8:27334252:T:C rs56746927 T C c EBF1_EBF_1 -12 0 - 0 0 . chr8 27353666 27353667 chr8:27353667:T:C rs9644128 T C T EBF1_EBF_1 14 0 - 0 0 . chr8 27366278 27366279 chr8:27366279:A:G rs78153098 A G A EBF1_EBF_1 0 1 + 6.83679399346081 4.944510123895978 ATCCCCCAGGGGGA chr8 27390129 27390130 chr8:27390130:T:C rs11775464 T C C EBF1_EBF_1 -11 0 - 0 0 . chr8 27396595 27396596 chr8:27396596:T:G rs919492 T G G EBF1_EBF_1 7 1 - 5.433181906194472 3.13940291982429 AATCACTAGGGAAG chr8 27404677 27404678 chr8:27404678:C:T rs1367088 C T T EBF1_EBF_1 -4 0 + 0 0 . chr8 27441569 27441570 chr8:27441570:C:A rs10091396 C A c EBF1_EBF_1 -13 0 - 0 0 . chr8 27451821 27451822 chr8:27451822:T:C rs1106359 T C C EBF1_EBF_1 18 0 - 0 0 . chr8 27455837 27455838 chr8:27455838:C:A rs139159282 C A C EBF1_EBF_1 -6 0 + 0 0 . chr8 27466911 27466912 chr8:27466912:T:C rs3824103 T C C EBF1_EBF_1 -2 0 + 0 0 . chr8 27558300 27558301 chr8:27558301:G:A rs60007820 G A G EBF1_EBF_1 31 0 + 0 0 . chr8 27567529 27567530 chr8:27567530:G:A rs77269475 G A G EBF1_EBF_1 -12 0 + 0 0 . chr8 27583456 27583457 chr8:27583457:G:A rs75929510 G A G EBF1_EBF_1 17 0 - 0 0 . chr8 27583492 27583493 chr8:27583493:T:C rs7828131 T C C EBF1_EBF_1 -19 0 - 0 0 . chr8 27583886 27583887 chr8:27583887:C:G chr8:27583887:C:G C G C EBF1_EBF_1 14 0 - 0 0 . chr8 27593017 27593018 chr8:27593018:T:C rs10101779 T C C EBF1_EBF_1 17 0 + 0 0 . chr8 27593227 27593228 chr8:27593228:A:C rs143286050 A C A EBF1_EBF_1 -11 0 + 0 0 . chr8 27593233 27593234 chr8:27593234:G:T rs74332723 G T G EBF1_EBF_1 -5 0 + 0 0 . chr8 27593252 27593253 chr8:27593253:C:T rs59355852 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 27597439 27597440 chr8:27597440:A:G rs9331945 A G A EBF1_EBF_1 30 0 - 0 0 . chr8 27603974 27603975 chr8:27603975:C:A rs4732729 C A C EBF1_EBF_1 5 1 + 4.733185165885344 -2.171695986749822 AATCACCGGGGAGT chr8 27610487 27610488 chr8:27610488:G:A rs9331892 G A G EBF1_EBF_1 -14 0 + 0 0 . chr8 27611119 27611120 chr8:27611120:C:T rs9331889 C T C EBF1_EBF_1 -1 0 + 0 0 . chr8 27611344 27611345 chr8:27611345:C:G rs9331888 C G C EBF1_EBF_1 8 1 - 5.426662487526127 0.10800362661144214 CTTCCAAAGGGACT chr8 27634023 27634024 chr8:27634024:T:A rs35433872 T A T EBF1_EBF_1 20 0 + 0 0 . chr8 27634764 27634765 chr8:27634765:G:A rs150247465 G A G EBF1_EBF_1 -4 0 + 0 0 . chr8 27637824 27637825 chr8:27637825:C:T rs744596 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 27638451 27638452 chr8:27638452:A:G rs4732734 A G A EBF1_EBF_1 20 0 - 0 0 . chr8 27646272 27646273 chr8:27646273:A:G rs35581080 A G A EBF1_EBF_1 6 1 + 6.539115580103519 4.372321148829044 CTTCCCATGGGTCA chr8 27646287 27646288 chr8:27646288:C:T rs17057478 C T C EBF1_EBF_1 21 0 + 0 0 . chr8 27647396 27647397 chr8:27647397:G:A rs525765 G A g EBF1_EBF_1 22 0 - 0 0 . chr8 27647438 27647439 chr8:27647439:G:A rs495152 G A A EBF1_EBF_1 8 1 + 6.549413122381766 2.3231230334955053 GCTCCCCAGAGAGC chr8 27649289 27649290 chr8:27649290:T:G rs508782 T G G EBF1_EBF_1 29 0 - 0 0 . chr8 27649333 27649334 chr8:27649334:G:A rs508032 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 27662666 27662667 chr8:27662667:G:A rs492786 G A . EBF1_EBF_1 19 0 - 0 0 . chr8 27672460 27672461 chr8:27672461:G:A rs34703349 G A G EBF1_EBF_1 28 0 + 0 0 . chr8 27673401 27673402 chr8:27673402:G:T rs34771353 G T G EBF1_EBF_1 1 1 + 6.282059545430666 6.987721331260194 TGCCCCAAGGGGCC chr8 27764235 27764236 chr8:27764236:C:T rs17387099 C T C EBF1_EBF_1 -5 0 + 0 0 . chr8 27764256 27764257 chr8:27764257:G:T rs13266988 G T G EBF1_EBF_1 16 0 + 0 0 . chr8 27838689 27838690 chr8:27838690:G:A rs10100844 G A G EBF1_EBF_1 -17 0 + 0 0 . chr8 27845117 27845118 chr8:27845118:T:C rs62496760 T C T EBF1_EBF_1 -19 0 - 0 0 . chr8 27875047 27875048 chr8:27875048:C:T rs875164 C T C EBF1_EBF_1 3 1 + 6.751920634991012 0.3465218934376932 AGACCCCTGGGAGA chr8 27893214 27893215 chr8:27893215:C:T rs939711 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 27895538 27895539 chr8:27895539:T:G rs2726964 T G G EBF1_EBF_1 12 1 - 5.8938080034007285 5.863626604979403 GACCCCATGAGAAC chr8 27902321 27902322 chr8:27902322:G:A rs73237395 G A G EBF1_EBF_1 -11 0 + 0 0 . chr8 27909548 27909549 chr8:27909549:G:A rs17058204 G A G EBF1_EBF_1 27 0 - 0 0 . chr8 27914482 27914483 chr8:27914483:G:A rs2685340 G A G EBF1_EBF_1 -13 0 - 0 0 . chr8 27948265 27948266 chr8:27948266:C:T rs2726951 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 27949246 27949247 chr8:27949247:A:G rs2726950 A G G EBF1_EBF_1 11 1 + 5.6691107612005815 2.7764039175965705 AATCACAGGGGAAC chr8 27952482 27952483 chr8:27952483:G:A rs1320379 G A G EBF1_EBF_1 -7 0 + 0 0 . chr8 27953960 27953961 chr8:27953961:A:G rs60085635 A G G EBF1_EBF_1 16 0 - 0 0 . chr8 27983676 27983677 chr8:27983677:C:A rs28526568 C A C EBF1_EBF_1 30 0 + 0 0 . chr8 27993079 27993080 chr8:27993080:C:G rs4316110 C G C EBF1_EBF_1 -4 0 - 0 0 . chr8 28014385 28014386 chr8:28014386:A:C chr8:28014386:A:C A C A EBF1_EBF_1 29 0 + 0 0 . chr8 28023308 28023309 chr8:28023309:C:T rs921597 C T C EBF1_EBF_1 30 0 - 0 0 . chr8 28034248 28034249 chr8:28034249:T:C rs987536408 T C T EBF1_EBF_1 11 1 - 6.155468608965576 3.262761765361565 ATTTCCCTGGGAAA chr8 28037862 28037863 chr8:28037863:G:C rs4732809 G C G EBF1_EBF_1 4 1 - 4.666770003246205 -0.9589950979033404 ACCCCCTAGGTGCT chr8 28037868 28037869 chr8:28037869:G:A rs62496853 G A G EBF1_EBF_1 -2 0 - 0 0 . chr8 28090016 28090017 chr8:28090017:A:G rs4732621 A G g EBF1_EBF_1 -9 0 + 0 0 . chr8 28118860 28118861 chr8:28118861:G:T rs770758630 G T G EBF1_EBF_1 -17 0 - 0 0 . chr8 28190130 28190131 chr8:28190131:A:C chr8:28190131:A:C A C A EBF1_EBF_1 13 1 + 8.31132032815918 8.685065777445155 ATACCCTGGGGACA chr8 28308467 28308468 chr8:28308468:T:C rs2614071 T C C EBF1_EBF_1 -11 0 - 0 0 . chr8 28342864 28342865 chr8:28342865:T:A rs191899808 T A T EBF1_EBF_1 15 0 - 0 0 . chr8 28349957 28349958 chr8:28349958:G:A rs111760606 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 28350001 28350002 chr8:28350002:C:G rs2272752 C G C EBF1_EBF_1 -11 0 - 0 0 . chr8 28373703 28373704 chr8:28373704:T:C rs1390962 T C C EBF1_EBF_1 -18 0 - 0 0 . chr8 28402140 28402141 chr8:28402141:C:T rs564264427 C T c EBF1_EBF_1 33 0 + 0 0 . chr8 28467893 28467894 chr8:28467894:G:A rs6992329 G A G EBF1_EBF_1 12 1 - 6.317965354156879 5.291698783606029 AATCCCTTGAGGCA chr8 28481163 28481164 chr8:28481164:G:A rs17418651 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 28495053 28495054 chr8:28495054:G:C rs6558065 G C C EBF1_EBF_1 25 0 + 0 0 . chr8 28629571 28629572 chr8:28629572:A:G rs10091138 A G A EBF1_EBF_1 29 0 - 0 0 . chr8 28646554 28646555 chr8:28646555:G:A rs73564740 G A G EBF1_EBF_1 -9 0 - 0 0 . chr8 28701770 28701771 chr8:28701771:G:A rs546622535 G A g EBF1_EBF_1 21 0 - 0 0 . chr8 28766183 28766184 chr8:28766184:C:T chr8:28766184:C:T C T C EBF1_EBF_1 3 1 + 4.333405728789958 -2.07199301276336 CCCCTCAAGGGACC chr8 28768900 28768901 chr8:28768901:C:T rs241178 C T C EBF1_EBF_1 0 1 + 10.382403989779625 10.664606050510203 CTCCCCTAGGGACT chr8 28885108 28885109 chr8:28885109:T:G rs112504863 T G T EBF1_EBF_1 -9 0 + 0 0 . chr8 29054324 29054325 chr8:29054325:C:T rs1542690 C T C EBF1_EBF_1 -3 0 - 0 0 . chr8 29061489 29061490 chr8:29061490:C:T chr8:29061490:C:T C T C EBF1_EBF_1 25 0 - 0 0 . chr8 29061507 29061508 chr8:29061508:G:A rs183654399 G A G EBF1_EBF_1 7 1 - 7.0345518348951535 8.852056581177777 AGCCCCCCGGGAAG chr8 29063156 29063157 chr8:29063157:G:A rs116012207 G A G EBF1_EBF_1 28 0 + 0 0 . chr8 29068012 29068013 chr8:29068013:G:A rs114208020 G A G EBF1_EBF_1 5 1 - 4.716992602413687 -0.6047946131269835 CTTCCCTCGGGCCC chr8 29068563 29068564 chr8:29068564:G:T rs17454230 G T G EBF1_EBF_1 21 0 + 0 0 . chr8 29230538 29230539 chr8:29230539:C:T rs76315288 C T C EBF1_EBF_1 28 0 + 0 0 . chr8 29262682 29262683 chr8:29262683:G:A rs571490505 G A G EBF1_EBF_1 -8 0 - 0 0 . chr8 29263196 29263197 chr8:29263197:A:G chr8:29263197:A:G A G A EBF1_EBF_1 -7 0 + 0 0 . chr8 29263233 29263234 chr8:29263234:A:G rs542653548 A G A EBF1_EBF_1 30 0 + 0 0 . chr8 29266833 29266834 chr8:29266834:G:A rs7009885 G A G EBF1_EBF_1 32 0 + 0 0 . chr8 29287183 29287184 chr8:29287184:G:T rs28430498 G T G EBF1_EBF_1 -1 0 + 0 0 . chr8 29317815 29317816 chr8:29317816:G:C rs183070095 G C G EBF1_EBF_1 22 0 - 0 0 . chr8 29337491 29337492 chr8:29337492:C:T rs7842999 C T T EBF1_EBF_1 1 1 - 4.687105055327602 4.4264062234063 CGACCCCAGGGGCT chr8 29347955 29347956 chr8:29347956:C:T rs56072416 C T C EBF1_EBF_1 -17 0 - 0 0 . chr8 29348285 29348286 chr8:29348286:T:C rs7831728 T C T EBF1_EBF_1 -13 0 - 0 0 . chr8 29349638 29349639 chr8:29349639:C:T rs911125211 C T C EBF1_EBF_1 24 0 - 0 0 . chr8 29350735 29350736 chr8:29350736:G:A rs548597186 G A G EBF1_EBF_1 3 1 - 6.547598787327423 0.1422000457741049 CCTCCCCCGGGAGC chr8 29350753 29350754 chr8:29350754:A:C rs978395362 A C A EBF1_EBF_1 -15 0 - 0 0 . chr8 29373752 29373753 chr8:29373753:A:G rs734131 A G A EBF1_EBF_1 33 0 - 0 0 . chr8 29386492 29386493 chr8:29386493:T:C rs611692 T C C EBF1_EBF_1 11 1 - 6.284667390824508 3.391960547220496 TCCCCCCGGGGATC chr8 29466924 29466925 chr8:29466925:T:G rs9657299 T G T EBF1_EBF_1 -8 0 - 0 0 . chr8 29466925 29466926 chr8:29466926:T:G rs188575181 T G T EBF1_EBF_1 -9 0 - 0 0 . chr8 29469503 29469504 chr8:29469504:T:C rs536475951 T C T EBF1_EBF_1 -7 0 + 0 0 . chr8 29473142 29473143 chr8:29473143:G:A rs78924158 G A G EBF1_EBF_1 4 1 - 9.747262676787967 5.377875731843044 AATCCCAAGAGACA chr8 29505820 29505821 chr8:29505821:T:A rs10435656 T A T EBF1_EBF_1 1 1 + 11.029837584146726 10.063476966395896 ATTCCCAGGGGATA chr8 29513632 29513633 chr8:29513633:C:T rs10099079 C T T EBF1_EBF_1 33 0 + 0 0 . chr8 29543967 29543968 chr8:29543968:A:C rs6997031 A C A EBF1_EBF_1 29 0 + 0 0 . chr8 29583489 29583490 chr8:29583490:G:A rs4259448 G A G EBF1_EBF_1 26 0 + 0 0 . chr8 29613079 29613080 chr8:29613080:T:C rs6994244 T C C EBF1_EBF_1 17 0 + 0 0 . chr8 29655619 29655620 chr8:29655620:G:A rs1044200642 G A G EBF1_EBF_1 5 1 - 8.692481917239718 3.3706947016990467 CTCCCCCAGGGAGT chr8 29663936 29663937 chr8:29663937:A:C rs4732699 A C C EBF1_EBF_1 15 0 + 0 0 . chr8 29665489 29665490 chr8:29665490:A:G rs142919078 A G A EBF1_EBF_1 33 0 - 0 0 . chr8 29668273 29668274 chr8:29668274:T:A rs994480690 T A T EBF1_EBF_1 -16 0 + 0 0 . chr8 29670747 29670748 chr8:29670748:C:T rs4732989 C T T EBF1_EBF_1 -19 0 - 0 0 . chr8 29684385 29684386 chr8:29684386:T:C rs7002486 T C C EBF1_EBF_1 -20 0 + 0 0 . chr8 29684425 29684426 chr8:29684426:A:G rs6998470 A G A EBF1_EBF_1 20 0 + 0 0 . chr8 29728154 29728155 chr8:29728155:G:A rs184652051 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 29728256 29728257 chr8:29728257:A:G rs73669596 A G A EBF1_EBF_1 -15 0 + 0 0 . chr8 29738012 29738013 chr8:29738013:C:A rs567691029 C A C EBF1_EBF_1 21 0 - 0 0 . chr8 29745891 29745892 chr8:29745892:A:G rs144520526 A G A EBF1_EBF_1 -8 0 - 0 0 . chr8 29765690 29765691 chr8:29765691:G:A rs73671705 G A G EBF1_EBF_1 32 0 - 0 0 . chr8 29804266 29804267 chr8:29804267:C:T rs117460268 C T C EBF1_EBF_1 -2 0 + 0 0 . chr8 29804286 29804287 chr8:29804287:C:T rs191454265 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 29804287 29804288 chr8:29804288:A:G rs13265847 A G G EBF1_EBF_1 19 0 + 0 0 . chr8 29807660 29807661 chr8:29807661:C:T rs13265053 C T C EBF1_EBF_1 4 1 + 4.989675775657277 0.6202888307123532 CGCCCCCAGGGCAT chr8 29853195 29853196 chr8:29853196:C:G chr8:29853196:C:G C G C EBF1_EBF_1 21 0 - 0 0 . chr8 29875507 29875508 chr8:29875508:T:G rs78157972 T G T EBF1_EBF_1 7 1 + 4.513401535569719 3.677217370368452 CGCCCCCTGGGCAT chr8 29875526 29875527 chr8:29875527:A:G rs1000887832 A G A EBF1_EBF_1 26 0 + 0 0 . chr8 29884528 29884529 chr8:29884529:C:A rs35773594 C A C EBF1_EBF_1 10 1 - 10.439195935212089 6.256443748984825 GGTCCCCAGGGAAA chr8 29940611 29940612 chr8:29940612:A:T rs7818990 A T A EBF1_EBF_1 29 0 - 0 0 . chr8 29970254 29970255 chr8:29970255:T:C rs60044861 T C C EBF1_EBF_1 6 1 + 5.790432838876038 5.489146710014282 TTTCCCTTGGGCTC chr8 29992968 29992969 chr8:29992969:C:A rs1868379 C A C EBF1_EBF_1 11 1 - 7.021035823687927 5.8158284111932135 AGTCCCAGGAGGCT chr8 29992983 29992984 chr8:29992984:G:A rs78549269 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 30028411 30028412 chr8:30028412:C:A chr8:30028412:C:A C A G EBF1_EBF_1 21 0 - 0 0 . chr8 30028411 30028412 chr8:30028412:C:G rs10113613 C G G EBF1_EBF_1 21 0 - 0 0 . chr8 30156737 30156738 chr8:30156738:G:T rs184549611 G T G EBF1_EBF_1 -4 0 - 0 0 . chr8 30269937 30269938 chr8:30269938:T:G rs2938207 T G T EBF1_EBF_1 -15 0 + 0 0 . chr8 30269942 30269943 chr8:30269943:C:G rs2938206 C G G EBF1_EBF_1 -10 0 + 0 0 . chr8 30279569 30279570 chr8:30279570:T:A rs115369900 T A T EBF1_EBF_1 19 0 + 0 0 . chr8 30384101 30384102 chr8:30384102:C:T rs113198678 C T C EBF1_EBF_1 -11 0 + 0 0 . chr8 30427574 30427575 chr8:30427575:G:C rs2979469 G C C EBF1_EBF_1 28 0 + 0 0 . chr8 30502655 30502656 chr8:30502656:T:C rs140423831 T C T EBF1_EBF_1 18 0 + 0 0 . chr8 30576134 30576135 chr8:30576135:G:A rs28692844 G A G EBF1_EBF_1 25 0 + 0 0 . chr8 30636304 30636305 chr8:30636305:G:C rs149510175 G C G EBF1_EBF_1 -13 0 - 0 0 . chr8 30727869 30727870 chr8:30727870:G:A rs8190883 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 30727885 30727886 chr8:30727886:C:T rs8190882 C T C EBF1_EBF_1 7 1 - 6.128521164886798 7.440979570175623 CTACCCCGGGGACC chr8 30727886 30727887 chr8:30727887:G:A rs8190881 G A g EBF1_EBF_1 6 1 - 6.128521164886798 6.4298072937485555 CTACCCCGGGGACC chr8 30727906 30727907 chr8:30727907:C:T chr8:30727907:C:T C T c EBF1_EBF_1 -14 0 - 0 0 . chr8 30728187 30728188 chr8:30728188:T:A rs749365754 T A T EBF1_EBF_1 -16 0 - 0 0 . chr8 30911903 30911904 chr8:30911904:C:A rs73670560 C A C EBF1_EBF_1 33 0 - 0 0 . chr8 30911907 30911908 chr8:30911908:C:T rs73670561 C T C EBF1_EBF_1 29 0 - 0 0 . chr8 31035432 31035433 chr8:31035433:C:G rs532667014 C G C EBF1_EBF_1 8 1 - 5.947358223796058 0.6286993628813708 TTCCCCCAGGGCCT chr8 31254499 31254500 chr8:31254500:C:G rs111324648 C G C EBF1_EBF_1 15 0 - 0 0 . chr8 31318212 31318213 chr8:31318213:A:G rs1992061 A G A EBF1_EBF_1 17 0 - 0 0 . chr8 31320877 31320878 chr8:31320878:C:G rs10094478 C G C EBF1_EBF_1 8 1 - 5.712212050294144 0.39355318937945794 AGCCCCCTGGGCTT chr8 31321534 31321535 chr8:31321535:T:C rs9297171 T C C EBF1_EBF_1 17 0 - 0 0 . chr8 31425956 31425957 chr8:31425957:A:G rs6981897 A G A EBF1_EBF_1 33 0 - 0 0 . chr8 31455074 31455075 chr8:31455075:A:G rs17599522 A G A EBF1_EBF_1 1 1 - 6.085902838774346 5.190469398375601 GTTCCCATGGAAAC chr8 31536712 31536713 chr8:31536713:G:A rs11775540 G A G EBF1_EBF_1 10 1 + 4.2958873463585086 -1.022141112908458 CCTGCCAGGGGACT chr8 31558343 31558344 chr8:31558344:C:T rs28758285 C T C EBF1_EBF_1 6 1 + 5.628883901398521 5.930170030260279 TGACCCCAGGGAGC chr8 31640155 31640156 chr8:31640156:G:A rs113317778 G A g EBF1_EBF_1 4 1 - 3.21655792654384 -1.1528290184010832 GCCCCCGCGGGAGC chr8 31641007 31641008 chr8:31641008:A:G rs6992560 A G A EBF1_EBF_1 -14 0 - 0 0 . chr8 31666455 31666456 chr8:31666456:A:G rs7463426 A G A EBF1_EBF_1 0 1 - 4.570659810416269 4.2884577496856915 TTTCCCCAGTGACC chr8 31827962 31827963 chr8:31827963:A:G rs1393952 A G G EBF1_EBF_1 5 1 - 5.320075325114747 10.641862540655415 ATCCCTCTGGGACA chr8 31833493 31833494 chr8:31833494:A:G rs1503488 A G A EBF1_EBF_1 26 0 - 0 0 . chr8 31874847 31874848 chr8:31874848:G:A rs71523446 G A G EBF1_EBF_1 5 1 - 5.999574404289657 0.6777871887489878 ATTCCCCAGTGAGT chr8 31875818 31875819 chr8:31875819:A:G rs73671940 A G A EBF1_EBF_1 -5 0 + 0 0 . chr8 31926763 31926764 chr8:31926764:T:G rs776390 T G G EBF1_EBF_1 15 0 - 0 0 . chr8 31974893 31974894 chr8:31974894:A:G rs327379 A G A EBF1_EBF_1 11 1 + 7.020562657456983 4.127855813852972 AACCCCCTGAGACA chr8 31984958 31984959 chr8:31984959:C:T rs13255716 C T C EBF1_EBF_1 33 0 - 0 0 . chr8 32268853 32268854 chr8:32268854:C:T rs1481764 C T C EBF1_EBF_1 -3 0 - 0 0 . chr8 32268857 32268858 chr8:32268858:G:A rs17624670 G A G EBF1_EBF_1 -7 0 - 0 0 . chr8 32269546 32269547 chr8:32269547:G:A rs77346286 G A G EBF1_EBF_1 -8 0 - 0 0 . chr8 32274281 32274282 chr8:32274282:C:T rs1481758 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 32340396 32340397 chr8:32340397:G:A rs1685101 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 32385652 32385653 chr8:32385653:G:A rs7844353 G A A EBF1_EBF_1 21 0 - 0 0 . chr8 32448757 32448758 chr8:32448758:T:C chr8:32448758:T:C T C T EBF1_EBF_1 12 1 + 4.611988546347962 5.6382551168988115 CGCCCCCAGGGGTC chr8 32518493 32518494 chr8:32518494:A:G rs4733347 A G G EBF1_EBF_1 -15 0 + 0 0 . chr8 32522202 32522203 chr8:32522203:C:T rs17718751 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 32554561 32554562 chr8:32554562:T:A rs17646781 T A T EBF1_EBF_1 -20 0 + 0 0 . chr8 32554903 32554904 chr8:32554904:C:T chr8:32554904:C:T C T C EBF1_EBF_1 12 1 + 7.343970401722753 6.317703831171904 ATTCCCCAAAGACT chr8 32571830 32571831 chr8:32571831:T:A rs2466100 T A T EBF1_EBF_1 10 1 + 7.6253919600234665 6.490115686983764 ATTCCCATGGTAAG chr8 32578761 32578762 chr8:32578762:G:A rs2466069 G A a EBF1_EBF_1 15 0 - 0 0 . chr8 32581204 32581205 chr8:32581205:C:G rs4302803 C G G EBF1_EBF_1 29 0 - 0 0 . chr8 32671424 32671425 chr8:32671425:A:G rs2919388 A G A EBF1_EBF_1 24 0 - 0 0 . chr8 32839280 32839281 chr8:32839281:T:A rs4272339 T A T EBF1_EBF_1 -9 0 + 0 0 . chr8 33028379 33028380 chr8:33028380:A:G rs4403366 A G A EBF1_EBF_1 -3 0 + 0 0 . chr8 33074744 33074745 chr8:33074745:A:G rs10503940 A G A EBF1_EBF_1 25 0 - 0 0 . chr8 33087985 33087986 chr8:33087986:G:A rs16880329 G A g EBF1_EBF_1 8 1 - 5.824826613796442 4.252052685733934 CTTCCCAACGGAAA chr8 33170948 33170949 chr8:33170949:G:A rs113147130 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 33170956 33170957 chr8:33170957:A:G rs17679559 A G A EBF1_EBF_1 26 0 + 0 0 . chr8 33389166 33389167 chr8:33389167:G:A rs16880852 G A G EBF1_EBF_1 24 0 - 0 0 . chr8 33485069 33485070 chr8:33485070:A:C rs142052159 A C A EBF1_EBF_1 6 1 + 4.218580694114176 3.8569783263128983 ACTGCCAGGGGACG chr8 33516236 33516237 chr8:33516237:G:C rs13249386 G C G EBF1_EBF_1 28 0 + 0 0 . chr8 33565787 33565788 chr8:33565788:G:A rs377587726 G A G EBF1_EBF_1 -14 0 + 0 0 . chr8 33566614 33566615 chr8:33566615:C:T rs117550175 C T C EBF1_EBF_1 -3 0 - 0 0 . chr8 33566889 33566890 chr8:33566890:G:A rs1038055851 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 33567159 33567160 chr8:33567160:T:C rs58826918 T C T EBF1_EBF_1 -9 0 + 0 0 . chr8 33567164 33567165 chr8:33567165:C:A rs531118889 C A C EBF1_EBF_1 -4 0 + 0 0 . chr8 33567170 33567171 chr8:33567171:T:C rs10112135 T C C EBF1_EBF_1 2 1 + 7.0134513455099565 5.124627934067807 AGTCCCCAGGAAAA chr8 33567400 33567401 chr8:33567401:A:G rs148977666 A G A EBF1_EBF_1 7 1 + 5.206139321103886 3.893680915815061 TTTGCCCAGGGACA chr8 33589446 33589447 chr8:33589447:C:T rs76587238 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 33591259 33591260 chr8:33591260:A:C rs77697737 A C A EBF1_EBF_1 -12 0 + 0 0 . chr8 33722953 33722954 chr8:33722954:G:T rs113798823 G T G EBF1_EBF_1 6 1 - 7.811121429139357 8.172723796940634 TCTCCCCGGGGAGC chr8 33768585 33768586 chr8:33768586:G:A rs75068306 G A T EBF1_EBF_1 32 0 - 0 0 . chr8 33812139 33812140 chr8:33812140:G:C rs12164218 G C G EBF1_EBF_1 0 1 + 4.558122482147612 4.195147868015846 GTTCCCCAGGGCTG chr8 33943515 33943516 chr8:33943516:A:G rs1437944177 A G A EBF1_EBF_1 -16 0 + 0 0 . chr8 33958567 33958568 chr8:33958568:G:A rs78682048 G A G EBF1_EBF_1 18 0 - 0 0 . chr8 34297726 34297727 chr8:34297727:G:A rs118042200 G A g EBF1_EBF_1 -4 0 + 0 0 . chr8 34907151 34907152 chr8:34907152:C:T rs4739371 C T C EBF1_EBF_1 -19 0 - 0 0 . chr8 35008280 35008281 chr8:35008281:G:A rs6995319 G A A EBF1_EBF_1 33 0 + 0 0 . chr8 35022415 35022416 chr8:35022416:G:T rs1987259 G T T EBF1_EBF_1 -3 0 - 0 0 . chr8 35236018 35236019 chr8:35236019:T:C rs191332841 T C T EBF1_EBF_1 -17 0 + 0 0 . chr8 35241032 35241033 chr8:35241033:A:C rs10101683 A C A EBF1_EBF_1 23 0 + 0 0 . chr8 35241039 35241040 chr8:35241040:G:T rs535162646 G T G EBF1_EBF_1 30 0 + 0 0 . chr8 35272494 35272495 chr8:35272495:T:G rs1997312 T G T EBF1_EBF_1 33 0 + 0 0 . chr8 35322529 35322530 chr8:35322530:T:C rs2950921 T C C EBF1_EBF_1 -17 0 + 0 0 . chr8 35906398 35906399 chr8:35906399:T:C rs73673947 T C T EBF1_EBF_1 19 0 - 0 0 . chr8 36904890 36904891 chr8:36904891:T:C rs10101717 T C T EBF1_EBF_1 15 0 - 0 0 . chr8 37017415 37017416 chr8:37017416:C:T rs16885734 C T C EBF1_EBF_1 -18 0 - 0 0 . chr8 37053223 37053224 chr8:37053224:C:G rs59697834 C G C EBF1_EBF_1 -7 0 + 0 0 . chr8 37143216 37143217 chr8:37143217:C:G rs581187 C G C EBF1_EBF_1 12 1 + 7.921161067853845 6.532525124175693 ATTGCCCTGGGACT chr8 37143230 37143231 chr8:37143231:C:G rs140025798 C G C EBF1_EBF_1 26 0 + 0 0 . chr8 37154756 37154757 chr8:37154757:G:A rs481779 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 37174539 37174540 chr8:37174540:C:T rs59607280 C T T EBF1_EBF_1 3 1 + 4.801520782536956 -1.6038779590163612 CCTCCCTGGAGAGA chr8 37179818 37179819 chr8:37179819:C:A rs10100394 C A A EBF1_EBF_1 -15 0 - 0 0 . chr8 37225895 37225896 chr8:37225896:T:C rs11985899 T C T EBF1_EBF_1 -10 0 + 0 0 . chr8 37274141 37274142 chr8:37274142:T:C rs1111604 T C C EBF1_EBF_1 -12 0 - 0 0 . chr8 37274776 37274777 chr8:37274777:T:C rs422467 T C C EBF1_EBF_1 25 0 - 0 0 . chr8 37279749 37279750 chr8:37279750:G:A rs11776866 G A G EBF1_EBF_1 10 1 + 13.338427719468207 8.020399260201241 ATTCCCTAGGGAAA chr8 37279772 37279773 chr8:37279773:C:T rs389928 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 37303261 37303262 chr8:37303262:A:C rs141562606 A C A EBF1_EBF_1 -14 0 + 0 0 . chr8 37304254 37304255 chr8:37304255:T:C rs11783184 T C C EBF1_EBF_1 27 0 + 0 0 . chr8 37310230 37310231 chr8:37310231:G:C rs401905 G C G EBF1_EBF_1 25 0 + 0 0 . chr8 37337036 37337037 chr8:37337037:T:C rs462931 T C C EBF1_EBF_1 24 0 - 0 0 . chr8 37361258 37361259 chr8:37361259:C:G rs16886535 C G C EBF1_EBF_1 8 1 - 6.34480597262042 1.026147111705734 CTCCCCAAGGGTCT chr8 37370889 37370890 chr8:37370890:C:T rs73673548 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 37380546 37380547 chr8:37380547:T:C rs400353 T C T EBF1_EBF_1 -18 0 + 0 0 . chr8 37386566 37386567 chr8:37386567:C:G rs239418 C G C EBF1_EBF_1 5 1 + 4.7086082841368775 -2.1962728684982906 TAACCCCAGGGGCT chr8 37493914 37493915 chr8:37493915:A:G chr8:37493915:A:G A G A EBF1_EBF_1 30 0 + 0 0 . chr8 37503235 37503236 chr8:37503236:C:A rs55968252 C A C EBF1_EBF_1 29 0 - 0 0 . chr8 37503260 37503261 chr8:37503261:G:A rs13280938 G A G EBF1_EBF_1 4 1 - 4.0778502377987795 -0.2915367071461444 ACTCCGCTGGGATC chr8 37539766 37539767 chr8:37539767:G:A rs766819570 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 37543664 37543665 chr8:37543665:C:G rs4739517 C G G EBF1_EBF_1 -13 0 - 0 0 . chr8 37554862 37554863 chr8:37554863:G:A rs4739518 G A G EBF1_EBF_1 -3 0 + 0 0 . chr8 37562983 37562984 chr8:37562984:G:T rs149685434 G T G EBF1_EBF_1 9 1 + 6.495682044257554 -0.3413073638312558 ATTCCCTGGGGCAG chr8 37603396 37603397 chr8:37603397:G:A rs931342285 G A G EBF1_EBF_1 31 0 - 0 0 . chr8 37603411 37603412 chr8:37603412:G:A rs140276866 G A G EBF1_EBF_1 16 0 - 0 0 . chr8 37610826 37610827 chr8:37610827:G:A rs116134321 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 37735587 37735588 chr8:37735588:C:T rs62490675 C T C EBF1_EBF_1 12 1 - 4.327196094018489 5.746013436117966 GTTCCCGGGAGAGC chr8 37735805 37735806 chr8:37735806:C:G rs78971298 C G G EBF1_EBF_1 4 1 + 6.093956374818349 0.4681912736688048 GATCCCAAGGGCCA chr8 37762344 37762345 chr8:37762345:G:A chr8:37762345:G:A G A G EBF1_EBF_1 23 0 - 0 0 . chr8 37762368 37762369 chr8:37762369:G:T rs185805917 G T G EBF1_EBF_1 -1 0 - 0 0 . chr8 37762650 37762651 chr8:37762651:C:A rs371407450 C A C EBF1_EBF_1 10 1 - 10.179423824740123 5.996671638512861 ATCCCCCGGGGACC chr8 37779851 37779852 chr8:37779852:C:T rs145487564 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 37783278 37783279 chr8:37783279:C:T rs185738651 C T C EBF1_EBF_1 1 1 + 4.20583387006473 5.101267310463474 ACTCCCCAGGCAGC chr8 37815402 37815403 chr8:37815403:G:T rs114974491 G T G EBF1_EBF_1 24 0 + 0 0 . chr8 37818971 37818972 chr8:37818972:T:A rs111490698 T A T EBF1_EBF_1 11 1 - 5.4126973577775495 1.3147831016788245 ACTCCCCAGGCATT chr8 37842275 37842276 chr8:37842276:A:T rs201432181 A T A EBF1_EBF_1 -12 0 - 0 0 . chr8 37899309 37899310 chr8:37899310:C:T rs61742592 C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 37964163 37964164 chr8:37964164:A:G rs199623228 A G A EBF1_EBF_1 31 0 + 0 0 . chr8 37971074 37971075 chr8:37971075:T:G chr8:37971075:T:G T G T EBF1_EBF_1 0 1 - 4.693065696864846 2.437807213168245 ATTCCCCTCGGGCC chr8 37996456 37996457 chr8:37996457:C:T rs1021390340 C T C EBF1_EBF_1 -7 0 + 0 0 . chr8 38030434 38030435 chr8:38030435:G:A rs923948032 G A G EBF1_EBF_1 -10 0 + 0 0 . chr8 38044227 38044228 chr8:38044228:T:C rs188101472 T C T EBF1_EBF_1 -9 0 + 0 0 . chr8 38073142 38073143 chr8:38073143:G:A rs78758900 G A A EBF1_EBF_1 30 0 + 0 0 . chr8 38076315 38076316 chr8:38076316:G:A rs78581625 G A G EBF1_EBF_1 -10 0 - 0 0 . chr8 38148698 38148699 chr8:38148699:C:T rs138786388 C T C EBF1_EBF_1 6 1 + 4.288203222212982 4.589489351074739 GCCCCCCAGGGCCC chr8 38177427 38177428 chr8:38177428:G:A rs185358350 G A G EBF1_EBF_1 -1 0 - 0 0 . chr8 38177581 38177582 chr8:38177582:G:A rs3824288 G A G EBF1_EBF_1 33 0 + 0 0 . chr8 38210081 38210082 chr8:38210082:C:T rs753518717 C T C EBF1_EBF_1 -2 0 + 0 0 . chr8 38382727 38382728 chr8:38382728:G:A chr8:38382728:G:A G A G EBF1_EBF_1 -11 0 - 0 0 . chr8 38434628 38434629 chr8:38434629:A:C rs10101096 A C A EBF1_EBF_1 27 0 - 0 0 . chr8 38440565 38440566 chr8:38440566:G:A rs559698372 G A G EBF1_EBF_1 -2 0 - 0 0 . chr8 38442105 38442106 chr8:38442106:T:C rs60527016 T C T EBF1_EBF_1 -15 0 - 0 0 . chr8 38465147 38465148 chr8:38465148:C:G rs76224525 C G C EBF1_EBF_1 -7 0 - 0 0 . chr8 38465713 38465714 chr8:38465714:G:A rs572082056 G A G EBF1_EBF_1 1 1 + 5.594901909772057 5.334203077850755 CGTCCCACGGGGCC chr8 38510386 38510387 chr8:38510387:G:C rs143731146 G C G EBF1_EBF_1 16 0 + 0 0 . chr8 38512198 38512199 chr8:38512199:T:C rs79297228 T C T EBF1_EBF_1 -12 0 - 0 0 . chr8 38512518 38512519 chr8:38512519:T:C rs75121345 T C T EBF1_EBF_1 13 1 + 7.339251645204507 6.494757530618989 GTTCCCCGGAGATT chr8 38557693 38557694 chr8:38557694:C:T rs6987366 C T C EBF1_EBF_1 1 1 + 5.422339227823164 6.31777266822191 CCTCCCAAGAGATG chr8 38557711 38557712 chr8:38557712:A:G rs58794164 A G A EBF1_EBF_1 19 0 + 0 0 . chr8 38557719 38557720 chr8:38557720:T:A rs143968692 T A T EBF1_EBF_1 27 0 + 0 0 . chr8 38564228 38564229 chr8:38564229:C:T rs116351722 C T C EBF1_EBF_1 3 1 + 7.443032227726169 1.0376334861728507 CTTCCCCCGGGAGC chr8 38564241 38564242 chr8:38564242:A:G rs149969810 A G A EBF1_EBF_1 16 0 + 0 0 . chr8 38576543 38576544 chr8:38576544:C:T rs17582103 C T C EBF1_EBF_1 -14 0 - 0 0 . chr8 38581112 38581113 chr8:38581113:G:A rs78085393 G A G EBF1_EBF_1 17 0 + 0 0 . chr8 38581296 38581297 chr8:38581297:G:A rs4733925 G A G EBF1_EBF_1 20 0 - 0 0 . chr8 38586147 38586148 chr8:38586148:C:T rs1348886 C T C EBF1_EBF_1 6 1 + 10.318256153496115 10.619542282357871 AGCCCCCGGGGACT chr8 38613981 38613982 chr8:38613982:C:G rs17583015 C G C EBF1_EBF_1 25 0 + 0 0 . chr8 38623933 38623934 chr8:38623934:T:C rs4733889 T C C EBF1_EBF_1 -13 0 - 0 0 . chr8 38682894 38682895 chr8:38682895:G:A rs7010358 G A G EBF1_EBF_1 -9 0 - 0 0 . chr8 38688654 38688655 chr8:38688655:T:C rs11997059 T C T EBF1_EBF_1 1 1 + 5.4630062819430565 4.567572841544312 ATTCCCCATGGATC chr8 38704057 38704058 chr8:38704058:T:C rs559975737 T C T EBF1_EBF_1 18 0 - 0 0 . chr8 38706083 38706084 chr8:38706084:C:T rs72634773 C T C EBF1_EBF_1 21 0 + 0 0 . chr8 38719240 38719241 chr8:38719241:T:G rs6474495 T G T EBF1_EBF_1 22 0 - 0 0 . chr8 38728614 38728615 chr8:38728615:G:A rs7012349 G A G EBF1_EBF_1 -18 0 + 0 0 . chr8 38733404 38733405 chr8:38733405:T:G rs75863883 T G T EBF1_EBF_1 6 1 - 4.941419525339705 4.579817157538428 TCCCCCAAGAGAGC chr8 38742374 38742375 chr8:38742375:G:A rs7814409 G A A EBF1_EBF_1 26 0 + 0 0 . chr8 38770317 38770318 chr8:38770318:A:C rs115669144 A C a EBF1_EBF_1 6 1 - 9.325125180097052 7.2186469877620985 AGCCCCTAGGGAGA chr8 38770426 38770427 chr8:38770427:C:A rs117000897 C A c EBF1_EBF_1 30 0 - 0 0 . chr8 38770459 38770460 chr8:38770460:A:T rs760387105 A T a EBF1_EBF_1 -3 0 - 0 0 . chr8 38782193 38782194 chr8:38782194:C:A rs7816056 C A C EBF1_EBF_1 6 1 + 5.378048505002609 5.739650872803886 AGTCCCCAGAGTGT chr8 38865291 38865292 chr8:38865292:G:A rs942738334 G A G EBF1_EBF_1 4 1 - 8.35372745745137 3.9843405125064475 CCTCCCCTGGGATA chr8 38865314 38865315 chr8:38865315:T:C rs57917179 T C T EBF1_EBF_1 -19 0 - 0 0 . chr8 38870453 38870454 chr8:38870454:C:A rs16887849 C A C EBF1_EBF_1 4 1 + 7.606436581552065 1.7818769580985987 ACCCCCAAAGGAAT chr8 38906559 38906560 chr8:38906560:C:A rs898845311 C A C EBF1_EBF_1 -11 0 + 0 0 . chr8 38924405 38924406 chr8:38924406:C:T rs10098261 C T C EBF1_EBF_1 10 1 - 5.530808709042064 0.21278024977509774 GTCCCCGCGGGAAC chr8 38924424 38924425 chr8:38924425:G:T chr8:38924425:G:T G T G EBF1_EBF_1 -9 0 - 0 0 . chr8 38929247 38929248 chr8:38929248:A:T rs12542664 A T A EBF1_EBF_1 17 0 + 0 0 . chr8 38930089 38930090 chr8:38930090:C:G rs10090833 C G C EBF1_EBF_1 0 1 + 5.941382085828385 6.304356699960152 CCCCCCTGGGGAGC chr8 38936938 38936939 chr8:38936939:A:G rs4076625 A G G EBF1_EBF_1 -17 0 - 0 0 . chr8 38997105 38997106 chr8:38997106:C:T rs542789587 C T C EBF1_EBF_1 -11 0 - 0 0 . chr8 39003479 39003480 chr8:39003480:G:A rs6995969 G A A EBF1_EBF_1 27 0 + 0 0 . chr8 39015689 39015690 chr8:39015690:A:G rs78845916 A G A EBF1_EBF_1 -4 0 - 0 0 . chr8 39107940 39107941 chr8:39107941:C:T rs193225824 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 39227784 39227785 chr8:39227785:T:C chr8:39227785:T:C T C T EBF1_EBF_1 -1 0 - 0 0 . chr8 39433381 39433382 chr8:39433382:G:A rs7004417 G A g EBF1_EBF_1 3 1 - 9.434335333197973 3.0289365916446567 TTCCCCATGGGAAC chr8 39477903 39477904 chr8:39477904:A:G rs10102780 A G A EBF1_EBF_1 -18 0 + 0 0 . chr8 39801652 39801653 chr8:39801653:G:A rs76049669 G A G EBF1_EBF_1 18 0 - 0 0 . chr8 39945573 39945574 chr8:39945574:A:C rs185506446 A C A EBF1_EBF_1 32 0 - 0 0 . chr8 39978062 39978063 chr8:39978063:C:T rs2729467 C T T EBF1_EBF_1 8 1 - 7.084607240454326 2.858317151568065 CATCCCCAGGGGTT chr8 39989628 39989629 chr8:39989629:C:T rs2955876 C T C EBF1_EBF_1 0 1 + 4.629558650638621 4.9117607113692 CCTCCCCTGGGTTC chr8 40023131 40023132 chr8:40023132:G:A rs58452722 G A G EBF1_EBF_1 20 0 - 0 0 . chr8 40087687 40087688 chr8:40087688:T:C rs60215074 T C T EBF1_EBF_1 -10 0 + 0 0 . chr8 40093328 40093329 chr8:40093329:A:G rs2981178 A G G EBF1_EBF_1 11 1 + 8.852056581177777 5.959349737573765 AGCCCCCTGGGAAG chr8 40108808 40108809 chr8:40108809:A:G rs532123023 A G A EBF1_EBF_1 -16 0 - 0 0 . chr8 40206306 40206307 chr8:40206307:C:T rs2980808 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 40206310 40206311 chr8:40206311:T:C rs2980807 T C C EBF1_EBF_1 -13 0 - 0 0 . chr8 40206311 40206312 chr8:40206312:G:A rs4427155 G A A EBF1_EBF_1 -14 0 - 0 0 . chr8 40210932 40210933 chr8:40210933:G:C rs115454392 G C G EBF1_EBF_1 -20 0 + 0 0 . chr8 40300142 40300143 chr8:40300143:C:T rs74572023 C T C EBF1_EBF_1 1 1 + 5.723183267961066 6.618616708359812 CCTCCCTCGGGATG chr8 40373408 40373409 chr8:40373409:C:T rs210840 C T T EBF1_EBF_1 33 0 + 0 0 . chr8 40379949 40379950 chr8:40379950:G:A rs2072690 G A G EBF1_EBF_1 13 1 - 6.8020199115028595 7.6465140260883775 GCTCCCAAGGTAAC chr8 40430902 40430903 chr8:40430903:C:G rs147164032 C G . EBF1_EBF_1 6 1 + 4.159809557691806 2.3546174942186058 ACCCCCCGGGGTTC chr8 40441108 40441109 chr8:40441109:T:G rs10092821 T G T EBF1_EBF_1 -7 0 + 0 0 . chr8 40443265 40443266 chr8:40443266:C:T rs78868681 C T C EBF1_EBF_1 -5 0 + 0 0 . chr8 40443295 40443296 chr8:40443296:A:T rs2730148 A T A EBF1_EBF_1 25 0 + 0 0 . chr8 40457205 40457206 chr8:40457206:T:C rs2730198 T C C EBF1_EBF_1 30 0 + 0 0 . chr8 40457269 40457270 chr8:40457270:G:A rs4549753 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 40502134 40502135 chr8:40502135:A:G rs59743358 A G A EBF1_EBF_1 -12 0 + 0 0 . chr8 40543345 40543346 chr8:40543346:G:A rs4431581 G A G EBF1_EBF_1 26 0 + 0 0 . chr8 40608163 40608164 chr8:40608164:C:T rs2880470 C T C EBF1_EBF_1 31 0 + 0 0 . chr8 40685466 40685467 chr8:40685467:G:A rs4576415 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 40731181 40731182 chr8:40731182:G:T rs10958632 G T T EBF1_EBF_1 15 0 - 0 0 . chr8 40733827 40733828 chr8:40733828:A:G rs62497412 A G A EBF1_EBF_1 -13 0 + 0 0 . chr8 40840986 40840987 chr8:40840987:T:C rs17647793 T C T EBF1_EBF_1 -1 0 - 0 0 . chr8 40850709 40850710 chr8:40850710:G:A rs77732448 G A A EBF1_EBF_1 -15 0 + 0 0 . chr8 40871656 40871657 chr8:40871657:G:C rs35754361 G C G EBF1_EBF_1 -2 0 + 0 0 . chr8 40878722 40878723 chr8:40878723:G:A rs79902170 G A G EBF1_EBF_1 20 0 + 0 0 . chr8 40883146 40883147 chr8:40883147:C:T rs147579542 C T C EBF1_EBF_1 -14 0 - 0 0 . chr8 40886232 40886233 chr8:40886233:C:T rs4736886 C T C EBF1_EBF_1 3 1 + 9.646359140907991 3.2409603993546754 AGTCCCCAGAGACA chr8 40926598 40926599 chr8:40926599:G:A rs7844594 G A G EBF1_EBF_1 10 1 + 5.306114977959663 -0.01191348130730363 AACCTCCTGGGACA chr8 40953715 40953716 chr8:40953716:C:T rs66788124 C T C EBF1_EBF_1 19 0 + 0 0 . chr8 40984775 40984776 chr8:40984776:G:A rs9643881 G A G EBF1_EBF_1 8 1 + 5.12644265641354 0.9001525675272802 CCACCCCCGGGAAT chr8 40994572 40994573 chr8:40994573:T:C rs62640331 T C T EBF1_EBF_1 30 0 + 0 0 . chr8 41005053 41005054 chr8:41005054:C:A rs73615763 C A C EBF1_EBF_1 12 1 + 5.626298286330086 5.656479684751412 TGTCCCCAGCGACC chr8 41093874 41093875 chr8:41093875:G:A rs74620133 G A G EBF1_EBF_1 -9 0 + 0 0 . chr8 41093893 41093894 chr8:41093894:G:T rs9298609 G T G EBF1_EBF_1 10 1 + 9.608565236038789 5.425813049811525 ACTCCCCAGGGAGG chr8 41094034 41094035 chr8:41094035:T:C rs75996249 T C T EBF1_EBF_1 -6 0 - 0 0 . chr8 41101010 41101011 chr8:41101011:C:T rs1479141019 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 41118869 41118870 chr8:41118870:C:T rs60498756 C T C EBF1_EBF_1 9 1 - 3.7660500780939987 1.1111108126463947 CTTCCCCGTGGACT chr8 41130032 41130033 chr8:41130033:G:A rs59385069 G A G EBF1_EBF_1 4 1 - 9.072732965396696 4.7033460204517725 TTCCCCCTGGGAAC chr8 41130320 41130321 chr8:41130321:A:T rs7843705 A T A EBF1_EBF_1 32 0 + 0 0 . chr8 41152215 41152216 chr8:41152216:G:A rs3936026 G A G EBF1_EBF_1 11 1 + 5.240359137391318 8.13306598099533 CGTCCCCTGAGGCT chr8 41152222 41152223 chr8:41152223:C:T rs3936025 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 41173428 41173429 chr8:41173429:A:G rs7018442 A G G EBF1_EBF_1 4 1 - 6.596115295714538 10.965502240659461 AATCTCAAGAGACT chr8 41224228 41224229 chr8:41224229:A:T rs7009350 A T T EBF1_EBF_1 1 1 + 10.189267938444994 11.155628556195825 CATCCCCAGGGAAC chr8 41224250 41224251 chr8:41224251:C:T rs11783723 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 41236253 41236254 chr8:41236254:T:C rs117331961 T C T EBF1_EBF_1 -6 0 - 0 0 . chr8 41246525 41246526 chr8:41246526:A:G rs79026888 A G A EBF1_EBF_1 -12 0 + 0 0 . chr8 41253619 41253620 chr8:41253620:G:T rs11775726 G T G EBF1_EBF_1 10 1 + 10.019013274826927 5.836261088599663 TTTCCCCAGGGAGC chr8 41270621 41270622 chr8:41270622:C:A rs62636760 C A C EBF1_EBF_1 4 1 + 7.219290813846431 1.3947311903929644 ACTCCCCTGGGCCA chr8 41289965 41289966 chr8:41289966:C:G rs11783933 C G G EBF1_EBF_1 0 1 - 5.032083704539722 4.6691090904079555 GCTTCCCAGGGACT chr8 41289983 41289984 chr8:41289984:G:C rs11776819 G C G EBF1_EBF_1 -18 0 - 0 0 . chr8 41310745 41310746 chr8:41310746:G:T rs140427669 G T G EBF1_EBF_1 -13 0 + 0 0 . chr8 41315356 41315357 chr8:41315357:C:T rs77781196 C T C EBF1_EBF_1 -13 0 + 0 0 . chr8 41341046 41341047 chr8:41341047:G:C rs72643843 G C G EBF1_EBF_1 3 1 - 4.641309364348398 -1.186455083766818 CCCCTCTTGGGACT chr8 41342640 41342641 chr8:41342641:G:A rs149748250 G A G EBF1_EBF_1 4 1 - 5.244011491901653 0.8746245469567282 GGTCCCCGAGGACC chr8 41361554 41361555 chr8:41361555:G:A rs886182228 G A G EBF1_EBF_1 29 0 - 0 0 . chr8 41361599 41361600 chr8:41361600:T:G chr8:41361600:T:G T G T EBF1_EBF_1 -16 0 - 0 0 . chr8 41382767 41382768 chr8:41382768:C:G rs76305295 C G C EBF1_EBF_1 12 1 - 5.602017543016902 6.990653486695053 ACCCCCAGGAGAGC chr8 41382770 41382771 chr8:41382771:T:C rs2121604 T C C EBF1_EBF_1 9 1 - 5.602017543016902 8.256956808464507 ACCCCCAGGAGAGC chr8 41390071 41390072 chr8:41390072:G:A rs972204 G A G EBF1_EBF_1 13 1 - 6.451223127039389 7.295717241624907 TTTCCCAAGGAACC chr8 41397896 41397897 chr8:41397897:C:T rs2166677 C T C EBF1_EBF_1 3 1 + 4.09396971040065 -2.3114290311526675 GCTCCCAAGGCAAC chr8 41402739 41402740 chr8:41402740:C:T rs973441 C T C EBF1_EBF_1 -16 0 + 0 0 . chr8 41452934 41452935 chr8:41452935:G:A rs113733457 G A G EBF1_EBF_1 31 0 - 0 0 . chr8 41452953 41452954 chr8:41452954:T:A rs79352751 T A T EBF1_EBF_1 12 1 - 4.812608291704927 3.756160322732751 CATCCCGAGGGCAT chr8 41468969 41468970 chr8:41468970:G:T rs9298616 G T T EBF1_EBF_1 -20 0 - 0 0 . chr8 41490243 41490244 chr8:41490244:A:C rs958639840 A C A EBF1_EBF_1 -9 0 + 0 0 . chr8 41490946 41490947 chr8:41490947:T:C rs144266293 T C T EBF1_EBF_1 7 1 - 3.718288577293679 2.405830172004854 GCTCCGCAGGGAAC chr8 41491007 41491008 chr8:41491008:A:G rs72633892 A G A EBF1_EBF_1 -2 0 + 0 0 . chr8 41491014 41491015 chr8:41491015:C:T rs3780023 C T C EBF1_EBF_1 5 1 + 3.860183150974647 -1.4616040645660224 ACTCACCGGGGACG chr8 41544051 41544052 chr8:41544052:T:A rs11992589 T A T EBF1_EBF_1 11 1 - 7.071555959270799 2.973641703172073 ACCCTCCAGGGACT chr8 41572252 41572253 chr8:41572253:A:G rs2042666 A G G EBF1_EBF_1 -19 0 - 0 0 . chr8 41596613 41596614 chr8:41596614:A:G rs147247149 A G a EBF1_EBF_1 -1 0 - 0 0 . chr8 41625686 41625687 chr8:41625687:T:C rs7815848 T C C EBF1_EBF_1 12 1 - 6.207694610723568 4.78887726862409 CTTCCCCTGGGTAA chr8 41630572 41630573 chr8:41630573:C:T rs34356122 C T C EBF1_EBF_1 -10 0 - 0 0 . chr8 41650059 41650060 chr8:41650060:C:T rs140276568 C T C EBF1_EBF_1 3 1 + 3.8202389315096745 -2.585159810043643 GCCCCCCGGGGCCT chr8 41654180 41654181 chr8:41654181:G:C rs78203311 G C g EBF1_EBF_1 -11 0 - 0 0 . chr8 41658965 41658966 chr8:41658966:T:C rs4415368 T C T EBF1_EBF_1 22 0 - 0 0 . chr8 41660340 41660341 chr8:41660341:G:A rs565491 G A G EBF1_EBF_1 25 0 - 0 0 . chr8 41665472 41665473 chr8:41665473:A:G rs508419 A G G EBF1_EBF_1 -13 0 + 0 0 . chr8 41665644 41665645 chr8:41665645:C:A rs28411393 C A C EBF1_EBF_1 3 1 + 5.34680770580341 -1.5593663236623982 ATACCCTGGGTACT chr8 41673959 41673960 chr8:41673960:C:T rs12543292 C T C EBF1_EBF_1 -3 0 - 0 0 . chr8 41690141 41690142 chr8:41690142:G:A rs2278621 G A G EBF1_EBF_1 5 1 - 4.393263098301823 -0.9285241172388483 ACTTCCAAGGGGCT chr8 41690164 41690165 chr8:41690165:T:C rs7835812 T C T EBF1_EBF_1 -18 0 - 0 0 . chr8 41713420 41713421 chr8:41713421:C:T rs57661868 C T C EBF1_EBF_1 5 1 + 4.325081894562504 -0.9967053209781667 AACCCCGTGGGGTC chr8 41766083 41766084 chr8:41766084:G:A rs11780780 G A A EBF1_EBF_1 18 0 - 0 0 . chr8 41766586 41766587 chr8:41766587:C:T rs11774972 C T C EBF1_EBF_1 -1 0 - 0 0 . chr8 41772928 41772929 chr8:41772929:G:A rs4737010 G A G EBF1_EBF_1 15 0 - 0 0 . chr8 41778891 41778892 chr8:41778892:G:A rs1048914467 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 41782606 41782607 chr8:41782607:C:T rs9650332 C T c EBF1_EBF_1 33 0 + 0 0 . chr8 41834712 41834713 chr8:41834713:C:T rs16890885 C T c EBF1_EBF_1 33 0 - 0 0 . chr8 41836349 41836350 chr8:41836350:G:T rs191826489 G T G EBF1_EBF_1 -6 0 + 0 0 . chr8 41836364 41836365 chr8:41836365:A:T rs76282315 A T T EBF1_EBF_1 9 1 + 6.1746069751132415 1.992556832472036 ATTCTCCAGAGATT chr8 41876410 41876411 chr8:41876411:A:T rs577348676 A T A EBF1_EBF_1 18 0 - 0 0 . chr8 41893369 41893370 chr8:41893370:T:C rs117766999 T C T EBF1_EBF_1 -7 0 + 0 0 . chr8 41932306 41932307 chr8:41932307:G:A rs140266175 G A G EBF1_EBF_1 1 1 - 6.142571370820327 7.038004811219072 ACCCTCATGGGAAC chr8 41984138 41984139 chr8:41984139:A:C chr8:41984139:A:C A C A EBF1_EBF_1 31 0 - 0 0 . chr8 42050629 42050630 chr8:42050630:A:G rs12546107 A G G EBF1_EBF_1 14 0 + 0 0 . chr8 42139814 42139815 chr8:42139815:G:C rs371766689 G C G EBF1_EBF_1 13 1 + 9.298149422844006 10.78622044419942 AGCCCCCAGGGACG chr8 42153779 42153780 chr8:42153780:C:A rs74631719 C A C EBF1_EBF_1 -4 0 + 0 0 . chr8 42173841 42173842 chr8:42173842:C:T rs8178817 C T C EBF1_EBF_1 24 0 - 0 0 . chr8 42214919 42214920 chr8:42214920:A:G rs2020918 A G G EBF1_EBF_1 15 0 - 0 0 . chr8 42222080 42222081 chr8:42222081:G:A rs76844423 G A G EBF1_EBF_1 -5 0 - 0 0 . chr8 42287927 42287928 chr8:42287928:A:G rs17875744 A G A EBF1_EBF_1 33 0 - 0 0 . chr8 42301103 42301104 chr8:42301104:C:A rs6474385 C A A EBF1_EBF_1 -6 0 - 0 0 . chr8 42320612 42320613 chr8:42320613:G:C rs16891219 G C G EBF1_EBF_1 29 0 - 0 0 . chr8 42378589 42378590 chr8:42378590:T:C rs10097867 T C T EBF1_EBF_1 -20 0 + 0 0 . chr8 42392180 42392181 chr8:42392181:A:T rs7833181 A T A EBF1_EBF_1 -5 0 + 0 0 . chr8 42506835 42506836 chr8:42506836:T:C rs4568607 T C C EBF1_EBF_1 -8 0 - 0 0 . chr8 42579489 42579490 chr8:42579490:C:T rs2974356 C T C EBF1_EBF_1 3 1 + 5.5655427878834764 -0.8398559536698433 GACCCCTTGGGGCC chr8 42579519 42579520 chr8:42579520:C:T rs774098466 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 42636529 42636530 chr8:42636530:T:C rs71510153 T C T EBF1_EBF_1 -19 0 - 0 0 . chr8 42753838 42753839 chr8:42753839:T:A rs113322499 T A T EBF1_EBF_1 2 1 + 5.80269447720879 2.118226889609913 GGTCACCAGGGACT chr8 42753857 42753858 chr8:42753858:C:T rs77990632 C T C EBF1_EBF_1 21 0 + 0 0 . chr8 42817023 42817024 chr8:42817024:A:G rs35278935 A G A EBF1_EBF_1 -5 0 + 0 0 . chr8 43073727 43073728 chr8:43073728:T:C chr8:43073728:T:C T C T EBF1_EBF_1 15 0 + 0 0 . chr8 43191251 43191252 chr8:43191252:G:A rs34826093 G A G EBF1_EBF_1 3 1 - 7.433082554647224 1.0276838130939059 ATACCCAAGAGAAC chr8 43191581 43191582 chr8:43191582:C:T rs201346206 C T C EBF1_EBF_1 4 1 + 4.5884125493832295 0.21902560443830466 AGTCCCTGGGTGCC chr8 43191601 43191602 chr8:43191602:G:A rs74795999 G A G EBF1_EBF_1 13 1 - 4.521411925493083 5.365906040078601 ACCCCCAGGGGTTC chr8 43191627 43191628 chr8:43191628:A:G chr8:43191628:A:G A G A EBF1_EBF_1 25 0 + 0 0 . chr8 43193091 43193092 chr8:43193092:G:A rs34006144 G A G EBF1_EBF_1 30 0 - 0 0 . chr8 43555997 43555998 chr8:43555998:G:A rs573128925 G A G EBF1_EBF_1 -13 0 + 0 0 . chr8 43563345 43563346 chr8:43563346:C:A rs78556481 C A - EBF1_EBF_1 -2 0 + 0 0 . chr8 43565073 43565074 chr8:43565074:C:A rs568479889 C A - EBF1_EBF_1 28 0 + 0 0 . chr8 43566999 43567000 chr8:43567000:C:A chr8:43567000:C:A C A - EBF1_EBF_1 -14 0 + 0 0 . chr8 43568207 43568208 chr8:43568208:C:T rs145429529 C T - EBF1_EBF_1 6 1 + 7.157141565079924 7.458427693941682 TGCCCCCGGGGAAA chr8 43569266 43569267 chr8:43569267:A:G rs6987552 A G - EBF1_EBF_1 7 1 + 9.467886481249701 8.155428075960875 TCCCCCCAGGGACT chr8 43571667 43571668 chr8:43571668:C:A rs4736859 C A - EBF1_EBF_1 23 0 + 0 0 . chr8 43572217 43572218 chr8:43572218:C:G rs536737641 C G - EBF1_EBF_1 9 1 - 9.629779758885443 4.554090612539978 GTCCCCCAGGGAAC chr8 43737045 43737046 chr8:43737046:T:C rs149140559 T C . EBF1_EBF_1 2 1 + 6.27657473146361 4.387751320021461 TATCCCAAGGTAAA chr8 46015825 46015826 chr8:46015826:T:C rs11136242 T C . EBF1_EBF_1 -15 0 - 0 0 . chr8 46018355 46018356 chr8:46018356:T:G rs10087124 T G . EBF1_EBF_1 22 0 - 0 0 . chr8 46024675 46024676 chr8:46024676:C:T rs35231441 C T . EBF1_EBF_1 -2 0 + 0 0 . chr8 46027232 46027233 chr8:46027233:C:T rs376358468 C T . EBF1_EBF_1 24 0 + 0 0 . chr8 46039799 46039800 chr8:46039800:C:T rs146291309 C T . EBF1_EBF_1 14 0 - 0 0 . chr8 46039820 46039821 chr8:46039821:G:A rs80199697 G A . EBF1_EBF_1 -7 0 - 0 0 . chr8 46091338 46091339 chr8:46091339:C:T rs192480829 C T . EBF1_EBF_1 -17 0 - 0 0 . chr8 46093297 46093298 chr8:46093298:G:A rs66877679 G A . EBF1_EBF_1 14 0 - 0 0 . chr8 46093308 46093309 chr8:46093309:G:A rs77480770 G A . EBF1_EBF_1 3 1 - 6.846100578523177 0.44070183696985965 CATCCCCTGAGATT chr8 46098811 46098812 chr8:46098812:T:G rs28374030 T G . EBF1_EBF_1 31 0 + 0 0 . chr8 46098832 46098833 chr8:46098833:G:A rs74981329 G A . EBF1_EBF_1 23 0 - 0 0 . chr8 46100338 46100339 chr8:46100339:G:T rs186830737 G T . EBF1_EBF_1 3 1 - 3.855921154440768 -3.0502528750250386 AGCCCCCAGGGCTG chr8 46111347 46111348 chr8:46111348:C:T rs10441622 C T . EBF1_EBF_1 23 0 - 0 0 . chr8 46111816 46111817 chr8:46111817:G:A rs60060822 G A . EBF1_EBF_1 14 0 - 0 0 . chr8 46116475 46116476 chr8:46116476:G:A rs10113597 G A . EBF1_EBF_1 26 0 - 0 0 . chr8 46119303 46119304 chr8:46119304:C:T rs10087378 C T . EBF1_EBF_1 -6 0 + 0 0 . chr8 46125961 46125962 chr8:46125962:G:T rs6988138 G T . EBF1_EBF_1 16 0 + 0 0 . chr8 46139127 46139128 chr8:46139128:C:T rs12680979 C T . EBF1_EBF_1 -7 0 - 0 0 . chr8 46139835 46139836 chr8:46139836:G:A rs11782887 G A . EBF1_EBF_1 -12 0 - 0 0 . chr8 46140881 46140882 chr8:46140882:C:G rs78569615 C G . EBF1_EBF_1 -11 0 - 0 0 . chr8 46141733 46141734 chr8:46141734:G:C rs549039576 G C . EBF1_EBF_1 -20 0 + 0 0 . chr8 46141764 46141765 chr8:46141765:G:A rs28850880 G A . EBF1_EBF_1 11 1 + 6.731071889843994 9.623778733448004 ATACCCTAGGGGCA chr8 46141782 46141783 chr8:46141783:G:A rs72638672 G A . EBF1_EBF_1 29 0 + 0 0 . chr8 46142598 46142599 chr8:46142599:A:T rs1404489 A T . EBF1_EBF_1 32 0 - 0 0 . chr8 46143032 46143033 chr8:46143033:C:T rs13257804 C T . EBF1_EBF_1 8 1 - 3.855921154440768 -0.37036893444549257 AGCCCCCAGGGCTG chr8 46148184 46148185 chr8:46148185:G:A rs118179403 G A . EBF1_EBF_1 2 1 - 5.271532855371963 7.160356266814116 AGCCCCTAGGGCTA chr8 46149717 46149718 chr8:46149718:T:C rs112978466 T C . EBF1_EBF_1 13 1 - 6.382795897864798 5.268470325795359 AGTCCCCTGGGCTA chr8 46150479 46150480 chr8:46150480:C:A rs67974211 C A . EBF1_EBF_1 19 0 + 0 0 . chr8 46152956 46152957 chr8:46152957:G:A rs28462097 G A . EBF1_EBF_1 18 0 - 0 0 . chr8 46173785 46173786 chr8:46173786:C:G rs12334433 C G . EBF1_EBF_1 20 0 + 0 0 . chr8 46183262 46183263 chr8:46183263:G:A rs12676158 G A . EBF1_EBF_1 29 0 + 0 0 . chr8 46219258 46219259 chr8:46219259:C:T rs117133738 C T . EBF1_EBF_1 -9 0 - 0 0 . chr8 46244802 46244803 chr8:46244803:C:T rs138429112 C T . EBF1_EBF_1 4 1 - 4.117203540984443 3.91840901868052 GCTCGCCTGGGACA chr8 46246099 46246100 chr8:46246100:C:T rs139795729 C T . EBF1_EBF_1 4 1 + 5.766464716642539 1.3970777716976164 ACTCCCAAGGAAGA chr8 46263199 46263200 chr8:46263200:C:A rs143842173 C A . EBF1_EBF_1 -5 0 + 0 0 . chr8 46274029 46274030 chr8:46274030:G:A chr8:46274030:G:A G A . EBF1_EBF_1 24 0 - 0 0 . chr8 46275467 46275468 chr8:46275468:G:A rs1445340193 G A . EBF1_EBF_1 0 1 + 6.7852697774401705 8.677553647005002 GTTCCCCAGAGGCT chr8 46276152 46276153 chr8:46276153:G:A rs75029639 G A . EBF1_EBF_1 -16 0 - 0 0 . chr8 46276686 46276687 chr8:46276687:G:A rs145961990 G A . EBF1_EBF_1 -12 0 + 0 0 . chr8 46277012 46277013 chr8:46277013:T:G rs1874740 T G . EBF1_EBF_1 7 1 + 4.319131041726073 3.4829468765248057 GCTGCCCTGGGAAC chr8 46285446 46285447 chr8:46285447:G:A rs114018283 G A . EBF1_EBF_1 -5 0 - 0 0 . chr8 46285460 46285461 chr8:46285461:T:A rs116414932 T A . EBF1_EBF_1 -19 0 - 0 0 . chr8 46285822 46285823 chr8:46285823:G:A rs13267355 G A . EBF1_EBF_1 31 0 + 0 0 . chr8 46286525 46286526 chr8:46286526:C:A rs376816229 C A . EBF1_EBF_1 9 1 - 4.40522862142845 -2.4317607866603597 ATCCCACAGGGATT chr8 46327310 46327311 chr8:46327311:A:G rs10866888 A G . EBF1_EBF_1 -18 0 + 0 0 . chr8 46327323 46327324 chr8:46327324:G:A rs28656085 G A . EBF1_EBF_1 -5 0 + 0 0 . chr8 46329498 46329499 chr8:46329499:C:T rs116470073 C T . EBF1_EBF_1 9 1 - 3.8954180568699472 1.2404787914223439 AGCCCCCAGGCACC chr8 46330129 46330130 chr8:46330130:G:A rs7016129 G A . EBF1_EBF_1 -14 0 + 0 0 . chr8 46330140 46330141 chr8:46330141:G:T rs7016133 G T . EBF1_EBF_1 -3 0 + 0 0 . chr8 46334348 46334349 chr8:46334349:T:C rs359818 T C . EBF1_EBF_1 2 1 + 6.206723107523735 4.317899696081585 AGTCCCAGGAGGAC chr8 46334424 46334425 chr8:46334425:C:A rs78423891 C A . EBF1_EBF_1 -16 0 + 0 0 . chr8 46340620 46340621 chr8:46340621:C:T rs1304237453 C T . EBF1_EBF_1 -9 0 + 0 0 . chr8 46378131 46378132 chr8:46378132:G:A rs146276670 G A . EBF1_EBF_1 30 0 - 0 0 . chr8 46380464 46380465 chr8:46380465:T:C rs1463987608 T C . EBF1_EBF_1 17 0 + 0 0 . chr8 46380467 46380468 chr8:46380468:C:G rs1399541091 C G . EBF1_EBF_1 20 0 + 0 0 . chr8 46382461 46382462 chr8:46382462:G:A rs73574573 G A . EBF1_EBF_1 32 0 + 0 0 . chr8 46385558 46385559 chr8:46385559:G:A rs56117567 G A . EBF1_EBF_1 22 0 + 0 0 . chr8 46391645 46391646 chr8:46391646:C:A rs114283022 C A . EBF1_EBF_1 4 1 + 3.855921154440768 -1.9686384690126992 AGCCCCCAGGGCTG chr8 46401317 46401318 chr8:46401318:C:A rs148490593 C A . EBF1_EBF_1 9 1 - 8.251882174045162 1.414892765956353 ATCCCCTAGGGCAT chr8 46408067 46408068 chr8:46408068:G:C rs950263681 G C . EBF1_EBF_1 22 0 + 0 0 . chr8 46408761 46408762 chr8:46408762:C:T rs573934661 C T . EBF1_EBF_1 -12 0 - 0 0 . chr8 46411837 46411838 chr8:46411838:C:A rs12676739 C A . EBF1_EBF_1 28 0 + 0 0 . chr8 46415471 46415472 chr8:46415472:T:C rs73576502 T C . EBF1_EBF_1 21 0 + 0 0 . chr8 46418032 46418033 chr8:46418033:G:T rs10093554 G T . EBF1_EBF_1 10 1 + 6.677709455442675 2.4949572692154103 TCTCCCAAAGGAAC chr8 46426925 46426926 chr8:46426926:A:C rs72641018 A C . EBF1_EBF_1 22 0 + 0 0 . chr8 46443762 46443763 chr8:46443763:T:C rs8188528 T C . EBF1_EBF_1 -11 0 + 0 0 . chr8 46443787 46443788 chr8:46443788:C:T rs115410759 C T . EBF1_EBF_1 14 0 + 0 0 . chr8 46447191 46447192 chr8:46447192:C:T rs58015860 C T . EBF1_EBF_1 22 0 - 0 0 . chr8 46646469 46646470 chr8:46646470:C:A rs17607451 C A C EBF1_EBF_1 21 0 + 0 0 . chr8 46917897 46917898 chr8:46917898:G:T rs879410616 G T G EBF1_EBF_1 -10 0 + 0 0 . chr8 46917912 46917913 chr8:46917913:C:T chr8:46917913:C:T C T C EBF1_EBF_1 5 1 + 8.494668348796607 3.1728811332559363 CGTCCCATGAGACT chr8 46921450 46921451 chr8:46921451:G:A rs11136248 G A G EBF1_EBF_1 19 0 + 0 0 . chr8 46955847 46955848 chr8:46955848:C:G rs61279523 C G C EBF1_EBF_1 27 0 + 0 0 . chr8 47189539 47189540 chr8:47189540:G:A rs527625608 G A G EBF1_EBF_1 11 1 - 5.023281605347309 5.341329047100973 CTTCCCCGGGGCCA chr8 47198799 47198800 chr8:47198800:C:T rs75025011 C T C EBF1_EBF_1 8 1 - 5.916575279114977 1.6902851902287184 ACTCCCAAGGGCTG chr8 47431601 47431602 chr8:47431602:G:C rs917723239 G C G EBF1_EBF_1 22 0 - 0 0 . chr8 47669116 47669117 chr8:47669117:A:T rs117583309 A T A EBF1_EBF_1 -17 0 + 0 0 . chr8 47719867 47719868 chr8:47719868:C:T rs1872840 C T c EBF1_EBF_1 -2 0 + 0 0 . chr8 47727413 47727414 chr8:47727414:T:C rs10087517 T C C EBF1_EBF_1 29 0 + 0 0 . chr8 47737801 47737802 chr8:47737802:G:T chr8:47737802:G:T G T g EBF1_EBF_1 0 1 - 3.9400121514246313 6.195270635121232 CGCCCCTTGGGCCC chr8 47737807 47737808 chr8:47737808:G:A rs766735701 G A g EBF1_EBF_1 -6 0 - 0 0 . chr8 47737814 47737815 chr8:47737815:G:C chr8:47737815:G:C G C g EBF1_EBF_1 -13 0 - 0 0 . chr8 47737814 47737815 chr8:47737815:G:T rs139462088 G T g EBF1_EBF_1 -13 0 - 0 0 . chr8 47738328 47738329 chr8:47738329:T:C rs370468841 T C C EBF1_EBF_1 -2 0 + 0 0 . chr8 47738346 47738347 chr8:47738347:T:C rs1451566039 T C T EBF1_EBF_1 16 0 + 0 0 . chr8 47765084 47765085 chr8:47765085:G:T rs73677550 G T G EBF1_EBF_1 22 0 - 0 0 . chr8 47765107 47765108 chr8:47765108:T:C rs77100011 T C T EBF1_EBF_1 -1 0 - 0 0 . chr8 47765643 47765644 chr8:47765644:G:A rs7830633 G A G EBF1_EBF_1 15 0 - 0 0 . chr8 48008331 48008332 chr8:48008332:G:A rs541750888 G A G EBF1_EBF_1 5 1 - 4.556380271586922 -0.7654069439537476 CGACCCGAGGGACA chr8 48008344 48008345 chr8:48008345:C:T rs371137115 C T C EBF1_EBF_1 -8 0 - 0 0 . chr8 48172929 48172930 chr8:48172930:T:C rs35088698 T C C EBF1_EBF_1 6 1 - 6.131180450805823 3.964386019531349 ACCCCCATGGGGAG chr8 48172950 48172951 chr8:48172951:G:A rs35578152 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 48227939 48227940 chr8:48227940:G:A rs77193645 G A G EBF1_EBF_1 19 0 + 0 0 . chr8 48254001 48254002 chr8:48254002:G:T rs1022933416 G T G EBF1_EBF_1 20 0 - 0 0 . chr8 48260942 48260943 chr8:48260943:G:A rs78483123 G A A EBF1_EBF_1 27 0 - 0 0 . chr8 48307099 48307100 chr8:48307100:C:T rs143133247 C T C EBF1_EBF_1 9 1 - 4.6442628342098455 1.9893235687622424 GGTCCCCTCGGAAA chr8 48319593 48319594 chr8:48319594:T:C rs10111777 T C T EBF1_EBF_1 -19 0 - 0 0 . chr8 48320034 48320035 chr8:48320035:G:A rs184772471 G A G EBF1_EBF_1 18 0 - 0 0 . chr8 48406642 48406643 chr8:48406643:A:T rs7816648 A T T EBF1_EBF_1 17 0 - 0 0 . chr8 48406643 48406644 chr8:48406644:A:T rs7816649 A T T EBF1_EBF_1 16 0 - 0 0 . chr8 48424129 48424130 chr8:48424130:A:C rs3938078 A C A EBF1_EBF_1 26 0 - 0 0 . chr8 48431573 48431574 chr8:48431574:C:G rs72639025 C G C EBF1_EBF_1 -11 0 - 0 0 . chr8 48431854 48431855 chr8:48431855:C:T rs7835066 C T C EBF1_EBF_1 2 1 + 4.924299540214962 6.813122951657112 AACCCCATGGGTGC chr8 48434726 48434727 chr8:48434727:G:A rs113680424 G A G EBF1_EBF_1 -4 0 + 0 0 . chr8 48434734 48434735 chr8:48434735:G:A rs76597124 G A G EBF1_EBF_1 4 1 + 3.922893933501345 3.724099411197421 GCCCGCCAGGGACT chr8 48501819 48501820 chr8:48501820:C:T rs190768093 C T C EBF1_EBF_1 -3 0 - 0 0 . chr8 48501826 48501827 chr8:48501827:C:T rs1502648 C T C EBF1_EBF_1 -10 0 - 0 0 . chr8 48518123 48518124 chr8:48518124:C:T rs10087276 C T T EBF1_EBF_1 4 1 + 6.067175085600828 1.6977881406559048 CATCCCGTGAGACT chr8 48555130 48555131 chr8:48555131:A:C rs79886072 A C A EBF1_EBF_1 2 1 - 12.111526751786378 5.363201061585911 ACTCCCCAGGGACA chr8 48584163 48584164 chr8:48584164:G:A rs1350167167 G A G EBF1_EBF_1 1 1 - 5.486881323144855 6.382314763543601 CCTCTCCAGGGACA chr8 48590843 48590844 chr8:48590844:G:C chr8:48590844:G:C G C G EBF1_EBF_1 5 1 - 5.642625910719464 -1.2622552419157043 GACCCCCTGGGATG chr8 48649435 48649436 chr8:48649436:C:A rs142895277 C A C EBF1_EBF_1 20 0 - 0 0 . chr8 48649452 48649453 chr8:48649453:G:A rs16938333 G A G EBF1_EBF_1 3 1 - 7.642539547138545 1.237140805585227 TTCCCCCTGGGATA chr8 48673813 48673814 chr8:48673814:G:A rs112461103 G A G EBF1_EBF_1 -5 0 - 0 0 . chr8 48786852 48786853 chr8:48786853:T:G rs73680713 T G T EBF1_EBF_1 -12 0 + 0 0 . chr8 48878300 48878301 chr8:48878301:G:A rs76415857 G A g EBF1_EBF_1 -5 0 - 0 0 . chr8 48878313 48878314 chr8:48878314:T:C rs80283638 T C t EBF1_EBF_1 -18 0 - 0 0 . chr8 48904049 48904050 chr8:48904050:G:C rs183648748 G C G EBF1_EBF_1 8 1 + 7.93777657866079 2.619117717746103 GCTCCCGTGGGACA chr8 48921984 48921985 chr8:48921985:G:C rs186566372 G C G EBF1_EBF_1 -13 0 - 0 0 . chr8 48921984 48921985 chr8:48921985:G:T chr8:48921985:G:T G T G EBF1_EBF_1 -13 0 - 0 0 . chr8 48950874 48950875 chr8:48950875:A:G rs73680742 A G a EBF1_EBF_1 24 0 + 0 0 . chr8 48976430 48976431 chr8:48976431:G:A rs533243984 G A G EBF1_EBF_1 13 1 - 4.735568250359938 5.580062364945456 GTTCCCCAGAGTCC chr8 49175190 49175191 chr8:49175191:T:C rs2726714 T C C EBF1_EBF_1 4 1 - 5.640296508438697 5.83909103074262 ACTCACCTGGGAGT chr8 49198898 49198899 chr8:49198899:A:G rs4307358 A G A EBF1_EBF_1 -20 0 + 0 0 . chr8 49209779 49209780 chr8:49209780:A:G rs7819118 A G G EBF1_EBF_1 10 1 - 8.196158091943062 5.48810789084085 ACTCCCAGGGTACT chr8 49274826 49274827 chr8:49274827:C:A rs36057495 C A C EBF1_EBF_1 1 1 + 6.464473954751904 6.393546777399818 TCTCCCCTGGGCCT chr8 49321281 49321282 chr8:49321282:T:A rs1125482 T A T EBF1_EBF_1 24 0 - 0 0 . chr8 49401671 49401672 chr8:49401672:G:A rs564376237 G A G EBF1_EBF_1 3 1 - 6.414301522007607 0.008902780454289508 TCTCCCCAGGGTCC chr8 49622474 49622475 chr8:49622475:G:C rs7830009 G C G EBF1_EBF_1 -18 0 + 0 0 . chr8 49658681 49658682 chr8:49658682:C:T rs188175112 C T C EBF1_EBF_1 -9 0 + 0 0 . chr8 49909827 49909828 chr8:49909828:T:G chr8:49909828:T:G T G T EBF1_EBF_1 -3 0 + 0 0 . chr8 49959223 49959224 chr8:49959224:C:T rs10957710 C T C EBF1_EBF_1 21 0 - 0 0 . chr8 49967105 49967106 chr8:49967106:G:A rs2220157 G A G EBF1_EBF_1 12 1 + 6.103486273531804 7.522303615631281 TGTCCCAAGGGCGT chr8 50069892 50069893 chr8:50069893:C:T chr8:50069893:C:T C T C EBF1_EBF_1 -3 0 + 0 0 . chr8 50115949 50115950 chr8:50115950:G:A rs117818603 G A G EBF1_EBF_1 9 1 + 5.31260785237004 2.657668586922437 AGTCCCAAGGTCAT chr8 50213053 50213054 chr8:50213054:A:G rs112858380 A G A EBF1_EBF_1 0 1 + 7.297358123284487 5.405074253719655 ATTCCCTTGAGTCT chr8 50479068 50479069 chr8:50479069:A:T rs7830079 A T A EBF1_EBF_1 -19 0 + 0 0 . chr8 50490720 50490721 chr8:50490721:T:C rs13248847 T C c EBF1_EBF_1 -16 0 - 0 0 . chr8 50679969 50679970 chr8:50679970:C:G rs10429378 C G G EBF1_EBF_1 -10 0 + 0 0 . chr8 50809162 50809163 chr8:50809163:A:G rs10112047 A G A EBF1_EBF_1 7 1 + 8.145859037565764 6.833400632276937 GGTCCCAAGAGAAA chr8 50934858 50934859 chr8:50934859:G:A rs55905850 G A A EBF1_EBF_1 -20 0 - 0 0 . chr8 51174941 51174942 chr8:51174942:T:C rs62506834 T C T EBF1_EBF_1 2 1 + 5.17902103115572 3.2901976197135703 CATCACCAGGGACT chr8 51396340 51396341 chr8:51396341:G:A rs6997365 G A G EBF1_EBF_1 -11 0 + 0 0 . chr8 51502859 51502860 chr8:51502860:T:C rs10755971 T C C EBF1_EBF_1 -9 0 - 0 0 . chr8 51531533 51531534 chr8:51531534:C:T rs7817176 C T T EBF1_EBF_1 14 0 - 0 0 . chr8 51537144 51537145 chr8:51537145:A:C rs78775797 A C A EBF1_EBF_1 31 0 - 0 0 . chr8 51686290 51686291 chr8:51686291:G:C rs375187291 G C G EBF1_EBF_1 3 1 - 5.698156206523349 -0.1296082415918678 TATCTCCAGGGACA chr8 51695919 51695920 chr8:51695920:C:T rs16916741 C T C EBF1_EBF_1 16 0 - 0 0 . chr8 51695934 51695935 chr8:51695935:A:G rs35195931 A G A EBF1_EBF_1 1 1 - 5.606109226866531 4.710675786467785 GTTCCCCGAGGAAA chr8 51695949 51695950 chr8:51695950:C:T rs34342438 C T T EBF1_EBF_1 -14 0 - 0 0 . chr8 51752908 51752909 chr8:51752909:T:G rs7001968 T G G EBF1_EBF_1 7 1 + 5.195785882154881 4.359601716953613 ATACCCATGGGCAC chr8 51898600 51898601 chr8:51898601:G:C rs868144131 G C G EBF1_EBF_1 -18 0 + 0 0 . chr8 51898652 51898653 chr8:51898653:G:C rs998709 G C G EBF1_EBF_1 10 1 + 3.671459681744503 -3.219342705584972 CGGCCCCAGGGACC chr8 51898655 51898656 chr8:51898656:C:A rs142084927 C A C EBF1_EBF_1 13 1 + 3.671459681744503 3.2977142324585276 CGGCCCCAGGGACC chr8 51898658 51898659 chr8:51898659:G:A rs535475936 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 52204466 52204467 chr8:52204467:G:A rs62501007 G A A EBF1_EBF_1 17 0 + 0 0 . chr8 52222466 52222467 chr8:52222467:T:C rs181652880 T C T EBF1_EBF_1 7 1 - 8.248740192740936 6.936281787452109 CTTCCCCAGGGAGG chr8 52244110 52244111 chr8:52244111:G:A rs17313558 G A G EBF1_EBF_1 28 0 + 0 0 . chr8 52267752 52267753 chr8:52267753:C:A rs6987920 C A C EBF1_EBF_1 -7 0 + 0 0 . chr8 52294445 52294446 chr8:52294446:A:G rs60548059 A G G EBF1_EBF_1 -9 0 + 0 0 . chr8 52294469 52294470 chr8:52294470:C:G rs9650307 C G G EBF1_EBF_1 15 0 + 0 0 . chr8 52402555 52402556 chr8:52402556:G:A rs13274256 G A g EBF1_EBF_1 18 0 + 0 0 . chr8 52480370 52480371 chr8:52480371:A:G rs4873650 A G G EBF1_EBF_1 -15 0 - 0 0 . chr8 52825557 52825558 chr8:52825558:G:A rs192167317 G A G EBF1_EBF_1 -20 0 - 0 0 . chr8 52838313 52838314 chr8:52838314:C:T rs4873228 C T C EBF1_EBF_1 8 1 - 6.59406204115609 2.367771952269831 ACTCCCCAGAGGAA chr8 52942287 52942288 chr8:52942288:T:C rs72648403 T C C EBF1_EBF_1 14 0 + 0 0 . chr8 53025590 53025591 chr8:53025591:T:A rs1373386 T A A EBF1_EBF_1 -6 0 + 0 0 . chr8 53086785 53086786 chr8:53086786:C:G rs145033472 C G C EBF1_EBF_1 -20 0 - 0 0 . chr8 53206808 53206809 chr8:53206809:G:A rs79774188 G A A EBF1_EBF_1 0 1 - 5.828298804637877 6.110500865368455 CGTGCCAAGGGAAT chr8 53268354 53268355 chr8:53268355:A:G rs6993747 A G G EBF1_EBF_1 19 0 - 0 0 . chr8 53328217 53328218 chr8:53328218:C:T rs559064421 C T C EBF1_EBF_1 -11 0 + 0 0 . chr8 53328229 53328230 chr8:53328230:G:A rs568462769 G A G EBF1_EBF_1 1 1 + 4.864828885861832 4.60413005394053 AGCCCCCAGAGGCA chr8 53328242 53328243 chr8:53328243:C:T rs78128883 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 53492527 53492528 chr8:53492528:T:G rs899107 T G G EBF1_EBF_1 26 0 + 0 0 . chr8 53533704 53533705 chr8:53533705:T:C rs10958372 T C T EBF1_EBF_1 -11 0 - 0 0 . chr8 53537334 53537335 chr8:53537335:T:G rs16922709 T G G EBF1_EBF_1 -11 0 - 0 0 . chr8 53558810 53558811 chr8:53558811:G:A rs767894137 G A g EBF1_EBF_1 23 0 + 0 0 . chr8 53559838 53559839 chr8:53559839:C:T rs4873255 C T C EBF1_EBF_1 -18 0 - 0 0 . chr8 53674175 53674176 chr8:53674176:G:T rs13249607 G T T EBF1_EBF_1 15 0 + 0 0 . chr8 53716341 53716342 chr8:53716342:C:G rs958268 C G C EBF1_EBF_1 -6 0 - 0 0 . chr8 53880674 53880675 chr8:53880675:G:C rs114867235 G C G EBF1_EBF_1 24 0 - 0 0 . chr8 53882449 53882450 chr8:53882450:T:A rs113647945 T A T EBF1_EBF_1 11 1 - 9.090447520869112 4.992533264770388 GCTCCCCTGGGATC chr8 53884641 53884642 chr8:53884642:A:G rs77006324 A G A EBF1_EBF_1 -14 0 + 0 0 . chr8 54015936 54015937 chr8:54015937:T:C rs114284778 T C T EBF1_EBF_1 -5 0 + 0 0 . chr8 54035458 54035459 chr8:54035459:G:A rs4440612 G A A EBF1_EBF_1 5 1 - 5.975058511260769 0.6532712957200995 ATTCCCGTGGGTTC chr8 54106580 54106581 chr8:54106581:G:A rs572694585 G A G EBF1_EBF_1 -18 0 - 0 0 . chr8 54159274 54159275 chr8:54159275:A:G rs68108832 A G A EBF1_EBF_1 0 1 + 5.301513823090907 3.409229953526073 AGCCCCTGGGAACT chr8 54175487 54175488 chr8:54175488:T:C rs443599 T C C EBF1_EBF_1 6 1 - 5.722972693509676 3.5561782622352007 ACTCCCAAGCGGCT chr8 54201803 54201804 chr8:54201804:T:C rs311408 T C C EBF1_EBF_1 33 0 - 0 0 . chr8 54244960 54244961 chr8:54244961:C:T rs312106 C T C EBF1_EBF_1 20 0 + 0 0 . chr8 54289726 54289727 chr8:54289727:G:A rs76294887 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 54328644 54328645 chr8:54328645:A:G rs2218677 A G G EBF1_EBF_1 14 0 + 0 0 . chr8 54343581 54343582 chr8:54343582:G:C rs2719359 G C G EBF1_EBF_1 10 1 + 4.211310604008308 -2.6794917833211667 ACTCCCGTGTGACT chr8 54362325 54362326 chr8:54362326:A:T rs2953973 A T A EBF1_EBF_1 1 1 + 7.359597538167447 8.325958155918276 AATCCCTGGAGAGC chr8 54362330 54362331 chr8:54362331:T:G rs2938703 T G T EBF1_EBF_1 6 1 + 7.359597538167447 5.253119345832492 AATCCCTGGAGAGC chr8 54458911 54458912 chr8:54458912:C:A rs73679444 C A C EBF1_EBF_1 27 0 + 0 0 . chr8 54458917 54458918 chr8:54458918:T:C rs12545485 T C C EBF1_EBF_1 33 0 + 0 0 . chr8 54469645 54469646 chr8:54469646:T:C rs10102604 T C T EBF1_EBF_1 27 0 + 0 0 . chr8 54896286 54896287 chr8:54896287:A:T rs2087961 A T A EBF1_EBF_1 -7 0 + 0 0 . chr8 55065644 55065645 chr8:55065645:A:G rs78131151 A G A EBF1_EBF_1 -13 0 - 0 0 . chr8 55098852 55098853 chr8:55098853:C:T rs12676778 C T T EBF1_EBF_1 18 0 + 0 0 . chr8 55101451 55101452 chr8:55101452:C:T rs955883927 C T C EBF1_EBF_1 8 1 + 4.3234734331052875 2.7506995050427774 GCTCCCAGCGGACC chr8 55118275 55118276 chr8:55118276:T:G rs1480775 T G G EBF1_EBF_1 19 0 - 0 0 . chr8 55193974 55193975 chr8:55193975:G:A rs4416803 G A G EBF1_EBF_1 4 1 - 7.569335087861063 3.1999481429161407 GGCCCCAGGGGACA chr8 55237895 55237896 chr8:55237896:A:G rs28479953 A G A EBF1_EBF_1 0 1 + 5.814132177221505 3.9218483076566715 ATCCCCAGGGTAGT chr8 55271330 55271331 chr8:55271331:C:A rs76835570 C A C EBF1_EBF_1 30 0 - 0 0 . chr8 55286499 55286500 chr8:55286500:G:A rs62519088 G A G EBF1_EBF_1 3 1 - 5.08650395594559 -1.3188947856077269 ATCCCCTAGGAAGC chr8 55286500 55286501 chr8:55286501:G:C rs113295895 G C G EBF1_EBF_1 2 1 - 5.08650395594559 0.22700167718727254 ATCCCCTAGGAAGC chr8 55286517 55286518 chr8:55286518:A:G rs4330670 A G A EBF1_EBF_1 -15 0 - 0 0 . chr8 55311296 55311297 chr8:55311297:A:G rs6998105 A G A EBF1_EBF_1 -3 0 + 0 0 . chr8 55315512 55315513 chr8:55315513:G:A rs7008751 G A A EBF1_EBF_1 24 0 + 0 0 . chr8 55450597 55450598 chr8:55450598:C:T rs6473987 C T C EBF1_EBF_1 1 1 + 4.599400170431445 5.49483361083019 ACTCCATGGGGAAC chr8 55453017 55453018 chr8:55453018:T:C rs867531 T C C EBF1_EBF_1 22 0 - 0 0 . chr8 55453051 55453052 chr8:55453052:A:G rs76089029 A G A EBF1_EBF_1 -12 0 - 0 0 . chr8 55458409 55458410 chr8:55458410:A:G rs2135543 A G G EBF1_EBF_1 -12 0 - 0 0 . chr8 55458416 55458417 chr8:55458417:A:G rs2135542 A G A EBF1_EBF_1 -19 0 - 0 0 . chr8 55499613 55499614 chr8:55499614:T:C rs10504193 T C T EBF1_EBF_1 13 1 - 6.934480671015658 5.820155098946219 AGTCCCAGGGGCCA chr8 55533999 55534000 chr8:55534000:A:G rs76532562 A G G EBF1_EBF_1 32 0 + 0 0 . chr8 55579543 55579544 chr8:55579544:G:A rs35034515 G A G EBF1_EBF_1 3 1 - 5.184522722045261 -1.2208760195080568 TGTCCCCAGAGGAC chr8 55781608 55781609 chr8:55781609:C:T rs112238006 C T C EBF1_EBF_1 26 0 - 0 0 . chr8 55877396 55877397 chr8:55877397:C:T rs11996440 C T C EBF1_EBF_1 10 1 - 4.834572256106703 -0.48345620316026294 GCCCCCAAGCGACT chr8 55878684 55878685 chr8:55878685:C:T rs2271400 C T T EBF1_EBF_1 -10 0 - 0 0 . chr8 55919632 55919633 chr8:55919633:A:C rs879583654 A C A EBF1_EBF_1 13 1 - 6.014958968491817 3.6823938325508845 GTTCCCACGGGCAT chr8 55919786 55919787 chr8:55919787:T:C rs1225566525 T C T EBF1_EBF_1 -16 0 - 0 0 . chr8 55955179 55955180 chr8:55955180:C:T rs7827942 C T C EBF1_EBF_1 10 1 - 4.781497969529524 -0.5365304897374423 GATCCCAGGGGCCA chr8 55960987 55960988 chr8:55960988:T:C rs2668021 T C C EBF1_EBF_1 24 0 + 0 0 . chr8 55971021 55971022 chr8:55971022:C:T rs2719244 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 55990836 55990837 chr8:55990837:C:T rs78891183 C T C EBF1_EBF_1 24 0 + 0 0 . chr8 55990882 55990883 chr8:55990883:G:A rs4061077 G A A EBF1_EBF_1 -12 0 + 0 0 . chr8 56018754 56018755 chr8:56018755:G:A rs113341219 G A G EBF1_EBF_1 0 1 + 4.4779748767821985 6.370258746347033 GGCCCCCAGGGCCC chr8 56034043 56034044 chr8:56034044:G:A rs2719250 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 56034082 56034083 chr8:56034083:A:G rs2719249 A G A EBF1_EBF_1 13 1 + 4.811124096797092 3.6967985247276527 ACTCCCGGGGTACA chr8 56075191 56075192 chr8:56075192:T:C rs115823202 T C T EBF1_EBF_1 -9 0 + 0 0 . chr8 56076570 56076571 chr8:56076571:T:C rs17814658 T C T EBF1_EBF_1 -16 0 - 0 0 . chr8 56275302 56275303 chr8:56275303:G:T rs143071227 G T G EBF1_EBF_1 29 0 + 0 0 . chr8 56373611 56373612 chr8:56373612:G:A rs10283127 G A A EBF1_EBF_1 -15 0 - 0 0 . chr8 56393293 56393294 chr8:56393294:G:A rs73604215 G A A EBF1_EBF_1 19 0 - 0 0 . chr8 56448053 56448054 chr8:56448054:C:T rs149798879 C T C EBF1_EBF_1 8 1 - 5.298613450677892 1.0723233617916306 AGCCCCGCGGGAGC chr8 56449010 56449011 chr8:56449011:T:C rs113055877 T C T EBF1_EBF_1 16 0 + 0 0 . chr8 56501937 56501938 chr8:56501938:T:A rs1837614 T A A EBF1_EBF_1 17 0 + 0 0 . chr8 56511211 56511212 chr8:56511212:C:T rs16920670 C T C EBF1_EBF_1 26 0 + 0 0 . chr8 56518946 56518947 chr8:56518947:C:G rs2292514 C G C EBF1_EBF_1 -16 0 - 0 0 . chr8 56674170 56674171 chr8:56674171:C:T rs10102665 C T c EBF1_EBF_1 8 1 - 4.709277581066973 0.4829874921807125 GTTTCCCAGGGACA chr8 56723063 56723064 chr8:56723064:T:A rs11776610 T A T EBF1_EBF_1 2 1 + 5.912314265400455 2.227846677801579 AATCCCACAGGAAA chr8 57030763 57030764 chr8:57030764:A:G rs538872294 A G A EBF1_EBF_1 -8 0 + 0 0 . chr8 57055993 57055994 chr8:57055994:A:G rs72647078 A G . EBF1_EBF_1 26 0 - 0 0 . chr8 57055999 57056000 chr8:57056000:T:C rs72647079 T C . EBF1_EBF_1 20 0 - 0 0 . chr8 57143401 57143402 chr8:57143402:C:T rs79097368 C T C EBF1_EBF_1 -7 0 + 0 0 . chr8 57189696 57189697 chr8:57189697:C:T rs870518 C T T EBF1_EBF_1 -19 0 + 0 0 . chr8 57191237 57191238 chr8:57191238:G:T rs10092993 G T G EBF1_EBF_1 8 1 + 6.949221324558076 0.05778853558088093 CATCCCAAGGGCCT chr8 57203585 57203586 chr8:57203586:A:G rs55645894 A G a EBF1_EBF_1 -15 0 - 0 0 . chr8 57210601 57210602 chr8:57210602:A:G rs982109914 A G A EBF1_EBF_1 26 0 - 0 0 . chr8 57210627 57210628 chr8:57210628:T:C rs62513463 T C N EBF1_EBF_1 0 1 - 5.312500059990056 3.420216190425222 ACCCCCATGAGATG chr8 57210720 57210721 chr8:57210721:C:T rs200820482 C T T EBF1_EBF_1 -7 0 - 0 0 . chr8 57210724 57210725 chr8:57210725:T:G rs60582150 T G T EBF1_EBF_1 -11 0 - 0 0 . chr8 57214324 57214325 chr8:57214325:A:T rs72650827 A T A EBF1_EBF_1 29 0 - 0 0 . chr8 57214368 57214369 chr8:57214369:T:A rs6984434 T A A EBF1_EBF_1 -15 0 - 0 0 . chr8 57217936 57217937 chr8:57217937:T:A rs11783963 T A A EBF1_EBF_1 -12 0 + 0 0 . chr8 57217950 57217951 chr8:57217951:T:G rs11783965 T G G EBF1_EBF_1 2 1 + 5.406348464566945 -1.3419772256335223 TTTCCCGAAGGAAC chr8 57279080 57279081 chr8:57279081:A:G rs112284078 A G . EBF1_EBF_1 -2 0 - 0 0 . chr8 57279083 57279084 chr8:57279084:T:C rs76944716 T C . EBF1_EBF_1 -5 0 - 0 0 . chr8 57548581 57548582 chr8:57548582:T:C rs562441402 T C T EBF1_EBF_1 -19 0 - 0 0 . chr8 57559225 57559226 chr8:57559226:A:G rs61084449 A G G EBF1_EBF_1 -2 0 - 0 0 . chr8 57591630 57591631 chr8:57591631:C:T rs73681920 C T C EBF1_EBF_1 23 0 - 0 0 . chr8 57715792 57715793 chr8:57715793:T:A rs12677154 T A A EBF1_EBF_1 -10 0 - 0 0 . chr8 57751728 57751729 chr8:57751729:T:C rs9694511 T C C EBF1_EBF_1 -15 0 + 0 0 . chr8 57757452 57757453 chr8:57757453:A:G rs4291258 A G a EBF1_EBF_1 -6 0 - 0 0 . chr8 57859370 57859371 chr8:57859371:C:T rs34858433 C T C EBF1_EBF_1 30 0 - 0 0 . chr8 57861180 57861181 chr8:57861181:A:T rs1436012 A T T EBF1_EBF_1 -6 0 - 0 0 . chr8 57888110 57888111 chr8:57888111:T:G rs4738634 T G t EBF1_EBF_1 -3 0 + 0 0 . chr8 57912798 57912799 chr8:57912799:A:C rs950261379 A C A EBF1_EBF_1 -6 0 + 0 0 . chr8 57930975 57930976 chr8:57930976:T:G rs11774225 T G T EBF1_EBF_1 21 0 - 0 0 . chr8 57949482 57949483 chr8:57949483:C:T rs7462445 C T T EBF1_EBF_1 0 1 - 5.287638255081835 7.1799221246466685 GTTCCCCAGGTGAT chr8 57986237 57986238 chr8:57986238:A:T rs4430082 A T T EBF1_EBF_1 30 0 - 0 0 . chr8 58014240 58014241 chr8:58014241:G:A rs71519494 G A G EBF1_EBF_1 20 0 - 0 0 . chr8 58014406 58014407 chr8:58014407:C:T rs9792275 C T T EBF1_EBF_1 28 0 - 0 0 . chr8 58017073 58017074 chr8:58017074:G:A rs7841308 G A A EBF1_EBF_1 29 0 + 0 0 . chr8 58058003 58058004 chr8:58058004:G:A rs73236451 G A G EBF1_EBF_1 -1 0 - 0 0 . chr8 58172650 58172651 chr8:58172651:C:T rs72662425 C T C EBF1_EBF_1 -3 0 + 0 0 . chr8 58196253 58196254 chr8:58196254:G:T rs75442962 G T G EBF1_EBF_1 -14 0 - 0 0 . chr8 58359143 58359144 chr8:58359144:G:A rs55765158 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 58380265 58380266 chr8:58380266:T:C rs2326074 T C c EBF1_EBF_1 18 0 - 0 0 . chr8 58380269 58380270 chr8:58380270:G:A rs2875834 G A a EBF1_EBF_1 14 0 - 0 0 . chr8 58553101 58553102 chr8:58553102:T:C rs1024644850 T C T EBF1_EBF_1 32 0 - 0 0 . chr8 58553134 58553135 chr8:58553135:G:C rs748615514 G C G EBF1_EBF_1 -1 0 - 0 0 . chr8 58553144 58553145 chr8:58553145:G:A rs141751918 G A G EBF1_EBF_1 -11 0 - 0 0 . chr8 58553974 58553975 chr8:58553975:T:C rs576672338 T C T EBF1_EBF_1 28 0 + 0 0 . chr8 58568732 58568733 chr8:58568733:T:C rs10094910 T C T EBF1_EBF_1 18 0 + 0 0 . chr8 58658727 58658728 chr8:58658728:C:T rs973807 C T T EBF1_EBF_1 5 1 + 6.140487733938822 0.8187005183981536 AATGCCCTGGGAAC chr8 58658730 58658731 chr8:58658731:G:A rs866777808 G A G EBF1_EBF_1 8 1 + 6.140487733938822 1.914197645052561 AATGCCCTGGGAAC chr8 58659222 58659223 chr8:58659223:G:C rs919994165 G C G EBF1_EBF_1 28 0 + 0 0 . chr8 58673170 58673171 chr8:58673171:A:G rs957477430 A G A EBF1_EBF_1 18 0 + 0 0 . chr8 58767890 58767891 chr8:58767891:C:T rs373475840 C T C EBF1_EBF_1 17 0 + 0 0 . chr8 58789588 58789589 chr8:58789589:G:A rs11781882 G A g EBF1_EBF_1 6 1 + 5.7879248433025765 7.954719274577051 TTTCCCGTGGTACT chr8 59145656 59145657 chr8:59145657:C:A rs74795559 C A C EBF1_EBF_1 -10 0 + 0 0 . chr8 59216443 59216444 chr8:59216444:G:T rs4478560 G T G EBF1_EBF_1 30 0 - 0 0 . chr8 59226733 59226734 chr8:59226734:T:C rs80238806 T C T EBF1_EBF_1 11 1 + 4.482124903438704 4.164077461685039 TCTCCCACGGGTCC chr8 59237347 59237348 chr8:59237348:T:A rs78207660 T A T EBF1_EBF_1 0 1 - 8.478935165176638 6.505878742210615 AGTCCCCAAGGAAC chr8 59311837 59311838 chr8:59311838:A:T rs6984554 A T T EBF1_EBF_1 10 1 - 6.527287733491454 5.392011460451752 AGCCCCAGGGTAAT chr8 59381429 59381430 chr8:59381430:A:G rs11987803 A G G EBF1_EBF_1 19 0 - 0 0 . chr8 59616691 59616692 chr8:59616692:A:G rs570096243 A G A EBF1_EBF_1 -16 0 - 0 0 . chr8 59737761 59737762 chr8:59737762:A:G rs72655599 A G A EBF1_EBF_1 -11 0 - 0 0 . chr8 59745678 59745679 chr8:59745679:C:A rs1034519 C A C EBF1_EBF_1 -16 0 - 0 0 . chr8 59745701 59745702 chr8:59745702:C:A rs75523802 C A c EBF1_EBF_1 2 1 + 6.285080561386711 4.489436385229986 ACCCCCAAGAGGAT chr8 59783966 59783967 chr8:59783967:T:C rs1473594 T C C EBF1_EBF_1 -9 0 + 0 0 . chr8 59989893 59989894 chr8:59989894:G:A rs62511155 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 59989912 59989913 chr8:59989913:G:A rs80266905 G A G EBF1_EBF_1 14 0 - 0 0 . chr8 60064310 60064311 chr8:60064311:G:C rs639377 G C C EBF1_EBF_1 28 0 + 0 0 . chr8 60229355 60229356 chr8:60229356:C:T rs62511583 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 60277920 60277921 chr8:60277921:A:G rs12543911 A G A EBF1_EBF_1 -2 0 - 0 0 . chr8 60473466 60473467 chr8:60473467:G:A rs111628799 G A G EBF1_EBF_1 -19 0 + 0 0 . chr8 60517589 60517590 chr8:60517590:G:A rs13251160 G A G EBF1_EBF_1 10 1 + 3.8543014307291292 -1.4637270285378365 CACCCCCAGGGCCC chr8 60652087 60652088 chr8:60652088:A:C rs687351 A C C EBF1_EBF_1 16 0 - 0 0 . chr8 60652302 60652303 chr8:60652303:A:C rs193284352 A C A EBF1_EBF_1 32 0 - 0 0 . chr8 60652342 60652343 chr8:60652343:G:T rs586110 G T G EBF1_EBF_1 -8 0 - 0 0 . chr8 60693855 60693856 chr8:60693856:C:T rs6995545 C T C EBF1_EBF_1 -13 0 + 0 0 . chr8 60714202 60714203 chr8:60714203:C:T rs981280675 C T c EBF1_EBF_1 11 1 + 4.893105152797746 5.21115259455141 CATCCCCTGGGCCA chr8 60714215 60714216 chr8:60714216:G:A rs1055207222 G A g EBF1_EBF_1 24 0 + 0 0 . chr8 60736265 60736266 chr8:60736266:C:G rs16926444 C G C EBF1_EBF_1 8 1 - 4.81685410794148 -0.5018047529732051 AGCCACTTGGGAAC chr8 60836333 60836334 chr8:60836334:C:A rs7005873 C A A EBF1_EBF_1 4 1 + 6.076676864188226 0.2521172407347593 CTTCCCAGGGGTCC chr8 60902482 60902483 chr8:60902483:G:A rs16926569 G A G EBF1_EBF_1 21 0 + 0 0 . chr8 60922530 60922531 chr8:60922531:G:A rs56106709 G A G EBF1_EBF_1 -7 0 - 0 0 . chr8 60940452 60940453 chr8:60940453:G:A rs11785002 G A G EBF1_EBF_1 -8 0 - 0 0 . chr8 60948479 60948480 chr8:60948480:C:T rs66624860 C T C EBF1_EBF_1 30 0 - 0 0 . chr8 60949100 60949101 chr8:60949101:G:A rs58753881 G A G EBF1_EBF_1 6 1 - 7.029650293391974 7.330936422253731 AGTCCCCCAGGAAT chr8 60949548 60949549 chr8:60949549:C:T rs13278731 C T T EBF1_EBF_1 -6 0 - 0 0 . chr8 61054788 61054789 chr8:61054789:T:G rs147119037 T G T EBF1_EBF_1 7 1 + 5.255186695287087 4.419002530085819 TCACCCATGGGAAG chr8 61091265 61091266 chr8:61091266:A:G rs10095015 A G A EBF1_EBF_1 -6 0 + 0 0 . chr8 61139516 61139517 chr8:61139517:T:C rs1367974 T C C EBF1_EBF_1 27 0 + 0 0 . chr8 61230935 61230936 chr8:61230936:G:C rs2931347 G C C EBF1_EBF_1 1 1 - 4.757229404347723 4.94700105891694 ACACCCCAGAGAGC chr8 61469044 61469045 chr8:61469045:G:A rs16927347 G A G EBF1_EBF_1 -19 0 + 0 0 . chr8 61554232 61554233 chr8:61554233:T:A rs13273044 T A A EBF1_EBF_1 -2 0 - 0 0 . chr8 61554236 61554237 chr8:61554237:T:C rs79322156 T C C EBF1_EBF_1 -6 0 - 0 0 . chr8 61659059 61659060 chr8:61659060:T:C rs72659032 T C T EBF1_EBF_1 11 1 - 8.580039159537428 5.687332315933418 TCTCCCACGGGACC chr8 61700125 61700126 chr8:61700126:T:C rs141826459 T C T EBF1_EBF_1 1 1 - 8.36660320036584 8.627302032287142 AATCCCCTGGGCCT chr8 61714386 61714387 chr8:61714387:G:A rs375532343 G A G EBF1_EBF_1 3 1 - 4.155103177995912 -2.2502955635574065 AGCCCCCGCGGACC chr8 61714402 61714403 chr8:61714403:C:T rs3829051 C T T EBF1_EBF_1 -13 0 - 0 0 . chr8 61733622 61733623 chr8:61733623:A:T rs371805097 A T A EBF1_EBF_1 -8 0 + 0 0 . chr8 61760182 61760183 chr8:61760183:G:T rs183179050 G T G EBF1_EBF_1 16 0 - 0 0 . chr8 61803743 61803744 chr8:61803744:G:C rs73682378 G C G EBF1_EBF_1 17 0 + 0 0 . chr8 61812787 61812788 chr8:61812788:C:G rs34037365 C G C EBF1_EBF_1 -9 0 - 0 0 . chr8 61927854 61927855 chr8:61927855:A:G rs80005598 A G A EBF1_EBF_1 28 0 - 0 0 . chr8 61927856 61927857 chr8:61927857:G:A rs78696275 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 61946672 61946673 chr8:61946673:T:C rs7834761 T C C EBF1_EBF_1 6 1 - 5.471439125820855 3.304644694546381 CTTCCCAGGAGGCT chr8 62024599 62024600 chr8:62024600:G:A rs344214 G A A EBF1_EBF_1 -13 0 + 0 0 . chr8 62165477 62165478 chr8:62165478:G:A rs116887757 G A G EBF1_EBF_1 18 0 - 0 0 . chr8 62247365 62247366 chr8:62247366:A:G rs78623508 A G A EBF1_EBF_1 33 0 - 0 0 . chr8 62503629 62503630 chr8:62503630:T:C rs1839870 T C C EBF1_EBF_1 2 1 + 5.480053595524902 3.591230184082752 CTTCCCCCAGGAAT chr8 62607399 62607400 chr8:62607400:G:T rs1351364 G T G EBF1_EBF_1 17 0 - 0 0 . chr8 62618127 62618128 chr8:62618128:C:T rs936614 C T C EBF1_EBF_1 5 1 + 5.046053697792394 -0.2757335177482766 TCTGCCAAGGGACC chr8 62731584 62731585 chr8:62731585:G:A rs2054523 G A A EBF1_EBF_1 6 1 - 5.177682687040523 5.478968815902281 TTCCCCCGGAGACA chr8 62736867 62736868 chr8:62736868:T:C rs1600539 T C C EBF1_EBF_1 -10 0 - 0 0 . chr8 62917078 62917079 chr8:62917079:C:T rs4513968 C T C EBF1_EBF_1 7 1 - 5.826434528235379 7.138892933524204 CATCCCAGAGGACT chr8 62946238 62946239 chr8:62946239:G:A rs1563327 G A G EBF1_EBF_1 -7 0 + 0 0 . chr8 62949621 62949622 chr8:62949622:T:A rs6472062 T A T EBF1_EBF_1 0 1 - 6.0633892951901505 4.0903328722241294 ATCCCCTGGAGAGA chr8 63089587 63089588 chr8:63089588:C:A rs190682041 C A C EBF1_EBF_1 20 0 - 0 0 . chr8 63146793 63146794 chr8:63146794:G:C rs9643383 G C g EBF1_EBF_1 -14 0 + 0 0 . chr8 63146818 63146819 chr8:63146819:A:G rs9643557 A G G EBF1_EBF_1 11 1 + 3.9080602318628967 1.015353388258885 GGTCCACAGGGAAC chr8 63220388 63220389 chr8:63220389:T:G rs1051231126 T G t EBF1_EBF_1 -7 0 - 0 0 . chr8 63285888 63285889 chr8:63285889:C:T rs11994501 C T T EBF1_EBF_1 -4 0 + 0 0 . chr8 63323633 63323634 chr8:63323634:C:T rs13249606 C T C EBF1_EBF_1 -4 0 + 0 0 . chr8 63531919 63531920 chr8:63531920:A:G rs77009638 A G A EBF1_EBF_1 27 0 + 0 0 . chr8 63571964 63571965 chr8:63571965:C:T rs72665521 C T C EBF1_EBF_1 9 1 - 7.290940835110843 4.6360015696632395 CTTCCCAAAGGAAC chr8 63646509 63646510 chr8:63646510:T:A rs117650189 T A T EBF1_EBF_1 11 1 - 4.2884577496856915 0.19054349358696576 CTTCCCCAGTGACC chr8 63701877 63701878 chr8:63701878:C:T rs1149476 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 63803644 63803645 chr8:63803645:C:G rs939738 C G G EBF1_EBF_1 7 1 + 5.452009056926569 6.433329638007925 GGTCCCTCGGTACT chr8 63922493 63922494 chr8:63922494:T:C rs12544222 T C C EBF1_EBF_1 2 1 + 5.764349311081846 3.8755258996396957 ATTCCCACAGGAAG chr8 64168822 64168823 chr8:64168823:C:G rs73244543 C G C EBF1_EBF_1 -10 0 + 0 0 . chr8 64233703 64233704 chr8:64233704:G:C rs182239707 G C G EBF1_EBF_1 1 1 + 6.447014897675825 6.257243243106607 AGCCTCCAGGGAAC chr8 64363646 64363647 chr8:64363647:G:T rs298193 G T T EBF1_EBF_1 5 1 - 4.797697251126664 -2.1071839015085043 AGCCCCATGGGCAG chr8 64378495 64378496 chr8:64378496:A:T rs192721 A T T EBF1_EBF_1 -13 0 - 0 0 . chr8 64623328 64623329 chr8:64623329:T:C rs34885537 T C T EBF1_EBF_1 -4 0 + 0 0 . chr8 64763733 64763734 chr8:64763734:C:A rs7826501 C A A EBF1_EBF_1 -10 0 - 0 0 . chr8 64872086 64872087 chr8:64872087:A:G rs6472158 A G G EBF1_EBF_1 -1 0 + 0 0 . chr8 64913913 64913914 chr8:64913914:G:A rs28496551 G A g EBF1_EBF_1 14 0 + 0 0 . chr8 65271808 65271809 chr8:65271809:T:C rs1473923 T C T EBF1_EBF_1 17 0 + 0 0 . chr8 65275045 65275046 chr8:65275046:A:G rs118185640 A G A EBF1_EBF_1 -9 0 + 0 0 . chr8 65297974 65297975 chr8:65297975:A:G rs6472191 A G G EBF1_EBF_1 23 0 - 0 0 . chr8 65335414 65335415 chr8:65335415:T:C rs16932011 T C T EBF1_EBF_1 31 0 + 0 0 . chr8 65387490 65387491 chr8:65387491:T:G rs1467160 T G G EBF1_EBF_1 -5 0 + 0 0 . chr8 65477740 65477741 chr8:65477741:C:T rs13279063 C T C EBF1_EBF_1 3 1 + 5.686809747670326 -0.7185889938829926 TCACCCATGGGATC chr8 65586292 65586293 chr8:65586293:C:T rs80217676 C T C EBF1_EBF_1 3 1 + 6.956884086984519 0.5514853454312014 ACCCTCATGGGACT chr8 65586318 65586319 chr8:65586319:C:T rs73690881 C T C EBF1_EBF_1 29 0 + 0 0 . chr8 65645195 65645196 chr8:65645196:C:T rs377662552 C T C EBF1_EBF_1 -5 0 - 0 0 . chr8 65789120 65789121 chr8:65789121:C:A chr8:65789121:C:A C A C EBF1_EBF_1 21 0 + 0 0 . chr8 65806634 65806635 chr8:65806635:C:T rs75113715 C T C EBF1_EBF_1 9 1 - 4.942852456476824 2.2879131910292196 GGTGCCAAGGGACA chr8 65863677 65863678 chr8:65863678:T:G rs80124093 T G T EBF1_EBF_1 21 0 + 0 0 . chr8 65877894 65877895 chr8:65877895:G:A rs55990851 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 65950289 65950290 chr8:65950290:A:G rs72650531 A G A EBF1_EBF_1 21 0 - 0 0 . chr8 65952245 65952246 chr8:65952246:G:A rs146586803 G A G EBF1_EBF_1 -17 0 + 0 0 . chr8 65989606 65989607 chr8:65989607:A:G rs9918852 A G G EBF1_EBF_1 32 0 + 0 0 . chr8 66026779 66026780 chr8:66026780:G:C rs981119408 G C G EBF1_EBF_1 22 0 + 0 0 . chr8 66032607 66032608 chr8:66032608:C:T rs78725254 C T C EBF1_EBF_1 -8 0 + 0 0 . chr8 66033414 66033415 chr8:66033415:G:A rs563253177 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 66037081 66037082 chr8:66037082:G:C chr8:66037082:G:C G C G EBF1_EBF_1 21 0 - 0 0 . chr8 66037102 66037103 chr8:66037103:A:G rs4549750 A G A EBF1_EBF_1 0 1 - 4.729118659924564 4.446916599193984 TTCCCCCAGGGCCA chr8 66044291 66044292 chr8:66044292:A:G rs7821284 A G G EBF1_EBF_1 28 0 - 0 0 . chr8 66046659 66046660 chr8:66046660:G:C rs11995443 G C C EBF1_EBF_1 32 0 - 0 0 . chr8 66080501 66080502 chr8:66080502:G:A rs7006209 G A G EBF1_EBF_1 1 1 + 6.029016836630779 5.768318004709477 TGTCCCCAGAGGAT chr8 66140965 66140966 chr8:66140966:A:G rs111251043 A G a EBF1_EBF_1 -14 0 - 0 0 . chr8 66336591 66336592 chr8:66336592:A:G rs28536124 A G A EBF1_EBF_1 13 1 + 6.444454853905009 5.33012928183557 TCCCCCGAGGGACA chr8 66345515 66345516 chr8:66345516:C:T rs2114487 C T C EBF1_EBF_1 1 1 + 5.325729948132141 6.221163388530886 TCTCCCCCGGGGCC chr8 66352193 66352194 chr8:66352194:G:T rs116681320 G T G EBF1_EBF_1 9 1 + 6.109500573022124 -0.7274888350666864 ACTCCCTTGGTGAT chr8 66428674 66428675 chr8:66428675:C:A rs372338481 C A C EBF1_EBF_1 -19 0 + 0 0 . chr8 66428674 66428675 chr8:66428675:C:G chr8:66428675:C:G C G C EBF1_EBF_1 -19 0 + 0 0 . chr8 66428724 66428725 chr8:66428725:T:C rs992082081 T C T EBF1_EBF_1 31 0 + 0 0 . chr8 66453434 66453435 chr8:66453435:G:A rs539393820 G A G EBF1_EBF_1 10 1 + 5.354749132630393 0.036720673363427936 CTTCCCTGGGGCAA chr8 66512617 66512618 chr8:66512618:C:G rs890552589 C G C EBF1_EBF_1 -2 0 - 0 0 . chr8 66533878 66533879 chr8:66533879:G:A rs115922719 G A G EBF1_EBF_1 7 1 + 3.064663062285206 4.377121467574032 CTGCCCCGGGGACC chr8 66612228 66612229 chr8:66612229:G:T rs73250241 G T T EBF1_EBF_1 5 1 - 5.685638661205031 -1.219242491430138 CCTCTCTTGGGACC chr8 66689086 66689087 chr8:66689087:C:T rs1237107990 C T C EBF1_EBF_1 -11 0 - 0 0 . chr8 66713907 66713908 chr8:66713908:A:G rs7839824 A G A EBF1_EBF_1 25 0 - 0 0 . chr8 66795504 66795505 chr8:66795505:T:G rs113710437 T G T EBF1_EBF_1 33 0 - 0 0 . chr8 66963125 66963126 chr8:66963126:C:T rs114974014 C T C EBF1_EBF_1 31 0 + 0 0 . chr8 67050099 67050100 chr8:67050100:C:T rs149969784 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 67084239 67084240 chr8:67084240:G:T rs186566284 G T G EBF1_EBF_1 21 0 - 0 0 . chr8 67289161 67289162 chr8:67289162:T:C rs28640047 T C C EBF1_EBF_1 19 0 + 0 0 . chr8 67534101 67534102 chr8:67534102:A:G rs7002272 A G A EBF1_EBF_1 15 0 - 0 0 . chr8 67727545 67727546 chr8:67727546:G:A rs2623843 G A A EBF1_EBF_1 8 1 + 4.978436735752363 0.7521466468661023 TCTCCCTCGGGCAT chr8 67877539 67877540 chr8:67877540:G:A rs10957403 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 67968139 67968140 chr8:67968140:C:T rs34148486 C T C EBF1_EBF_1 -13 0 + 0 0 . chr8 67968143 67968144 chr8:67968144:A:G rs111782016 A G A EBF1_EBF_1 -9 0 + 0 0 . chr8 68022412 68022413 chr8:68022413:A:T rs6999850 A T A EBF1_EBF_1 33 0 - 0 0 . chr8 68022443 68022444 chr8:68022444:T:C rs113689494 T C C EBF1_EBF_1 2 1 - 9.132272140756562 6.0684140381549705 ACACCCTAGGGAAC chr8 68057646 68057647 chr8:68057647:A:C rs7009963 A C A EBF1_EBF_1 -17 0 + 0 0 . chr8 68145873 68145874 chr8:68145874:G:T rs16934231 G T G EBF1_EBF_1 31 0 + 0 0 . chr8 68209550 68209551 chr8:68209551:G:C rs1404596 G C G EBF1_EBF_1 6 1 - 9.66816050691488 7.862968443441684 ATTCCCCTGGGGAA chr8 68229047 68229048 chr8:68229048:G:T rs13281136 G T G EBF1_EBF_1 -7 0 + 0 0 . chr8 68498868 68498869 chr8:68498869:C:T rs10108188 C T T EBF1_EBF_1 5 1 + 6.502540185367011 1.180752969826342 TCTCCCTGGAGAAA chr8 68556678 68556679 chr8:68556679:C:T rs10108264 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 68673020 68673021 chr8:68673021:A:G rs72666772 A G C EBF1_EBF_1 11 1 + 5.0318967541669055 2.1391899105628935 AATACCCTGGGACC chr8 68975635 68975636 chr8:68975636:C:T rs34733784 C T c EBF1_EBF_1 -19 0 + 0 0 . chr8 69029224 69029225 chr8:69029225:T:C rs7010538 T C C EBF1_EBF_1 6 1 + 6.4929749981489975 6.1916888692872405 CATCCCTGGAGACC chr8 69129583 69129584 chr8:69129584:C:T rs10104119 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 69191928 69191929 chr8:69191929:T:G rs9298146 T G T EBF1_EBF_1 24 0 + 0 0 . chr8 69220354 69220355 chr8:69220355:G:A rs705998 G A a EBF1_EBF_1 -3 0 + 0 0 . chr8 69220390 69220391 chr8:69220391:T:C rs931002 T C t EBF1_EBF_1 33 0 + 0 0 . chr8 69337143 69337144 chr8:69337144:A:G rs58793510 A G A EBF1_EBF_1 -4 0 + 0 0 . chr8 69381471 69381472 chr8:69381472:C:A rs151231309 C A C EBF1_EBF_1 -10 0 - 0 0 . chr8 69537139 69537140 chr8:69537140:A:C rs10957496 A C C EBF1_EBF_1 18 0 - 0 0 . chr8 69537144 69537145 chr8:69537145:G:T rs13262338 G T G EBF1_EBF_1 13 1 - 6.126435478675269 5.752690029389293 TCTCCCCAGGGCAC chr8 69537835 69537836 chr8:69537836:T:G rs6472463 T G T EBF1_EBF_1 15 0 + 0 0 . chr8 69605322 69605323 chr8:69605323:A:G rs6472468 A G G EBF1_EBF_1 18 0 + 0 0 . chr8 69644012 69644013 chr8:69644013:C:T rs56282920 C T C EBF1_EBF_1 -11 0 - 0 0 . chr8 69684257 69684258 chr8:69684258:G:A rs75731771 G A g EBF1_EBF_1 23 0 + 0 0 . chr8 69725955 69725956 chr8:69725956:A:C rs2959551 A C C EBF1_EBF_1 1 1 - 5.455814163394771 4.750152377565243 ATCCCCTCGAGAGC chr8 69748051 69748052 chr8:69748052:G:T rs138195957 G T G EBF1_EBF_1 -13 0 - 0 0 . chr8 69835178 69835179 chr8:69835179:C:G rs72544136 C G G EBF1_EBF_1 -12 0 + 0 0 . chr8 69840777 69840778 chr8:69840778:C:T rs28453949 C T G EBF1_EBF_1 21 0 + 0 0 . chr8 70015522 70015523 chr8:70015523:A:G rs9298155 A G A EBF1_EBF_1 17 0 - 0 0 . chr8 70025477 70025478 chr8:70025478:C:T rs71517439 C T C EBF1_EBF_1 -19 0 - 0 0 . chr8 70026816 70026817 chr8:70026817:C:A rs7825431 C A C EBF1_EBF_1 23 0 - 0 0 . chr8 70035240 70035241 chr8:70035241:C:G rs555592956 C G C EBF1_EBF_1 5 1 + 7.9254638958513635 1.0205827432161958 GCTCCCCCGGGACA chr8 70070669 70070670 chr8:70070670:T:C rs78632565 T C T EBF1_EBF_1 -14 0 + 0 0 . chr8 70071402 70071403 chr8:70071403:C:T rs112145305 C T C EBF1_EBF_1 14 0 - 0 0 . chr8 70133947 70133948 chr8:70133948:G:A rs16936749 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 70198431 70198432 chr8:70198432:C:T rs35350992 C T C EBF1_EBF_1 18 0 - 0 0 . chr8 70227094 70227095 chr8:70227095:T:G rs72663955 T G T EBF1_EBF_1 -15 0 - 0 0 . chr8 70402613 70402614 chr8:70402614:G:A chr8:70402614:G:A G A G EBF1_EBF_1 20 0 + 0 0 . chr8 70403244 70403245 chr8:70403245:C:T rs530854969 C T - EBF1_EBF_1 -14 0 - 0 0 . chr8 70403681 70403682 chr8:70403682:G:A rs71517442 G A G EBF1_EBF_1 7 1 - 5.938961327800309 7.756466074082932 CTTCCCCCGGGGCC chr8 70495005 70495006 chr8:70495006:C:T rs79635989 C T C EBF1_EBF_1 4 1 + 4.421659832527655 0.052272887582732463 GCTGCCCAGGGAAA chr8 70634727 70634728 chr8:70634728:C:T rs6988053 C T C EBF1_EBF_1 11 1 + 6.869821017487377 7.187868459241041 TATCCCCAGGGCCT chr8 70805405 70805406 chr8:70805406:C:G rs905494041 C G c EBF1_EBF_1 -7 0 - 0 0 . chr8 71000303 71000304 chr8:71000304:G:T rs2639945 G T G EBF1_EBF_1 -20 0 + 0 0 . chr8 71027858 71027859 chr8:71027859:T:C rs3098882 T C C EBF1_EBF_1 2 1 + 6.7301995035320825 4.841376092089932 CTTCCCCTGGGGTC chr8 71142628 71142629 chr8:71142629:C:T rs75092244 C T C EBF1_EBF_1 8 1 - 6.543874261822603 2.317584172936342 CCTCCCATGGGCCT chr8 71327648 71327649 chr8:71327649:C:A rs12675580 C A C EBF1_EBF_1 28 0 - 0 0 . chr8 71406555 71406556 chr8:71406556:C:A rs7823828 C A - EBF1_EBF_1 9 1 - 8.610342560542211 1.773353152453402 CTTCCCAAGGGAGG chr8 71557720 71557721 chr8:71557721:C:T rs139626795 C T C EBF1_EBF_1 19 0 + 0 0 . chr8 71643936 71643937 chr8:71643937:A:G rs4445260 A G A EBF1_EBF_1 -7 0 - 0 0 . chr8 71737543 71737544 chr8:71737544:G:C rs146199685 G C G EBF1_EBF_1 -20 0 - 0 0 . chr8 71999562 71999563 chr8:71999563:G:A rs76866291 G A G EBF1_EBF_1 8 1 + 4.617236503812862 0.3909464149266007 GCTCCCACGAGAGC chr8 72005497 72005498 chr8:72005498:A:T rs73310059 A T A EBF1_EBF_1 11 1 + 8.044958078775345 3.9470438226766205 AATCTCCAGGGACC chr8 72033489 72033490 chr8:72033490:C:T rs3824152 C T C EBF1_EBF_1 3 1 + 3.702185944178046 -2.703212797375272 GCTCCCCAGGCACC chr8 72033502 72033503 chr8:72033503:G:A rs13269244 G A A EBF1_EBF_1 16 0 + 0 0 . chr8 72114146 72114147 chr8:72114147:G:T rs75054802 G T G EBF1_EBF_1 20 0 + 0 0 . chr8 72352747 72352748 chr8:72352748:C:T rs62520900 C T c EBF1_EBF_1 -3 0 - 0 0 . chr8 72475958 72475959 chr8:72475959:A:G rs919627 A G G EBF1_EBF_1 23 0 - 0 0 . chr8 72487452 72487453 chr8:72487453:C:T rs12541078 C T C EBF1_EBF_1 -19 0 + 0 0 . chr8 72510073 72510074 chr8:72510074:G:A rs55979455 G A G EBF1_EBF_1 -12 0 + 0 0 . chr8 72510116 72510117 chr8:72510117:C:T rs73686026 C T C EBF1_EBF_1 31 0 + 0 0 . chr8 72572638 72572639 chr8:72572639:G:A rs349331 G A A EBF1_EBF_1 8 1 + 6.850852562146303 2.624562473260041 TGCCCCTCGGGAAC chr8 72664140 72664141 chr8:72664141:A:G rs190861727 A G A EBF1_EBF_1 9 1 + 5.889999280669436 8.54493854611704 GATCCCAGGAGATC chr8 72686954 72686955 chr8:72686955:C:G rs2252952 C G G EBF1_EBF_1 -12 0 + 0 0 . chr8 72847308 72847309 chr8:72847309:C:G chr8:72847309:C:G C G C EBF1_EBF_1 20 0 + 0 0 . chr8 72886616 72886617 chr8:72886617:A:G chr8:72886617:A:G A G A EBF1_EBF_1 -6 0 - 0 0 . chr8 72886621 72886622 chr8:72886622:T:G chr8:72886622:T:G T G T EBF1_EBF_1 -11 0 - 0 0 . chr8 72984767 72984768 chr8:72984768:C:G rs10957634 C G C EBF1_EBF_1 5 1 + 5.040515055867415 -1.8643660967677531 CTCCCCCAAGGAAC chr8 72987221 72987222 chr8:72987222:C:T rs6994706 C T C EBF1_EBF_1 0 1 - 4.002841671392084 5.8951255409569185 GCTCCCTATGGACC chr8 73092895 73092896 chr8:73092896:A:G rs59331088 A G G EBF1_EBF_1 1 1 - 4.832923243617725 3.9374898032189805 CTTCACCGGGGACT chr8 73118798 73118799 chr8:73118799:T:C chr8:73118799:T:C T C T EBF1_EBF_1 11 1 - 7.42295736209215 4.530250518488139 ACCCCCTTGAGAAA chr8 73157760 73157761 chr8:73157761:C:T rs111649820 C T C EBF1_EBF_1 -12 0 + 0 0 . chr8 73203536 73203537 chr8:73203537:T:C rs7824127 T C T EBF1_EBF_1 26 0 - 0 0 . chr8 73240715 73240716 chr8:73240716:G:A rs143461216 G A G EBF1_EBF_1 -15 0 + 0 0 . chr8 73240719 73240720 chr8:73240720:G:C rs1820055 G C G EBF1_EBF_1 -11 0 + 0 0 . chr8 73255550 73255551 chr8:73255551:C:T rs79536994 C T C EBF1_EBF_1 -2 0 + 0 0 . chr8 73293936 73293937 chr8:73293937:C:T rs1443972218 C T C EBF1_EBF_1 21 0 - 0 0 . chr8 73295800 73295801 chr8:73295801:C:T rs531369770 C T C EBF1_EBF_1 10 1 - 8.127820720629135 2.809792261362169 GGTCCCCAGAGACC chr8 73295822 73295823 chr8:73295823:A:G rs914016097 A G a EBF1_EBF_1 -12 0 - 0 0 . chr8 73337277 73337278 chr8:73337278:G:A rs12682469 G A A EBF1_EBF_1 31 0 - 0 0 . chr8 73359865 73359866 chr8:73359866:T:C rs13277398 T C C EBF1_EBF_1 -7 0 - 0 0 . chr8 73408211 73408212 chr8:73408212:G:C rs112033251 G C C EBF1_EBF_1 2 1 - 6.902779101559701 2.0432768228013827 ATCCCCCTGAGAAG chr8 73564116 73564117 chr8:73564117:G:A rs115350900 G A G EBF1_EBF_1 4 1 - 7.151686164149248 2.782299219204324 TACCCCAAGGGAGA chr8 73592622 73592623 chr8:73592623:C:G rs62510321 C G C EBF1_EBF_1 -1 0 - 0 0 . chr8 73680049 73680050 chr8:73680050:A:G rs57990472 A G G EBF1_EBF_1 -16 0 - 0 0 . chr8 73718063 73718064 chr8:73718064:C:T rs7814285 C T T EBF1_EBF_1 -19 0 + 0 0 . chr8 73837879 73837880 chr8:73837880:G:A rs188349026 G A G EBF1_EBF_1 12 1 + 4.051670626559238 5.470487968658715 CCTCCCCAGGGCGA chr8 73879217 73879218 chr8:73879218:T:G rs765077866 T G T EBF1_EBF_1 23 0 - 0 0 . chr8 73972706 73972707 chr8:73972707:C:T rs111512857 C T C EBF1_EBF_1 10 1 - 5.209344145765635 -0.10868431350133134 TTTCACCAGGGACA chr8 74004108 74004109 chr8:74004109:C:T rs11786591 C T T EBF1_EBF_1 -5 0 + 0 0 . chr8 74093632 74093633 chr8:74093633:G:A rs186481840 G A G EBF1_EBF_1 10 1 + 7.116118196960516 1.7980897376935496 AGCCCCGTGGGAGC chr8 74097897 74097898 chr8:74097898:C:T rs73687138 C T C EBF1_EBF_1 -3 0 + 0 0 . chr8 74198425 74198426 chr8:74198426:C:T rs12678640 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 74201826 74201827 chr8:74201827:T:C rs16836 T C C EBF1_EBF_1 -19 0 - 0 0 . chr8 74220133 74220134 chr8:74220134:T:C rs10087653 T C C EBF1_EBF_1 -17 0 - 0 0 . chr8 74222237 74222238 chr8:74222238:A:G rs6999501 A G G EBF1_EBF_1 7 1 - 9.634249946978427 7.816745200695804 ATTCCCTTGGGCCT chr8 74461712 74461713 chr8:74461713:C:T rs16938910 C T C EBF1_EBF_1 -15 0 + 0 0 . chr8 74466245 74466246 chr8:74466246:G:A rs78014149 G A G EBF1_EBF_1 -11 0 + 0 0 . chr8 74739368 74739369 chr8:74739369:C:T rs141751731 C T C EBF1_EBF_1 10 1 - 7.748886558115652 2.4308580988486863 AAACCCTGGGGAAC chr8 74984823 74984824 chr8:74984824:G:A rs28669056 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 75009682 75009683 chr8:75009683:C:A rs74870455 C A C EBF1_EBF_1 2 1 + 5.300848951153637 3.5052047749969106 GTCCCCTAAGGACA chr8 75070486 75070487 chr8:75070487:G:A rs28530665 G A G EBF1_EBF_1 4 1 - 11.249026529798074 6.879639584853151 ATCCCCTAGGGAGT chr8 75117815 75117816 chr8:75117816:G:C rs1563375 G C G EBF1_EBF_1 7 1 - 8.641588413771087 9.622908994852443 AATCCCTCGAGAAT chr8 75228873 75228874 chr8:75228874:T:C rs2383940 T C C EBF1_EBF_1 31 0 + 0 0 . chr8 75318705 75318706 chr8:75318706:C:T rs1511244 C T C EBF1_EBF_1 -1 0 + 0 0 . chr8 75318707 75318708 chr8:75318708:A:G rs1511243 A G A EBF1_EBF_1 1 1 + 9.815522489159019 10.076221321080322 CATCCCCAGGGAAA chr8 75620404 75620405 chr8:75620405:A:T rs1839330 A T A EBF1_EBF_1 -15 0 + 0 0 . chr8 75690089 75690090 chr8:75690090:G:T rs7825445 G T T EBF1_EBF_1 10 1 + 5.212546707671024 1.0297945214437585 CTTCCCAAAGGGCT chr8 75698231 75698232 chr8:75698232:G:A rs2383960 G A G EBF1_EBF_1 5 1 - 6.495211759834564 1.1734245442938933 AGTCTCCAGAGACT chr8 75702722 75702723 chr8:75702723:T:C rs4321976 T C C EBF1_EBF_1 14 0 + 0 0 . chr8 75720726 75720727 chr8:75720727:T:C rs7813181 T C C EBF1_EBF_1 7 1 + 11.966720038981904 10.149215292699282 ACTCCCTTGGGAAA chr8 75826704 75826705 chr8:75826705:A:T rs2977338 A T A EBF1_EBF_1 2 1 - 4.949495962230715 1.2650283746318398 GATCCCTAGGGCAG chr8 75827434 75827435 chr8:75827435:C:T rs77237272 C T C EBF1_EBF_1 27 0 + 0 0 . chr8 76032057 76032058 chr8:76032058:C:T rs11988715 C T C EBF1_EBF_1 31 0 - 0 0 . chr8 76286843 76286844 chr8:76286844:T:A rs1852373 T A A EBF1_EBF_1 27 0 + 0 0 . chr8 76404156 76404157 chr8:76404157:G:T rs74582023 G T G EBF1_EBF_1 26 0 - 0 0 . chr8 76662330 76662331 chr8:76662331:G:T rs919901 G T G EBF1_EBF_1 16 0 + 0 0 . chr8 76673730 76673731 chr8:76673731:G:C rs184271532 G C G EBF1_EBF_1 18 0 + 0 0 . chr8 76705270 76705271 chr8:76705271:C:G rs1319545374 C G C EBF1_EBF_1 11 1 - 5.290443148356313 3.7671882941079344 AATCCCCAGCGGCT chr8 76733452 76733453 chr8:76733453:A:C rs73356741 A C A EBF1_EBF_1 18 0 - 0 0 . chr8 76972735 76972736 chr8:76972736:C:T rs4128631 C T T EBF1_EBF_1 -20 0 + 0 0 . chr8 77000703 77000704 chr8:77000704:C:A chr8:77000704:C:A C A C EBF1_EBF_1 -6 0 + 0 0 . chr8 77000722 77000723 chr8:77000723:C:T rs143926681 C T C EBF1_EBF_1 13 1 + 6.13285700258672 6.977351117172238 GCTCCCGAGAGACC chr8 77000853 77000854 chr8:77000854:G:C rs73691486 G C G EBF1_EBF_1 -17 0 - 0 0 . chr8 77221292 77221293 chr8:77221293:G:A rs1452821 G A A EBF1_EBF_1 17 0 - 0 0 . chr8 77259936 77259937 chr8:77259937:C:T rs17446636 C T C EBF1_EBF_1 31 0 + 0 0 . chr8 77288221 77288222 chr8:77288222:T:G rs4338076 T G G EBF1_EBF_1 28 0 - 0 0 . chr8 77458425 77458426 chr8:77458426:A:G rs264826 A G G EBF1_EBF_1 -11 0 + 0 0 . chr8 77620944 77620945 chr8:77620945:T:C rs7016106 T C T EBF1_EBF_1 -2 0 + 0 0 . chr8 77620948 77620949 chr8:77620949:C:T rs3920192 C T T EBF1_EBF_1 2 1 + 4.924050155839824 6.812873567281973 AGCCCCCAGGAAGT chr8 77672164 77672165 chr8:77672165:A:T rs12676909 A T A EBF1_EBF_1 32 0 + 0 0 . chr8 77749220 77749221 chr8:77749221:C:T rs7829278 C T C EBF1_EBF_1 12 1 + 4.724624167844244 3.698357597293394 GCCCCCAGGAGACA chr8 77837026 77837027 chr8:77837027:A:G rs4739127 A G G EBF1_EBF_1 17 0 + 0 0 . chr8 77899959 77899960 chr8:77899960:T:C rs6992993 T C T EBF1_EBF_1 -4 0 - 0 0 . chr8 78177020 78177021 chr8:78177021:C:G rs13271104 C G G EBF1_EBF_1 19 0 - 0 0 . chr8 78179491 78179492 chr8:78179492:A:C rs35316312 A C A EBF1_EBF_1 28 0 - 0 0 . chr8 78232472 78232473 chr8:78232473:T:C rs16905560 T C T EBF1_EBF_1 15 0 - 0 0 . chr8 78376913 78376914 chr8:78376914:T:C rs192459452 T C T EBF1_EBF_1 -18 0 - 0 0 . chr8 78439170 78439171 chr8:78439171:C:A rs1272216302 C A C EBF1_EBF_1 -14 0 - 0 0 . chr8 78516217 78516218 chr8:78516218:A:C chr8:78516218:A:C A C A EBF1_EBF_1 32 0 - 0 0 . chr8 78595892 78595893 chr8:78595893:A:G rs117992024 A G A EBF1_EBF_1 -10 0 - 0 0 . chr8 78644566 78644567 chr8:78644567:G:A rs62517726 G A G EBF1_EBF_1 -10 0 - 0 0 . chr8 78644575 78644576 chr8:78644576:C:T rs79303898 C T C EBF1_EBF_1 -19 0 - 0 0 . chr8 78654134 78654135 chr8:78654135:A:T rs13252492 A T T EBF1_EBF_1 -8 0 + 0 0 . chr8 78665946 78665947 chr8:78665947:C:T rs142078183 C T G EBF1_EBF_1 -17 0 - 0 0 . chr8 78804409 78804410 chr8:78804410:G:T chr8:78804410:G:T G T G EBF1_EBF_1 31 0 - 0 0 . chr8 78804454 78804455 chr8:78804455:G:A rs138077820 G A G EBF1_EBF_1 7 1 - 7.1727406915832015 8.990245437865823 GCTCCCACGGGACG chr8 78804500 78804501 chr8:78804501:G:A rs1299103732 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 78805101 78805102 chr8:78805102:A:C rs117554445 A C A EBF1_EBF_1 7 1 - 5.651864602801539 4.815680437600272 CCCCCCTTGGGATG chr8 78805116 78805117 chr8:78805117:C:T chr8:78805117:C:T C T C EBF1_EBF_1 -8 0 - 0 0 . chr8 78921281 78921282 chr8:78921282:G:A rs79112138 G A g EBF1_EBF_1 12 1 - 5.995405201413499 4.969138630862649 GTTCCCTTGGAACC chr8 79065334 79065335 chr8:79065335:G:A rs73690063 G A g EBF1_EBF_1 7 1 + 4.886884284170457 6.199342689459283 AGTCCCCGGCGATA chr8 79065336 79065337 chr8:79065337:C:T rs73690064 C T C EBF1_EBF_1 9 1 + 4.886884284170457 3.1255840224271116 AGTCCCCGGCGATA chr8 79065716 79065717 chr8:79065717:A:G rs16906453 A G G EBF1_EBF_1 -15 0 + 0 0 . chr8 79213790 79213791 chr8:79213791:T:A rs1380638 T A T EBF1_EBF_1 16 0 - 0 0 . chr8 79365827 79365828 chr8:79365828:C:G rs11998065 C G C EBF1_EBF_1 4 1 + 7.0016803284798526 1.3759152273303084 ATTCCCAAAGGATG chr8 79499465 79499466 chr8:79499466:C:A rs774274269 C A c EBF1_EBF_1 -6 0 + 0 0 . chr8 79616737 79616738 chr8:79616738:G:A rs7013510 G A A EBF1_EBF_1 6 1 - 6.83158081765618 7.132866946517938 AATCCCCAGGTATA chr8 79702749 79702750 chr8:79702750:G:A rs538423586 G A G EBF1_EBF_1 25 0 + 0 0 . chr8 79721512 79721513 chr8:79721513:G:A rs113063313 G A G EBF1_EBF_1 0 1 - 6.955961829440196 7.2381638901707746 CGCCCCTTGGGATA chr8 79723800 79723801 chr8:79723801:A:G rs2440648 A G A EBF1_EBF_1 -17 0 + 0 0 . chr8 79767814 79767815 chr8:79767815:C:T rs530478953 C T C EBF1_EBF_1 32 0 - 0 0 . chr8 79767818 79767819 chr8:79767819:C:G rs116155259 C G C EBF1_EBF_1 28 0 - 0 0 . chr8 79798645 79798646 chr8:79798646:T:C rs2440655 T C C EBF1_EBF_1 -4 0 - 0 0 . chr8 79806483 79806484 chr8:79806484:T:C rs143036806 T C T EBF1_EBF_1 23 0 - 0 0 . chr8 79818565 79818566 chr8:79818566:A:G rs7813803 A G A EBF1_EBF_1 -11 0 + 0 0 . chr8 79827399 79827400 chr8:79827400:G:C rs557063670 G C G EBF1_EBF_1 32 0 + 0 0 . chr8 79858228 79858229 chr8:79858229:C:T rs191010630 C T C EBF1_EBF_1 1 1 + 6.973023248087153 7.868456688485897 ACTCCCAAGGGCAG chr8 79903909 79903910 chr8:79903910:T:C rs112448980 T C T EBF1_EBF_1 -19 0 - 0 0 . chr8 79907923 79907924 chr8:79907924:T:C rs750021 T C C EBF1_EBF_1 32 0 - 0 0 . chr8 79992353 79992354 chr8:79992354:T:C rs10098883 T C T EBF1_EBF_1 0 1 + 6.238372361151211 5.9561703004206334 TTTCCCCAGAGATG chr8 80053236 80053237 chr8:80053237:T:C rs3780008 T C T EBF1_EBF_1 -10 0 + 0 0 . chr8 80101753 80101754 chr8:80101754:T:C rs7831120 T C C EBF1_EBF_1 18 0 - 0 0 . chr8 80139043 80139044 chr8:80139044:C:T rs2033068 C T C EBF1_EBF_1 5 1 + 5.111835692402064 -0.2099515231386062 CCTCCCGTGAGATT chr8 80142508 80142509 chr8:80142509:A:T rs35834988 A T A EBF1_EBF_1 -1 0 - 0 0 . chr8 80231173 80231174 chr8:80231174:G:A rs114967534 G A g EBF1_EBF_1 -9 0 + 0 0 . chr8 80258596 80258597 chr8:80258597:T:C chr8:80258597:T:C T C T EBF1_EBF_1 -4 0 + 0 0 . chr8 80325471 80325472 chr8:80325472:C:T rs113356374 C T C EBF1_EBF_1 15 0 + 0 0 . chr8 80426906 80426907 chr8:80426907:G:A rs454265 G A G EBF1_EBF_1 29 0 + 0 0 . chr8 80486696 80486697 chr8:80486697:C:T chr8:80486697:C:T C T - EBF1_EBF_1 13 1 + 4.032310128908439 4.876804243493957 AGCCCCGCGAGACC chr8 80575441 80575442 chr8:80575442:G:A rs62515086 G A G EBF1_EBF_1 -16 0 - 0 0 . chr8 80578100 80578101 chr8:80578101:C:A chr8:80578101:C:A C A C EBF1_EBF_1 11 1 - 7.5187394240887055 6.313532011593991 AGTCCCCCGGGGAC chr8 80578106 80578107 chr8:80578107:G:A rs116989234 G A G EBF1_EBF_1 5 1 - 7.5187394240887055 2.1969522085480357 AGTCCCCCGGGGAC chr8 80611582 80611583 chr8:80611583:T:A rs80163728 T A T EBF1_EBF_1 11 1 - 9.104917310152107 5.007003054053381 GCTCCCCAGGGACG chr8 80668963 80668964 chr8:80668964:G:C rs114065485 G C G EBF1_EBF_1 -8 0 + 0 0 . chr8 80725767 80725768 chr8:80725768:C:T rs114057061 C T C EBF1_EBF_1 -15 0 - 0 0 . chr8 80873680 80873681 chr8:80873681:C:T chr8:80873681:C:T C T C EBF1_EBF_1 32 0 - 0 0 . chr8 80873707 80873708 chr8:80873708:G:C rs1441662880 G C G EBF1_EBF_1 5 1 - 4.6996855934440624 -2.2051955591911074 AGCCCCGAGGGGGC chr8 80931633 80931634 chr8:80931634:G:T rs7003901 G T G EBF1_EBF_1 -1 0 + 0 0 . chr8 80935502 80935503 chr8:80935503:T:C rs7016509 T C T EBF1_EBF_1 16 0 - 0 0 . chr8 80935521 80935522 chr8:80935522:G:A rs6996716 G A A EBF1_EBF_1 -3 0 - 0 0 . chr8 81001595 81001596 chr8:81001596:T:G rs74831837 T G T EBF1_EBF_1 28 0 + 0 0 . chr8 81041457 81041458 chr8:81041458:A:G rs73694023 A G G EBF1_EBF_1 -6 0 - 0 0 . chr8 81106907 81106908 chr8:81106908:T:C rs16908611 T C T EBF1_EBF_1 14 0 - 0 0 . chr8 81108908 81108909 chr8:81108909:G:A rs149432944 G A G EBF1_EBF_1 3 1 - 6.436432769060076 0.031034027506759987 ACTCCCTTGGGCAG chr8 81111882 81111883 chr8:81111883:G:A rs114271387 G A g EBF1_EBF_1 26 0 - 0 0 . chr8 81111886 81111887 chr8:81111887:G:C rs6988203 G C c EBF1_EBF_1 22 0 - 0 0 . chr8 81131376 81131377 chr8:81131377:T:A rs7813567 T A A EBF1_EBF_1 -16 0 + 0 0 . chr8 81176353 81176354 chr8:81176354:C:T rs556654497 C T C EBF1_EBF_1 -5 0 - 0 0 . chr8 81216634 81216635 chr8:81216635:C:T chr8:81216635:C:T C T C EBF1_EBF_1 19 0 - 0 0 . chr8 81216673 81216674 chr8:81216674:C:A rs967219153 C A C EBF1_EBF_1 -20 0 - 0 0 . chr8 81216833 81216834 chr8:81216834:A:G chr8:81216834:A:G A G A EBF1_EBF_1 17 0 + 0 0 . chr8 81272875 81272876 chr8:81272876:C:T rs113299500 C T C EBF1_EBF_1 15 0 + 0 0 . chr8 81280416 81280417 chr8:81280417:G:A rs563777208 G A - EBF1_EBF_1 12 1 - 4.483220137148392 3.4569535665975426 CGCCCCCTGGGCCT chr8 81313461 81313462 chr8:81313462:C:T rs202296 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 81346280 81346281 chr8:81346281:C:T rs78287509 C T C EBF1_EBF_1 -8 0 - 0 0 . chr8 81421921 81421922 chr8:81421922:G:C rs10958021 G C G EBF1_EBF_1 -20 0 - 0 0 . chr8 81475058 81475059 chr8:81475059:G:A rs72684466 G A G EBF1_EBF_1 -19 0 - 0 0 . chr8 81669723 81669724 chr8:81669724:T:C rs75968184 T C T EBF1_EBF_1 -16 0 - 0 0 . chr8 81732552 81732553 chr8:81732553:C:T rs148028846 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 81732595 81732596 chr8:81732596:C:A rs201750959 C A C EBF1_EBF_1 6 1 - 3.832943102126542 5.939421294461497 GATCCCGGGGGCCT chr8 81732848 81732849 chr8:81732849:C:A rs189321535 C A C EBF1_EBF_1 28 0 - 0 0 . chr8 81780599 81780600 chr8:81780600:A:G rs17783207 A G A EBF1_EBF_1 -9 0 - 0 0 . chr8 81992057 81992058 chr8:81992058:G:C rs77286427 G C C EBF1_EBF_1 -14 0 + 0 0 . chr8 82041401 82041402 chr8:82041402:T:G rs60716078 T G T EBF1_EBF_1 -20 0 + 0 0 . chr8 82192505 82192506 chr8:82192506:A:G rs1055762865 A G C EBF1_EBF_1 -1 0 + 0 0 . chr8 82192509 82192510 chr8:82192510:C:G rs6473330 C G G EBF1_EBF_1 3 1 + 4.543800634886633 -1.283963813228583 ACTCCCTGGGGCTG chr8 82239195 82239196 chr8:82239196:C:T rs1037551 C T C EBF1_EBF_1 -12 0 + 0 0 . chr8 82239220 82239221 chr8:82239221:T:C rs1037550 T C C EBF1_EBF_1 13 1 + 8.025853244204336 7.1813591296188175 TTTCCCCAAGGACT chr8 82443663 82443664 chr8:82443664:G:A rs190914831 G A G EBF1_EBF_1 20 0 - 0 0 . chr8 82622433 82622434 chr8:82622434:C:G rs16910685 C G C EBF1_EBF_1 22 0 - 0 0 . chr8 82622453 82622454 chr8:82622454:G:T rs73284723 G T G EBF1_EBF_1 2 1 - 9.083136569607312 7.287492393450589 ATCCCCAGGGGAAG chr8 83321796 83321797 chr8:83321797:G:C rs785963 G C G EBF1_EBF_1 3 1 - 8.144798624716767 2.3170341766015508 GCACCCAAGGGAAT chr8 83321931 83321932 chr8:83321932:C:G rs785962 C G C EBF1_EBF_1 -11 0 + 0 0 . chr8 83629779 83629780 chr8:83629780:G:T rs1601978 G T G EBF1_EBF_1 -5 0 - 0 0 . chr8 83731001 83731002 chr8:83731002:C:A rs142174921 C A C EBF1_EBF_1 14 0 + 0 0 . chr8 84182930 84182931 chr8:84182931:G:A rs142924234 G A . EBF1_EBF_1 -5 0 + 0 0 . chr8 84248385 84248386 chr8:84248386:G:A rs10102752 G A A EBF1_EBF_1 -5 0 + 0 0 . chr8 84470632 84470633 chr8:84470633:G:T rs13257448 G T G EBF1_EBF_1 -18 0 + 0 0 . chr8 84470669 84470670 chr8:84470670:A:C rs7836891 A C A EBF1_EBF_1 19 0 + 0 0 . chr8 84847130 84847131 chr8:84847131:C:T rs73688611 C T C EBF1_EBF_1 -17 0 + 0 0 . chr8 85027502 85027503 chr8:85027503:G:A rs36133523 G A g EBF1_EBF_1 17 0 - 0 0 . chr8 85177678 85177679 chr8:85177679:G:A rs111369921 G A G EBF1_EBF_1 32 0 - 0 0 . chr8 85177698 85177699 chr8:85177699:G:T rs370603561 G T G EBF1_EBF_1 12 1 - 6.920281908881663 6.950463307302989 CTTCCCCGGGGGCC chr8 85233630 85233631 chr8:85233631:A:G rs80202350 A G A EBF1_EBF_1 -14 0 - 0 0 . chr8 85276261 85276262 chr8:85276262:G:C rs7835882 G C - EBF1_EBF_1 8 1 + 3.6763924670721817 -1.6422663938425055 CTCCCCCCGGGGCA chr8 85277939 85277940 chr8:85277940:A:T rs4609189 A T A EBF1_EBF_1 2 1 - 5.587441744325599 1.9029741567267209 AGTCCCAAGGTGCA chr8 85453348 85453349 chr8:85453349:C:T rs55929157 C T C EBF1_EBF_1 -4 0 + 0 0 . chr8 85463851 85463852 chr8:85463852:C:G rs191493709 C G C EBF1_EBF_1 20 0 + 0 0 . chr8 85612234 85612235 chr8:85612235:G:A rs561700036 G A G EBF1_EBF_1 13 1 - 7.3939679739302235 8.238462088515742 ATCCCCCAGGGTCC chr8 85835627 85835628 chr8:85835628:G:T rs77107453 G T g EBF1_EBF_1 3 1 - 7.980966322521271 1.0747922930554639 ACACCCCTGGGAAA chr8 85885020 85885021 chr8:85885021:A:G rs187857153 A G A EBF1_EBF_1 -18 0 + 0 0 . chr8 85885177 85885178 chr8:85885178:A:T rs72684834 A T A EBF1_EBF_1 -19 0 - 0 0 . chr8 86016946 86016947 chr8:86016947:A:G rs285409 A G g EBF1_EBF_1 29 0 + 0 0 . chr8 86030747 86030748 chr8:86030748:C:T rs6981854 C T T EBF1_EBF_1 -11 0 - 0 0 . chr8 86150560 86150561 chr8:86150561:G:A rs7011724 G A G EBF1_EBF_1 -6 0 + 0 0 . chr8 86150564 86150565 chr8:86150565:G:T rs7011725 G T G EBF1_EBF_1 -2 0 + 0 0 . chr8 86290098 86290099 chr8:86290099:T:C rs10112123 T C T EBF1_EBF_1 15 0 - 0 0 . chr8 86310298 86310299 chr8:86310299:C:T rs2976170 C T C EBF1_EBF_1 19 0 + 0 0 . chr8 86484218 86484219 chr8:86484219:G:A rs145313060 G A g EBF1_EBF_1 30 0 + 0 0 . chr8 86508893 86508894 chr8:86508894:C:G rs777187216 C G C EBF1_EBF_1 28 0 - 0 0 . chr8 86575068 86575069 chr8:86575069:T:C rs73269601 T C T EBF1_EBF_1 30 0 + 0 0 . chr8 86601145 86601146 chr8:86601146:G:C rs117045228 G C g EBF1_EBF_1 -13 0 - 0 0 . chr8 86714910 86714911 chr8:86714911:C:A rs13280483 C A C EBF1_EBF_1 -8 0 + 0 0 . chr8 86931773 86931774 chr8:86931774:T:C rs11778111 T C T EBF1_EBF_1 30 0 - 0 0 . chr8 86932401 86932402 chr8:86932402:C:T rs4604452 C T T EBF1_EBF_1 -13 0 - 0 0 . chr8 87043597 87043598 chr8:87043598:T:A rs2336871 T A A EBF1_EBF_1 28 0 + 0 0 . chr8 87047255 87047256 chr8:87047256:G:C rs13269880 G C C EBF1_EBF_1 -5 0 - 0 0 . chr8 87139814 87139815 chr8:87139815:G:A rs6468688 G A G EBF1_EBF_1 -7 0 + 0 0 . chr8 87680845 87680846 chr8:87680846:C:T rs7463322 C T C EBF1_EBF_1 13 1 + 8.174591683924229 9.019085798509748 AGTCCCATGGGCAC chr8 87681525 87681526 chr8:87681526:G:A rs6468969 G A G EBF1_EBF_1 -2 0 + 0 0 . chr8 87821083 87821084 chr8:87821084:T:C rs12542905 T C C EBF1_EBF_1 19 0 + 0 0 . chr8 87945864 87945865 chr8:87945865:C:T rs139543804 C T c EBF1_EBF_1 2 1 + 8.498409737882739 10.387233149324889 TTCCCCCTGGGAGT chr8 88117442 88117443 chr8:88117443:G:A rs28907639 G A G EBF1_EBF_1 33 0 + 0 0 . chr8 88276363 88276364 chr8:88276364:G:T rs28904576 G T G EBF1_EBF_1 31 0 - 0 0 . chr8 88276400 88276401 chr8:88276401:C:T rs28904575 C T C EBF1_EBF_1 -6 0 - 0 0 . chr8 88312618 88312619 chr8:88312619:G:A rs4463475 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 88422871 88422872 chr8:88422872:C:G rs61109805 C G g EBF1_EBF_1 24 0 - 0 0 . chr8 88425454 88425455 chr8:88425455:G:A rs73289264 G A A EBF1_EBF_1 28 0 - 0 0 . chr8 88457803 88457804 chr8:88457804:A:C rs183841161 A C A EBF1_EBF_1 6 1 + 7.195063090120071 6.8334607223187955 TTTCCCATGGGTCC chr8 88474283 88474284 chr8:88474284:G:A rs1685549 G A A EBF1_EBF_1 24 0 - 0 0 . chr8 88926477 88926478 chr8:88926478:A:G rs74584453 A G a EBF1_EBF_1 -8 0 + 0 0 . chr8 89534749 89534750 chr8:89534750:C:A rs7833686 C A c EBF1_EBF_1 5 1 + 8.532340344891766 1.6274591922565973 AGACCCATGGGAAA chr8 89559430 89559431 chr8:89559431:G:A rs7830141 G A G EBF1_EBF_1 -6 0 - 0 0 . chr8 89663146 89663147 chr8:89663147:G:A rs160431 G A g EBF1_EBF_1 27 0 + 0 0 . chr8 89688708 89688709 chr8:89688709:C:T rs218916 C T T EBF1_EBF_1 -8 0 + 0 0 . chr8 89764583 89764584 chr8:89764584:A:C rs39502 A C A EBF1_EBF_1 -3 0 - 0 0 . chr8 89824736 89824737 chr8:89824737:C:G rs400077 C G C EBF1_EBF_1 4 1 + 6.572270858000419 0.9465057568508748 ACCCCCAAGGGCAC chr8 89870646 89870647 chr8:89870647:G:T rs146129868 G T G EBF1_EBF_1 10 1 + 8.088045750307902 3.905293564080637 TTTCCCAAGAGATC chr8 89908246 89908247 chr8:89908247:G:C rs745978932 G C G EBF1_EBF_1 23 0 + 0 0 . chr8 89938874 89938875 chr8:89938875:C:T rs2735386 C T T EBF1_EBF_1 24 0 - 0 0 . chr8 89976511 89976512 chr8:89976512:T:C rs9792335 T C G EBF1_EBF_1 6 1 - 4.861837021476475 2.695042590202001 CCTCCCAGGAGAGA chr8 89983795 89983796 chr8:89983796:C:T rs556020798 C T C EBF1_EBF_1 16 0 - 0 0 . chr8 90001925 90001926 chr8:90001926:G:T rs1076559 G T G EBF1_EBF_1 -1 0 + 0 0 . chr8 90148852 90148853 chr8:90148853:G:A rs10088137 G A G EBF1_EBF_1 30 0 + 0 0 . chr8 90311429 90311430 chr8:90311430:T:C rs60024575 T C C EBF1_EBF_1 33 0 - 0 0 . chr8 90537170 90537171 chr8:90537171:G:T rs4735210 G T G EBF1_EBF_1 -9 0 + 0 0 . chr8 90676173 90676174 chr8:90676174:T:G rs4376466 T G G EBF1_EBF_1 1 1 + 6.025288301683806 5.319626515854278 ATCCCTTTGGGAAC chr8 90777604 90777605 chr8:90777605:T:C rs146535651 T C T EBF1_EBF_1 17 0 + 0 0 . chr8 90803755 90803756 chr8:90803756:C:A rs113979970 C A C EBF1_EBF_1 -6 0 + 0 0 . chr8 90803766 90803767 chr8:90803767:C:T chr8:90803767:C:T C T C EBF1_EBF_1 5 1 + 4.737543820945496 -0.5842433945951749 GTCCCCCTGGGCAC chr8 90815001 90815002 chr8:90815002:T:C rs12681978 T C T EBF1_EBF_1 -18 0 - 0 0 . chr8 90868858 90868859 chr8:90868859:T:C rs6986276 T C C EBF1_EBF_1 30 0 - 0 0 . chr8 91167642 91167643 chr8:91167643:G:A chr8:91167643:G:A G A G EBF1_EBF_1 29 0 + 0 0 . chr8 91600061 91600062 chr8:91600062:C:T rs2445737 C T C EBF1_EBF_1 -18 0 - 0 0 . chr8 91748177 91748178 chr8:91748178:C:T rs7835456 C T T EBF1_EBF_1 17 0 + 0 0 . chr8 91855087 91855088 chr8:91855088:T:C rs185521764 T C T EBF1_EBF_1 -6 0 - 0 0 . chr8 91867413 91867414 chr8:91867414:C:T rs28719924 C T C EBF1_EBF_1 15 0 + 0 0 . chr8 91868164 91868165 chr8:91868165:C:G rs936375538 C G C EBF1_EBF_1 -14 0 - 0 0 . chr8 91889097 91889098 chr8:91889098:C:A rs1373170 C A A EBF1_EBF_1 -2 0 - 0 0 . chr8 91901832 91901833 chr8:91901833:C:T rs78990155 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 91953155 91953156 chr8:91953156:G:T rs889540429 G T G EBF1_EBF_1 -2 0 + 0 0 . chr8 91953180 91953181 chr8:91953181:C:T rs2218367 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 92064978 92064979 chr8:92064979:C:T rs11991256 C T T EBF1_EBF_1 -18 0 - 0 0 . chr8 92101520 92101521 chr8:92101521:C:A rs28640925 C A C EBF1_EBF_1 31 0 + 0 0 . chr8 92101857 92101858 chr8:92101858:G:A rs183856930 G A G EBF1_EBF_1 22 0 + 0 0 . chr8 92302127 92302128 chr8:92302128:A:C rs1480893 A C C EBF1_EBF_1 -16 0 - 0 0 . chr8 92401012 92401013 chr8:92401013:G:A rs12156053 G A G EBF1_EBF_1 -17 0 - 0 0 . chr8 92457231 92457232 chr8:92457232:C:A rs147118864 C A C EBF1_EBF_1 3 1 + 8.088045750307902 1.1818717208420957 TTTCCCAAGAGATC chr8 92966159 92966160 chr8:92966160:C:G rs568132817 C G C EBF1_EBF_1 6 1 + 4.432386156273974 2.627194092800776 TGCCCCCAGGGGCG chr8 93049581 93049582 chr8:93049582:G:A rs279971 G A G EBF1_EBF_1 26 0 + 0 0 . chr8 93058473 93058474 chr8:93058474:A:G rs279944 A G G EBF1_EBF_1 -6 0 - 0 0 . chr8 93139741 93139742 chr8:93139742:C:T rs74544799 C T C EBF1_EBF_1 12 1 + 5.201662849892011 4.175396279341161 AATGCCTGGGGACA chr8 93503639 93503640 chr8:93503640:C:T rs1388600 C T T EBF1_EBF_1 25 0 + 0 0 . chr8 93554581 93554582 chr8:93554582:T:C rs75513624 T C T EBF1_EBF_1 12 1 + 8.782971487653379 9.809238058204228 ATTCCCCAGGGCTT chr8 93611894 93611895 chr8:93611895:G:A rs78820563 G A G EBF1_EBF_1 17 0 - 0 0 . chr8 93611943 93611944 chr8:93611944:C:A rs11994761 C A C EBF1_EBF_1 0 1 + 4.735395002998453 6.990653486695053 CCCCCCAGGAGACC chr8 93701135 93701136 chr8:93701136:G:A chr8:93701136:G:A G A G EBF1_EBF_1 3 1 + 6.148797683323073 5.070388101972482 AATGCCCGGGGAAT chr8 93701759 93701760 chr8:93701760:A:G rs7006499 A G A EBF1_EBF_1 -2 0 - 0 0 . chr8 93734621 93734622 chr8:93734622:G:A rs140808276 G A G EBF1_EBF_1 12 1 + 4.787018443646491 6.205835785745968 CCTCCCAAGGGCGC chr8 93859300 93859301 chr8:93859301:T:C rs4442115 T C T EBF1_EBF_1 -4 0 - 0 0 . chr8 93885136 93885137 chr8:93885137:C:T rs10105952 C T C EBF1_EBF_1 13 1 - 4.432386156273974 5.546711728343413 TGCCCCCAGGGGCG chr8 93980729 93980730 chr8:93980730:T:G rs16916331 T G T EBF1_EBF_1 6 1 - 6.782208440803701 6.420606073002424 AATCCCATGAGGAC chr8 93981591 93981592 chr8:93981592:T:C rs10808665 T C C EBF1_EBF_1 -15 0 - 0 0 . chr8 93983179 93983180 chr8:93983180:A:C chr8:93983180:A:C A C A EBF1_EBF_1 8 1 + 5.488304010710167 4.3959352386817425 ATTCCCTGAAGACC chr8 93983183 93983184 chr8:93983184:C:A rs186281160 C A C EBF1_EBF_1 12 1 + 5.488304010710167 5.518485409131493 ATTCCCTGAAGACC chr8 94040346 94040347 chr8:94040347:A:G rs3018871 A G G EBF1_EBF_1 7 1 + 6.639310955352552 5.326852550063725 ATTCCCAAAGGAGG chr8 94040743 94040744 chr8:94040744:C:T rs3018873 C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 94045376 94045377 chr8:94045377:C:T rs113724684 C T C EBF1_EBF_1 30 0 + 0 0 . chr8 94047843 94047844 chr8:94047844:A:G rs3018887 A G A EBF1_EBF_1 -7 0 + 0 0 . chr8 94056314 94056315 chr8:94056315:C:A rs4440598 C A C EBF1_EBF_1 -19 0 + 0 0 . chr8 94165526 94165527 chr8:94165527:T:C rs111745810 T C t EBF1_EBF_1 -11 0 + 0 0 . chr8 94227469 94227470 chr8:94227470:C:T rs562054525 C T C EBF1_EBF_1 -6 0 + 0 0 . chr8 94227497 94227498 chr8:94227498:G:T rs2448908 G T T EBF1_EBF_1 22 0 + 0 0 . chr8 94233720 94233721 chr8:94233721:G:T rs2445717 G T T EBF1_EBF_1 13 1 - 5.592158152308684 5.218412703022708 ATTCCCAGGATACC chr8 94234407 94234408 chr8:94234408:G:C rs2613211 G C G EBF1_EBF_1 25 0 + 0 0 . chr8 94274202 94274203 chr8:94274203:G:A rs2445719 G A G EBF1_EBF_1 -10 0 - 0 0 . chr8 94303820 94303821 chr8:94303821:G:A rs74327516 G A G EBF1_EBF_1 26 0 + 0 0 . chr8 94331298 94331299 chr8:94331299:C:A rs2445731 C A A EBF1_EBF_1 -16 0 + 0 0 . chr8 94334195 94334196 chr8:94334196:G:A rs28425652 G A G EBF1_EBF_1 -6 0 + 0 0 . chr8 94389036 94389037 chr8:94389037:T:C rs12681366 T C T EBF1_EBF_1 -10 0 + 0 0 . chr8 94446901 94446902 chr8:94446902:C:T rs79813033 C T C EBF1_EBF_1 4 1 + 6.161092020348318 1.791705075403394 GGTGCCAAGGGACT chr8 94474634 94474635 chr8:94474635:C:G rs73695614 C G C EBF1_EBF_1 15 0 - 0 0 . chr8 94474652 94474653 chr8:94474653:A:G rs10093542 A G G EBF1_EBF_1 -3 0 - 0 0 . chr8 94640678 94640679 chr8:94640679:G:T rs139965781 G T G EBF1_EBF_1 -7 0 + 0 0 . chr8 94641026 94641027 chr8:94641027:G:A rs76998644 G A - EBF1_EBF_1 3 1 - 3.1707043545298568 -3.23469438702346 CCCCCCCCGGGAGG chr8 94641033 94641034 chr8:94641034:C:T rs565970911 C T C EBF1_EBF_1 -4 0 - 0 0 . chr8 94642303 94642304 chr8:94642304:A:G rs16916938 A G A EBF1_EBF_1 27 0 + 0 0 . chr8 94744120 94744121 chr8:94744121:G:T rs10090736 G T T EBF1_EBF_1 -11 0 + 0 0 . chr8 94805337 94805338 chr8:94805338:C:T rs11988818 C T C EBF1_EBF_1 28 0 + 0 0 . chr8 94805645 94805646 chr8:94805646:C:T rs6986659 C T T EBF1_EBF_1 22 0 + 0 0 . chr8 94839261 94839262 chr8:94839262:G:A rs80030201 G A G EBF1_EBF_1 -11 0 - 0 0 . chr8 94894934 94894935 chr8:94894935:C:G rs77733982 C G C EBF1_EBF_1 15 0 + 0 0 . chr8 94894941 94894942 chr8:94894942:A:G rs547724781 A G A EBF1_EBF_1 22 0 + 0 0 . chr8 94896954 94896955 chr8:94896955:C:T rs112174214 C T C EBF1_EBF_1 16 0 + 0 0 . chr8 94900180 94900181 chr8:94900181:G:A rs577949 G A G EBF1_EBF_1 17 0 + 0 0 . chr8 94918260 94918261 chr8:94918261:G:A rs2467671 G A G EBF1_EBF_1 -2 0 + 0 0 . chr8 94968808 94968809 chr8:94968809:A:G rs74633621 A G A EBF1_EBF_1 -9 0 - 0 0 . chr8 94975662 94975663 chr8:94975663:A:T rs11781757 A T A EBF1_EBF_1 -11 0 + 0 0 . chr8 95102644 95102645 chr8:95102645:C:A rs4735344 C A C EBF1_EBF_1 10 1 - 7.34571826115646 3.1629660749291957 GTACCCATGGGAAA chr8 95122791 95122792 chr8:95122792:G:A rs544430605 G A G EBF1_EBF_1 -20 0 - 0 0 . chr8 95133423 95133424 chr8:95133424:G:A rs985588748 G A G EBF1_EBF_1 -7 0 - 0 0 . chr8 95136020 95136021 chr8:95136021:C:T rs76973927 C T C EBF1_EBF_1 -16 0 + 0 0 . chr8 95201965 95201966 chr8:95201966:A:G rs1465911 A G G EBF1_EBF_1 24 0 + 0 0 . chr8 95213951 95213952 chr8:95213952:G:A rs7824368 G A G EBF1_EBF_1 -13 0 + 0 0 . chr8 95214111 95214112 chr8:95214112:G:A rs7824657 G A G EBF1_EBF_1 14 0 - 0 0 . chr8 95381574 95381575 chr8:95381575:C:T chr8:95381575:C:T C T C EBF1_EBF_1 -8 0 - 0 0 . chr8 95430624 95430625 chr8:95430625:A:G rs778390481 A G A EBF1_EBF_1 18 0 - 0 0 . chr8 95431650 95431651 chr8:95431651:G:A rs6991596 G A A EBF1_EBF_1 3 1 - 7.408885965556903 1.0034872240035857 GATCCCATGAGAAA chr8 95640838 95640839 chr8:95640839:C:G rs72668002 C G A EBF1_EBF_1 26 0 + 0 0 . chr8 95653950 95653951 chr8:95653951:C:T rs969649 C T T EBF1_EBF_1 31 0 - 0 0 . chr8 95712970 95712971 chr8:95712971:C:T rs35153964 C T G EBF1_EBF_1 9 1 - 6.37487734290428 3.7199380774566766 TTTCCCCTGGTACA chr8 95733359 95733360 chr8:95733360:C:T rs182596494 C T C EBF1_EBF_1 -1 0 - 0 0 . chr8 95759085 95759086 chr8:95759086:A:T rs1843150 A T T EBF1_EBF_1 -16 0 + 0 0 . chr8 95837132 95837133 chr8:95837133:G:A rs518985 G A A EBF1_EBF_1 -7 0 + 0 0 . chr8 95908529 95908530 chr8:95908530:C:T rs893223 C T T EBF1_EBF_1 14 0 + 0 0 . chr8 96129855 96129856 chr8:96129856:A:T rs111388118 A T A EBF1_EBF_1 -2 0 - 0 0 . chr8 96161255 96161256 chr8:96161256:T:C rs2245091 T C T EBF1_EBF_1 17 0 + 0 0 . chr8 96283816 96283817 chr8:96283817:A:G rs9969439 A G A EBF1_EBF_1 21 0 - 0 0 . chr8 96325284 96325285 chr8:96325285:C:T rs4734338 C T C EBF1_EBF_1 -18 0 - 0 0 . chr8 96349987 96349988 chr8:96349988:G:A rs7842273 G A G EBF1_EBF_1 30 0 - 0 0 . chr8 96355249 96355250 chr8:96355250:G:A rs17781834 G A G EBF1_EBF_1 5 1 - 4.893522561294704 -0.4282646542459665 GTTCCCGAGGGCCA chr8 96365726 96365727 chr8:96365727:C:T rs2678334 C T C EBF1_EBF_1 31 0 - 0 0 . chr8 96365765 96365766 chr8:96365766:A:C rs2640812 A C C EBF1_EBF_1 -8 0 - 0 0 . chr8 96402036 96402037 chr8:96402037:G:T rs62516325 G T T EBF1_EBF_1 13 1 - 6.98719302857237 6.613447579286394 GCTCCCAGGAGACC chr8 96402062 96402063 chr8:96402063:T:C rs62516326 T C T EBF1_EBF_1 -13 0 - 0 0 . chr8 96449286 96449287 chr8:96449287:C:T rs62514868 C T C EBF1_EBF_1 -17 0 + 0 0 . chr8 96456545 96456546 chr8:96456546:G:A rs974272 G A G EBF1_EBF_1 31 0 + 0 0 . chr8 96461812 96461813 chr8:96461813:A:T rs73269770 A T A EBF1_EBF_1 1 1 - 6.662034760719589 5.695674142968759 ATTCCCCACGGATA chr8 96493649 96493650 chr8:96493650:C:A rs181240128 C A C EBF1_EBF_1 19 0 - 0 0 . chr8 96493687 96493688 chr8:96493688:G:A chr8:96493688:G:A G A G EBF1_EBF_1 -19 0 - 0 0 . chr8 96494250 96494251 chr8:96494251:A:G rs768790965 A G A EBF1_EBF_1 20 0 - 0 0 . chr8 96494416 96494417 chr8:96494417:C:T rs78492430 C T C EBF1_EBF_1 27 0 + 0 0 . chr8 96520842 96520843 chr8:96520843:A:G rs2575737 A G A EBF1_EBF_1 -5 0 - 0 0 . chr8 96530979 96530980 chr8:96530980:T:G rs880076 T G t EBF1_EBF_1 14 0 + 0 0 . chr8 96561453 96561454 chr8:96561454:T:A rs2582846 T A T EBF1_EBF_1 -16 0 + 0 0 . chr8 96570917 96570918 chr8:96570918:A:G rs75531207 A G A EBF1_EBF_1 -6 0 - 0 0 . chr8 96626485 96626486 chr8:96626486:G:A rs7003624 G A G EBF1_EBF_1 15 0 + 0 0 . chr8 96888213 96888214 chr8:96888214:G:A rs28628406 G A g EBF1_EBF_1 4 1 - 8.611249285179484 4.241862340234561 TCCCCCAAGGGACA chr8 96891377 96891378 chr8:96891378:G:A rs4289785 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 96908897 96908898 chr8:96908898:C:G rs73696290 C G C EBF1_EBF_1 27 0 + 0 0 . chr8 97084674 97084675 chr8:97084675:G:A rs11998215 G A G EBF1_EBF_1 5 1 - 4.222938713724048 -1.0988485018166227 GTCCCCGAGGGCCT chr8 97084689 97084690 chr8:97084690:G:A rs73268745 G A G EBF1_EBF_1 -10 0 - 0 0 . chr8 97134415 97134416 chr8:97134416:C:T rs7007951 C T T EBF1_EBF_1 -9 0 + 0 0 . chr8 97187438 97187439 chr8:97187439:T:C rs7822798 T C C EBF1_EBF_1 -18 0 + 0 0 . chr8 97233082 97233083 chr8:97233083:G:C rs75643287 G C G EBF1_EBF_1 5 1 - 9.197953455243834 2.2930723026086666 ATTCCCCAGGTACC chr8 97234506 97234507 chr8:97234507:C:A chr8:97234507:C:A C A C EBF1_EBF_1 24 0 - 0 0 . chr8 97234506 97234507 chr8:97234507:C:T rs73698135 C T C EBF1_EBF_1 24 0 - 0 0 . chr8 97260401 97260402 chr8:97260402:C:T rs137892816 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 97264341 97264342 chr8:97264342:G:A rs7006149 G A G EBF1_EBF_1 9 1 + 5.926081063223605 3.2711417977760022 AGTTCCCAGGGAAA chr8 97265519 97265520 chr8:97265520:T:C rs62507229 T C C EBF1_EBF_1 -18 0 + 0 0 . chr8 97265564 97265565 chr8:97265565:C:T rs62507230 C T T EBF1_EBF_1 27 0 + 0 0 . chr8 97266569 97266570 chr8:97266570:T:C rs6999128 T C T EBF1_EBF_1 22 0 + 0 0 . chr8 97281922 97281923 chr8:97281923:G:A rs2583509 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 97285193 97285194 chr8:97285194:G:T rs973756 G T T EBF1_EBF_1 8 1 + 9.943924065713265 3.0524912767360703 AGTCCCAGGAGAAT chr8 97292467 97292468 chr8:97292468:G:T rs78659055 G T G EBF1_EBF_1 3 1 - 4.435711018021231 -2.4704630114445765 CCTCCCCTGGAACC chr8 97305004 97305005 chr8:97305005:G:C rs112211977 G C G EBF1_EBF_1 4 1 - 8.57399126122228 2.948226160072736 CCTCCCCGGGGAAA chr8 97315649 97315650 chr8:97315650:G:A rs7831583 G A G EBF1_EBF_1 -17 0 - 0 0 . chr8 97388154 97388155 chr8:97388155:A:G rs2877386 A G G EBF1_EBF_1 -8 0 + 0 0 . chr8 97423429 97423430 chr8:97423430:A:T rs13261456 A T A EBF1_EBF_1 18 0 - 0 0 . chr8 97423455 97423456 chr8:97423456:A:G rs2853306 A G A EBF1_EBF_1 -8 0 - 0 0 . chr8 97471006 97471007 chr8:97471007:C:T rs1478947 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 97560382 97560383 chr8:97560383:A:G rs554126070 A G A EBF1_EBF_1 26 0 + 0 0 . chr8 97560385 97560386 chr8:97560386:G:A rs115542777 G A G EBF1_EBF_1 29 0 + 0 0 . chr8 97569682 97569683 chr8:97569683:C:T rs10504976 C T C EBF1_EBF_1 8 1 - 6.477113698699192 2.250823609812931 CATCCCAAGAGAGC chr8 97756861 97756862 chr8:97756862:T:C rs12546567 T C T EBF1_EBF_1 26 0 + 0 0 . chr8 97800254 97800255 chr8:97800255:G:A rs35044961 G A A EBF1_EBF_1 -9 0 - 0 0 . chr8 97805203 97805204 chr8:97805204:C:G rs4735496 C G G EBF1_EBF_1 -17 0 - 0 0 . chr8 97823264 97823265 chr8:97823265:G:A rs7002011 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 97849904 97849905 chr8:97849905:C:T rs535120159 C T c EBF1_EBF_1 31 0 + 0 0 . chr8 97928593 97928594 chr8:97928594:T:C rs2331808 T C T EBF1_EBF_1 30 0 + 0 0 . chr8 97957473 97957474 chr8:97957474:G:A rs10955137 G A G EBF1_EBF_1 -1 0 - 0 0 . chr8 97996035 97996036 chr8:97996036:T:G rs77348914 T G T EBF1_EBF_1 -1 0 + 0 0 . chr8 98021212 98021213 chr8:98021213:G:A rs61729731 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 98064570 98064571 chr8:98064571:G:C rs868412638 G C G EBF1_EBF_1 -12 0 - 0 0 . chr8 98165075 98165076 chr8:98165076:T:C rs16896708 T C T EBF1_EBF_1 32 0 + 0 0 . chr8 98166387 98166388 chr8:98166388:C:T rs1170021849 C T C EBF1_EBF_1 8 1 - 6.052465221266923 1.8261751323806614 AATCTCTCGGGACC chr8 98170375 98170376 chr8:98170376:C:T rs142961494 C T C EBF1_EBF_1 31 0 - 0 0 . chr8 98170381 98170382 chr8:98170382:C:T rs78366933 C T C EBF1_EBF_1 25 0 - 0 0 . chr8 98176660 98176661 chr8:98176661:C:T rs72680636 C T C EBF1_EBF_1 32 0 - 0 0 . chr8 98185423 98185424 chr8:98185424:G:A rs11987536 G A A EBF1_EBF_1 -3 0 + 0 0 . chr8 98211560 98211561 chr8:98211561:G:T rs28485842 G T G EBF1_EBF_1 3 1 - 5.953511520894679 -0.9526625085711289 ATACCCCTGGGGCA chr8 98294358 98294359 chr8:98294359:C:T rs1361092725 C T C EBF1_EBF_1 0 1 - 4.680494388046459 6.572778257611293 GCTCCCCGAGGACA chr8 98294361 98294362 chr8:98294362:G:A rs550381067 G A G EBF1_EBF_1 -3 0 - 0 0 . chr8 98294365 98294366 chr8:98294366:G:T rs193076255 G T G EBF1_EBF_1 -7 0 - 0 0 . chr8 98305510 98305511 chr8:98305511:C:T rs11785622 C T C EBF1_EBF_1 -16 0 + 0 0 . chr8 98343553 98343554 chr8:98343554:T:C rs4734398 T C T EBF1_EBF_1 19 0 - 0 0 . chr8 98343590 98343591 chr8:98343591:C:A rs4734399 C A A EBF1_EBF_1 -18 0 - 0 0 . chr8 98358177 98358178 chr8:98358178:C:A rs35768013 C A A EBF1_EBF_1 -20 0 + 0 0 . chr8 98361950 98361951 chr8:98361951:G:A rs13266189 G A G EBF1_EBF_1 -14 0 - 0 0 . chr8 98371179 98371180 chr8:98371180:C:A rs6468634 C A A EBF1_EBF_1 17 0 + 0 0 . chr8 98398599 98398600 chr8:98398600:G:T rs138993682 G T G EBF1_EBF_1 -2 0 - 0 0 . chr8 98402771 98402772 chr8:98402772:G:A rs150504821 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 98927307 98927308 chr8:98927308:G:A rs117301496 G A G EBF1_EBF_1 5 1 - 8.05812829745203 2.736341081911358 ATTCCCAAAGGAAG chr8 98927321 98927322 chr8:98927322:T:C rs79739221 T C T EBF1_EBF_1 -9 0 - 0 0 . chr8 98948047 98948048 chr8:98948048:A:G rs7814088 A G A EBF1_EBF_1 21 0 + 0 0 . chr8 99234107 99234108 chr8:99234108:C:T rs192553850 C T C EBF1_EBF_1 25 0 + 0 0 . chr8 99522092 99522093 chr8:99522093:C:T rs76406675 C T C EBF1_EBF_1 31 0 - 0 0 . chr8 99853607 99853608 chr8:99853608:G:A rs6468694 G A G EBF1_EBF_1 6 1 - 8.248740192740936 8.55002632160269 CTTCCCCAGGGAGG chr8 100038795 100038796 chr8:100038796:C:A rs930965 C A A EBF1_EBF_1 -4 0 + 0 0 . chr8 100106289 100106290 chr8:100106290:T:G chr8:100106290:T:G T G T EBF1_EBF_1 0 1 + 6.89904942430504 6.979821977706229 TGTCCCTCGAGACT chr8 100145750 100145751 chr8:100145751:G:C rs140747206 G C G EBF1_EBF_1 9 1 + 5.540350128508999 0.4646609821635357 CGCCCCCTGGGATG chr8 100146112 100146113 chr8:100146113:T:A rs114659255 T A T EBF1_EBF_1 -3 0 - 0 0 . chr8 100213479 100213480 chr8:100213480:C:A rs1660352 C A C EBF1_EBF_1 -12 0 + 0 0 . chr8 100238360 100238361 chr8:100238361:G:C rs190490288 G C G EBF1_EBF_1 12 1 - 6.691587589442205 5.302951645764054 ATTCCCGGGGGCCT chr8 100238378 100238379 chr8:100238379:A:G rs142815386 A G A EBF1_EBF_1 -6 0 - 0 0 . chr8 100309737 100309738 chr8:100309738:C:T rs79689700 C T C EBF1_EBF_1 15 0 + 0 0 . chr8 100358198 100358199 chr8:100358199:A:C rs16898283 A C A EBF1_EBF_1 6 1 - 6.122298965837264 4.015820773502309 AGTGCCTAGGGATC chr8 100395446 100395447 chr8:100395447:A:C chr8:100395447:A:C A C A EBF1_EBF_1 7 1 - 5.454703362973593 4.618519197772326 ATCTCCCTGGGACT chr8 100415729 100415730 chr8:100415730:G:A rs531234110 G A G EBF1_EBF_1 -9 0 + 0 0 . chr8 100415767 100415768 chr8:100415768:T:C rs566389661 T C T EBF1_EBF_1 29 0 + 0 0 . chr8 100435404 100435405 chr8:100435405:G:A rs192283321 G A G EBF1_EBF_1 2 1 - 4.536196277181524 6.425019688623674 GGCCCCTTGGTACC chr8 100457249 100457250 chr8:100457250:T:C rs56170755 T C T EBF1_EBF_1 7 1 + 6.307177857930698 4.489673111648075 AATCCCATGTGACT chr8 100458274 100458275 chr8:100458275:A:G rs6468719 A G A EBF1_EBF_1 21 0 + 0 0 . chr8 100474272 100474273 chr8:100474273:G:A rs2154637 G A G EBF1_EBF_1 -20 0 - 0 0 . chr8 100483340 100483341 chr8:100483341:A:T rs12541075 A T A EBF1_EBF_1 11 1 + 5.55586034258001 1.4579460864812845 AGCCCCTAGAGAGG chr8 100491591 100491592 chr8:100491592:G:A rs16898428 G A G EBF1_EBF_1 27 0 - 0 0 . chr8 100507073 100507074 chr8:100507074:G:C rs75332583 G C c EBF1_EBF_1 -18 0 - 0 0 . chr8 100514710 100514711 chr8:100514711:C:T rs7842124 C T T EBF1_EBF_1 5 1 + 4.021059160670826 -1.3007280548698439 CCACCCCTGGGGCT chr8 100528979 100528980 chr8:100528980:G:A rs967206246 G A G EBF1_EBF_1 6 1 + 6.530910010897396 8.697704442171872 ACTCCCGGGAGATT chr8 100601953 100601954 chr8:100601954:T:A rs1892738 T A T EBF1_EBF_1 24 0 - 0 0 . chr8 100651298 100651299 chr8:100651299:T:C rs7828674 T C C EBF1_EBF_1 23 0 - 0 0 . chr8 100835462 100835463 chr8:100835463:C:T rs11994947 C T C EBF1_EBF_1 -6 0 + 0 0 . chr8 100835475 100835476 chr8:100835476:G:A rs140562286 G A G EBF1_EBF_1 7 1 + 5.518707171039716 6.831165576328541 ATTCACTGGGGATC chr8 100870379 100870380 chr8:100870380:C:T rs10106287 C T C EBF1_EBF_1 -14 0 + 0 0 . chr8 100902422 100902423 chr8:100902423:C:T rs79038208 C T C EBF1_EBF_1 28 0 + 0 0 . chr8 100908162 100908163 chr8:100908163:T:C chr8:100908163:T:C T C T EBF1_EBF_1 7 1 + 5.134298206770955 3.3167934604883325 ACTCCACTGGGAAC chr8 100909575 100909576 chr8:100909576:G:A rs73697332 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 100950229 100950230 chr8:100950230:C:T rs113788028 C T C EBF1_EBF_1 21 0 + 0 0 . chr8 100951185 100951186 chr8:100951186:C:A chr8:100951186:C:A C A C EBF1_EBF_1 -19 0 - 0 0 . chr8 100951185 100951186 chr8:100951186:C:G rs138890711 C G C EBF1_EBF_1 -19 0 - 0 0 . chr8 100951683 100951684 chr8:100951684:G:C rs369606990 G C G EBF1_EBF_1 24 0 + 0 0 . chr8 100953101 100953102 chr8:100953102:G:A rs41336048 G A G EBF1_EBF_1 4 1 - 5.642897515924161 1.273510570979237 TTTCCCCTCGGAAC chr8 100953119 100953120 chr8:100953120:G:C rs79151093 G C C EBF1_EBF_1 -14 0 - 0 0 . chr8 100953316 100953317 chr8:100953317:G:A rs148792957 G A G EBF1_EBF_1 10 1 + 7.550863028991997 2.232834569725031 AGTCCCCAGGGTTC chr8 101026737 101026738 chr8:101026738:T:C rs79123757 T C T EBF1_EBF_1 -11 0 + 0 0 . chr8 101026752 101026753 chr8:101026753:C:T rs76906898 C T C EBF1_EBF_1 4 1 + 5.384883973148586 1.015497028203663 CTTCCCAGGGGCCA chr8 101071223 101071224 chr8:101071224:A:G rs737707 A G A EBF1_EBF_1 15 0 + 0 0 . chr8 101079284 101079285 chr8:101079285:G:C rs809605 G C C EBF1_EBF_1 -18 0 + 0 0 . chr8 101109107 101109108 chr8:101109108:C:A rs76097040 C A C EBF1_EBF_1 3 1 + 5.409998999621406 -1.496175029844401 GACCCCCAGGGTAT chr8 101109136 101109137 chr8:101109137:C:A rs6998631 C A C EBF1_EBF_1 32 0 + 0 0 . chr8 101111635 101111636 chr8:101111636:A:G rs6985315 A G G EBF1_EBF_1 -5 0 - 0 0 . chr8 101118741 101118742 chr8:101118742:T:C rs1126031 T C C EBF1_EBF_1 1 1 + 7.600554327415095 6.705120887016349 CTTCTCCAGGGACT chr8 101125313 101125314 chr8:101125314:A:G rs185338169 A G A EBF1_EBF_1 25 0 + 0 0 . chr8 101125320 101125321 chr8:101125321:G:A rs7821200 G A G EBF1_EBF_1 32 0 + 0 0 . chr8 101126773 101126774 chr8:101126774:C:T chr8:101126774:C:T C T C EBF1_EBF_1 -13 0 + 0 0 . chr8 101126811 101126812 chr8:101126812:T:A rs1311518258 T A T EBF1_EBF_1 25 0 + 0 0 . chr8 101127246 101127247 chr8:101127247:C:T rs117049174 C T C EBF1_EBF_1 4 1 + 10.911804213081862 6.542417268136939 AATCCCCTGGGATC chr8 101133126 101133127 chr8:101133127:A:C rs13250527 A C A EBF1_EBF_1 17 0 + 0 0 . chr8 101135753 101135754 chr8:101135754:G:A rs28651851 G A G EBF1_EBF_1 8 1 + 6.918228396506359 2.6919383076200987 TGCCCCATGAGAAT chr8 101142435 101142436 chr8:101142436:G:A rs56393421 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 101206284 101206285 chr8:101206285:C:T rs2232684 C T C EBF1_EBF_1 15 0 + 0 0 . chr8 101232862 101232863 chr8:101232863:A:C rs1900399 A C A EBF1_EBF_1 -2 0 - 0 0 . chr8 101301015 101301016 chr8:101301016:A:T rs62518051 A T A EBF1_EBF_1 -6 0 + 0 0 . chr8 101301041 101301042 chr8:101301042:G:C rs35670009 G C G EBF1_EBF_1 20 0 + 0 0 . chr8 101332826 101332827 chr8:101332827:C:T rs183153638 C T C EBF1_EBF_1 8 1 - 6.648123469788039 2.4218333809017785 TTTCCCCAGGGCAA chr8 101337556 101337557 chr8:101337557:C:T rs10100927 C T C EBF1_EBF_1 -6 0 + 0 0 . chr8 101467847 101467848 chr8:101467848:G:A rs150555003 G A G EBF1_EBF_1 14 0 + 0 0 . chr8 101471827 101471828 chr8:101471828:G:T rs73699398 G T G EBF1_EBF_1 -18 0 - 0 0 . chr8 101493462 101493463 chr8:101493463:C:G rs114871790 C G - EBF1_EBF_1 19 0 + 0 0 . chr8 101493476 101493477 chr8:101493477:A:G rs581752 A G - EBF1_EBF_1 33 0 + 0 0 . chr8 101521782 101521783 chr8:101521783:A:G rs529050 A G A EBF1_EBF_1 -17 0 - 0 0 . chr8 101667680 101667681 chr8:101667681:G:A rs3735715 G A G EBF1_EBF_1 7 1 - 6.446787885202594 8.264292631485217 TTTCCCACGAGACA chr8 101707091 101707092 chr8:101707092:G:A rs13272106 G A A EBF1_EBF_1 -3 0 - 0 0 . chr8 101785488 101785489 chr8:101785489:C:T rs1077500 C T C EBF1_EBF_1 31 0 - 0 0 . chr8 101863942 101863943 chr8:101863943:C:T rs572692 C T T EBF1_EBF_1 22 0 - 0 0 . chr8 101969106 101969107 chr8:101969107:A:C rs74336192 A C A EBF1_EBF_1 14 0 + 0 0 . chr8 102065620 102065621 chr8:102065621:G:A rs635670 G A G EBF1_EBF_1 8 1 + 6.66929848338314 2.4430083944968795 ACTCCCCAGGGCTA chr8 102098491 102098492 chr8:102098492:G:T rs1269727 G T T EBF1_EBF_1 -2 0 - 0 0 . chr8 102155896 102155897 chr8:102155897:G:A rs74643127 G A G EBF1_EBF_1 8 1 + 5.283538107620256 1.0572480187339959 ATTCCCCAGAGCTC chr8 102155948 102155949 chr8:102155949:C:T rs1547370 C T T EBF1_EBF_1 21 0 - 0 0 . chr8 102175907 102175908 chr8:102175908:C:T rs572894325 C T A EBF1_EBF_1 1 1 - 4.5075304499648015 4.246831618043499 CGTGCCATGGGAAC chr8 102175908 102175909 chr8:102175909:G:A rs1385614017 G A G EBF1_EBF_1 0 1 - 4.5075304499648015 4.789732510695379 CGTGCCATGGGAAC chr8 102198278 102198279 chr8:102198279:C:G rs552543828 C G C EBF1_EBF_1 -12 0 + 0 0 . chr8 102368436 102368437 chr8:102368437:C:T rs7838700 C T T EBF1_EBF_1 3 1 + 8.502799293416366 2.097400551863051 TTTCCCAAGGGGCA chr8 102411853 102411854 chr8:102411854:C:T rs369227718 C T C EBF1_EBF_1 7 1 + 5.130749091185067 6.94825383746769 TGCCCCCCGGGAGC chr8 102411874 102411875 chr8:102411875:C:T rs191676285 C T C EBF1_EBF_1 28 0 + 0 0 . chr8 102417032 102417033 chr8:102417033:G:A rs56273032 G A A EBF1_EBF_1 -2 0 - 0 0 . chr8 102478685 102478686 chr8:102478686:A:G rs7010489 A G G EBF1_EBF_1 16 0 - 0 0 . chr8 102549240 102549241 chr8:102549241:C:T rs16869557 C T C EBF1_EBF_1 23 0 - 0 0 . chr8 102580746 102580747 chr8:102580747:T:C rs4454251 T C T EBF1_EBF_1 11 1 - 4.914395822413461 2.021688978809449 GGCCCCAGGAGACA chr8 102585771 102585772 chr8:102585772:T:C rs73698915 T C T EBF1_EBF_1 -10 0 - 0 0 . chr8 102654529 102654530 chr8:102654530:G:A rs1014967785 G A G EBF1_EBF_1 -20 0 + 0 0 . chr8 102723850 102723851 chr8:102723851:G:A rs62523332 G A G EBF1_EBF_1 -5 0 - 0 0 . chr8 102757851 102757852 chr8:102757852:C:T rs146391279 C T C EBF1_EBF_1 -16 0 + 0 0 . chr8 102774710 102774711 chr8:102774711:C:T rs999738 C T T EBF1_EBF_1 12 1 + 7.862307983745851 6.836041413195002 TGCCCCAGGGGACC chr8 102789431 102789432 chr8:102789432:C:T rs1396204355 C T C EBF1_EBF_1 -3 0 + 0 0 . chr8 102789439 102789440 chr8:102789440:C:T rs79655006 C T C EBF1_EBF_1 5 1 + 4.775863131833388 -0.5459240837072831 AGCCCCGGGGGGCC chr8 102808368 102808369 chr8:102808369:G:C rs1032825706 G C G EBF1_EBF_1 3 1 - 4.637110516556478 -1.190653931558738 ACTCCCTGGTGACC chr8 102816413 102816414 chr8:102816414:C:T rs143505170 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 102816417 102816418 chr8:102816418:A:G rs11986658 A G G EBF1_EBF_1 27 0 + 0 0 . chr8 102864699 102864700 chr8:102864700:G:T rs72686929 G T G EBF1_EBF_1 3 1 - 8.04379389410898 1.1376198646431739 ACTCCCCAGGGTAA chr8 102872444 102872445 chr8:102872445:C:T rs117611033 C T C EBF1_EBF_1 9 1 - 9.121661202343997 6.466721936896393 AAACCCAAGGGAAC chr8 102900089 102900090 chr8:102900090:A:G rs138031917 A G A EBF1_EBF_1 20 0 - 0 0 . chr8 102900108 102900109 chr8:102900109:G:A rs78430053 G A G EBF1_EBF_1 1 1 - 4.322335866387822 5.217769306786567 GCTCACCTGGGAAC chr8 102900185 102900186 chr8:102900186:A:T rs667927 A T T EBF1_EBF_1 -5 0 + 0 0 . chr8 102915035 102915036 chr8:102915036:G:A rs72667222 G A G EBF1_EBF_1 1 1 + 4.62540714691283 4.364708314991528 CGTCACCAGGGAAC chr8 102919709 102919710 chr8:102919710:C:G rs599777 C G G EBF1_EBF_1 -4 0 - 0 0 . chr8 102930077 102930078 chr8:102930078:A:G rs650987 A G G EBF1_EBF_1 26 0 - 0 0 . chr8 102933274 102933275 chr8:102933275:G:A rs62526539 G A G EBF1_EBF_1 -7 0 + 0 0 . chr8 102993330 102993331 chr8:102993331:T:C rs504467 T C C EBF1_EBF_1 6 1 - 9.062523655565656 6.895729224291179 ACTCCCATGGTAAT chr8 102999331 102999332 chr8:102999332:A:G rs565338 A G G EBF1_EBF_1 27 0 + 0 0 . chr8 103138920 103138921 chr8:103138921:C:T rs6999246 C T C EBF1_EBF_1 3 1 + 4.477132104460498 -1.9282666370928192 ACTCCCCCAGGACG chr8 103182744 103182745 chr8:103182745:A:G rs1494272 A G G EBF1_EBF_1 27 0 + 0 0 . chr8 103192774 103192775 chr8:103192775:A:G rs7015757 A G G EBF1_EBF_1 -7 0 + 0 0 . chr8 103206589 103206590 chr8:103206590:G:T rs1353212 G T G EBF1_EBF_1 19 0 - 0 0 . chr8 103289221 103289222 chr8:103289222:T:C rs1095715 T C T EBF1_EBF_1 -15 0 - 0 0 . chr8 103298939 103298940 chr8:103298940:G:A rs527982295 G A G EBF1_EBF_1 1 1 - 8.623392366664183 9.518825807062928 TCCCCCCAGGGACC chr8 103299342 103299343 chr8:103299343:A:G rs566728282 A G A EBF1_EBF_1 -12 0 + 0 0 . chr8 103299381 103299382 chr8:103299382:T:C rs186464274 T C T EBF1_EBF_1 27 0 + 0 0 . chr8 103299387 103299388 chr8:103299388:C:A rs746232940 C A C EBF1_EBF_1 33 0 + 0 0 . chr8 103337595 103337596 chr8:103337596:G:T rs76555267 G T G EBF1_EBF_1 -13 0 + 0 0 . chr8 103349200 103349201 chr8:103349201:G:A rs1053497727 G A G EBF1_EBF_1 5 1 - 5.707697745525743 0.38591052998507297 AACCCCATGAGGCT chr8 103371351 103371352 chr8:103371352:A:G rs990322233 A G A EBF1_EBF_1 27 0 - 0 0 . chr8 103499365 103499366 chr8:103499366:C:G rs1865856 C G C EBF1_EBF_1 -3 0 + 0 0 . chr8 103500394 103500395 chr8:103500395:C:G rs941582087 C G C EBF1_EBF_1 21 0 - 0 0 . chr8 103576109 103576110 chr8:103576110:A:G rs1593496 A G G EBF1_EBF_1 -15 0 + 0 0 . chr8 103643956 103643957 chr8:103643957:A:T rs12681238 A T A EBF1_EBF_1 -6 0 + 0 0 . chr8 103650818 103650819 chr8:103650819:G:T rs11779973 G T G EBF1_EBF_1 10 1 + 11.045789388362717 6.863037202135454 ATCCCCCTGGGAAC chr8 104272883 104272884 chr8:104272884:A:T rs2511603 A T T EBF1_EBF_1 -11 0 - 0 0 . chr8 104316349 104316350 chr8:104316350:A:T rs759376082 A T A EBF1_EBF_1 15 0 + 0 0 . chr8 104324151 104324152 chr8:104324152:T:C rs3039743 T C C EBF1_EBF_1 16 0 - 0 0 . chr8 104330239 104330240 chr8:104330240:C:A rs200956823 C A C EBF1_EBF_1 -5 0 + 0 0 . chr8 104330320 104330321 chr8:104330321:C:T rs78205617 C T T EBF1_EBF_1 21 0 - 0 0 . chr8 104340925 104340926 chr8:104340926:C:T rs79840178 C T C EBF1_EBF_1 8 1 - 6.945661641807996 2.7193715529217344 CACCCCAGGGGACA chr8 104367352 104367353 chr8:104367353:G:A rs75958344 G A G EBF1_EBF_1 -7 0 + 0 0 . chr8 104412545 104412546 chr8:104412546:G:A rs3793354 G A G EBF1_EBF_1 12 1 - 5.163052485146985 4.136785914596135 AGTCCCCTGAGGCG chr8 104417991 104417992 chr8:104417992:G:A rs78948376 G A G EBF1_EBF_1 20 0 + 0 0 . chr8 104448974 104448975 chr8:104448975:C:T rs2669431 C T C EBF1_EBF_1 29 0 + 0 0 . chr8 104506751 104506752 chr8:104506752:C:T rs2959031 C T C EBF1_EBF_1 31 0 - 0 0 . chr8 104764794 104764795 chr8:104764795:T:C rs7006735 T C C EBF1_EBF_1 6 1 - 10.037104290705862 7.870309859431389 AACCCCATGGGACA chr8 104774485 104774486 chr8:104774486:A:C rs28446196 A C C EBF1_EBF_1 -18 0 - 0 0 . chr8 104920353 104920354 chr8:104920354:C:T rs4734834 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 105101067 105101068 chr8:105101068:A:G rs779661558 A G A EBF1_EBF_1 -5 0 - 0 0 . chr8 105133350 105133351 chr8:105133351:G:C rs1563888 G C C EBF1_EBF_1 -16 0 + 0 0 . chr8 105256122 105256123 chr8:105256123:G:A rs9649925 G A G EBF1_EBF_1 2 1 - 4.917614155796176 6.806437567238326 GTCGCCTAGGGACT chr8 105263242 105263243 chr8:105263243:C:T rs535531049 C T C EBF1_EBF_1 -7 0 + 0 0 . chr8 105263645 105263646 chr8:105263646:C:T rs2941634 C T C EBF1_EBF_1 14 0 - 0 0 . chr8 105395163 105395164 chr8:105395164:G:T rs2170292 G T T EBF1_EBF_1 28 0 + 0 0 . chr8 105626995 105626996 chr8:105626996:T:C rs17218705 T C t EBF1_EBF_1 -17 0 - 0 0 . chr8 106024111 106024112 chr8:106024112:G:A rs12155949 G A g EBF1_EBF_1 9 1 + 5.446023603555038 2.791084338107434 GCTCCCAGAGGAAC chr8 106104144 106104145 chr8:106104145:T:C rs760915706 T C T EBF1_EBF_1 26 0 - 0 0 . chr8 106161961 106161962 chr8:106161962:C:G rs62526081 C G C EBF1_EBF_1 7 1 - 5.676516874951397 4.695196293870041 ACTGCCTGGGGAAC chr8 106206301 106206302 chr8:106206302:C:T rs6996269 C T C EBF1_EBF_1 33 0 - 0 0 . chr8 106206492 106206493 chr8:106206493:C:T rs10283310 C T C EBF1_EBF_1 -12 0 - 0 0 . chr8 106269795 106269796 chr8:106269796:G:T chr8:106269796:G:T G T G EBF1_EBF_1 -12 0 - 0 0 . chr8 106270405 106270406 chr8:106270406:T:G rs559529513 T G T EBF1_EBF_1 15 0 - 0 0 . chr8 106270416 106270417 chr8:106270417:G:T rs751211949 G T g EBF1_EBF_1 4 1 - 4.386895573171633 -1.4376640502818347 ACTCCCCCGGGCGC chr8 106270722 106270723 chr8:106270723:A:G rs553507005 A G A EBF1_EBF_1 23 0 - 0 0 . chr8 106496790 106496791 chr8:106496791:C:T rs16874768 C T C EBF1_EBF_1 -15 0 - 0 0 . chr8 106528493 106528494 chr8:106528494:T:C rs77454879 T C t EBF1_EBF_1 23 0 - 0 0 . chr8 106657861 106657862 chr8:106657862:T:G rs28921372 T G T EBF1_EBF_1 -17 0 + 0 0 . chr8 106657894 106657895 chr8:106657895:T:G rs1432690448 T G T EBF1_EBF_1 16 0 + 0 0 . chr8 106697815 106697816 chr8:106697816:T:A rs2627788 T A A EBF1_EBF_1 -11 0 - 0 0 . chr8 106889332 106889333 chr8:106889333:G:T rs58019451 G T G EBF1_EBF_1 -16 0 + 0 0 . chr8 106909011 106909012 chr8:106909012:C:T rs35100261 C T C EBF1_EBF_1 25 0 - 0 0 . chr8 106957513 106957514 chr8:106957514:T:C rs2927101 T C C EBF1_EBF_1 20 0 + 0 0 . chr8 106957514 106957515 chr8:106957515:G:C rs2927100 G C C EBF1_EBF_1 21 0 + 0 0 . chr8 106999496 106999497 chr8:106999497:G:A rs34615941 G A G EBF1_EBF_1 8 1 + 3.702734310690876 -0.5235557781953857 AGCCCCCCGGGCCA chr8 107026202 107026203 chr8:107026203:G:A rs144527972 G A A EBF1_EBF_1 -12 0 + 0 0 . chr8 107123539 107123540 chr8:107123540:C:T rs4439101 C T C EBF1_EBF_1 1 1 - 5.056034873856452 4.795336041935149 AGCCCCAAGAGGGT chr8 107169993 107169994 chr8:107169994:C:T rs10505096 C T C EBF1_EBF_1 1 1 + 6.41548140564645 7.310914846045196 CCTCCCCTGGTACT chr8 107296535 107296536 chr8:107296536:A:G rs73701072 A G G EBF1_EBF_1 15 0 - 0 0 . chr8 107324508 107324509 chr8:107324509:G:A rs13273632 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 107332407 107332408 chr8:107332408:C:G rs11996849 C G C EBF1_EBF_1 -12 0 + 0 0 . chr8 107332449 107332450 chr8:107332450:G:A rs6992940 G A G EBF1_EBF_1 30 0 + 0 0 . chr8 107353992 107353993 chr8:107353993:T:C rs1433196 T C T EBF1_EBF_1 -17 0 + 0 0 . chr8 107429470 107429471 chr8:107429471:T:G rs10101315 T G T EBF1_EBF_1 -19 0 + 0 0 . chr8 107436582 107436583 chr8:107436583:C:T rs146192403 C T C EBF1_EBF_1 17 0 - 0 0 . chr8 107602771 107602772 chr8:107602772:G:T rs147345064 G T g EBF1_EBF_1 33 0 + 0 0 . chr8 107657893 107657894 chr8:107657894:G:T rs6469124 G T C EBF1_EBF_1 5 1 - 7.578374918101727 0.6734937654665584 TTACCCTTGGGAAC chr8 107657896 107657897 chr8:107657897:T:G rs6469125 T G T EBF1_EBF_1 2 1 - 7.578374918101727 9.374019094258454 TTACCCTTGGGAAC chr8 108034192 108034193 chr8:108034193:G:A rs11778403 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 108082882 108082883 chr8:108082883:T:C chr8:108082883:T:C T C T EBF1_EBF_1 -19 0 - 0 0 . chr8 108123183 108123184 chr8:108123184:C:T rs440443 C T C EBF1_EBF_1 25 0 + 0 0 . chr8 108131364 108131365 chr8:108131365:G:A rs532543365 G A G EBF1_EBF_1 27 0 - 0 0 . chr8 108142179 108142180 chr8:108142180:T:A rs405534 T A A EBF1_EBF_1 -7 0 + 0 0 . chr8 108343451 108343452 chr8:108343452:T:C rs6469171 T C T EBF1_EBF_1 -3 0 - 0 0 . chr8 108402066 108402067 chr8:108402067:A:C rs75425523 A C A EBF1_EBF_1 12 1 + 6.165844427887194 6.135663029465868 GTTCCCAAAGGAAG chr8 108426043 108426044 chr8:108426044:T:A rs143714167 T A T EBF1_EBF_1 -17 0 + 0 0 . chr8 108575322 108575323 chr8:108575323:G:A rs34516160 G A G EBF1_EBF_1 23 0 + 0 0 . chr8 108613770 108613771 chr8:108613771:C:T rs76164440 C T C EBF1_EBF_1 4 1 + 7.938477373067861 3.5690904281229376 ATTCCCCAGGGCTC chr8 108671376 108671377 chr8:108671377:T:C rs1874679 T C T EBF1_EBF_1 -5 0 + 0 0 . chr8 108784525 108784526 chr8:108784526:G:A rs145156371 G A C EBF1_EBF_1 31 0 + 0 0 . chr8 108972674 108972675 chr8:108972675:G:A rs57428046 G A G EBF1_EBF_1 -14 0 - 0 0 . chr8 108986821 108986822 chr8:108986822:C:T rs72676857 C T C EBF1_EBF_1 26 0 - 0 0 . chr8 109095985 109095986 chr8:109095986:A:G rs73702771 A G A EBF1_EBF_1 7 1 - 5.343971591561925 3.5264668452793004 AGTGCCATGGGAGC chr8 109108098 109108099 chr8:109108099:C:T rs4130682 C T T EBF1_EBF_1 6 1 - 6.944642321669009 9.111436752943483 TCTCCCGAGGGAGA chr8 109172788 109172789 chr8:109172789:A:G rs10111874 A G G EBF1_EBF_1 -17 0 - 0 0 . chr8 109282203 109282204 chr8:109282204:T:C rs567086239 T C t EBF1_EBF_1 24 0 + 0 0 . chr8 109293706 109293707 chr8:109293707:C:T rs1380096 C T C EBF1_EBF_1 12 1 + 5.877213957306961 4.850947386756111 GTTCCCTGAGGACA chr8 109368450 109368451 chr8:109368451:C:T rs7819141 C T T EBF1_EBF_1 -11 0 - 0 0 . chr8 109391266 109391267 chr8:109391267:T:A rs13278812 T A A EBF1_EBF_1 0 1 - 5.481264714387903 3.5082082914218806 AATCCCTAGGCAAA chr8 109524722 109524723 chr8:109524723:G:T rs1783172 G T G EBF1_EBF_1 -1 0 - 0 0 . chr8 109579921 109579922 chr8:109579922:A:G rs112294178 A G A EBF1_EBF_1 20 0 - 0 0 . chr8 109590087 109590088 chr8:109590088:G:T rs6990312 G T T EBF1_EBF_1 18 0 - 0 0 . chr8 109642689 109642690 chr8:109642690:C:T rs79472812 C T C EBF1_EBF_1 -19 0 + 0 0 . chr8 109764834 109764835 chr8:109764835:A:C rs3133915 A C C EBF1_EBF_1 11 1 + 5.3360736208356645 0.9201119229832742 TTCCCCCAGGTACC chr8 109972464 109972465 chr8:109972465:G:A rs141508216 G A G EBF1_EBF_1 -15 0 + 0 0 . chr8 110156857 110156858 chr8:110156858:A:G rs2167253 A G A EBF1_EBF_1 26 0 + 0 0 . chr8 110798791 110798792 chr8:110798792:C:G rs117063684 C G C EBF1_EBF_1 10 1 - 5.137180941097596 -1.753621446231878 ATTCCCAGGGGCGG chr8 110798804 110798805 chr8:110798805:A:C rs113906894 A C A EBF1_EBF_1 -3 0 - 0 0 . chr8 110806518 110806519 chr8:110806519:T:C rs60514372 T C C EBF1_EBF_1 -10 0 - 0 0 . chr8 110822406 110822407 chr8:110822407:G:A rs7016394 G A g EBF1_EBF_1 2 1 - 8.8930596954677 10.78188310690985 CTCCCCCAGGGAAA chr8 110822832 110822833 chr8:110822833:C:T rs11994924 C T C EBF1_EBF_1 -17 0 + 0 0 . chr8 110835545 110835546 chr8:110835546:T:A rs62522389 T A A EBF1_EBF_1 16 0 - 0 0 . chr8 110850298 110850299 chr8:110850299:A:C rs16881248 A C A EBF1_EBF_1 31 0 - 0 0 . chr8 111006094 111006095 chr8:111006095:C:G rs7459880 C G C EBF1_EBF_1 21 0 - 0 0 . chr8 111070703 111070704 chr8:111070704:C:T rs371924165 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 111210032 111210033 chr8:111210033:G:C rs71526782 G C G EBF1_EBF_1 8 1 + 5.828835562866781 0.5101767019520955 CGTCCCTTGGGCCC chr8 111475125 111475126 chr8:111475126:T:C rs6469352 T C C EBF1_EBF_1 14 0 + 0 0 . chr8 111760187 111760188 chr8:111760188:C:T rs10216588 C T C EBF1_EBF_1 12 1 - 6.6210308413844485 8.039848183483926 TTCCCCTAGAGAGT chr8 111782066 111782067 chr8:111782067:G:A rs17615484 G A G EBF1_EBF_1 5 1 - 5.359986848512104 0.03819963297143454 TTTCCCCTGGTAGC chr8 111832461 111832462 chr8:111832462:C:A rs182067910 C A c EBF1_EBF_1 21 0 - 0 0 . chr8 112218122 112218123 chr8:112218123:A:G rs28658178 A G G EBF1_EBF_1 -19 0 + 0 0 . chr8 112450565 112450566 chr8:112450566:C:A rs7843346 C A A EBF1_EBF_1 8 1 + 5.002731858141041 6.095100630169465 AGTCCCTACAGACC chr8 112458718 112458719 chr8:112458719:A:G rs1388959 A G A EBF1_EBF_1 -14 0 - 0 0 . chr8 112674427 112674428 chr8:112674428:G:A rs73700772 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 112888137 112888138 chr8:112888138:T:C rs2125553 T C C EBF1_EBF_1 27 0 + 0 0 . chr8 113071033 113071034 chr8:113071034:T:C rs10955642 T C C EBF1_EBF_1 33 0 - 0 0 . chr8 113071065 113071066 chr8:113071066:G:T rs7824563 G T T EBF1_EBF_1 1 1 - 6.317148526754238 6.246221349402153 ACTCACCAGGGAAA chr8 113071081 113071082 chr8:113071082:C:G rs7824314 C G C EBF1_EBF_1 -15 0 - 0 0 . chr8 113121495 113121496 chr8:113121496:C:A rs149132824 C A C EBF1_EBF_1 -13 0 + 0 0 . chr8 113121537 113121538 chr8:113121538:C:G rs72685849 C G C EBF1_EBF_1 29 0 + 0 0 . chr8 113405991 113405992 chr8:113405992:C:T rs1382467 C T T EBF1_EBF_1 -16 0 - 0 0 . chr8 113435810 113435811 chr8:113435811:G:C rs568994168 G C G EBF1_EBF_1 -17 0 + 0 0 . chr8 113525725 113525726 chr8:113525726:A:C rs78040907 A C A EBF1_EBF_1 -6 0 + 0 0 . chr8 113564479 113564480 chr8:113564480:C:G rs7832914 C G C EBF1_EBF_1 19 0 - 0 0 . chr8 113625138 113625139 chr8:113625139:T:C rs73346160 T C T EBF1_EBF_1 24 0 - 0 0 . chr8 113625211 113625212 chr8:113625212:T:C rs10955668 T C T EBF1_EBF_1 -14 0 - 0 0 . chr8 113687153 113687154 chr8:113687154:G:A rs17692270 G A g EBF1_EBF_1 -4 0 - 0 0 . chr8 113715350 113715351 chr8:113715351:T:C rs7012671 T C C EBF1_EBF_1 7 1 + 5.686809747670326 3.8693050013877017 TCACCCATGGGATC chr8 113859150 113859151 chr8:113859151:G:T rs72675398 G T g EBF1_EBF_1 -13 0 - 0 0 . chr8 113882510 113882511 chr8:113882511:G:T rs13438911 G T T EBF1_EBF_1 -10 0 + 0 0 . chr8 113915290 113915291 chr8:113915291:G:T rs11778042 G T G EBF1_EBF_1 20 0 + 0 0 . chr8 114255077 114255078 chr8:114255078:C:T rs74347032 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 114538102 114538103 chr8:114538103:C:T rs17717179 C T T EBF1_EBF_1 8 1 - 5.488199665645875 1.261909576759615 TATGCCCAGGGAAT chr8 114637175 114637176 chr8:114637176:T:C rs143885130 T C T EBF1_EBF_1 30 0 - 0 0 . chr8 114794633 114794634 chr8:114794634:C:T rs75042116 C T C EBF1_EBF_1 17 0 - 0 0 . chr8 114904203 114904204 chr8:114904204:G:A rs112561753 G A G EBF1_EBF_1 -6 0 + 0 0 . chr8 115417769 115417770 chr8:115417770:T:C rs808994 T C T EBF1_EBF_1 28 0 - 0 0 . chr8 115451874 115451875 chr8:115451875:T:C chr8:115451875:T:C T C T EBF1_EBF_1 13 1 + 5.55912684299796 4.714632728412442 TCTGCCCAGGGAAT chr8 115458165 115458166 chr8:115458166:G:A rs114392278 G A G EBF1_EBF_1 6 1 + 10.175501558161075 12.34229598943555 ATTCCCGAGGGATA chr8 115657541 115657542 chr8:115657542:C:T rs179442 C T T EBF1_EBF_1 10 1 - 6.794649880383935 1.4766214211169695 ATTCCCAAGAGGTA chr8 115707670 115707671 chr8:115707671:C:T rs800534 C T C EBF1_EBF_1 -1 0 - 0 0 . chr8 115734519 115734520 chr8:115734520:G:T rs2205265 G T G EBF1_EBF_1 -5 0 + 0 0 . chr8 115738926 115738927 chr8:115738927:G:A rs11989060 G A G EBF1_EBF_1 20 0 + 0 0 . chr8 116548289 116548290 chr8:116548290:A:G rs6987839 A G A EBF1_EBF_1 17 0 + 0 0 . chr8 116554148 116554149 chr8:116554149:G:A rs4876361 G A A EBF1_EBF_1 -13 0 - 0 0 . chr8 116806767 116806768 chr8:116806768:T:C rs16888856 T C C EBF1_EBF_1 30 0 - 0 0 . chr8 116912680 116912681 chr8:116912681:G:C rs2921747 G C C EBF1_EBF_1 -6 0 + 0 0 . chr8 116939902 116939903 chr8:116939903:C:T rs16889293 C T C EBF1_EBF_1 29 0 - 0 0 . chr8 117007931 117007932 chr8:117007932:G:A rs3019884 G A G EBF1_EBF_1 3 1 + 6.095051570421796 5.016641989071205 ATTGCCCAGGGAAG chr8 117040916 117040917 chr8:117040917:G:A rs115342062 G A G EBF1_EBF_1 23 0 + 0 0 . chr8 117041006 117041007 chr8:117041007:G:C rs1394872 G C C EBF1_EBF_1 12 1 + 5.426969165344606 6.815605109022758 TCACCCAAGGGAGA chr8 117041025 117041026 chr8:117041026:T:G rs62510472 T G T EBF1_EBF_1 31 0 + 0 0 . chr8 117329178 117329179 chr8:117329179:A:G rs192403546 A G A EBF1_EBF_1 2 1 - 5.1658543572280635 3.2770309457859135 GCTCCCTGGGGCCC chr8 117418783 117418784 chr8:117418784:C:T rs13268789 C T C EBF1_EBF_1 -8 0 - 0 0 . chr8 117476920 117476921 chr8:117476921:T:C rs1352558 T C T EBF1_EBF_1 -12 0 + 0 0 . chr8 117523481 117523482 chr8:117523482:G:T rs186755463 G T G EBF1_EBF_1 25 0 - 0 0 . chr8 117733971 117733972 chr8:117733972:G:A rs112683561 G A A EBF1_EBF_1 -10 0 + 0 0 . chr8 117739542 117739543 chr8:117739543:C:T rs150262400 C T C EBF1_EBF_1 -2 0 - 0 0 . chr8 117777387 117777388 chr8:117777388:G:T rs28530633 G T - EBF1_EBF_1 27 0 + 0 0 . chr8 117777393 117777394 chr8:117777394:C:T rs28402169 C T - EBF1_EBF_1 33 0 + 0 0 . chr8 117902137 117902138 chr8:117902138:T:C rs12682332 T C C EBF1_EBF_1 22 0 - 0 0 . chr8 117946842 117946843 chr8:117946843:G:C rs76464509 G C G EBF1_EBF_1 -18 0 - 0 0 . chr8 117955443 117955444 chr8:117955444:A:G rs117088668 A G A EBF1_EBF_1 33 0 - 0 0 . chr8 118039117 118039118 chr8:118039118:A:G rs7357586 A G A EBF1_EBF_1 29 0 + 0 0 . chr8 118056329 118056330 chr8:118056330:G:A rs17505737 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 118087118 118087119 chr8:118087119:A:T rs77103027 A T A EBF1_EBF_1 -20 0 + 0 0 . chr8 118090966 118090967 chr8:118090967:C:G rs2514738 C G G EBF1_EBF_1 -12 0 + 0 0 . chr8 118207165 118207166 chr8:118207166:G:A rs11562800 G A G EBF1_EBF_1 12 1 - 11.570260490609012 10.543993920058163 ATTCCCCAGAGACT chr8 118333371 118333372 chr8:118333372:T:C rs6998566 T C C EBF1_EBF_1 -4 0 + 0 0 . chr8 118440184 118440185 chr8:118440185:A:G rs6985503 A G G EBF1_EBF_1 -17 0 + 0 0 . chr8 118440802 118440803 chr8:118440803:A:C rs10104899 A C A EBF1_EBF_1 13 1 - 6.503128482891843 4.17056334695091 CATCCCATGGGCAT chr8 118444035 118444036 chr8:118444036:C:T rs73313438 C T C EBF1_EBF_1 -15 0 + 0 0 . chr8 118450066 118450067 chr8:118450067:T:C rs12677506 T C C EBF1_EBF_1 -5 0 + 0 0 . chr8 118777539 118777540 chr8:118777540:C:T rs78736097 C T C EBF1_EBF_1 -3 0 + 0 0 . chr8 118817767 118817768 chr8:118817768:A:G rs3134075 A G G EBF1_EBF_1 17 0 + 0 0 . chr8 118904175 118904176 chr8:118904176:C:T rs140306080 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 118931262 118931263 chr8:118931263:T:C rs3134050 T C c EBF1_EBF_1 15 0 + 0 0 . chr8 118951603 118951604 chr8:118951604:G:T rs10505346 G T g EBF1_EBF_1 -8 0 + 0 0 . chr8 118951699 118951700 chr8:118951700:C:T rs11573808 C T c EBF1_EBF_1 25 0 - 0 0 . chr8 118951703 118951704 chr8:118951704:T:C rs991380283 T C t EBF1_EBF_1 21 0 - 0 0 . chr8 118951709 118951710 chr8:118951710:C:T rs547933002 C T c EBF1_EBF_1 15 0 - 0 0 . chr8 118952104 118952105 chr8:118952105:G:A rs11575929 G A g EBF1_EBF_1 31 0 + 0 0 . chr8 119018234 119018235 chr8:119018235:T:C rs28437165 T C T EBF1_EBF_1 11 1 + 4.36058838397988 4.042540942226215 CGCCCCTAGGGTCA chr8 119020177 119020178 chr8:119020178:G:A rs16891850 G A G EBF1_EBF_1 -20 0 + 0 0 . chr8 119072699 119072700 chr8:119072700:G:C rs2465395 G C C EBF1_EBF_1 21 0 - 0 0 . chr8 119089971 119089972 chr8:119089972:C:T rs74524771 C T C EBF1_EBF_1 30 0 - 0 0 . chr8 119205289 119205290 chr8:119205290:G:T rs150159381 G T G EBF1_EBF_1 12 1 - 7.049908921052014 7.0800903194733396 TTTCCCTTGGTACC chr8 119208860 119208861 chr8:119208861:C:G rs535336658 C G C EBF1_EBF_1 -18 0 - 0 0 . chr8 119352822 119352823 chr8:119352823:C:T rs2447169 C T C EBF1_EBF_1 15 0 + 0 0 . chr8 119415355 119415356 chr8:119415356:C:T rs1381333 C T T EBF1_EBF_1 -4 0 + 0 0 . chr8 119415362 119415363 chr8:119415363:C:G rs1381334 C G C EBF1_EBF_1 3 1 + 6.875656687807067 1.0478922396918513 TTTCCCATGAGAGA chr8 119415997 119415998 chr8:119415998:G:A rs75254116 G A G EBF1_EBF_1 8 1 + 4.682135612766964 0.4558455238807028 AAACCCCTGGGCCT chr8 119516952 119516953 chr8:119516953:C:T rs114498069 C T C EBF1_EBF_1 -9 0 + 0 0 . chr8 119552208 119552209 chr8:119552209:G:A rs4644292 G A A EBF1_EBF_1 18 0 + 0 0 . chr8 119569041 119569042 chr8:119569042:C:T rs3765048 C T C EBF1_EBF_1 3 1 + 6.727389778195101 0.3219910366417843 TTCCCCTGGAGAAT chr8 119701439 119701440 chr8:119701440:T:A rs16893025 T A T EBF1_EBF_1 1 1 + 5.928632581369953 4.9622719636191235 GTTCCCAAGAGGCA chr8 119715288 119715289 chr8:119715289:A:G rs538679352 A G A EBF1_EBF_1 13 1 - 6.103386227928715 5.258892113343197 TCTCCCAAAGGAGT chr8 119739171 119739172 chr8:119739172:C:T rs115141680 C T C EBF1_EBF_1 30 0 + 0 0 . chr8 119832605 119832606 chr8:119832606:G:C rs11552092 G C G EBF1_EBF_1 2 1 - 5.3314282781210425 0.4719259993627247 ATCCCCCTAGGAAG chr8 119856050 119856051 chr8:119856051:G:A rs144171942 G A G EBF1_EBF_1 2 1 - 4.779293351198551 6.668116762640703 CGCCCCCCGGGAAG chr8 119874129 119874130 chr8:119874130:T:A rs7840728 T A A EBF1_EBF_1 25 0 - 0 0 . chr8 119874160 119874161 chr8:119874161:G:C chr8:119874161:G:C G C G EBF1_EBF_1 -6 0 - 0 0 . chr8 119895530 119895531 chr8:119895531:G:A rs4871011 G A A EBF1_EBF_1 -17 0 - 0 0 . chr8 119917593 119917594 chr8:119917594:G:C rs7829901 G C G EBF1_EBF_1 -17 0 - 0 0 . chr8 119917826 119917827 chr8:119917827:A:G rs12545586 A G G EBF1_EBF_1 -14 0 - 0 0 . chr8 119919646 119919647 chr8:119919647:T:G rs1255257634 T G T EBF1_EBF_1 25 0 + 0 0 . chr8 120001323 120001324 chr8:120001324:T:C rs1519816 T C T EBF1_EBF_1 14 0 + 0 0 . chr8 120015821 120015822 chr8:120015822:C:T rs7818635 C T C EBF1_EBF_1 -8 0 - 0 0 . chr8 120034108 120034109 chr8:120034109:A:C rs7820543 A C A EBF1_EBF_1 13 1 + 4.958999177015295 5.332744626301271 CTCCCCTGGGGGCA chr8 120061014 120061015 chr8:120061015:T:C rs16893478 T C T EBF1_EBF_1 6 1 - 7.115254665105258 4.948460233830784 AGTCCCAAGAGGCA chr8 120069049 120069050 chr8:120069050:G:A rs4871829 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 120127421 120127422 chr8:120127422:G:A rs145743213 G A G EBF1_EBF_1 -20 0 - 0 0 . chr8 120226544 120226545 chr8:120226545:T:C rs75053208 T C T EBF1_EBF_1 -4 0 + 0 0 . chr8 120335724 120335725 chr8:120335725:C:T rs73706440 C T C EBF1_EBF_1 3 1 + 5.0204231395828 -1.3849756019705168 GCTCCCTAGGGCAG chr8 120383604 120383605 chr8:120383605:G:A rs10089714 G A G EBF1_EBF_1 -5 0 - 0 0 . chr8 120397727 120397728 chr8:120397728:A:G rs7844850 A G G EBF1_EBF_1 14 0 - 0 0 . chr8 120642514 120642515 chr8:120642515:A:C rs7825018 A C C EBF1_EBF_1 16 0 + 0 0 . chr8 120699366 120699367 chr8:120699367:A:G rs7845925 A G G EBF1_EBF_1 -17 0 + 0 0 . chr8 120699548 120699549 chr8:120699549:C:T rs13276442 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 120701452 120701453 chr8:120701453:C:T chr8:120701453:C:T C T C EBF1_EBF_1 -10 0 - 0 0 . chr8 120736690 120736691 chr8:120736691:T:A rs12547287 T A T EBF1_EBF_1 22 0 + 0 0 . chr8 120742892 120742893 chr8:120742893:A:G rs59476730 A G G EBF1_EBF_1 32 0 - 0 0 . chr8 120745420 120745421 chr8:120745421:G:C rs74833331 G C G EBF1_EBF_1 -2 0 + 0 0 . chr8 120811138 120811139 chr8:120811139:C:A rs6983952 C A C EBF1_EBF_1 32 0 - 0 0 . chr8 120857095 120857096 chr8:120857096:C:A rs73707197 C A C EBF1_EBF_1 -16 0 - 0 0 . chr8 120862252 120862253 chr8:120862253:G:A rs142194784 G A G EBF1_EBF_1 33 0 + 0 0 . chr8 120866450 120866451 chr8:120866451:C:T rs6651222 C T T EBF1_EBF_1 -8 0 - 0 0 . chr8 120914111 120914112 chr8:120914112:G:A rs10110895 G A G EBF1_EBF_1 26 0 + 0 0 . chr8 120976018 120976019 chr8:120976019:A:G rs60747796 A G A EBF1_EBF_1 7 1 + 5.199228774686625 3.886770369397799 GGTCCCAAGGTAGA chr8 121034015 121034016 chr8:121034016:A:G rs1583321 A G A EBF1_EBF_1 -2 0 - 0 0 . chr8 121134486 121134487 chr8:121134487:C:T rs1472743 C T C EBF1_EBF_1 -7 0 - 0 0 . chr8 121244483 121244484 chr8:121244484:C:G rs2581547 C G G EBF1_EBF_1 4 1 - 5.569672800627794 11.195437901777337 ATCCGCATGGGATT chr8 121260259 121260260 chr8:121260260:G:A rs1354503 G A A EBF1_EBF_1 22 0 + 0 0 . chr8 121261144 121261145 chr8:121261145:G:A rs191096728 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 121454715 121454716 chr8:121454716:G:T rs1361526751 G T G EBF1_EBF_1 26 0 + 0 0 . chr8 121485282 121485283 chr8:121485283:A:G rs34763210 A G A EBF1_EBF_1 17 0 + 0 0 . chr8 121513805 121513806 chr8:121513806:T:C rs10089613 T C C EBF1_EBF_1 6 1 + 6.419902413763505 6.118616284901747 AATGCCTGGGGACT chr8 121606776 121606777 chr8:121606777:G:A rs7014836 G A G EBF1_EBF_1 -11 0 + 0 0 . chr8 121639357 121639358 chr8:121639358:G:A rs11996041 G A G EBF1_EBF_1 31 0 - 0 0 . chr8 121724398 121724399 chr8:121724399:G:A rs117567395 G A G EBF1_EBF_1 6 1 + 6.745180460296741 8.911974891571216 GGCCCCGAGGGAAA chr8 121767115 121767116 chr8:121767116:C:G rs58605087 C G C EBF1_EBF_1 4 1 + 10.571207590962727 4.945442489813185 ATCCCCAGGGGAAC chr8 121797281 121797282 chr8:121797282:A:C chr8:121797282:A:C A C A EBF1_EBF_1 20 0 + 0 0 . chr8 121801608 121801609 chr8:121801609:C:T rs7814424 C T C EBF1_EBF_1 30 0 - 0 0 . chr8 121803823 121803824 chr8:121803824:T:C chr8:121803824:T:C T C T EBF1_EBF_1 7 1 - 5.8799124120923505 4.567454006803526 AGTCCCTAGAGTAA chr8 121881809 121881810 chr8:121881810:C:T rs1397345 C T C EBF1_EBF_1 24 0 + 0 0 . chr8 122158821 122158822 chr8:122158822:A:G rs73709443 A G A EBF1_EBF_1 26 0 + 0 0 . chr8 122188265 122188266 chr8:122188266:A:T rs75216106 A T A EBF1_EBF_1 1 1 + 9.476359610968316 10.442720228719145 CACCCCAAGGGACT chr8 122261192 122261193 chr8:122261193:T:C rs2023111 T C C EBF1_EBF_1 -5 0 - 0 0 . chr8 122310423 122310424 chr8:122310424:T:C rs2023126 T C C EBF1_EBF_1 -4 0 + 0 0 . chr8 122324957 122324958 chr8:122324958:C:T rs1955047 C T T EBF1_EBF_1 -18 0 + 0 0 . chr8 122498840 122498841 chr8:122498841:G:C rs58791809 G C C EBF1_EBF_1 1 1 + 4.773307030072752 4.583535375503535 TGCCCCTGGAGACA chr8 122526169 122526170 chr8:122526170:A:G rs60744702 A G A EBF1_EBF_1 -17 0 - 0 0 . chr8 122549102 122549103 chr8:122549103:A:G chr8:122549103:A:G A G A EBF1_EBF_1 32 0 - 0 0 . chr8 122566204 122566205 chr8:122566205:A:C rs943659495 A C A EBF1_EBF_1 8 1 - 4.021229673700251 10.912662462677446 AGTCCCCATGGAGA chr8 122639399 122639400 chr8:122639400:G:A rs9692955 G A A EBF1_EBF_1 0 1 + 8.085542798626243 9.977826668191076 GGTCCCTAGAGAAA chr8 122664059 122664060 chr8:122664060:C:G rs4637835 C G G EBF1_EBF_1 -16 0 + 0 0 . chr8 122677699 122677700 chr8:122677700:G:A rs117970058 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 122680945 122680946 chr8:122680946:T:G rs116328811 T G T EBF1_EBF_1 16 0 + 0 0 . chr8 122680956 122680957 chr8:122680957:G:A rs17355811 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 122733792 122733793 chr8:122733793:G:C rs149677493 G C G EBF1_EBF_1 4 1 - 6.1845596511055785 0.5587945499560347 AACCCCATAGGACC chr8 122733800 122733801 chr8:122733801:T:C rs1472050738 T C T EBF1_EBF_1 -4 0 - 0 0 . chr8 122738128 122738129 chr8:122738129:C:A rs189704034 C A C EBF1_EBF_1 -13 0 + 0 0 . chr8 122759387 122759388 chr8:122759388:A:C rs72714377 A C A EBF1_EBF_1 23 0 + 0 0 . chr8 122772499 122772500 chr8:122772500:G:A rs75736799 G A G EBF1_EBF_1 3 1 - 7.005783352900739 0.6003846113474216 TTTCCCTAGAGGCT chr8 122773036 122773037 chr8:122773037:C:T rs7837792 C T T EBF1_EBF_1 -16 0 + 0 0 . chr8 122773066 122773067 chr8:122773067:C:T rs146793166 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 122774821 122774822 chr8:122774822:C:T rs6980880 C T C EBF1_EBF_1 -10 0 + 0 0 . chr8 122782447 122782448 chr8:122782448:G:C rs1399258421 G C G EBF1_EBF_1 -9 0 - 0 0 . chr8 122783618 122783619 chr8:122783619:G:A rs938187073 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 122813365 122813366 chr8:122813366:C:T rs4870816 C T C EBF1_EBF_1 -20 0 - 0 0 . chr8 122823047 122823048 chr8:122823048:G:C rs72717978 G C G EBF1_EBF_1 1 1 - 4.89728974554192 5.087061400111137 TCTCCCCAAGGAGC chr8 122830411 122830412 chr8:122830412:G:A rs4871312 G A G EBF1_EBF_1 19 0 - 0 0 . chr8 122864479 122864480 chr8:122864480:A:G rs12679756 A G G EBF1_EBF_1 31 0 - 0 0 . chr8 122864915 122864916 chr8:122864916:G:A rs11776799 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 122866575 122866576 chr8:122866576:T:G rs58187997 T G T EBF1_EBF_1 -18 0 - 0 0 . chr8 122911233 122911234 chr8:122911234:T:C rs4543543 T C C EBF1_EBF_1 25 0 - 0 0 . chr8 122911255 122911256 chr8:122911256:G:A rs139126346 G A G EBF1_EBF_1 3 1 - 4.281948541828022 -2.123450199725295 CCACCCCCGGGAAC chr8 122921496 122921497 chr8:122921497:G:A rs140552414 G A G EBF1_EBF_1 -9 0 - 0 0 . chr8 122954698 122954699 chr8:122954699:G:A rs78302109 G A G EBF1_EBF_1 2 1 - 3.9511094817147776 5.839932893156929 TGCCCCCTGGGCAC chr8 122970407 122970408 chr8:122970408:T:G chr8:122970408:T:G T G T EBF1_EBF_1 25 0 + 0 0 . chr8 122980934 122980935 chr8:122980935:T:C rs113692387 T C T EBF1_EBF_1 6 1 + 5.720394813637582 5.419108684775824 AGACCCTAGGGCCT chr8 123057243 123057244 chr8:123057244:C:T rs62520583 C T C EBF1_EBF_1 20 0 - 0 0 . chr8 123066231 123066232 chr8:123066232:C:T rs80042305 C T C EBF1_EBF_1 22 0 - 0 0 . chr8 123159012 123159013 chr8:123159013:G:C rs115947686 G C G EBF1_EBF_1 2 1 - 4.723960926657725 -0.1355413521005937 AGCCCCCTGGGTCG chr8 123168547 123168548 chr8:123168548:T:C rs17376305 T C T EBF1_EBF_1 -3 0 + 0 0 . chr8 123182060 123182061 chr8:123182061:G:A rs117923613 G A G EBF1_EBF_1 21 0 + 0 0 . chr8 123183111 123183112 chr8:123183112:G:T rs148011353 G T G EBF1_EBF_1 28 0 - 0 0 . chr8 123183118 123183119 chr8:123183119:C:T rs34007285 C T C EBF1_EBF_1 21 0 - 0 0 . chr8 123192654 123192655 chr8:123192655:T:C rs13270162 T C C EBF1_EBF_1 31 0 - 0 0 . chr8 123193896 123193897 chr8:123193897:C:T rs117401161 C T C EBF1_EBF_1 16 0 - 0 0 . chr8 123274908 123274909 chr8:123274909:C:G rs114016579 C G C EBF1_EBF_1 33 0 + 0 0 . chr8 123396119 123396120 chr8:123396120:C:T rs373225936 C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 123463781 123463782 chr8:123463782:A:G rs28570131 A G A EBF1_EBF_1 -8 0 + 0 0 . chr8 123528403 123528404 chr8:123528404:T:C rs4242341 T C C EBF1_EBF_1 13 1 - 7.314507370329033 6.200181798259594 ATTCCCTTGAGGAA chr8 123539538 123539539 chr8:123539539:G:A rs2280919 G A A EBF1_EBF_1 33 0 + 0 0 . chr8 123539892 123539893 chr8:123539893:C:T rs62521287 C T C EBF1_EBF_1 -18 0 - 0 0 . chr8 123597560 123597561 chr8:123597561:G:T rs55987314 G T G EBF1_EBF_1 -18 0 - 0 0 . chr8 123606559 123606560 chr8:123606560:C:A rs112460848 C A T EBF1_EBF_1 32 0 + 0 0 . chr8 123654108 123654109 chr8:123654109:G:A rs10956142 G A A EBF1_EBF_1 25 0 - 0 0 . chr8 123663796 123663797 chr8:123663797:C:T rs7829564 C T C EBF1_EBF_1 32 0 - 0 0 . chr8 123671492 123671493 chr8:123671493:C:T rs190705689 C T C EBF1_EBF_1 -19 0 + 0 0 . chr8 123671493 123671494 chr8:123671494:G:A rs10429365 G A G EBF1_EBF_1 -18 0 + 0 0 . chr8 123695899 123695900 chr8:123695900:C:A rs6983588 C A A EBF1_EBF_1 -4 0 - 0 0 . chr8 123720183 123720184 chr8:123720184:C:G rs4595110 C G C EBF1_EBF_1 9 1 - 3.591834991160087 -1.4838541551853763 CTTCCCGGGGGCCC chr8 123819430 123819431 chr8:123819431:T:C rs10481072 T C C EBF1_EBF_1 17 0 + 0 0 . chr8 123853827 123853828 chr8:123853828:T:C rs57649166 T C T EBF1_EBF_1 32 0 - 0 0 . chr8 123855133 123855134 chr8:123855134:G:A rs16893043 G A G EBF1_EBF_1 18 0 - 0 0 . chr8 124068775 124068776 chr8:124068776:T:C rs77968567 T C T EBF1_EBF_1 32 0 - 0 0 . chr8 124070343 124070344 chr8:124070344:G:A rs7836974 G A g EBF1_EBF_1 -12 0 + 0 0 . chr8 124138989 124138990 chr8:124138990:C:T rs76072284 C T C EBF1_EBF_1 10 1 - 5.733859341405875 0.4158308821389088 ATCCTCCAGGGAGC chr8 124141095 124141096 chr8:124141096:G:C rs889462154 G C G EBF1_EBF_1 -6 0 + 0 0 . chr8 124197901 124197902 chr8:124197902:G:C rs373321295 G C G EBF1_EBF_1 16 0 + 0 0 . chr8 124215213 124215214 chr8:124215214:G:A rs4242364 G A A EBF1_EBF_1 32 0 - 0 0 . chr8 124254287 124254288 chr8:124254288:G:A rs73345110 G A A EBF1_EBF_1 -9 0 + 0 0 . chr8 124255583 124255584 chr8:124255584:T:G rs4871477 T G G EBF1_EBF_1 14 0 - 0 0 . chr8 124255604 124255605 chr8:124255605:A:G rs4871478 A G G EBF1_EBF_1 -7 0 - 0 0 . chr8 124261636 124261637 chr8:124261637:G:A rs9969475 G A G EBF1_EBF_1 -13 0 + 0 0 . chr8 124261679 124261680 chr8:124261680:A:G rs9969473 A G G EBF1_EBF_1 29 0 - 0 0 . chr8 124272117 124272118 chr8:124272118:G:A rs4457311 G A A EBF1_EBF_1 1 1 - 5.548913067094841 6.444346507493586 TCCCCCCAGGGGTT chr8 124273299 124273300 chr8:124273300:T:A rs6470236 T A A EBF1_EBF_1 -7 0 - 0 0 . chr8 124426403 124426404 chr8:124426404:G:A rs940124078 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 124426433 124426434 chr8:124426434:C:T rs12675120 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 124427408 124427409 chr8:124427409:G:A rs55686956 G A A EBF1_EBF_1 4 1 - 5.200326437921783 0.8309394929768592 CTTCCCTAGAGCCT chr8 124473788 124473789 chr8:124473789:C:T rs550940123 C T C EBF1_EBF_1 11 1 - 3.6861020693654654 6.5788089129694765 CCCCCCCAGGGGGA chr8 124558487 124558488 chr8:124558488:T:A rs7830235 T A T EBF1_EBF_1 -10 0 + 0 0 . chr8 124559170 124559171 chr8:124559171:A:C rs17298759 A C A EBF1_EBF_1 31 0 - 0 0 . chr8 124567495 124567496 chr8:124567496:G:A rs3857943 G A G EBF1_EBF_1 19 0 + 0 0 . chr8 124573901 124573902 chr8:124573902:T:A rs4871505 T A A EBF1_EBF_1 12 1 + 5.919395071257147 6.975843040229322 CACCCCAGGGGATA chr8 124590974 124590975 chr8:124590975:C:A rs11994108 C A C EBF1_EBF_1 2 1 + 4.698795545314648 2.9031513691579214 CACCCCCAGGGCCT chr8 124611928 124611929 chr8:124611929:A:C rs13270330 A C c EBF1_EBF_1 -3 0 + 0 0 . chr8 124629009 124629010 chr8:124629010:G:C rs11777231 G C G EBF1_EBF_1 -16 0 - 0 0 . chr8 124634794 124634795 chr8:124634795:T:C rs78657237 T C t EBF1_EBF_1 13 1 + 5.5693693310866115 4.724875216501093 AATCACCTGGGAGT chr8 124673515 124673516 chr8:124673516:G:A rs112087043 G A G EBF1_EBF_1 18 0 - 0 0 . chr8 124727659 124727660 chr8:124727660:T:G rs116700857 T G T EBF1_EBF_1 33 0 + 0 0 . chr8 124728803 124728804 chr8:124728804:A:C chr8:124728804:A:C A C A EBF1_EBF_1 -6 0 + 0 0 . chr8 124728804 124728805 chr8:124728805:C:T rs767487992 C T C EBF1_EBF_1 -5 0 + 0 0 . chr8 124729766 124729767 chr8:124729767:C:T rs183651237 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 124751637 124751638 chr8:124751638:C:T rs529967388 C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 124751641 124751642 chr8:124751642:G:A rs16900003 G A A EBF1_EBF_1 -16 0 + 0 0 . chr8 124767838 124767839 chr8:124767839:C:T rs7000790 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 124789775 124789776 chr8:124789776:T:G rs3935197 T G G EBF1_EBF_1 -14 0 - 0 0 . chr8 124789895 124789896 chr8:124789896:T:G rs73704439 T G T EBF1_EBF_1 -20 0 + 0 0 . chr8 124798185 124798186 chr8:124798186:A:T rs7840165 A T T EBF1_EBF_1 -2 0 + 0 0 . chr8 124882914 124882915 chr8:124882915:G:A rs6470307 G A A EBF1_EBF_1 25 0 + 0 0 . chr8 124930262 124930263 chr8:124930263:G:A rs2052783 G A G EBF1_EBF_1 -9 0 - 0 0 . chr8 124998005 124998006 chr8:124998006:G:A rs187182465 G A G EBF1_EBF_1 4 1 - 4.6097319288064185 0.24034498386149383 AGTCCCCGGAGTCC chr8 124998013 124998014 chr8:124998014:A:T rs73704505 A T A EBF1_EBF_1 -4 0 - 0 0 . chr8 125154400 125154401 chr8:125154401:A:G rs569606390 A G A EBF1_EBF_1 -17 0 - 0 0 . chr8 125220041 125220042 chr8:125220042:A:G rs16900447 A G A EBF1_EBF_1 -13 0 + 0 0 . chr8 125253389 125253390 chr8:125253390:C:T rs72720571 C T C EBF1_EBF_1 29 0 + 0 0 . chr8 125342549 125342550 chr8:125342550:C:T rs1004959676 C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 125342550 125342551 chr8:125342551:G:A rs117842178 G A G EBF1_EBF_1 -19 0 + 0 0 . chr8 125362721 125362722 chr8:125362722:A:C rs76990021 A C A EBF1_EBF_1 19 0 - 0 0 . chr8 125385914 125385915 chr8:125385915:T:C rs147930229 T C T EBF1_EBF_1 -11 0 + 0 0 . chr8 125390321 125390322 chr8:125390322:C:T rs34614341 C T C EBF1_EBF_1 21 0 + 0 0 . chr8 125391905 125391906 chr8:125391906:C:T rs4332153 C T C EBF1_EBF_1 19 0 - 0 0 . chr8 125392529 125392530 chr8:125392530:G:T rs186888666 G T G EBF1_EBF_1 -3 0 + 0 0 . chr8 125435230 125435231 chr8:125435231:G:A rs12546944 G A G EBF1_EBF_1 31 0 - 0 0 . chr8 125491372 125491373 chr8:125491373:G:A rs28617180 G A G EBF1_EBF_1 4 1 - 4.562471488705608 0.19308454376068412 AGACCCAAGGGCCA chr8 125491672 125491673 chr8:125491673:G:A rs55921265 G A G EBF1_EBF_1 -19 0 + 0 0 . chr8 125502456 125502457 chr8:125502457:C:G chr8:125502457:C:G C G C EBF1_EBF_1 -10 0 - 0 0 . chr8 125511280 125511281 chr8:125511281:C:T rs4360309 C T T EBF1_EBF_1 -8 0 - 0 0 . chr8 125530879 125530880 chr8:125530880:A:G rs4006566 A G A EBF1_EBF_1 24 0 - 0 0 . chr8 125530883 125530884 chr8:125530884:T:A rs978532 T A A EBF1_EBF_1 20 0 - 0 0 . chr8 125539493 125539494 chr8:125539494:A:C rs114183133 A C A EBF1_EBF_1 2 1 - 6.44814180670644 -0.30018388349402647 ATTCCCTGGAGGCA chr8 125579654 125579655 chr8:125579655:C:G rs565233848 C G C EBF1_EBF_1 28 0 - 0 0 . chr8 125600270 125600271 chr8:125600271:A:G rs7017801 A G A EBF1_EBF_1 20 0 + 0 0 . chr8 125604718 125604719 chr8:125604719:A:T rs377119716 A T A EBF1_EBF_1 0 1 - 4.290593171685711 6.263649594651734 TTTCCCCGGGGCGC chr8 125608184 125608185 chr8:125608185:G:C rs969584 G C C EBF1_EBF_1 8 1 + 6.613975881974218 1.2953170210595322 TTCCCCAAGGGGCA chr8 125615654 125615655 chr8:125615655:C:A rs4871623 C A C EBF1_EBF_1 18 0 + 0 0 . chr8 125637512 125637513 chr8:125637513:C:T rs144240829 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 125641789 125641790 chr8:125641790:A:G rs7015007 A G G EBF1_EBF_1 31 0 - 0 0 . chr8 125641825 125641826 chr8:125641826:G:T rs77412122 G T G EBF1_EBF_1 -5 0 - 0 0 . chr8 125656042 125656043 chr8:125656043:C:T rs116017639 C T C EBF1_EBF_1 -7 0 - 0 0 . chr8 125658130 125658131 chr8:125658131:T:A rs6470371 T A A EBF1_EBF_1 -20 0 + 0 0 . chr8 125659609 125659610 chr8:125659610:C:T rs936517625 C T C EBF1_EBF_1 27 0 - 0 0 . chr8 125769513 125769514 chr8:125769514:T:C rs16900842 T C t EBF1_EBF_1 -6 0 - 0 0 . chr8 125977714 125977715 chr8:125977715:T:A rs1873548 T A T EBF1_EBF_1 31 0 + 0 0 . chr8 126034336 126034337 chr8:126034337:G:A rs1602507 G A G EBF1_EBF_1 -7 0 + 0 0 . chr8 126046475 126046476 chr8:126046476:G:T rs12543713 G T G EBF1_EBF_1 12 1 + 5.4465003823635065 5.808869755490808 AGTGCCAAGGGAGA chr8 126078411 126078412 chr8:126078412:G:T rs58812568 G T T EBF1_EBF_1 -8 0 + 0 0 . chr8 126172556 126172557 chr8:126172557:A:G rs41498748 A G G EBF1_EBF_1 29 0 - 0 0 . chr8 126275416 126275417 chr8:126275417:G:A rs542988052 G A G EBF1_EBF_1 8 1 + 7.883571857862139 3.657281768975878 ACCCCCAAGGGGTT chr8 126372782 126372783 chr8:126372783:T:C rs10107727 T C C EBF1_EBF_1 2 1 - 5.462546541831732 2.39868843923014 ACACCCCAGGTACT chr8 126398673 126398674 chr8:126398674:T:C rs16901363 T C T EBF1_EBF_1 16 0 + 0 0 . chr8 126465493 126465494 chr8:126465494:A:G rs6985268 A G G EBF1_EBF_1 -1 0 - 0 0 . chr8 126488428 126488429 chr8:126488429:T:C rs79661872 T C C EBF1_EBF_1 30 0 - 0 0 . chr8 126532799 126532800 chr8:126532800:T:G rs16901493 T G G EBF1_EBF_1 31 0 + 0 0 . chr8 126558288 126558289 chr8:126558289:G:A rs920026801 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 126917548 126917549 chr8:126917549:C:G rs7840810 C G G EBF1_EBF_1 23 0 + 0 0 . chr8 126964440 126964441 chr8:126964441:C:T chr8:126964441:C:T C T C EBF1_EBF_1 -1 0 + 0 0 . chr8 127125422 127125423 chr8:127125423:G:A rs7816535 G A G EBF1_EBF_1 19 0 + 0 0 . chr8 127197193 127197194 chr8:127197194:A:G rs117051350 A G A EBF1_EBF_1 -3 0 - 0 0 . chr8 127208460 127208461 chr8:127208461:A:T rs75645442 A T A EBF1_EBF_1 22 0 - 0 0 . chr8 127330380 127330381 chr8:127330381:G:T rs72712344 G T G EBF1_EBF_1 14 0 - 0 0 . chr8 127330394 127330395 chr8:127330395:G:A rs60292874 G A G EBF1_EBF_1 0 1 - 7.468712529026121 7.7509145897566984 CCTCCCAGGAGACT chr8 127502152 127502153 chr8:127502153:A:G rs35385035 A G A EBF1_EBF_1 15 0 + 0 0 . chr8 127505514 127505515 chr8:127505515:A:C rs4242383 A C A EBF1_EBF_1 30 0 + 0 0 . chr8 127577326 127577327 chr8:127577327:G:A rs10282914 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 127619376 127619377 chr8:127619377:T:C rs11996839 T C T EBF1_EBF_1 15 0 + 0 0 . chr8 127619424 127619425 chr8:127619425:C:A rs12546957 C A c EBF1_EBF_1 4 1 + 4.680635946011168 -1.143923677442299 CCACCCCTGGGAGC chr8 127669397 127669398 chr8:127669398:C:T rs13250163 C T C EBF1_EBF_1 13 1 + 7.269093619303824 8.113587733889343 ACTCCCTAGAGGAC chr8 127725167 127725168 chr8:127725168:G:T rs72718542 G T G EBF1_EBF_1 17 0 + 0 0 . chr8 127734533 127734534 chr8:127734534:C:T rs4645941 C T C EBF1_EBF_1 4 1 + 11.483828473253917 7.114441528308993 AATCCCGAGGGAAT chr8 127738013 127738014 chr8:127738014:C:T rs4645957 C T C EBF1_EBF_1 -11 0 - 0 0 . chr8 127763388 127763389 chr8:127763389:T:C rs6981913 T C T EBF1_EBF_1 -9 0 - 0 0 . chr8 127769722 127769723 chr8:127769723:C:T rs56070455 C T C EBF1_EBF_1 -1 0 - 0 0 . chr8 127855141 127855142 chr8:127855142:A:G rs28483266 A G A EBF1_EBF_1 -3 0 + 0 0 . chr8 127855142 127855143 chr8:127855143:A:G rs59651317 A G A EBF1_EBF_1 -2 0 + 0 0 . chr8 127855173 127855174 chr8:127855174:G:A rs77290463 G A G EBF1_EBF_1 29 0 + 0 0 . chr8 127867941 127867942 chr8:127867942:A:G rs71520685 A G A EBF1_EBF_1 -17 0 + 0 0 . chr8 127889350 127889351 chr8:127889351:A:C rs4733802 A C A EBF1_EBF_1 20 0 - 0 0 . chr8 127939541 127939542 chr8:127939542:C:T rs76331627 C T C EBF1_EBF_1 26 0 + 0 0 . chr8 127945515 127945516 chr8:127945516:C:T rs140301996 C T C EBF1_EBF_1 9 1 - 4.999481115618762 2.344541850171159 ATCCCCCTGGCAAT chr8 127986356 127986357 chr8:127986357:A:G rs78988023 A G A EBF1_EBF_1 -12 0 + 0 0 . chr8 128010855 128010856 chr8:128010856:G:A rs10956405 G A A EBF1_EBF_1 5 1 - 9.544530734272378 4.222743518731709 AACCCCTGGGGAAC chr8 128042146 128042147 chr8:128042147:G:A rs59600398 G A G EBF1_EBF_1 15 0 + 0 0 . chr8 128060585 128060586 chr8:128060586:A:G rs2608056 A G G EBF1_EBF_1 7 1 - 6.002512956707782 4.185008210425158 CCTCCCATGAGAAG chr8 128077053 128077054 chr8:128077054:C:T rs143938575 C T C EBF1_EBF_1 10 1 - 8.66188699806248 3.3438585387955135 GCCCCCTAGGGAAA chr8 128085149 128085150 chr8:128085150:A:G rs2720671 A G G EBF1_EBF_1 23 0 - 0 0 . chr8 128090652 128090653 chr8:128090653:G:A rs73707404 G A G EBF1_EBF_1 10 1 + 6.986882277792005 1.668853818525039 GGTCCCATGAGATC chr8 128102181 128102182 chr8:128102182:A:G rs6985973 A G G EBF1_EBF_1 23 0 + 0 0 . chr8 128109709 128109710 chr8:128109710:G:A rs2608034 G A G EBF1_EBF_1 -5 0 + 0 0 . chr8 128162765 128162766 chr8:128162766:C:G rs2542399 C G C EBF1_EBF_1 -16 0 + 0 0 . chr8 128162798 128162799 chr8:128162799:G:A rs13276381 G A G EBF1_EBF_1 17 0 + 0 0 . chr8 128182231 128182232 chr8:128182232:T:A rs34364082 T A T EBF1_EBF_1 -18 0 - 0 0 . chr8 128199921 128199922 chr8:128199922:A:G rs17204253 A G A EBF1_EBF_1 -5 0 - 0 0 . chr8 128203973 128203974 chr8:128203974:G:A rs117501795 G A G EBF1_EBF_1 10 1 + 4.92991021695317 -0.38811824231379566 AATCCCAGGCGAAG chr8 128238453 128238454 chr8:128238454:G:A rs56194674 G A G EBF1_EBF_1 3 1 - 5.4071075151088115 -0.9982912264445061 ATTCCCCACAGACC chr8 128251342 128251343 chr8:128251343:G:C rs114305957 G C G EBF1_EBF_1 4 1 - 5.529321426506723 -0.09644367464281922 AATCCCTGGGGCAG chr8 128263591 128263592 chr8:128263592:G:A rs10956417 G A G EBF1_EBF_1 -7 0 - 0 0 . chr8 128313921 128313922 chr8:128313922:C:G rs28377747 C G C EBF1_EBF_1 15 0 + 0 0 . chr8 128323937 128323938 chr8:128323938:T:C rs79969917 T C T EBF1_EBF_1 -19 0 + 0 0 . chr8 128342865 128342866 chr8:128342866:G:A rs11780606 G A G EBF1_EBF_1 3 1 - 5.147508754114737 -1.2578899874385805 ATACCCCGGGGGAA chr8 128371428 128371429 chr8:128371429:A:T rs4380895 A T T EBF1_EBF_1 14 0 - 0 0 . chr8 128371452 128371453 chr8:128371453:A:G rs4380896 A G A EBF1_EBF_1 -10 0 - 0 0 . chr8 128424639 128424640 chr8:128424640:G:A rs141796308 G A G EBF1_EBF_1 5 1 - 8.130189863384778 2.808402647844106 TTCCCCCAGGGAGC chr8 128540868 128540869 chr8:128540869:A:G rs117410046 A G A EBF1_EBF_1 14 0 - 0 0 . chr8 128617130 128617131 chr8:128617131:G:C rs6991917 G C G EBF1_EBF_1 -6 0 + 0 0 . chr8 128617169 128617170 chr8:128617170:G:A rs117905563 G A G EBF1_EBF_1 33 0 + 0 0 . chr8 128800096 128800097 chr8:128800097:G:A rs3847138 G A A EBF1_EBF_1 9 1 + 5.627520881838949 2.9725816163913468 CTTCCCCAGGGTTA chr8 128815661 128815662 chr8:128815662:A:G rs117556959 A G A EBF1_EBF_1 -7 0 - 0 0 . chr8 128900904 128900905 chr8:128900905:A:C chr8:128900905:A:C A C A EBF1_EBF_1 11 1 + 5.508062970021218 1.0921012721688275 TGTCCCTGGGTACC chr8 129241357 129241358 chr8:129241358:C:A rs535547213 C A C EBF1_EBF_1 -18 0 + 0 0 . chr8 129241358 129241359 chr8:129241359:A:G rs144799175 A G A EBF1_EBF_1 -17 0 + 0 0 . chr8 129295577 129295578 chr8:129295578:A:G rs6997355 A G A EBF1_EBF_1 25 0 + 0 0 . chr8 129302976 129302977 chr8:129302977:G:T rs899937576 G T G EBF1_EBF_1 4 1 - 11.890283502948236 6.065723879494769 ATCCCCCTGGGAAT chr8 129303461 129303462 chr8:129303462:G:A rs6470719 G A A EBF1_EBF_1 -4 0 - 0 0 . chr8 129303719 129303720 chr8:129303720:G:T rs13281108 G T G EBF1_EBF_1 -9 0 - 0 0 . chr8 129350062 129350063 chr8:129350063:C:A rs7003796 C A C EBF1_EBF_1 -16 0 + 0 0 . chr8 129410286 129410287 chr8:129410287:C:T rs115978137 C T C EBF1_EBF_1 -15 0 - 0 0 . chr8 129480733 129480734 chr8:129480734:T:A rs736863 T A A EBF1_EBF_1 12 1 - 5.653931521782497 4.5974835528103215 ATTCCCAGGGAAAG chr8 129480744 129480745 chr8:129480745:A:C rs891836 A C C EBF1_EBF_1 1 1 - 5.653931521782497 4.948269735952969 ATTCCCAGGGAAAG chr8 129627557 129627558 chr8:129627558:G:A rs7012787 G A G EBF1_EBF_1 9 1 + 7.249988601535307 4.595049336087704 GTTCCCAAAGGACA chr8 129628177 129628178 chr8:129628178:G:A rs546325893 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 129649171 129649172 chr8:129649172:G:A rs13263733 G A G EBF1_EBF_1 16 0 - 0 0 . chr8 129681938 129681939 chr8:129681939:C:A rs145209947 C A C EBF1_EBF_1 5 1 + 6.361572335060119 -0.5433088175750485 CGACCCCAGGGACA chr8 129681954 129681955 chr8:129681955:G:A rs75572486 G A G EBF1_EBF_1 21 0 + 0 0 . chr8 129689326 129689327 chr8:129689327:G:A rs184248763 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 129776708 129776709 chr8:129776709:G:C rs10089884 G C G EBF1_EBF_1 10 1 + 5.895899664802279 -0.994902722527196 AGTTCCCAGGGACA chr8 129826799 129826800 chr8:129826800:G:C rs837071 G C G EBF1_EBF_1 12 1 - 4.6691090904079555 3.280473146729804 CCTTCCCAGGGACT chr8 129826823 129826824 chr8:129826824:C:A rs431993 C A C EBF1_EBF_1 -12 0 - 0 0 . chr8 129826824 129826825 chr8:129826825:C:G rs431583 C G C EBF1_EBF_1 -13 0 - 0 0 . chr8 129833700 129833701 chr8:129833701:G:A rs115052309 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 129885768 129885769 chr8:129885769:C:A rs572654775 C A C EBF1_EBF_1 29 0 - 0 0 . chr8 129978436 129978437 chr8:129978437:C:T rs7823995 C T T EBF1_EBF_1 -18 0 + 0 0 . chr8 130015091 130015092 chr8:130015092:A:G rs874617 A G G EBF1_EBF_1 -11 0 - 0 0 . chr8 130015156 130015157 chr8:130015157:A:G rs2005030 A G G EBF1_EBF_1 9 1 + 5.900610219081999 8.555549484529603 GCTCCCTGGAGATC chr8 130015173 130015174 chr8:130015174:T:A rs576924432 T A T EBF1_EBF_1 26 0 + 0 0 . chr8 130016121 130016122 chr8:130016122:C:A rs112220482 C A C EBF1_EBF_1 -20 0 + 0 0 . chr8 130016136 130016137 chr8:130016137:A:G rs959509756 A G A EBF1_EBF_1 -5 0 + 0 0 . chr8 130074610 130074611 chr8:130074611:G:A chr8:130074611:G:A G A G EBF1_EBF_1 32 0 - 0 0 . chr8 130112967 130112968 chr8:130112968:C:T rs17209339 C T C EBF1_EBF_1 6 1 + 5.669434561541698 5.970720690403455 ATTCCCCTTGGAAA chr8 130205801 130205802 chr8:130205802:T:C rs115489384 T C T EBF1_EBF_1 -9 0 - 0 0 . chr8 130211918 130211919 chr8:130211919:T:C rs115772870 T C T EBF1_EBF_1 18 0 - 0 0 . chr8 130245955 130245956 chr8:130245956:C:T rs2670887 C T T EBF1_EBF_1 22 0 + 0 0 . chr8 130329041 130329042 chr8:130329042:G:A rs72724446 G A G EBF1_EBF_1 -6 0 + 0 0 . chr8 130357547 130357548 chr8:130357548:G:T rs570810551 G T G EBF1_EBF_1 -16 0 - 0 0 . chr8 130358775 130358776 chr8:130358776:C:T rs1044205639 C T C EBF1_EBF_1 3 1 + 6.203580584505483 -0.20181815704783412 AGCCCCTGGGGCCT chr8 130410793 130410794 chr8:130410794:G:A rs34676374 G A G EBF1_EBF_1 -20 0 - 0 0 . chr8 130411412 130411413 chr8:130411413:G:A rs757161084 G A G EBF1_EBF_1 -19 0 + 0 0 . chr8 130419187 130419188 chr8:130419188:C:T rs114453362 C T C EBF1_EBF_1 17 0 + 0 0 . chr8 130419735 130419736 chr8:130419736:G:A rs77165934 G A G EBF1_EBF_1 -5 0 + 0 0 . chr8 130434776 130434777 chr8:130434777:G:A rs192532884 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 130434784 130434785 chr8:130434785:T:C rs112933323 T C T EBF1_EBF_1 20 0 - 0 0 . chr8 130463157 130463158 chr8:130463158:C:G rs6987133 C G G EBF1_EBF_1 4 1 + 9.402645191081534 3.776880089931991 AATCCCTTGAGATT chr8 130466059 130466060 chr8:130466060:T:C rs13265717 T C C EBF1_EBF_1 28 0 - 0 0 . chr8 130477226 130477227 chr8:130477227:T:C rs60758859 T C C EBF1_EBF_1 -2 0 - 0 0 . chr8 130491667 130491668 chr8:130491668:C:T rs183527499 C T C EBF1_EBF_1 29 0 - 0 0 . chr8 130491668 130491669 chr8:130491669:A:G rs28567536 A G G EBF1_EBF_1 28 0 - 0 0 . chr8 130491677 130491678 chr8:130491678:G:T rs747717277 G T G EBF1_EBF_1 19 0 - 0 0 . chr8 130514106 130514107 chr8:130514107:T:G chr8:130514107:T:G T G T EBF1_EBF_1 -6 0 + 0 0 . chr8 130514125 130514126 chr8:130514126:C:A rs16904284 C A C EBF1_EBF_1 13 1 + 5.268871809278988 4.895126359993012 ACTGCCCAGGGAGC chr8 130614126 130614127 chr8:130614127:G:A rs76475395 G A G EBF1_EBF_1 2 1 - 8.06913368452225 9.9579570959644 ATCCCCATGGTAAT chr8 130642313 130642314 chr8:130642314:G:A rs17221200 G A G EBF1_EBF_1 -20 0 + 0 0 . chr8 130701823 130701824 chr8:130701824:C:G rs77282767 C G C EBF1_EBF_1 13 1 + 6.762788933661402 5.274717912305987 AGTGCCATGGGAAC chr8 130778586 130778587 chr8:130778587:T:A rs7002481 T A A EBF1_EBF_1 8 1 - 5.903924366556448 3.238781666465516 GGCCCCAAAGGAAT chr8 130811194 130811195 chr8:130811195:A:G rs148443391 A G A EBF1_EBF_1 31 0 + 0 0 . chr8 130975412 130975413 chr8:130975413:C:T rs4736465 C T C EBF1_EBF_1 33 0 - 0 0 . chr8 131149126 131149127 chr8:131149127:G:A rs36043341 G A G EBF1_EBF_1 -17 0 - 0 0 . chr8 131237608 131237609 chr8:131237609:A:G rs4736763 A G G EBF1_EBF_1 -10 0 - 0 0 . chr8 131422449 131422450 chr8:131422450:G:A rs1346815 G A G EBF1_EBF_1 25 0 - 0 0 . chr8 131504652 131504653 chr8:131504653:G:T rs35460067 G T G EBF1_EBF_1 31 0 + 0 0 . chr8 131563905 131563906 chr8:131563906:C:T rs72722502 C T C EBF1_EBF_1 16 0 - 0 0 . chr8 131669948 131669949 chr8:131669949:C:G rs1990668 C G C EBF1_EBF_1 15 0 - 0 0 . chr8 131705190 131705191 chr8:131705191:G:A rs116661908 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 131727530 131727531 chr8:131727531:T:G rs12541606 T G T EBF1_EBF_1 15 0 - 0 0 . chr8 131738919 131738920 chr8:131738920:G:A rs7005450 G A T EBF1_EBF_1 -17 0 + 0 0 . chr8 131741180 131741181 chr8:131741181:C:A rs56290325 C A C EBF1_EBF_1 0 1 + 8.292644213185827 10.547902696882428 CCTCCCTTGGGAGA chr8 131842168 131842169 chr8:131842169:G:T rs1355981 G T G EBF1_EBF_1 3 1 - 7.233225722876462 0.32705169341065404 GACCCCCAGGGATA chr8 131845511 131845512 chr8:131845512:G:T rs968816 G T T EBF1_EBF_1 -8 0 - 0 0 . chr8 131903375 131903376 chr8:131903376:A:T rs188815994 A T A EBF1_EBF_1 28 0 - 0 0 . chr8 132037562 132037563 chr8:132037563:A:G rs6992506 A G G EBF1_EBF_1 28 0 - 0 0 . chr8 132042949 132042950 chr8:132042950:A:G rs2878264 A G A EBF1_EBF_1 32 0 + 0 0 . chr8 132052633 132052634 chr8:132052634:G:A rs7836704 G A A EBF1_EBF_1 16 0 + 0 0 . chr8 132086201 132086202 chr8:132086202:A:T rs4736400 A T T EBF1_EBF_1 21 0 + 0 0 . chr8 132111423 132111424 chr8:132111424:A:G rs2469502 A G a EBF1_EBF_1 -19 0 + 0 0 . chr8 132229717 132229718 chr8:132229718:G:A rs1549848 G A G EBF1_EBF_1 -10 0 + 0 0 . chr8 132307550 132307551 chr8:132307551:T:C rs7837201 T C T EBF1_EBF_1 -14 0 + 0 0 . chr8 132401977 132401978 chr8:132401978:G:A rs2721901 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 132444183 132444184 chr8:132444184:C:T rs1878017 C T C EBF1_EBF_1 -16 0 - 0 0 . chr8 132451379 132451380 chr8:132451380:G:A rs2721907 G A G EBF1_EBF_1 25 0 - 0 0 . chr8 132453183 132453184 chr8:132453184:G:C rs10105036 G C G EBF1_EBF_1 -2 0 + 0 0 . chr8 132453882 132453883 chr8:132453883:C:T rs148870817 C T C EBF1_EBF_1 4 1 + 5.898495371792798 1.5291084268478747 AGTCCCCACGGAAG chr8 132453911 132453912 chr8:132453912:C:T rs907878917 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 132490778 132490779 chr8:132490779:C:T rs3857928 C T T EBF1_EBF_1 -12 0 + 0 0 . chr8 132490779 132490780 chr8:132490780:T:A rs3857929 T A A EBF1_EBF_1 -11 0 + 0 0 . chr8 132605948 132605949 chr8:132605949:C:G rs55808895 C G G EBF1_EBF_1 24 0 - 0 0 . chr8 132835701 132835702 chr8:132835702:C:T rs28500471 C T C EBF1_EBF_1 -5 0 - 0 0 . chr8 132969259 132969260 chr8:132969260:C:T rs2687809 C T C EBF1_EBF_1 32 0 - 0 0 . chr8 132969265 132969266 chr8:132969266:T:A rs374797104 T A T EBF1_EBF_1 26 0 - 0 0 . chr8 132981418 132981419 chr8:132981419:A:G rs3892189 A G A EBF1_EBF_1 -20 0 - 0 0 . chr8 133058734 133058735 chr8:133058735:C:G rs2741199 C G G EBF1_EBF_1 10 1 - 4.948109310188612 -1.9426930771408624 TCTCCCATGCGACA chr8 133071017 133071018 chr8:133071018:T:C rs74945888 T C T EBF1_EBF_1 13 1 + 9.172286239571863 8.327792124986344 ACTCCCCTGAGATT chr8 133072702 133072703 chr8:133072703:A:T rs10113099 A T A EBF1_EBF_1 24 0 - 0 0 . chr8 133078197 133078198 chr8:133078198:T:C rs4736431 T C T EBF1_EBF_1 29 0 - 0 0 . chr8 133078239 133078240 chr8:133078240:C:T rs2739162 C T C EBF1_EBF_1 -13 0 - 0 0 . chr8 133078244 133078245 chr8:133078245:G:A rs139639073 G A G EBF1_EBF_1 -18 0 - 0 0 . chr8 133099287 133099288 chr8:133099288:G:A rs2958668 G A A EBF1_EBF_1 -12 0 + 0 0 . chr8 133112430 133112431 chr8:133112431:A:T rs2979039 A T A EBF1_EBF_1 22 0 - 0 0 . chr8 133112437 133112438 chr8:133112438:T:G rs11774274 T G T EBF1_EBF_1 15 0 - 0 0 . chr8 133112669 133112670 chr8:133112670:C:T rs2958681 C T C EBF1_EBF_1 -15 0 + 0 0 . chr8 133114390 133114391 chr8:133114391:C:A chr8:133114391:C:A C A C EBF1_EBF_1 32 0 + 0 0 . chr8 133117440 133117441 chr8:133117441:C:T rs10092459 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 133119741 133119742 chr8:133119742:A:G rs114245952 A G A EBF1_EBF_1 -11 0 + 0 0 . chr8 133121020 133121021 chr8:133121021:C:T rs2958688 C T C EBF1_EBF_1 25 0 + 0 0 . chr8 133139512 133139513 chr8:133139513:A:G rs11990500 A G A EBF1_EBF_1 -17 0 - 0 0 . chr8 133147097 133147098 chr8:133147098:G:A rs190520267 G A G EBF1_EBF_1 32 0 - 0 0 . chr8 133152735 133152736 chr8:133152736:T:C rs7836576 T C C EBF1_EBF_1 13 1 + 6.572988696501887 5.728494581916369 CTTCCCTGGGGCAT chr8 133162135 133162136 chr8:133162136:G:A rs2739112 G A G EBF1_EBF_1 -14 0 - 0 0 . chr8 133162378 133162379 chr8:133162379:C:T rs62513993 C T C EBF1_EBF_1 -14 0 - 0 0 . chr8 133186057 133186058 chr8:133186058:C:T rs7843546 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 133191001 133191002 chr8:133191002:T:C rs12155747 T C T EBF1_EBF_1 -12 0 + 0 0 . chr8 133191029 133191030 chr8:133191030:G:A rs547438180 G A G EBF1_EBF_1 16 0 + 0 0 . chr8 133202938 133202939 chr8:133202939:G:C rs547479116 G C G EBF1_EBF_1 -12 0 + 0 0 . chr8 133213408 133213409 chr8:133213409:G:C rs73711812 G C G EBF1_EBF_1 -4 0 - 0 0 . chr8 133217814 133217815 chr8:133217815:T:C rs1037023135 T C T EBF1_EBF_1 0 1 - 9.604846973211899 7.7125631036470645 AACCCCAGGGGAAC chr8 133218102 133218103 chr8:133218103:G:A rs1194729875 G A G EBF1_EBF_1 20 0 - 0 0 . chr8 133248821 133248822 chr8:133248822:T:G rs2233335 T G G EBF1_EBF_1 14 0 + 0 0 . chr8 133252525 133252526 chr8:133252526:C:T rs545657356 C T C EBF1_EBF_1 16 0 + 0 0 . chr8 133252555 133252556 chr8:133252556:C:T rs56361732 C T C EBF1_EBF_1 6 1 + 6.455649896305469 6.756936025167225 GTTCCCCTGGTACA chr8 133256062 133256063 chr8:133256063:G:C rs3779935 G C G EBF1_EBF_1 8 1 + 5.44958410728081 0.1309252463661244 AGCCCCAGGGGCAC chr8 133264493 133264494 chr8:133264494:C:T rs2233320 C T C EBF1_EBF_1 17 0 - 0 0 . chr8 133264870 133264871 chr8:133264871:T:C rs147883194 T C T EBF1_EBF_1 31 0 + 0 0 . chr8 133267357 133267358 chr8:133267358:G:A rs2976574 G A A EBF1_EBF_1 -10 0 + 0 0 . chr8 133320901 133320902 chr8:133320902:C:T rs73708740 C T C EBF1_EBF_1 -2 0 + 0 0 . chr8 133320929 133320930 chr8:133320930:C:T rs62518094 C T C EBF1_EBF_1 26 0 + 0 0 . chr8 133348536 133348537 chr8:133348537:A:C rs10093875 A C C EBF1_EBF_1 -17 0 - 0 0 . chr8 133352301 133352302 chr8:133352302:C:T rs2976539 C T T EBF1_EBF_1 8 1 - 6.031871656242048 1.8055815673557871 ACTCCCTGGGGCTC chr8 133352323 133352324 chr8:133352324:C:T rs2976540 C T T EBF1_EBF_1 -14 0 - 0 0 . chr8 133355578 133355579 chr8:133355579:C:G rs2976547 C G C EBF1_EBF_1 -6 0 + 0 0 . chr8 133403719 133403720 chr8:133403720:T:C rs877259 T C t EBF1_EBF_1 23 0 - 0 0 . chr8 133422863 133422864 chr8:133422864:A:G rs1018356 A G A EBF1_EBF_1 12 1 + 4.275031413507554 2.856214071408076 GCTCCCACGGGCAC chr8 133428641 133428642 chr8:133428642:C:G rs180884526 C G C EBF1_EBF_1 -10 0 - 0 0 . chr8 133437004 133437005 chr8:133437005:C:A rs72718224 C A C EBF1_EBF_1 3 1 + 5.81132699600571 -1.094847033460096 GAACCCCAGGGATC chr8 133451588 133451589 chr8:133451589:T:G rs2736863 T G G EBF1_EBF_1 11 1 - 8.732183185563851 4.316221487711461 ATTCCCTTGGAACT chr8 133455647 133455648 chr8:133455648:G:A rs376498104 G A G EBF1_EBF_1 8 1 + 5.3542463384835495 1.127956249597288 ATACCCCCGGGGCT chr8 133455659 133455660 chr8:133455660:A:G rs547208178 A G A EBF1_EBF_1 20 0 + 0 0 . chr8 133456289 133456290 chr8:133456290:G:T rs4736673 G T G EBF1_EBF_1 12 1 - 6.795827230677355 6.826008629098681 AACCCCCTGGGTCT chr8 133463246 133463247 chr8:133463247:C:G rs16904928 C G C EBF1_EBF_1 32 0 - 0 0 . chr8 133464333 133464334 chr8:133464334:T:C rs56121321 T C C EBF1_EBF_1 13 1 - 5.470487968658715 4.356162396589276 CCTCCCCAGGGCAA chr8 133465183 133465184 chr8:133465184:A:G rs7846629 A G G EBF1_EBF_1 -16 0 - 0 0 . chr8 133498797 133498798 chr8:133498798:C:T rs80111736 C T A EBF1_EBF_1 -12 0 - 0 0 . chr8 133498803 133498804 chr8:133498804:A:G rs7815759 A G G EBF1_EBF_1 -18 0 - 0 0 . chr8 133499859 133499860 chr8:133499860:G:A rs11776461 G A A EBF1_EBF_1 8 1 + 8.701196917089579 4.474906828203319 CATCCCCAGGGAAG chr8 133500746 133500747 chr8:133500747:T:C rs6471129 T C C EBF1_EBF_1 29 0 - 0 0 . chr8 133502149 133502150 chr8:133502150:A:G rs7000952 A G G EBF1_EBF_1 21 0 - 0 0 . chr8 133514506 133514507 chr8:133514507:C:T rs1518894 C T C EBF1_EBF_1 13 1 + 5.7020340398716876 6.546528154457206 GCTCCCAAAGGATC chr8 133518200 133518201 chr8:133518201:C:G rs721591 C G C EBF1_EBF_1 26 0 + 0 0 . chr8 133524336 133524337 chr8:133524337:G:A rs185196000 G A G EBF1_EBF_1 0 1 + 6.8721997745922945 8.764483644157128 GTCCCCTAGAGACA chr8 133537685 133537686 chr8:133537686:T:C rs56026367 T C T EBF1_EBF_1 17 0 - 0 0 . chr8 133571094 133571095 chr8:133571095:G:C rs143440799 G C G EBF1_EBF_1 13 1 + 3.2032942474483423 4.691365268803757 CGTCCCCGGGGCCG chr8 133621248 133621249 chr8:133621249:G:A rs1562677 G A G EBF1_EBF_1 -11 0 + 0 0 . chr8 133631607 133631608 chr8:133631608:T:G rs6471140 T G G EBF1_EBF_1 -6 0 + 0 0 . chr8 133631628 133631629 chr8:133631629:A:C rs6471141 A C C EBF1_EBF_1 15 0 + 0 0 . chr8 133634468 133634469 chr8:133634469:T:C rs10098418 T C C EBF1_EBF_1 7 1 + 5.853142665971446 4.035637919688822 GTCCCCTTGGGCCT chr8 133634469 133634470 chr8:133634470:G:A rs72723803 G A G EBF1_EBF_1 8 1 + 5.853142665971446 1.6268525770851845 GTCCCCTTGGGCCT chr8 133692357 133692358 chr8:133692358:A:T rs7839510 A T T EBF1_EBF_1 -7 0 - 0 0 . chr8 133697113 133697114 chr8:133697114:T:C rs4612320 T C C EBF1_EBF_1 -5 0 + 0 0 . chr8 133746084 133746085 chr8:133746085:G:A rs117235163 G A G EBF1_EBF_1 29 0 - 0 0 . chr8 133746637 133746638 chr8:133746638:C:T rs1584449 C T C EBF1_EBF_1 -3 0 - 0 0 . chr8 133794044 133794045 chr8:133794045:G:A rs56317237 G A G EBF1_EBF_1 21 0 + 0 0 . chr8 133858440 133858441 chr8:133858441:T:C rs6993286 T C C EBF1_EBF_1 -7 0 - 0 0 . chr8 133890684 133890685 chr8:133890685:C:A rs62518535 C A C EBF1_EBF_1 -2 0 + 0 0 . chr8 133897893 133897894 chr8:133897894:A:G rs7008829 A G G EBF1_EBF_1 -4 0 - 0 0 . chr8 133898075 133898076 chr8:133898076:T:G chr8:133898076:T:G T G T EBF1_EBF_1 26 0 - 0 0 . chr8 133898108 133898109 chr8:133898109:C:G rs78415988 C G C EBF1_EBF_1 -7 0 - 0 0 . chr8 133898159 133898160 chr8:133898160:T:G rs74418744 T G T EBF1_EBF_1 6 1 + 5.509150214323607 3.402672021988652 TTCCCCTGGAGAAA chr8 133912574 133912575 chr8:133912575:T:A rs7004690 T A A EBF1_EBF_1 0 1 - 5.639883564768092 3.66682714180207 ATTCCCCTGGCACA chr8 133945767 133945768 chr8:133945768:T:C rs6982919 T C T EBF1_EBF_1 -1 0 + 0 0 . chr8 134099577 134099578 chr8:134099578:C:A rs12546242 C A C EBF1_EBF_1 25 0 - 0 0 . chr8 134109277 134109278 chr8:134109278:T:C rs7812676 T C T EBF1_EBF_1 16 0 - 0 0 . chr8 134162623 134162624 chr8:134162624:T:C rs10086035 T C C EBF1_EBF_1 17 0 - 0 0 . chr8 134208378 134208379 chr8:134208379:C:T rs17686635 C T C EBF1_EBF_1 29 0 + 0 0 . chr8 134228551 134228552 chr8:134228552:C:A rs57909274 C A A EBF1_EBF_1 16 0 - 0 0 . chr8 134241302 134241303 chr8:134241303:C:A rs2028941 C A A EBF1_EBF_1 15 0 - 0 0 . chr8 134276424 134276425 chr8:134276425:C:T rs895594 C T C EBF1_EBF_1 -11 0 + 0 0 . chr8 134324474 134324475 chr8:134324475:G:A rs116933020 G A G EBF1_EBF_1 9 1 + 5.534869820523834 2.879930555076231 GATCCCTACGGAAC chr8 134342759 134342760 chr8:134342760:T:C rs529866470 T C T EBF1_EBF_1 -14 0 - 0 0 . chr8 134342862 134342863 chr8:134342863:T:C rs55645011 T C C EBF1_EBF_1 -16 0 + 0 0 . chr8 134436505 134436506 chr8:134436506:T:C rs6992045 T C C EBF1_EBF_1 -3 0 + 0 0 . chr8 134457460 134457461 chr8:134457461:G:A rs1015520080 G A G EBF1_EBF_1 10 1 + 10.649172917686942 5.331144458419978 CTTCCCCTGGGACC chr8 134457728 134457729 chr8:134457729:C:G rs116633845 C G C EBF1_EBF_1 25 0 + 0 0 . chr8 134461954 134461955 chr8:134461955:C:A rs62523674 C A C EBF1_EBF_1 27 0 - 0 0 . chr8 134470230 134470231 chr8:134470231:C:T rs59521237 C T C EBF1_EBF_1 29 0 - 0 0 . chr8 134474764 134474765 chr8:134474765:A:T rs7464407 A T A EBF1_EBF_1 11 1 + 4.735100978914792 0.6371867228160664 CCACCCCAGAGACT chr8 134483816 134483817 chr8:134483817:C:T rs10103826 C T C EBF1_EBF_1 -16 0 + 0 0 . chr8 134522385 134522386 chr8:134522386:C:G rs7015197 C G C EBF1_EBF_1 -17 0 + 0 0 . chr8 134602082 134602083 chr8:134602083:G:A rs142331855 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 134625555 134625556 chr8:134625556:A:C rs75057186 A C A EBF1_EBF_1 -11 0 + 0 0 . chr8 134691474 134691475 chr8:134691475:G:A rs972209237 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 134696796 134696797 chr8:134696797:G:A rs12679966 G A G EBF1_EBF_1 -5 0 + 0 0 . chr8 134712671 134712672 chr8:134712672:G:T rs1372367431 G T g EBF1_EBF_1 25 0 + 0 0 . chr8 134719709 134719710 chr8:134719710:T:C rs7818560 T C c EBF1_EBF_1 15 0 + 0 0 . chr8 134719714 134719715 chr8:134719715:C:T rs79464603 C T c EBF1_EBF_1 20 0 + 0 0 . chr8 134795088 134795089 chr8:134795089:A:G rs72721970 A G A EBF1_EBF_1 6 1 + 10.519069266180495 8.35227483490602 ATTCCCAAGGGTAT chr8 134797390 134797391 chr8:134797391:C:A rs10106201 C A C EBF1_EBF_1 6 1 + 9.427140702318942 9.78874307012022 TACCCCCAGGGAAT chr8 134797704 134797705 chr8:134797705:T:C rs7000309 T C C EBF1_EBF_1 23 0 + 0 0 . chr8 134831664 134831665 chr8:134831665:C:T rs868766044 C T c EBF1_EBF_1 30 0 - 0 0 . chr8 134831672 134831673 chr8:134831673:C:G rs10094200 C G C EBF1_EBF_1 22 0 - 0 0 . chr8 134831673 134831674 chr8:134831674:C:A rs563947477 C A C EBF1_EBF_1 21 0 - 0 0 . chr8 134831673 134831674 chr8:134831674:C:G chr8:134831674:C:G C G C EBF1_EBF_1 21 0 - 0 0 . chr8 134956283 134956284 chr8:134956284:T:C rs11166913 T C T EBF1_EBF_1 23 0 - 0 0 . chr8 134986715 134986716 chr8:134986716:G:A rs57274862 G A G EBF1_EBF_1 4 1 - 4.608623774474671 0.2392368295297475 AGTGCCCTGGGAGA chr8 135053891 135053892 chr8:135053892:C:T rs4307374 C T C EBF1_EBF_1 25 0 - 0 0 . chr8 135061407 135061408 chr8:135061408:A:G rs9324521 A G A EBF1_EBF_1 -20 0 - 0 0 . chr8 135075065 135075066 chr8:135075066:T:C rs2219916 T C T EBF1_EBF_1 6 1 - 5.211358492305646 3.04456406103117 CGCCCCAAGAGATC chr8 135078580 135078581 chr8:135078581:T:G rs77879801 T G G EBF1_EBF_1 7 1 + 4.534059417358531 3.697875252157262 CTCCCCCTAGGACC chr8 135086424 135086425 chr8:135086425:T:C rs13255039 T C T EBF1_EBF_1 -14 0 + 0 0 . chr8 135128589 135128590 chr8:135128590:A:C rs4909467 A C C EBF1_EBF_1 13 1 + 6.83686109039962 7.210606539685596 AGCCCCAGGAGAAA chr8 135135438 135135439 chr8:135135439:G:A rs974466 G A G EBF1_EBF_1 29 0 - 0 0 . chr8 135135471 135135472 chr8:135135472:C:T rs4909890 C T C EBF1_EBF_1 -4 0 - 0 0 . chr8 135201009 135201010 chr8:135201010:G:A rs1412928636 G A G EBF1_EBF_1 31 0 + 0 0 . chr8 135457299 135457300 chr8:135457300:T:C rs572012183 T C C EBF1_EBF_1 19 0 + 0 0 . chr8 135457304 135457305 chr8:135457305:A:C chr8:135457305:A:C A C A EBF1_EBF_1 24 0 + 0 0 . chr8 135458039 135458040 chr8:135458040:C:T rs569324628 C T C EBF1_EBF_1 -13 0 - 0 0 . chr8 135482363 135482364 chr8:135482364:G:T rs10090665 G T G EBF1_EBF_1 3 1 + 4.8408190328388425 4.263184739400741 GTTGCCCTGGGAAA chr8 135482377 135482378 chr8:135482378:T:G rs79993350 T G t EBF1_EBF_1 17 0 + 0 0 . chr8 135517406 135517407 chr8:135517407:C:T rs2317275 C T C EBF1_EBF_1 7 1 + 5.00124996539867 6.818754711681293 CCTCCCTCGGGGAA chr8 135581198 135581199 chr8:135581199:T:C rs28363776 T C T EBF1_EBF_1 -9 0 - 0 0 . chr8 135664487 135664488 chr8:135664488:G:A rs13268316 G A G EBF1_EBF_1 13 1 - 4.212806818847338 5.057300933432856 AGCCCATAGGGAAC chr8 135666570 135666571 chr8:135666571:G:A rs72734048 G A G EBF1_EBF_1 -3 0 - 0 0 . chr8 135683272 135683273 chr8:135683273:G:A rs7462758 G A A EBF1_EBF_1 12 1 - 6.230634138338911 5.204367567788061 AAACCCTGGGGACG chr8 135703864 135703865 chr8:135703865:C:T rs7821447 C T C EBF1_EBF_1 10 1 - 9.394151417220804 4.076122957953839 AATCCCAAAGGACT chr8 135708997 135708998 chr8:135708998:T:C rs10094118 T C C EBF1_EBF_1 16 0 - 0 0 . chr8 135725134 135725135 chr8:135725135:T:G rs56127500 T G T EBF1_EBF_1 28 0 - 0 0 . chr8 135725842 135725843 chr8:135725843:T:C rs6999528 T C T EBF1_EBF_1 -18 0 + 0 0 . chr8 135735682 135735683 chr8:135735683:C:T rs9644461 C T C EBF1_EBF_1 -12 0 - 0 0 . chr8 135755419 135755420 chr8:135755420:A:G rs6990652 A G a EBF1_EBF_1 2 1 - 5.669978465682656 3.7811550542405055 CTTCCCCGGAGACG chr8 135854417 135854418 chr8:135854418:A:G rs76311101 A G A EBF1_EBF_1 29 0 - 0 0 . chr8 135890511 135890512 chr8:135890512:C:T rs13276788 C T C EBF1_EBF_1 -5 0 + 0 0 . chr8 135890543 135890544 chr8:135890544:T:G rs13250203 T G T EBF1_EBF_1 27 0 + 0 0 . chr8 135905314 135905315 chr8:135905315:T:A rs12235066 T A T EBF1_EBF_1 -11 0 + 0 0 . chr8 135926397 135926398 chr8:135926398:T:G rs2978220 T G T EBF1_EBF_1 17 0 - 0 0 . chr8 135995560 135995561 chr8:135995561:C:T rs67692335 C T C EBF1_EBF_1 16 0 - 0 0 . chr8 136049094 136049095 chr8:136049095:G:A rs72721889 G A G EBF1_EBF_1 -18 0 + 0 0 . chr8 136450644 136450645 chr8:136450645:G:A rs78917992 G A G EBF1_EBF_1 3 1 - 6.876465766507448 0.4710670249541297 TCCCCCTAGGGGCT chr8 136450659 136450660 chr8:136450660:C:G rs74959623 C G G EBF1_EBF_1 -12 0 - 0 0 . chr8 136451259 136451260 chr8:136451260:C:T rs7833681 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 136451266 136451267 chr8:136451267:A:G rs7816918 A G G EBF1_EBF_1 -11 0 + 0 0 . chr8 136474565 136474566 chr8:136474566:G:A rs4401896 G A A EBF1_EBF_1 -14 0 - 0 0 . chr8 136730628 136730629 chr8:136730629:A:G rs2262343 A G . EBF1_EBF_1 -19 0 - 0 0 . chr8 136942337 136942338 chr8:136942338:T:C rs16906602 T C T EBF1_EBF_1 32 0 + 0 0 . chr8 136980249 136980250 chr8:136980250:C:G rs10091572 C G C EBF1_EBF_1 -6 0 - 0 0 . chr8 137018393 137018394 chr8:137018394:A:G rs7826594 A G A EBF1_EBF_1 -7 0 + 0 0 . chr8 137031870 137031871 chr8:137031871:A:G rs12234909 A G A EBF1_EBF_1 -20 0 + 0 0 . chr8 137281863 137281864 chr8:137281864:C:G rs4909640 C G G EBF1_EBF_1 6 1 + 6.523723229949381 4.7185311664761835 TTTCCCCGGGGCCT chr8 137413467 137413468 chr8:137413468:A:G rs2943192 A G G EBF1_EBF_1 -14 0 - 0 0 . chr8 137414467 137414468 chr8:137414468:C:T rs2992724 C T C EBF1_EBF_1 -3 0 - 0 0 . chr8 137454900 137454901 chr8:137454901:T:C rs9693212 T C T EBF1_EBF_1 -12 0 + 0 0 . chr8 137454915 137454916 chr8:137454916:C:T rs9694102 C T C EBF1_EBF_1 3 1 + 7.727464724332021 1.322065982778705 CTCCCCTAGAGACT chr8 137564405 137564406 chr8:137564406:C:T rs2090089 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 137645654 137645655 chr8:137645655:G:A rs978399540 G A G EBF1_EBF_1 13 1 - 4.162556987575537 5.007051102161055 AGTCCCGACGGAGC chr8 137681741 137681742 chr8:137681742:A:G rs4503134 A G A EBF1_EBF_1 22 0 + 0 0 . chr8 137787701 137787702 chr8:137787702:G:T rs6577834 G T G EBF1_EBF_1 4 1 - 7.304004999233072 1.4794453757796049 TGCCCCTAGAGACT chr8 137789169 137789170 chr8:137789170:G:C rs10094281 G C C EBF1_EBF_1 6 1 - 7.306573752219321 5.5013816887461235 ACACCCCGGGGATT chr8 137789744 137789745 chr8:137789745:A:G rs6577837 A G A EBF1_EBF_1 7 1 - 7.129929926748856 5.3124251804662315 GACCCCATGGGAGC chr8 137853312 137853313 chr8:137853313:G:A rs6986921 G A G EBF1_EBF_1 3 1 - 5.7090623429518255 -0.6963363986014932 GCTCCCCGGGGCCT chr8 138146574 138146575 chr8:138146575:T:C rs10097110 T C t EBF1_EBF_1 -9 0 - 0 0 . chr8 138180304 138180305 chr8:138180305:G:A rs4461946 G A A EBF1_EBF_1 8 1 - 5.201870150168617 3.6290962221061083 GCTCCCTACGGACA chr8 138199166 138199167 chr8:138199167:G:A rs6984007 G A G EBF1_EBF_1 -13 0 + 0 0 . chr8 138238729 138238730 chr8:138238730:C:A rs1314932934 C A C EBF1_EBF_1 33 0 - 0 0 . chr8 138262376 138262377 chr8:138262377:G:A rs62529128 G A G EBF1_EBF_1 5 1 - 5.554715331677452 0.23292811613678133 TTTCCCGTGGGCCT chr8 138308053 138308054 chr8:138308054:G:A rs145967516 G A G EBF1_EBF_1 2 1 - 9.865545805133198 11.754369216575348 AGCCCCAGGGGAAC chr8 138340862 138340863 chr8:138340863:T:C rs556669176 T C C EBF1_EBF_1 13 1 - 10.038142907130595 8.923817335061155 AGTCCCAAGAGAAA chr8 138402236 138402237 chr8:138402237:C:T rs2139329 C T T EBF1_EBF_1 11 1 + 5.990355519603677 6.3084029613573405 CTTCCCTTGGGCGT chr8 138402243 138402244 chr8:138402244:C:T rs2139330 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 138412507 138412508 chr8:138412508:G:A rs11166822 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 138415740 138415741 chr8:138415741:G:A rs34031939 G A G EBF1_EBF_1 12 1 - 6.451130852233117 5.424864281682267 TTCCCCTTGGGGCC chr8 138434038 138434039 chr8:138434039:C:T rs925938 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 138436554 138436555 chr8:138436555:G:A rs1160458 G A A EBF1_EBF_1 9 1 + 4.296588691122232 1.6416494256746283 TGTTCCCAGGGACC chr8 138454020 138454021 chr8:138454021:G:C rs1519373 G C C EBF1_EBF_1 33 0 + 0 0 . chr8 138582070 138582071 chr8:138582071:C:T rs7846584 C T T EBF1_EBF_1 -5 0 - 0 0 . chr8 138615078 138615079 chr8:138615079:A:T rs142333558 A T A EBF1_EBF_1 32 0 - 0 0 . chr8 138669036 138669037 chr8:138669037:C:T rs11988391 C T C EBF1_EBF_1 18 0 - 0 0 . chr8 138673899 138673900 chr8:138673900:C:T rs28704583 C T T EBF1_EBF_1 28 0 + 0 0 . chr8 138688965 138688966 chr8:138688966:G:T rs4909444 G T G EBF1_EBF_1 -15 0 - 0 0 . chr8 138720442 138720443 chr8:138720443:C:T rs34822982 C T C EBF1_EBF_1 -13 0 - 0 0 . chr8 138735908 138735909 chr8:138735909:G:A rs182168120 G A G EBF1_EBF_1 -1 0 + 0 0 . chr8 138735936 138735937 chr8:138735937:G:A rs116906996 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 138760236 138760237 chr8:138760237:G:A rs73437386 G A G EBF1_EBF_1 -10 0 + 0 0 . chr8 138773293 138773294 chr8:138773294:G:A rs114494791 G A G EBF1_EBF_1 -12 0 + 0 0 . chr8 138821120 138821121 chr8:138821121:C:G rs185778557 C G C EBF1_EBF_1 2 1 + 5.0794042629052845 0.21990198414696605 GGCCCCCTGGTACT chr8 138833276 138833277 chr8:138833277:G:A rs6993839 G A A EBF1_EBF_1 27 0 + 0 0 . chr8 138858549 138858550 chr8:138858550:C:T rs117552005 C T C EBF1_EBF_1 3 1 + 5.670941809222925 -0.7344569323303918 TTCCCCTGGAGATT chr8 138862349 138862350 chr8:138862350:G:A rs7819724 G A A EBF1_EBF_1 27 0 + 0 0 . chr8 138868657 138868658 chr8:138868658:T:C rs11991356 T C C EBF1_EBF_1 -8 0 + 0 0 . chr8 138914038 138914039 chr8:138914039:G:A rs111698074 G A A EBF1_EBF_1 15 0 + 0 0 . chr8 138937564 138937565 chr8:138937565:T:G rs11784056 T G T EBF1_EBF_1 -18 0 - 0 0 . chr8 138952347 138952348 chr8:138952348:G:C rs6577975 G C C EBF1_EBF_1 17 0 - 0 0 . chr8 139112872 139112873 chr8:139112873:G:A rs77888938 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 139134884 139134885 chr8:139134885:T:C rs11992144 T C T EBF1_EBF_1 -20 0 - 0 0 . chr8 139227208 139227209 chr8:139227209:T:C rs7017449 T C T EBF1_EBF_1 23 0 + 0 0 . chr8 139246606 139246607 chr8:139246607:G:A rs7004291 G A A EBF1_EBF_1 6 1 - 5.557792703455869 5.859078832317627 CACCCCCGGGGATA chr8 139253759 139253760 chr8:139253760:G:A rs4577937 G A G EBF1_EBF_1 7 1 - 4.497410396633201 6.314915142915824 ATTCCCTCGGCACC chr8 139278636 139278637 chr8:139278637:A:G rs4736235 A G G EBF1_EBF_1 6 1 - 5.265855797916475 4.964569669054718 TCTCCCTAGAGGCC chr8 139278658 139278659 chr8:139278659:C:T rs6989521 C T c EBF1_EBF_1 -16 0 - 0 0 . chr8 139321794 139321795 chr8:139321795:C:T rs72614012 C T C EBF1_EBF_1 8 1 - 4.7134430958718045 0.4871530069855438 ATTCCACAGGGAGA chr8 139341597 139341598 chr8:139341598:G:T rs7833826 G T G EBF1_EBF_1 -4 0 + 0 0 . chr8 139369818 139369819 chr8:139369819:G:A rs1351027 G A G EBF1_EBF_1 32 0 - 0 0 . chr8 139404676 139404677 chr8:139404677:C:T rs7004290 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 139404688 139404689 chr8:139404689:A:T rs6985812 A T A EBF1_EBF_1 -6 0 + 0 0 . chr8 139404722 139404723 chr8:139404723:A:C rs6985827 A C - EBF1_EBF_1 28 0 + 0 0 . chr8 139405310 139405311 chr8:139405311:G:C rs67538474 G C G EBF1_EBF_1 -16 0 + 0 0 . chr8 139405357 139405358 chr8:139405358:G:T rs7833926 G T G EBF1_EBF_1 31 0 + 0 0 . chr8 139494444 139494445 chr8:139494445:G:A rs2447408 G A A EBF1_EBF_1 32 0 - 0 0 . chr8 139529151 139529152 chr8:139529152:A:G rs2468696 A G A EBF1_EBF_1 -14 0 + 0 0 . chr8 139530525 139530526 chr8:139530526:C:T rs16910856 C T T EBF1_EBF_1 28 0 - 0 0 . chr8 139590372 139590373 chr8:139590373:T:C rs2542436 T C C EBF1_EBF_1 27 0 - 0 0 . chr8 139591258 139591259 chr8:139591259:G:A rs2542435 G A G EBF1_EBF_1 -3 0 - 0 0 . chr8 139597448 139597449 chr8:139597449:C:T rs79194129 C T T EBF1_EBF_1 18 0 - 0 0 . chr8 139602175 139602176 chr8:139602176:C:T rs7012654 C T C EBF1_EBF_1 -5 0 + 0 0 . chr8 139623444 139623445 chr8:139623445:G:C rs2542423 G C G EBF1_EBF_1 2 1 - 8.848850940009495 3.989348661251177 AGCCCCTTGGGAGA chr8 139630397 139630398 chr8:139630398:C:T rs62520181 C T - EBF1_EBF_1 -12 0 + 0 0 . chr8 139639271 139639272 chr8:139639272:T:C rs2615379 T C T EBF1_EBF_1 16 0 + 0 0 . chr8 139644721 139644722 chr8:139644722:C:T rs1990899 C T - EBF1_EBF_1 -18 0 - 0 0 . chr8 139658686 139658687 chr8:139658687:T:G rs885724 T G T EBF1_EBF_1 0 1 - 7.063836041042268 4.808577557345667 AGTCCCTGAGGACA chr8 139681984 139681985 chr8:139681985:C:G rs747926793 C G C EBF1_EBF_1 30 0 - 0 0 . chr8 139684124 139684125 chr8:139684125:C:T rs55752296 C T C EBF1_EBF_1 32 0 + 0 0 . chr8 139698118 139698119 chr8:139698119:A:G rs13278664 A G A EBF1_EBF_1 -5 0 - 0 0 . chr8 139704787 139704788 chr8:139704788:G:C rs1031566682 G C G EBF1_EBF_1 -11 0 + 0 0 . chr8 139704804 139704805 chr8:139704805:T:G rs114720961 T G T EBF1_EBF_1 6 1 + 6.3529017329522555 4.2464235406173 TTTCCCTGGAGAGC chr8 139705078 139705079 chr8:139705079:A:G rs61490943 A G G EBF1_EBF_1 -3 0 + 0 0 . chr8 139712798 139712799 chr8:139712799:A:G rs9324513 A G A EBF1_EBF_1 18 0 + 0 0 . chr8 139729507 139729508 chr8:139729508:T:C rs199232 T C C EBF1_EBF_1 -11 0 - 0 0 . chr8 139736609 139736610 chr8:139736610:T:C rs7824473 T C C EBF1_EBF_1 -6 0 + 0 0 . chr8 139738124 139738125 chr8:139738125:C:G rs77980507 C G C EBF1_EBF_1 -10 0 - 0 0 . chr8 139744979 139744980 chr8:139744980:G:A rs115485818 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 139760343 139760344 chr8:139760344:G:A rs74753938 G A G EBF1_EBF_1 1 1 - 5.510650979940063 6.406084420338807 ACTCCCCAGGGTGG chr8 139760364 139760365 chr8:139760365:C:A rs74336894 C A C EBF1_EBF_1 -20 0 - 0 0 . chr8 139764014 139764015 chr8:139764015:G:A rs72683223 G A G EBF1_EBF_1 -12 0 + 0 0 . chr8 139770515 139770516 chr8:139770516:C:G rs10090980 C G C EBF1_EBF_1 3 1 + 4.774714898291202 -1.0530495498240156 GTCCCCACGGGGCC chr8 139771019 139771020 chr8:139771020:A:G rs183372991 A G A EBF1_EBF_1 1 1 + 7.064394167956682 7.3250929998779855 TACCCCCAGGGACG chr8 139775033 139775034 chr8:139775034:G:T rs116063865 G T G EBF1_EBF_1 3 1 - 3.7197995439964266 -3.186374485469379 AGCCCCTTTGGACC chr8 139790572 139790573 chr8:139790573:G:A rs142568673 G A G EBF1_EBF_1 -9 0 - 0 0 . chr8 139793511 139793512 chr8:139793512:C:T rs183887385 C T C EBF1_EBF_1 -14 0 - 0 0 . chr8 139795349 139795350 chr8:139795350:C:G rs11987784 C G C EBF1_EBF_1 11 1 + 4.988192236924259 6.511447091172637 TTCCCCATGGGCCC chr8 139801922 139801923 chr8:139801923:G:C rs77045601 G C G EBF1_EBF_1 9 1 + 5.321975299786772 0.24628615344130933 ATCCGCCAGGGAGT chr8 139801952 139801953 chr8:139801953:T:C rs4736003 T C T EBF1_EBF_1 17 0 - 0 0 . chr8 139806290 139806291 chr8:139806291:C:T rs145912241 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 139806300 139806301 chr8:139806301:C:T rs12547230 C T A EBF1_EBF_1 -19 0 - 0 0 . chr8 139835648 139835649 chr8:139835649:T:C rs876745 T C C EBF1_EBF_1 29 0 + 0 0 . chr8 139847143 139847144 chr8:139847144:G:C rs7824217 G C G EBF1_EBF_1 27 0 - 0 0 . chr8 139859393 139859394 chr8:139859394:C:T rs62528719 C T C EBF1_EBF_1 28 0 + 0 0 . chr8 139892267 139892268 chr8:139892268:C:A rs12544721 C A C EBF1_EBF_1 14 0 + 0 0 . chr8 139909443 139909444 chr8:139909444:A:G rs62526977 A G A EBF1_EBF_1 8 1 + 7.8298140658113144 12.056104154697575 ATTCCCAGAGGACA chr8 139959580 139959581 chr8:139959581:A:G rs962355 A G G EBF1_EBF_1 29 0 - 0 0 . chr8 139972759 139972760 chr8:139972760:G:A rs1108354 G A A EBF1_EBF_1 -19 0 - 0 0 . chr8 139974874 139974875 chr8:139974875:T:C rs2614720 T C C EBF1_EBF_1 15 0 - 0 0 . chr8 140006063 140006064 chr8:140006064:T:C rs72685226 T C T EBF1_EBF_1 23 0 - 0 0 . chr8 140031990 140031991 chr8:140031991:A:G rs10101910 A G A EBF1_EBF_1 18 0 - 0 0 . chr8 140038891 140038892 chr8:140038892:G:C rs73357196 G C G EBF1_EBF_1 -5 0 + 0 0 . chr8 140071643 140071644 chr8:140071644:C:T rs4392876 C T C EBF1_EBF_1 27 0 - 0 0 . chr8 140089231 140089232 chr8:140089232:C:G chr8:140089232:C:G C G C EBF1_EBF_1 -7 0 + 0 0 . chr8 140089231 140089232 chr8:140089232:C:T rs6989675 C T C EBF1_EBF_1 -7 0 + 0 0 . chr8 140093937 140093938 chr8:140093938:T:A rs547753004 T A T EBF1_EBF_1 28 0 + 0 0 . chr8 140149344 140149345 chr8:140149345:T:C rs12543789 T C C EBF1_EBF_1 16 0 + 0 0 . chr8 140194650 140194651 chr8:140194651:C:T rs55959638 C T C EBF1_EBF_1 3 1 + 6.948253663413081 0.5428549218597639 GGTCCCAAAGGAAC chr8 140215082 140215083 chr8:140215083:C:T rs145405092 C T C EBF1_EBF_1 -1 0 + 0 0 . chr8 140248513 140248514 chr8:140248514:A:G rs4307318 A G A EBF1_EBF_1 32 0 - 0 0 . chr8 140248524 140248525 chr8:140248525:T:C rs4409383 T C T EBF1_EBF_1 21 0 - 0 0 . chr8 140277208 140277209 chr8:140277209:G:A rs61318386 G A G EBF1_EBF_1 21 0 - 0 0 . chr8 140344634 140344635 chr8:140344635:T:C rs7015701 T C C EBF1_EBF_1 -9 0 - 0 0 . chr8 140469685 140469686 chr8:140469686:G:A rs546629449 G A G EBF1_EBF_1 9 1 + 5.118400611139177 2.463461345691573 ACTCCCCACGGGCT chr8 140507612 140507613 chr8:140507613:C:T rs572107367 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 140511406 140511407 chr8:140511407:G:A rs564329813 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 140530942 140530943 chr8:140530943:G:A rs3735798 G A G EBF1_EBF_1 -16 0 + 0 0 . chr8 140547780 140547781 chr8:140547781:G:A rs2944767 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 140559210 140559211 chr8:140559211:C:A rs2271735 C A C EBF1_EBF_1 30 0 + 0 0 . chr8 140586701 140586702 chr8:140586702:C:T rs7006298 C T T EBF1_EBF_1 6 1 + 6.491533250354509 6.792819379216266 ACTCCCCTGAGGAC chr8 140586727 140586728 chr8:140586728:C:A rs569341385 C A C EBF1_EBF_1 32 0 + 0 0 . chr8 140588781 140588782 chr8:140588782:G:C rs184734451 G C G EBF1_EBF_1 17 0 + 0 0 . chr8 140596889 140596890 chr8:140596890:C:T rs4961271 C T C EBF1_EBF_1 -17 0 + 0 0 . chr8 140596900 140596901 chr8:140596901:G:A rs111592169 G A G EBF1_EBF_1 -6 0 + 0 0 . chr8 140596911 140596912 chr8:140596912:C:G rs4961272 C G C EBF1_EBF_1 5 1 + 4.933538931153522 -1.9713422214816476 ACTCCCCTGGTGCC chr8 140635946 140635947 chr8:140635947:C:G rs949467891 C G c EBF1_EBF_1 7 1 - 3.5662688615801095 2.584948280498754 GGTCCCCGGGGCCG chr8 140679951 140679952 chr8:140679952:T:C rs4961284 T C T EBF1_EBF_1 -4 0 + 0 0 . chr8 140699502 140699503 chr8:140699503:T:A rs142356503 T A T EBF1_EBF_1 31 0 + 0 0 . chr8 140780096 140780097 chr8:140780097:C:G rs79896268 C G C EBF1_EBF_1 -5 0 + 0 0 . chr8 140839298 140839299 chr8:140839299:C:T rs183065190 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 140873056 140873057 chr8:140873057:C:T rs11167005 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 140974781 140974782 chr8:140974782:C:T rs11786859 C T T EBF1_EBF_1 29 0 + 0 0 . chr8 141005388 141005389 chr8:141005389:G:C rs150904486 G C G EBF1_EBF_1 21 0 + 0 0 . chr8 141006809 141006810 chr8:141006810:A:G rs7824374 A G A EBF1_EBF_1 -11 0 - 0 0 . chr8 141060025 141060026 chr8:141060026:G:C rs895764 G C C EBF1_EBF_1 20 0 - 0 0 . chr8 141060029 141060030 chr8:141060030:T:C rs115994074 T C T EBF1_EBF_1 16 0 - 0 0 . chr8 141070067 141070068 chr8:141070068:A:G rs34787691 A G A EBF1_EBF_1 7 1 + 5.318129115930071 4.005670710641245 CACCCCTAGGGTCT chr8 141070087 141070088 chr8:141070088:A:G rs35817278 A G A EBF1_EBF_1 27 0 + 0 0 . chr8 141071452 141071453 chr8:141071453:G:A rs28560138 G A g EBF1_EBF_1 8 1 + 5.99169469839096 1.7654046095046985 CTACCCCCGGGACT chr8 141076066 141076067 chr8:141076067:C:G rs112589773 C G c EBF1_EBF_1 5 1 + 5.920457177629389 -0.9844239750057799 TGCCCCCAGGGGCC chr8 141084392 141084393 chr8:141084393:C:G rs779783042 C G C EBF1_EBF_1 -16 0 + 0 0 . chr8 141084429 141084430 chr8:141084430:A:G rs1040327520 A G A EBF1_EBF_1 21 0 + 0 0 . chr8 141085667 141085668 chr8:141085668:G:T rs111866214 G T G EBF1_EBF_1 17 0 - 0 0 . chr8 141086168 141086169 chr8:141086169:C:T rs4961249 C T T EBF1_EBF_1 32 0 - 0 0 . chr8 141087676 141087677 chr8:141087677:C:T rs111648639 C T C EBF1_EBF_1 -8 0 + 0 0 . chr8 141088877 141088878 chr8:141088878:A:G rs6990155 A G G EBF1_EBF_1 -2 0 - 0 0 . chr8 141089652 141089653 chr8:141089653:C:T rs13439061 C T T EBF1_EBF_1 18 0 - 0 0 . chr8 141093839 141093840 chr8:141093840:C:T rs35189046 C T c EBF1_EBF_1 28 0 + 0 0 . chr8 141095763 141095764 chr8:141095764:G:A rs189311388 G A G EBF1_EBF_1 -14 0 + 0 0 . chr8 141096273 141096274 chr8:141096274:G:A rs73360556 G A A EBF1_EBF_1 25 0 + 0 0 . chr8 141096678 141096679 chr8:141096679:C:A rs117476103 C A C EBF1_EBF_1 -11 0 - 0 0 . chr8 141099759 141099760 chr8:141099760:T:G rs7818104 T G G EBF1_EBF_1 31 0 + 0 0 . chr8 141103284 141103285 chr8:141103285:T:G rs28712240 T G G EBF1_EBF_1 -19 0 - 0 0 . chr8 141105250 141105251 chr8:141105251:C:T rs11167031 C T C EBF1_EBF_1 3 1 + 6.263896823445002 -0.14150191810831414 AGCCCCAGGGGCCT chr8 141111549 141111550 chr8:141111550:C:G rs11774410 C G - EBF1_EBF_1 23 0 - 0 0 . chr8 141113810 141113811 chr8:141113811:C:T rs150118216 C T C EBF1_EBF_1 -16 0 - 0 0 . chr8 141118265 141118266 chr8:141118266:C:T rs72681526 C T C EBF1_EBF_1 17 0 - 0 0 . chr8 141121728 141121729 chr8:141121729:C:T rs57552733 C T C EBF1_EBF_1 11 1 + 6.25886535235788 6.576912794111544 ACTCCCCAGAGCCT chr8 141128441 141128442 chr8:141128442:C:G rs191437701 C G C EBF1_EBF_1 16 0 + 0 0 . chr8 141128629 141128630 chr8:141128630:G:A rs1348607573 G A G EBF1_EBF_1 16 0 - 0 0 . chr8 141128760 141128761 chr8:141128761:C:G rs3739232 C G G EBF1_EBF_1 -15 0 + 0 0 . chr8 141129151 141129152 chr8:141129152:T:C rs6578152 T C C EBF1_EBF_1 -15 0 - 0 0 . chr8 141159432 141159433 chr8:141159433:G:A rs577904923 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 141173003 141173004 chr8:141173004:C:G rs115033257 C G C EBF1_EBF_1 -15 0 - 0 0 . chr8 141179036 141179037 chr8:141179037:C:G rs4961334 C G C EBF1_EBF_1 -19 0 - 0 0 . chr8 141183052 141183053 chr8:141183053:C:T rs78772490 C T C EBF1_EBF_1 18 0 - 0 0 . chr8 141195286 141195287 chr8:141195287:C:T rs34537797 C T C EBF1_EBF_1 -20 0 + 0 0 . chr8 141208715 141208716 chr8:141208716:C:T rs375575281 C T C EBF1_EBF_1 32 0 + 0 0 . chr8 141215688 141215689 chr8:141215689:G:A rs10108999 G A G EBF1_EBF_1 20 0 + 0 0 . chr8 141265193 141265194 chr8:141265194:C:T rs753350399 C T C EBF1_EBF_1 27 0 + 0 0 . chr8 141278772 141278773 chr8:141278773:G:A rs548735392 G A G EBF1_EBF_1 4 1 + 4.788144227189305 4.5893497048853815 ACCCGCAAGGGAGT chr8 141289725 141289726 chr8:141289726:G:T rs11783132 G T G EBF1_EBF_1 -16 0 - 0 0 . chr8 141324376 141324377 chr8:141324377:C:T rs11781197 C T C EBF1_EBF_1 -16 0 + 0 0 . chr8 141343664 141343665 chr8:141343665:A:G rs7460629 A G G EBF1_EBF_1 13 1 + 5.821525185835256 4.707199613765817 AGCCCCTTGGGCCA chr8 141343670 141343671 chr8:141343671:G:C rs4072669 G C G EBF1_EBF_1 19 0 + 0 0 . chr8 141347151 141347152 chr8:141347152:C:A rs115092486 C A C EBF1_EBF_1 8 1 + 6.084924172518017 7.1772929445464415 ATTCCCAGCGGATC chr8 141359254 141359255 chr8:141359255:G:A rs769841257 G A G EBF1_EBF_1 -12 0 + 0 0 . chr8 141359288 141359289 chr8:141359289:C:T rs112796106 C T C EBF1_EBF_1 22 0 + 0 0 . chr8 141375278 141375279 chr8:141375279:C:T rs58029820 C T C EBF1_EBF_1 27 0 - 0 0 . chr8 141375287 141375288 chr8:141375288:G:A rs72683582 G A G EBF1_EBF_1 18 0 - 0 0 . chr8 141377621 141377622 chr8:141377622:G:A rs4961383 G A G EBF1_EBF_1 4 1 - 6.1452134344656555 1.7758264895207316 AGTCCCAATGGACC chr8 141385944 141385945 chr8:141385945:C:G rs56401210 C G G EBF1_EBF_1 27 0 + 0 0 . chr8 141387225 141387226 chr8:141387226:G:A rs764381933 G A G EBF1_EBF_1 31 0 + 0 0 . chr8 141398996 141398997 chr8:141398997:C:T rs62522491 C T C EBF1_EBF_1 13 1 + 9.193270539205596 10.037764653791115 CTTCCCACGGGACC chr8 141401671 141401672 chr8:141401672:C:T rs116430047 C T C EBF1_EBF_1 0 1 + 5.721886464867763 6.004088525598341 CGTCCCGAGGGGCC chr8 141416708 141416709 chr8:141416709:C:A rs183576474 C A C EBF1_EBF_1 12 1 + 7.585609615617229 7.615791014038555 GCTCCCATGGGGCC chr8 141416724 141416725 chr8:141416725:C:T rs145750471 C T C EBF1_EBF_1 28 0 + 0 0 . chr8 141424744 141424745 chr8:141424745:G:C rs12541005 G C C EBF1_EBF_1 -16 0 - 0 0 . chr8 141433740 141433741 chr8:141433741:A:C rs6578182 A C A EBF1_EBF_1 33 0 + 0 0 . chr8 141434679 141434680 chr8:141434680:G:C rs10104806 G C C EBF1_EBF_1 -3 0 - 0 0 . chr8 141434687 141434688 chr8:141434688:C:A rs78724274 C A C EBF1_EBF_1 -11 0 - 0 0 . chr8 141436537 141436538 chr8:141436538:G:A rs73713635 G A G EBF1_EBF_1 -18 0 + 0 0 . chr8 141445143 141445144 chr8:141445144:T:C rs115452804 T C T EBF1_EBF_1 6 1 - 8.149319495688447 5.982525064413971 AGCCCCAAGAGAAA chr8 141445155 141445156 chr8:141445156:A:G rs6987173 A G G EBF1_EBF_1 -6 0 - 0 0 . chr8 141445164 141445165 chr8:141445165:G:A rs531000727 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 141447764 141447765 chr8:141447765:T:C rs148729614 T C T EBF1_EBF_1 30 0 - 0 0 . chr8 141449373 141449374 chr8:141449374:G:T rs13267647 G T G EBF1_EBF_1 3 1 - 5.268470325795359 -1.6377037036704465 AGTCCCCTGGGCTG chr8 141450765 141450766 chr8:141450766:G:T rs138949364 G T G EBF1_EBF_1 27 0 - 0 0 . chr8 141451326 141451327 chr8:141451327:G:A rs12548704 G A G EBF1_EBF_1 -1 0 + 0 0 . chr8 141465787 141465788 chr8:141465788:G:A rs62523961 G A G EBF1_EBF_1 26 0 + 0 0 . chr8 141479593 141479594 chr8:141479594:C:G rs7008177 C G C EBF1_EBF_1 20 0 + 0 0 . chr8 141480346 141480347 chr8:141480347:A:C rs79609073 A C A EBF1_EBF_1 7 1 - 8.085940727172321 7.249756561971055 AGTCCCCTGAGAAG chr8 141491483 141491484 chr8:141491484:A:G rs116561658 A G A EBF1_EBF_1 -20 0 - 0 0 . chr8 141492329 141492330 chr8:141492330:G:A chr8:141492330:G:A G A A EBF1_EBF_1 4 1 - 7.680942271129288 3.3115553261843638 CGCCCCCTGGGACA chr8 141492329 141492330 chr8:141492330:G:C rs4907347 G C A EBF1_EBF_1 4 1 - 7.680942271129288 2.0551771699797423 CGCCCCCTGGGACA chr8 141492411 141492412 chr8:141492412:G:A rs67391017 G A - EBF1_EBF_1 4 1 - 7.680942271129288 3.3115553261843638 CGCCCCCTGGGACA chr8 141492537 141492538 chr8:141492538:C:T rs71516624 C T - EBF1_EBF_1 1 1 - 7.680942271129288 7.420243439207986 CGCCCCCTGGGACA chr8 141492616 141492617 chr8:141492617:G:A rs74676736 G A - EBF1_EBF_1 4 1 - 7.680942271129288 3.3115553261843638 CGCCCCCTGGGACA chr8 141493026 141493027 chr8:141493027:G:A rs72643489 G A - EBF1_EBF_1 4 1 - 7.680942271129288 3.3115553261843638 CGCCCCCTGGGACA chr8 141493026 141493027 chr8:141493027:G:C chr8:141493027:G:C G C - EBF1_EBF_1 4 1 - 7.680942271129288 2.0551771699797423 CGCCCCCTGGGACA chr8 141493108 141493109 chr8:141493109:G:A rs62524009 G A - EBF1_EBF_1 4 1 - 7.680942271129288 3.3115553261843638 CGCCCCCTGGGACA chr8 141493108 141493109 chr8:141493109:G:C chr8:141493109:G:C G C - EBF1_EBF_1 4 1 - 7.680942271129288 2.0551771699797423 CGCCCCCTGGGACA chr8 141493175 141493176 chr8:141493176:T:C rs2402038 T C - EBF1_EBF_1 19 0 - 0 0 . chr8 141493190 141493191 chr8:141493191:G:A chr8:141493191:G:A G A - EBF1_EBF_1 4 1 - 7.680942271129288 3.3115553261843638 CGCCCCCTGGGACA chr8 141493190 141493191 chr8:141493191:G:C rs3993924 G C - EBF1_EBF_1 4 1 - 7.680942271129288 2.0551771699797423 CGCCCCCTGGGACA chr8 141493363 141493364 chr8:141493364:A:G rs7462363 A G G EBF1_EBF_1 -9 0 + 0 0 . chr8 141493391 141493392 chr8:141493392:G:A rs2246721 G A G EBF1_EBF_1 -10 0 + 0 0 . chr8 141493416 141493417 chr8:141493417:T:C rs2246717 T C C EBF1_EBF_1 15 0 + 0 0 . chr8 141494191 141494192 chr8:141494192:G:A rs145720455 G A G EBF1_EBF_1 22 0 - 0 0 . chr8 141496915 141496916 chr8:141496916:G:C rs189446665 G C G EBF1_EBF_1 -1 0 - 0 0 . chr8 141508522 141508523 chr8:141508523:T:C rs6988080 T C T EBF1_EBF_1 30 0 + 0 0 . chr8 141510748 141510749 chr8:141510749:C:T rs6578198 C T C EBF1_EBF_1 2 1 + 5.712522801074509 7.601346212516658 ACCCCCCGGGGCCT chr8 141514981 141514982 chr8:141514982:A:G rs62512896 A G A EBF1_EBF_1 -17 0 + 0 0 . chr8 141520211 141520212 chr8:141520212:G:A rs4574880 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 141523100 141523101 chr8:141523101:A:T rs4907376 A T A EBF1_EBF_1 -11 0 + 0 0 . chr8 141524527 141524528 chr8:141524528:T:C rs28399815 T C T EBF1_EBF_1 33 0 - 0 0 . chr8 141524536 141524537 chr8:141524537:A:G rs7833130 A G G EBF1_EBF_1 24 0 - 0 0 . chr8 141538368 141538369 chr8:141538369:G:A rs7830735 G A g EBF1_EBF_1 -2 0 + 0 0 . chr8 141540193 141540194 chr8:141540194:C:T rs78022904 C T C EBF1_EBF_1 6 1 + 3.9342849785572307 4.235571107418989 CCTGCCCGGGGACT chr8 141560485 141560486 chr8:141560486:T:C rs4243790 T C t EBF1_EBF_1 0 1 - 4.94700105891694 3.054717189352106 AGACCCCAGAGAGC chr8 141560500 141560501 chr8:141560501:C:T rs4243791 C T C EBF1_EBF_1 -15 0 - 0 0 . chr8 141560941 141560942 chr8:141560942:T:C rs13267815 T C C EBF1_EBF_1 7 1 - 4.793725150696131 3.4812667454073054 AGCCCCCAGTGACT chr8 141566728 141566729 chr8:141566729:G:A rs13277617 G A G EBF1_EBF_1 19 0 - 0 0 . chr8 141571212 141571213 chr8:141571213:G:A rs1984461 G A G EBF1_EBF_1 -9 0 + 0 0 . chr8 141582771 141582772 chr8:141582772:C:T rs11780519 C T C EBF1_EBF_1 -14 0 + 0 0 . chr8 141587621 141587622 chr8:141587622:C:T rs625669 C T C EBF1_EBF_1 -12 0 + 0 0 . chr8 141587624 141587625 chr8:141587625:G:A rs554897 G A G EBF1_EBF_1 -9 0 + 0 0 . chr8 141593366 141593367 chr8:141593367:G:C rs79000372 G C G EBF1_EBF_1 30 0 - 0 0 . chr8 141595984 141595985 chr8:141595985:G:A rs11987238 G A G EBF1_EBF_1 33 0 - 0 0 . chr8 141596751 141596752 chr8:141596752:C:T rs76928674 C T c EBF1_EBF_1 -4 0 + 0 0 . chr8 141603111 141603112 chr8:141603112:A:G rs307416 A G A EBF1_EBF_1 22 0 - 0 0 . chr8 141603180 141603181 chr8:141603181:C:T rs4907359 C T T EBF1_EBF_1 12 1 - 5.367424269151425 6.786241611250903 CTTCTCCAGGGAGC chr8 141610124 141610125 chr8:141610125:T:C rs307423 T C C EBF1_EBF_1 19 0 + 0 0 . chr8 141614426 141614427 chr8:141614427:G:T rs1566085 G T G EBF1_EBF_1 15 0 + 0 0 . chr8 141625220 141625221 chr8:141625221:G:A rs80081614 G A G EBF1_EBF_1 29 0 - 0 0 . chr8 141637587 141637588 chr8:141637588:G:A rs11782215 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 141641707 141641708 chr8:141641708:C:G rs4907338 C G C EBF1_EBF_1 10 1 - 8.8131640212334 1.9223616339039251 TGCCCCCAGGGACC chr8 141644127 141644128 chr8:141644128:G:A rs4342639 G A G EBF1_EBF_1 27 0 - 0 0 . chr8 141646817 141646818 chr8:141646818:A:T rs10094253 A T A EBF1_EBF_1 -10 0 + 0 0 . chr8 141648997 141648998 chr8:141648998:C:G rs79954281 C G C EBF1_EBF_1 20 0 + 0 0 . chr8 141649521 141649522 chr8:141649522:C:T rs6993695 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 141679016 141679017 chr8:141679017:A:C rs868482 A C C EBF1_EBF_1 27 0 - 0 0 . chr8 141696835 141696836 chr8:141696836:C:A rs34225084 C A A EBF1_EBF_1 -8 0 + 0 0 . chr8 141703556 141703557 chr8:141703557:A:G rs6982819 A G G EBF1_EBF_1 -11 0 - 0 0 . chr8 141709369 141709370 chr8:141709370:G:A rs36047783 G A A EBF1_EBF_1 26 0 + 0 0 . chr8 141715916 141715917 chr8:141715917:C:T rs77411915 C T C EBF1_EBF_1 28 0 - 0 0 . chr8 141715956 141715957 chr8:141715957:T:C rs74659593 T C T EBF1_EBF_1 -12 0 - 0 0 . chr8 141720390 141720391 chr8:141720391:C:T rs73713448 C T C EBF1_EBF_1 -13 0 - 0 0 . chr8 141721643 141721644 chr8:141721644:T:C rs7820007 T C C EBF1_EBF_1 -19 0 - 0 0 . chr8 141724971 141724972 chr8:141724972:C:T rs77416939 C T C EBF1_EBF_1 23 0 + 0 0 . chr8 141725266 141725267 chr8:141725267:G:T rs546672981 G T G EBF1_EBF_1 21 0 - 0 0 . chr8 141727104 141727105 chr8:141727105:G:T chr8:141727105:G:T G T G EBF1_EBF_1 -11 0 - 0 0 . chr8 141733070 141733071 chr8:141733071:G:A rs34429164 G A G EBF1_EBF_1 23 0 - 0 0 . chr8 141733976 141733977 chr8:141733977:T:C rs10100081 T C T EBF1_EBF_1 25 0 + 0 0 . chr8 141738106 141738107 chr8:141738107:A:C rs112092570 A C A EBF1_EBF_1 23 0 - 0 0 . chr8 141738201 141738202 chr8:141738202:C:G rs145365022 C G c EBF1_EBF_1 -13 0 - 0 0 . chr8 141742082 141742083 chr8:141742083:A:G rs751171546 A G A EBF1_EBF_1 -7 0 - 0 0 . chr8 141742154 141742155 chr8:141742155:C:T rs754597718 C T C EBF1_EBF_1 32 0 - 0 0 . chr8 141752784 141752785 chr8:141752785:C:A chr8:141752785:C:A C A C EBF1_EBF_1 -20 0 + 0 0 . chr8 141753047 141753048 chr8:141753048:C:T rs62512983 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 141754470 141754471 chr8:141754471:C:T rs75203493 C T C EBF1_EBF_1 -13 0 - 0 0 . chr8 141757889 141757890 chr8:141757890:C:A rs112498915 C A C EBF1_EBF_1 3 1 + 5.744757486257564 -1.161416543208243 AGCCCCGAGGGGAA chr8 141760135 141760136 chr8:141760136:C:T rs12682375 C T C EBF1_EBF_1 14 0 - 0 0 . chr8 141766924 141766925 chr8:141766925:C:G rs73713465 C G C EBF1_EBF_1 -9 0 - 0 0 . chr8 141767434 141767435 chr8:141767435:C:T rs9693810 C T C EBF1_EBF_1 -7 0 - 0 0 . chr8 141767757 141767758 chr8:141767758:C:T rs9324556 C T T EBF1_EBF_1 -11 0 - 0 0 . chr8 141768834 141768835 chr8:141768835:G:A rs189926667 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 141772966 141772967 chr8:141772967:A:G rs9721191 A G G EBF1_EBF_1 -2 0 + 0 0 . chr8 141774220 141774221 chr8:141774221:G:A rs113907096 G A G EBF1_EBF_1 15 0 - 0 0 . chr8 141774941 141774942 chr8:141774942:G:A rs9802100 G A G EBF1_EBF_1 -13 0 - 0 0 . chr8 141791959 141791960 chr8:141791960:C:T rs9693871 C T T EBF1_EBF_1 -17 0 - 0 0 . chr8 141792464 141792465 chr8:141792465:G:T rs13248064 G T G EBF1_EBF_1 10 1 + 5.996513297061057 1.8137611108337928 AGCCCCCAGGGCCA chr8 141829990 141829991 chr8:141829991:C:T rs150498641 C T C EBF1_EBF_1 -2 0 + 0 0 . chr8 141844448 141844449 chr8:141844449:T:C rs1446938 T C A EBF1_EBF_1 25 0 - 0 0 . chr8 141845530 141845531 chr8:141845531:C:T rs9785126 C T C EBF1_EBF_1 7 1 - 9.792230825093775 11.104689230382599 CCTCCCCGGGGAAT chr8 141856582 141856583 chr8:141856583:T:C chr8:141856583:T:C T C T EBF1_EBF_1 6 1 - 3.7008136978475568 1.5340192665730823 CCTCCCAAGGCACC chr8 141861218 141861219 chr8:141861219:G:A rs75199149 G A G EBF1_EBF_1 4 1 - 7.69556505393399 3.326178108989066 ACTCCCCAGGGCCA chr8 141862253 141862254 chr8:141862254:C:A rs117027151 C A C EBF1_EBF_1 26 0 - 0 0 . chr8 141883205 141883206 chr8:141883206:T:C rs755655095 T C T EBF1_EBF_1 21 0 - 0 0 . chr8 141893756 141893757 chr8:141893757:C:A rs12549089 C A C EBF1_EBF_1 0 1 + 7.9913580843240695 10.24661656802067 CCTCCCCTGGGAGA chr8 141899332 141899333 chr8:141899333:T:G rs73373478 T G T EBF1_EBF_1 19 0 + 0 0 . chr8 141904915 141904916 chr8:141904916:T:C rs10097880 T C T EBF1_EBF_1 21 0 - 0 0 . chr8 141904922 141904923 chr8:141904923:G:A rs141146329 G A G EBF1_EBF_1 14 0 - 0 0 . chr8 141904943 141904944 chr8:141904944:G:A rs150811054 G A G EBF1_EBF_1 -7 0 - 0 0 . chr8 141910722 141910723 chr8:141910723:G:A rs80183678 G A G EBF1_EBF_1 29 0 + 0 0 . chr8 141928298 141928299 chr8:141928299:A:G rs142527757 A G A EBF1_EBF_1 21 0 - 0 0 . chr8 141933618 141933619 chr8:141933619:G:A rs34478488 G A G EBF1_EBF_1 19 0 + 0 0 . chr8 141940024 141940025 chr8:141940025:C:T rs6583552 C T C EBF1_EBF_1 -17 0 - 0 0 . chr8 141940583 141940584 chr8:141940584:A:G rs6991768 A G A EBF1_EBF_1 13 1 - 5.5382866710605985 4.69379255647508 TTTCCCAAGGTGCT chr8 141942095 141942096 chr8:141942096:C:A rs744342 C A C EBF1_EBF_1 11 1 - 7.711741144630079 6.506533732135365 AGCCCCCAGGGGTT chr8 141948205 141948206 chr8:141948206:G:A rs7814977 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 141966244 141966245 chr8:141966245:G:A rs10092183 G A N EBF1_EBF_1 -5 0 - 0 0 . chr8 141974337 141974338 chr8:141974338:C:G rs58603452 C G G EBF1_EBF_1 -11 0 - 0 0 . chr8 141975197 141975198 chr8:141975198:C:T rs72698426 C T C EBF1_EBF_1 31 0 + 0 0 . chr8 141977358 141977359 chr8:141977359:T:C rs60667701 T C C EBF1_EBF_1 -17 0 - 0 0 . chr8 141978742 141978743 chr8:141978743:C:T rs73716027 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 141980757 141980758 chr8:141980758:A:G chr8:141980758:A:G A G A EBF1_EBF_1 -6 0 + 0 0 . chr8 141987781 141987782 chr8:141987782:A:G rs28635697 A G G EBF1_EBF_1 25 0 + 0 0 . chr8 142010707 142010708 chr8:142010708:C:G rs76897445 C G C EBF1_EBF_1 30 0 + 0 0 . chr8 142015983 142015984 chr8:142015984:G:A rs34706841 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 142018508 142018509 chr8:142018509:C:T rs57198405 C T C EBF1_EBF_1 -11 0 + 0 0 . chr8 142018510 142018511 chr8:142018511:A:T rs902820 A T A EBF1_EBF_1 -9 0 + 0 0 . chr8 142018532 142018533 chr8:142018533:T:C rs59910048 T C T EBF1_EBF_1 13 1 + 9.777248889963094 8.932754775377575 AGTCCCTAGAGAGT chr8 142020793 142020794 chr8:142020794:C:T rs72683317 C T c EBF1_EBF_1 22 0 + 0 0 . chr8 142020794 142020795 chr8:142020795:G:A rs573496275 G A G EBF1_EBF_1 23 0 + 0 0 . chr8 142021256 142021257 chr8:142021257:G:A rs10093158 G A G EBF1_EBF_1 13 1 - 10.11427488134743 10.95876899593295 CTTCCCTGGGGACC chr8 142021273 142021274 chr8:142021274:C:G rs10094072 C G C EBF1_EBF_1 -4 0 - 0 0 . chr8 142043259 142043260 chr8:142043260:C:A rs544562387 C A C EBF1_EBF_1 32 0 + 0 0 . chr8 142054620 142054621 chr8:142054621:C:T rs10106206 C T C EBF1_EBF_1 0 1 + 4.241490524302996 4.523692585033574 CCTCCCATGGGCAG chr8 142060849 142060850 chr8:142060850:T:C rs572348617 T C T EBF1_EBF_1 -2 0 + 0 0 . chr8 142060851 142060852 chr8:142060852:G:A rs75594437 G A G EBF1_EBF_1 0 1 + 4.288949643304747 6.181233512869581 GCTGCCCTGGGACC chr8 142060875 142060876 chr8:142060876:G:A rs77294319 G A G EBF1_EBF_1 24 0 + 0 0 . chr8 142063649 142063650 chr8:142063650:A:G rs1038850 A G a EBF1_EBF_1 -15 0 - 0 0 . chr8 142067187 142067188 chr8:142067188:C:A rs140105607 C A C EBF1_EBF_1 -7 0 - 0 0 . chr8 142068984 142068985 chr8:142068985:C:G rs11785036 C G c EBF1_EBF_1 -12 0 - 0 0 . chr8 142068988 142068989 chr8:142068989:C:T rs11785037 C T C EBF1_EBF_1 -16 0 - 0 0 . chr8 142074623 142074624 chr8:142074624:A:G rs4917307 A G G EBF1_EBF_1 -15 0 + 0 0 . chr8 142074643 142074644 chr8:142074644:C:A rs75755181 C A C EBF1_EBF_1 5 1 + 11.407649218505076 4.50276806586991 TTTCCCCAGGGACC chr8 142078778 142078779 chr8:142078779:G:A rs10090087 G A G EBF1_EBF_1 13 1 - 8.272977051465684 9.117471166051203 ACCCCCTAGAGAAC chr8 142090048 142090049 chr8:142090049:G:A rs760581775 G A G EBF1_EBF_1 3 1 - 8.846628134734843 2.4412293931815263 CATCCCCGGGGACC chr8 142105351 142105352 chr8:142105352:C:T rs13264302 C T C EBF1_EBF_1 8 1 - 4.811235621830788 0.5849455329445266 AGCCCCCCGAGATC chr8 142106833 142106834 chr8:142106834:C:T rs11786860 C T C EBF1_EBF_1 -18 0 + 0 0 . chr8 142109951 142109952 chr8:142109952:G:C rs11994416 G C G EBF1_EBF_1 -13 0 - 0 0 . chr8 142115102 142115103 chr8:142115103:T:G rs34441507 T G T EBF1_EBF_1 29 0 + 0 0 . chr8 142121861 142121862 chr8:142121862:G:C rs7350103 G C C EBF1_EBF_1 16 0 + 0 0 . chr8 142126679 142126680 chr8:142126680:G:C rs747900 G C C EBF1_EBF_1 -17 0 - 0 0 . chr8 142143678 142143679 chr8:142143679:C:T rs62514663 C T T EBF1_EBF_1 -3 0 + 0 0 . chr8 142144051 142144052 chr8:142144052:A:G rs13248223 A G G EBF1_EBF_1 -2 0 + 0 0 . chr8 142146744 142146745 chr8:142146745:C:T rs55965102 C T C EBF1_EBF_1 -4 0 - 0 0 . chr8 142154144 142154145 chr8:142154145:C:T rs7828873 C T C EBF1_EBF_1 -16 0 - 0 0 . chr8 142155397 142155398 chr8:142155398:C:T rs7463437 C T C EBF1_EBF_1 3 1 + 4.554438756704831 -1.850959984848487 CCTCCCCCAGGACT chr8 142170499 142170500 chr8:142170500:G:A rs56239519 G A G EBF1_EBF_1 -4 0 - 0 0 . chr8 142182012 142182013 chr8:142182013:G:A rs7827523 G A G EBF1_EBF_1 -13 0 + 0 0 . chr8 142186600 142186601 chr8:142186601:G:C rs7825509 G C C EBF1_EBF_1 25 0 + 0 0 . chr8 142187527 142187528 chr8:142187528:C:T rs7465156 C T C EBF1_EBF_1 -1 0 - 0 0 . chr8 142197824 142197825 chr8:142197825:G:C rs35975499 G C G EBF1_EBF_1 2 1 - 5.409962959656013 0.5504606808976937 ACCCCCCCGGGGCC chr8 142198491 142198492 chr8:142198492:C:T rs1231332581 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 142203282 142203283 chr8:142203283:C:T rs9657471 C T C EBF1_EBF_1 4 1 + 9.37999402800222 5.010607083057297 CCTCCCCTGGGACA chr8 142216182 142216183 chr8:142216183:T:C rs7460924 T C C EBF1_EBF_1 16 0 + 0 0 . chr8 142223870 142223871 chr8:142223871:C:T rs7016042 C T C EBF1_EBF_1 26 0 + 0 0 . chr8 142226568 142226569 chr8:142226569:C:T rs73362779 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 142228421 142228422 chr8:142228422:C:T rs4976975 C T C EBF1_EBF_1 -20 0 - 0 0 . chr8 142244829 142244830 chr8:142244830:A:G rs13282237 A G G EBF1_EBF_1 16 0 + 0 0 . chr8 142249244 142249245 chr8:142249245:T:C rs58070749 T C C EBF1_EBF_1 28 0 + 0 0 . chr8 142249245 142249246 chr8:142249246:G:A rs58302364 G A G EBF1_EBF_1 29 0 + 0 0 . chr8 142250998 142250999 chr8:142250999:G:A chr8:142250999:G:A G A - EBF1_EBF_1 15 0 + 0 0 . chr8 142253811 142253812 chr8:142253812:C:T rs79271066 C T c EBF1_EBF_1 18 0 + 0 0 . chr8 142271166 142271167 chr8:142271167:A:C rs7014279 A C A EBF1_EBF_1 -9 0 - 0 0 . chr8 142271167 142271168 chr8:142271168:G:A rs79248723 G A G EBF1_EBF_1 -10 0 - 0 0 . chr8 142274513 142274514 chr8:142274514:G:A rs73714107 G A G EBF1_EBF_1 32 0 + 0 0 . chr8 142276799 142276800 chr8:142276800:C:T rs143118662 C T G EBF1_EBF_1 31 0 - 0 0 . chr8 142281675 142281676 chr8:142281676:A:G rs10086550 A G G EBF1_EBF_1 1 1 - 5.895087056155088 4.999653615756342 CTTCCCTAGAGAGG chr8 142291935 142291936 chr8:142291936:C:T rs7817679 C T c EBF1_EBF_1 -19 0 - 0 0 . chr8 142292610 142292611 chr8:142292611:A:G rs6998660 A G N EBF1_EBF_1 14 0 - 0 0 . chr8 142297507 142297508 chr8:142297508:C:T rs4350094 C T T EBF1_EBF_1 -20 0 + 0 0 . chr8 142298874 142298875 chr8:142298875:G:A rs34695799 G A G EBF1_EBF_1 -16 0 + 0 0 . chr8 142304842 142304843 chr8:142304843:G:C rs142161562 G C G EBF1_EBF_1 -5 0 - 0 0 . chr8 142304972 142304973 chr8:142304973:A:G rs151286779 A G A EBF1_EBF_1 15 0 + 0 0 . chr8 142306566 142306567 chr8:142306567:C:A rs4412491 C A C EBF1_EBF_1 -3 0 + 0 0 . chr8 142306588 142306589 chr8:142306589:A:G rs7821338 A G G EBF1_EBF_1 19 0 + 0 0 . chr8 142307351 142307352 chr8:142307352:G:A rs4075366 G A G EBF1_EBF_1 -14 0 + 0 0 . chr8 142307366 142307367 chr8:142307367:C:T rs4075365 C T C EBF1_EBF_1 1 1 + 4.405048612316761 5.300482052715506 TCTCCCATGTGACT chr8 142315396 142315397 chr8:142315397:C:T rs138103946 C T C EBF1_EBF_1 33 0 - 0 0 . chr8 142319878 142319879 chr8:142319879:G:C rs7009759 G C C EBF1_EBF_1 -19 0 + 0 0 . chr8 142329271 142329272 chr8:142329272:G:A rs765325946 G A G EBF1_EBF_1 12 1 - 4.131908818810971 3.1056422482601214 TCTCCCCTGGGCCG chr8 142331919 142331920 chr8:142331920:G:A rs60488223 G A G EBF1_EBF_1 9 1 + 7.519768151309435 4.864828885861832 AGCCCCCAGGGGCA chr8 142339724 142339725 chr8:142339725:C:A rs116921150 C A c EBF1_EBF_1 3 1 + 7.803373172565592 0.897199143099785 ACTCCCGAGGGAGG chr8 142342223 142342224 chr8:142342224:A:G rs34362113 A G G EBF1_EBF_1 -16 0 - 0 0 . chr8 142348995 142348996 chr8:142348996:G:C rs72614028 G C G EBF1_EBF_1 23 0 + 0 0 . chr8 142355830 142355831 chr8:142355831:G:A rs12682479 G A G EBF1_EBF_1 22 0 + 0 0 . chr8 142361645 142361646 chr8:142361646:C:T rs6984313 C T C EBF1_EBF_1 -12 0 - 0 0 . chr8 142373874 142373875 chr8:142373875:C:G rs115053347 C G C EBF1_EBF_1 -18 0 + 0 0 . chr8 142374946 142374947 chr8:142374947:C:T rs79900377 C T C EBF1_EBF_1 17 0 + 0 0 . chr8 142374947 142374948 chr8:142374948:G:A rs78325369 G A G EBF1_EBF_1 18 0 + 0 0 . chr8 142378388 142378389 chr8:142378389:G:T rs76278996 G T G EBF1_EBF_1 4 1 - 5.432784401842561 -0.39177522161090717 CACCCCAAGAGAGT chr8 142381662 142381663 chr8:142381663:G:A rs72689007 G A G EBF1_EBF_1 -14 0 - 0 0 . chr8 142394898 142394899 chr8:142394899:G:A rs148604833 G A G EBF1_EBF_1 -10 0 - 0 0 . chr8 142395473 142395474 chr8:142395474:G:A rs7011350 G A A EBF1_EBF_1 28 0 - 0 0 . chr8 142397407 142397408 chr8:142397408:T:G rs72614031 T G T EBF1_EBF_1 -6 0 + 0 0 . chr8 142402516 142402517 chr8:142402517:G:A rs7011076 G A G EBF1_EBF_1 -14 0 - 0 0 . chr8 142403790 142403791 chr8:142403791:G:T rs9802048 G T G EBF1_EBF_1 -17 0 + 0 0 . chr8 142403796 142403797 chr8:142403797:C:A rs534319682 C A C EBF1_EBF_1 -11 0 + 0 0 . chr8 142409639 142409640 chr8:142409640:C:T rs774067356 C T C EBF1_EBF_1 -12 0 - 0 0 . chr8 142409923 142409924 chr8:142409924:A:C rs10093974 A C C EBF1_EBF_1 -2 0 + 0 0 . chr8 142409927 142409928 chr8:142409928:T:C rs10093978 T C t EBF1_EBF_1 2 1 + 6.987211049440812 5.098387637998663 AATCCCTGGGGCCC chr8 142417635 142417636 chr8:142417636:A:C rs9324590 A C A EBF1_EBF_1 23 0 - 0 0 . chr8 142433909 142433910 chr8:142433910:A:G rs9801978 A G G EBF1_EBF_1 -10 0 + 0 0 . chr8 142439977 142439978 chr8:142439978:G:C rs28578958 G C G EBF1_EBF_1 17 0 + 0 0 . chr8 142440972 142440973 chr8:142440973:A:T rs35543644 A T T EBF1_EBF_1 11 1 + 4.2263324153369135 0.1284181592381879 GATGCCCGGGGACT chr8 142442956 142442957 chr8:142442957:T:C rs9693074 T C C EBF1_EBF_1 -3 0 + 0 0 . chr8 142453415 142453416 chr8:142453416:T:C rs7460106 T C C EBF1_EBF_1 -15 0 - 0 0 . chr8 142456062 142456063 chr8:142456063:A:G rs79657115 A G A EBF1_EBF_1 24 0 - 0 0 . chr8 142475907 142475908 chr8:142475908:G:T rs142325528 G T G EBF1_EBF_1 7 1 - 5.763388435365085 8.057167421735265 ACTCCCACGGGCCA chr8 142475919 142475920 chr8:142475920:C:G rs115353404 C G C EBF1_EBF_1 -5 0 - 0 0 . chr8 142475930 142475931 chr8:142475931:G:C rs183128343 G C G EBF1_EBF_1 -16 0 - 0 0 . chr8 142481725 142481726 chr8:142481726:C:G rs11782438 C G G EBF1_EBF_1 33 0 + 0 0 . chr8 142488792 142488793 chr8:142488793:G:A rs6984396 G A A EBF1_EBF_1 25 0 - 0 0 . chr8 142492928 142492929 chr8:142492929:G:T rs191305206 G T G EBF1_EBF_1 26 0 - 0 0 . chr8 142493404 142493405 chr8:142493405:G:A chr8:142493405:G:A G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 142509108 142509109 chr8:142509109:A:T rs62513296 A T A EBF1_EBF_1 -8 0 + 0 0 . chr8 142533238 142533239 chr8:142533239:A:G rs10095825 A G A EBF1_EBF_1 17 0 - 0 0 . chr8 142533261 142533262 chr8:142533262:G:A rs13269567 G A g EBF1_EBF_1 -6 0 - 0 0 . chr8 142533279 142533280 chr8:142533280:A:G rs13272804 A G G EBF1_EBF_1 -6 0 + 0 0 . chr8 142533741 142533742 chr8:142533742:G:A rs36121715 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 142538113 142538114 chr8:142538114:G:C rs4977010 G C C EBF1_EBF_1 -12 0 + 0 0 . chr8 142538124 142538125 chr8:142538125:C:T rs7386261 C T C EBF1_EBF_1 -1 0 + 0 0 . chr8 142566650 142566651 chr8:142566651:C:T rs182762374 C T C EBF1_EBF_1 26 0 - 0 0 . chr8 142576046 142576047 chr8:142576047:G:A rs7459959 G A G EBF1_EBF_1 31 0 - 0 0 . chr8 142576093 142576094 chr8:142576094:G:T rs74709784 G T G EBF1_EBF_1 -16 0 - 0 0 . chr8 142583573 142583574 chr8:142583574:A:C rs12550800 A C C EBF1_EBF_1 12 1 + 10.550710409504628 10.520529011083301 GCTCCCTAGGGAAA chr8 142584439 142584440 chr8:142584440:C:A rs74371594 C A C EBF1_EBF_1 -20 0 + 0 0 . chr8 142599919 142599920 chr8:142599920:C:G chr8:142599920:C:G C G C EBF1_EBF_1 -10 0 - 0 0 . chr8 142601789 142601790 chr8:142601790:C:T rs113140675 C T C EBF1_EBF_1 22 0 - 0 0 . chr8 142617359 142617360 chr8:142617360:A:G rs28410716 A G A EBF1_EBF_1 -12 0 - 0 0 . chr8 142618164 142618165 chr8:142618165:A:C rs28626462 A C C EBF1_EBF_1 17 0 - 0 0 . chr8 142618186 142618187 chr8:142618187:G:A rs1040729165 G A G EBF1_EBF_1 -5 0 - 0 0 . chr8 142638853 142638854 chr8:142638854:C:T rs965636978 C T C EBF1_EBF_1 26 0 + 0 0 . chr8 142639717 142639718 chr8:142639718:T:C rs73716495 T C T EBF1_EBF_1 -1 0 + 0 0 . chr8 142665281 142665282 chr8:142665282:G:A rs3802232 G A G EBF1_EBF_1 0 1 + 7.440679948551837 9.332963818116669 GTTCCCCTGGGCCT chr8 142665302 142665303 chr8:142665303:G:A rs60195180 G A G EBF1_EBF_1 21 0 + 0 0 . chr8 142670659 142670660 chr8:142670660:A:C rs60024780 A C A EBF1_EBF_1 -7 0 - 0 0 . chr8 142676181 142676182 chr8:142676182:A:T rs10956997 A T A EBF1_EBF_1 -12 0 + 0 0 . chr8 142677945 142677946 chr8:142677946:G:A rs2976387 G A G EBF1_EBF_1 4 1 - 6.783455442385827 2.414068497440902 CTTCCCCCGGGGCT chr8 142681011 142681012 chr8:142681012:A:G rs2294010 A G G EBF1_EBF_1 16 0 - 0 0 . chr8 142727078 142727079 chr8:142727079:G:A rs587766086 G A g EBF1_EBF_1 -19 0 + 0 0 . chr8 142727122 142727123 chr8:142727123:G:T rs192324730 G T g EBF1_EBF_1 25 0 + 0 0 . chr8 142727248 142727249 chr8:142727249:T:C rs2257796 T C C EBF1_EBF_1 -3 0 + 0 0 . chr8 142732094 142732095 chr8:142732095:G:T rs11780127 G T G EBF1_EBF_1 2 1 - 6.3633274659954555 4.567683289838729 GTCCCCCAGGGGAA chr8 142732387 142732388 chr8:142732388:G:T rs72690960 G T G EBF1_EBF_1 10 1 + 6.940411280770756 2.7576590945434907 CCCCCCAAGGGAGA chr8 142734916 142734917 chr8:142734917:A:T rs150045148 A T A EBF1_EBF_1 1 1 - 5.618030909242471 4.651670291491643 ATCCCCCAGGGCAG chr8 142735122 142735123 chr8:142735123:C:T rs76245270 C T c EBF1_EBF_1 -2 0 - 0 0 . chr8 142739837 142739838 chr8:142739838:G:C rs587687491 G C G EBF1_EBF_1 0 1 - 5.471851426472713 5.83482604060448 CGTCCCCTGGGTCA chr8 142739852 142739853 chr8:142739853:G:A rs587769873 G A G EBF1_EBF_1 -15 0 - 0 0 . chr8 142763596 142763597 chr8:142763597:G:A rs767153617 G A G EBF1_EBF_1 17 0 - 0 0 . chr8 142763604 142763605 chr8:142763605:C:T rs7005927 C T C EBF1_EBF_1 9 1 - 4.927957707105985 2.2730184416583814 ACTCCCCTCGGAGA chr8 142765550 142765551 chr8:142765551:T:C rs13252734 T C C EBF1_EBF_1 -4 0 + 0 0 . chr8 142768337 142768338 chr8:142768338:C:A rs1025489238 C A C EBF1_EBF_1 31 0 - 0 0 . chr8 142768363 142768364 chr8:142768364:G:A rs117409138 G A G EBF1_EBF_1 5 1 - 4.538724839255141 -0.7830623762855302 CTCCCCGAGGGGCC chr8 142773419 142773420 chr8:142773420:C:T rs77175256 C T C EBF1_EBF_1 30 0 - 0 0 . chr8 142775789 142775790 chr8:142775790:G:A rs2304397 G A A EBF1_EBF_1 7 1 + 3.939137431699196 5.251595836988022 CTTCCCCGGGGCAG chr8 142777764 142777765 chr8:142777765:A:C rs2585126 A C C EBF1_EBF_1 31 0 + 0 0 . chr8 142786008 142786009 chr8:142786009:A:C rs2239703 A C C EBF1_EBF_1 11 1 + 4.805069499187708 0.3891078013353182 CACCCCAGGGGATG chr8 142786054 142786055 chr8:142786055:A:G rs117544415 A G A EBF1_EBF_1 14 0 - 0 0 . chr8 142787654 142787655 chr8:142787655:C:T rs3808491 C T C EBF1_EBF_1 32 0 + 0 0 . chr8 142789851 142789852 chr8:142789852:T:A rs62523782 T A T EBF1_EBF_1 24 0 + 0 0 . chr8 142790545 142790546 chr8:142790546:G:T rs72690979 G T G EBF1_EBF_1 -9 0 + 0 0 . chr8 142795295 142795296 chr8:142795296:A:G rs73364731 A G G EBF1_EBF_1 21 0 - 0 0 . chr8 142806151 142806152 chr8:142806152:G:T rs11774823 G T T EBF1_EBF_1 0 1 + 4.8183273739000905 4.737554820498902 GTCCGCCAGGGACT chr8 142810148 142810149 chr8:142810149:G:C rs62523833 G C G EBF1_EBF_1 30 0 - 0 0 . chr8 142816358 142816359 chr8:142816359:C:G rs73364780 C G G EBF1_EBF_1 -17 0 + 0 0 . chr8 142816397 142816398 chr8:142816398:G:A rs185342833 G A G EBF1_EBF_1 22 0 + 0 0 . chr8 142823335 142823336 chr8:142823336:A:G rs117843241 A G a EBF1_EBF_1 25 0 + 0 0 . chr8 142824325 142824326 chr8:142824326:A:G rs4013169 A G - EBF1_EBF_1 15 0 - 0 0 . chr8 142829331 142829332 chr8:142829332:G:C rs2585165 G C c EBF1_EBF_1 23 0 - 0 0 . chr8 142832995 142832996 chr8:142832996:G:A rs113508633 G A g EBF1_EBF_1 18 0 + 0 0 . chr8 142835228 142835229 chr8:142835229:A:G rs1858664 A G a EBF1_EBF_1 25 0 - 0 0 . chr8 142835349 142835350 chr8:142835350:T:C rs4736331 T C c EBF1_EBF_1 17 0 - 0 0 . chr8 142835361 142835362 chr8:142835362:G:A rs7829926 G A g EBF1_EBF_1 5 1 - 5.007181442174233 -0.3146057733664369 CACCCCGAGGGAAG chr8 142839434 142839435 chr8:142839435:G:A rs573682342 G A g EBF1_EBF_1 4 1 - 7.400792593636654 3.0314056486917282 ACACCCCAGGGATA chr8 142865588 142865589 chr8:142865589:G:T rs56306889 G T G EBF1_EBF_1 22 0 - 0 0 . chr8 142871283 142871284 chr8:142871284:A:G rs4736311 A G G EBF1_EBF_1 16 0 - 0 0 . chr8 142873634 142873635 chr8:142873635:C:T rs5304 C T c EBF1_EBF_1 -6 0 + 0 0 . chr8 142874240 142874241 chr8:142874241:A:G rs5297 A G A EBF1_EBF_1 -4 0 - 0 0 . chr8 142877802 142877803 chr8:142877803:G:A rs11775687 G A g EBF1_EBF_1 15 0 + 0 0 . chr8 142902420 142902421 chr8:142902421:C:T rs138433802 C T C EBF1_EBF_1 15 0 - 0 0 . chr8 142905083 142905084 chr8:142905084:G:A rs6651273 G A A EBF1_EBF_1 31 0 + 0 0 . chr8 142921721 142921722 chr8:142921722:G:C rs9643358 G C G EBF1_EBF_1 18 0 + 0 0 . chr8 142937933 142937934 chr8:142937934:C:T rs145402774 C T c EBF1_EBF_1 6 1 + 5.307971327136845 5.609257455998602 ACCCCCCACGGAAC chr8 142939252 142939253 chr8:142939253:C:T rs142793176 C T c EBF1_EBF_1 21 0 + 0 0 . chr8 142974705 142974706 chr8:142974706:A:G rs9694474 A G g EBF1_EBF_1 28 0 - 0 0 . chr8 142984407 142984408 chr8:142984408:C:T rs76459749 C T T EBF1_EBF_1 13 1 + 11.491882230028947 12.336376344614466 ATCCCCCAGGGACC chr8 143022524 143022525 chr8:143022525:G:A rs71513676 G A G EBF1_EBF_1 -19 0 - 0 0 . chr8 143023924 143023925 chr8:143023925:A:G rs7823963 A G A EBF1_EBF_1 26 0 - 0 0 . chr8 143024891 143024892 chr8:143024892:G:A rs13439114 G A G EBF1_EBF_1 20 0 - 0 0 . chr8 143026455 143026456 chr8:143026456:A:G rs992826862 A G a EBF1_EBF_1 19 0 - 0 0 . chr8 143026475 143026476 chr8:143026476:G:A rs138277781 G A G EBF1_EBF_1 -1 0 - 0 0 . chr8 143037095 143037096 chr8:143037096:A:G rs4074199 A G A EBF1_EBF_1 20 0 - 0 0 . chr8 143037116 143037117 chr8:143037117:A:C rs4517097 A C A EBF1_EBF_1 -1 0 - 0 0 . chr8 143053969 143053970 chr8:143053970:G:T rs4977119 G T T EBF1_EBF_1 -9 0 + 0 0 . chr8 143068938 143068939 chr8:143068939:G:A rs77888285 G A G EBF1_EBF_1 12 1 - 4.742546255417134 3.716279684866284 GTCCCCCTGGGGCG chr8 143069073 143069074 chr8:143069074:A:G rs145729448 A G A EBF1_EBF_1 31 0 - 0 0 . chr8 143072276 143072277 chr8:143072277:C:T rs77249331 C T - EBF1_EBF_1 7 1 - 4.037064139912319 5.349522545201145 GAACCCCGGGGACG chr8 143073173 143073174 chr8:143073174:T:C rs76807415 T C - EBF1_EBF_1 -6 0 - 0 0 . chr8 143085307 143085308 chr8:143085308:G:A rs56736492 G A - EBF1_EBF_1 1 1 + 5.316358546651485 5.055659714730184 CGTCCCAGGGTAAC chr8 143094914 143094915 chr8:143094915:C:T rs137944948 C T C EBF1_EBF_1 3 1 + 4.8138424590792654 -1.591556282474051 GTTCACCTGGGACA chr8 143094942 143094943 chr8:143094943:G:A rs143497307 G A G EBF1_EBF_1 31 0 + 0 0 . chr8 143102746 143102747 chr8:143102747:G:T rs10111591 G T G EBF1_EBF_1 -16 0 + 0 0 . chr8 143104580 143104581 chr8:143104581:A:G rs80038829 A G A EBF1_EBF_1 25 0 - 0 0 . chr8 143131387 143131388 chr8:143131388:C:T rs552031374 C T C EBF1_EBF_1 33 0 - 0 0 . chr8 143140036 143140037 chr8:143140037:G:A rs56335833 G A g EBF1_EBF_1 -3 0 + 0 0 . chr8 143153210 143153211 chr8:143153211:G:A rs149298720 G A G EBF1_EBF_1 1 1 - 5.530623159068365 6.42605659946711 ACACCCTAGGGCCT chr8 143154595 143154596 chr8:143154596:G:A rs62523387 G A G EBF1_EBF_1 4 1 - 4.988457286722216 0.6190703417772909 GGTCCCCTGGAACC chr8 143158242 143158243 chr8:143158243:G:A rs7007949 G A G EBF1_EBF_1 -3 0 - 0 0 . chr8 143159018 143159019 chr8:143159019:C:T rs1289890820 C T C EBF1_EBF_1 25 0 - 0 0 . chr8 143160884 143160885 chr8:143160885:C:T rs79347418 C T C EBF1_EBF_1 31 0 + 0 0 . chr8 143161897 143161898 chr8:143161898:A:G rs6558330 A G G EBF1_EBF_1 17 0 - 0 0 . chr8 143181246 143181247 chr8:143181247:A:G rs113685295 A G A EBF1_EBF_1 17 0 + 0 0 . chr8 143181381 143181382 chr8:143181382:C:G rs187098584 C G C EBF1_EBF_1 12 1 - 6.83679399346081 8.22542993713896 ATCCCCCAGGGGGA chr8 143182301 143182302 chr8:143182302:A:G rs71518753 A G A EBF1_EBF_1 29 0 - 0 0 . chr8 143187633 143187634 chr8:143187634:A:G rs528766522 A G A EBF1_EBF_1 7 1 + 8.140336491199538 6.827878085910712 CTCCCCAAGGGAAG chr8 143201381 143201382 chr8:143201382:C:T rs72691607 C T C EBF1_EBF_1 -19 0 + 0 0 . chr8 143201389 143201390 chr8:143201390:T:C rs55638442 T C C EBF1_EBF_1 -11 0 + 0 0 . chr8 143211032 143211033 chr8:143211033:A:G rs72691617 A G A EBF1_EBF_1 15 0 - 0 0 . chr8 143212425 143212426 chr8:143212426:A:C rs112619178 A C C EBF1_EBF_1 0 1 + 4.321945971725451 2.0666874880288493 ACCCCCCAAGGGCT chr8 143215897 143215898 chr8:143215898:C:T rs80113655 C T C EBF1_EBF_1 15 0 + 0 0 . chr8 143215911 143215912 chr8:143215912:G:A rs72691630 G A G EBF1_EBF_1 29 0 + 0 0 . chr8 143220057 143220058 chr8:143220058:G:A rs11136273 G A A EBF1_EBF_1 -20 0 + 0 0 . chr8 143220283 143220284 chr8:143220284:A:G rs62524664 A G T EBF1_EBF_1 20 0 + 0 0 . chr8 143221417 143221418 chr8:143221418:G:C rs62524666 G C C EBF1_EBF_1 28 0 - 0 0 . chr8 143221440 143221441 chr8:143221441:G:A rs10088131 G A G EBF1_EBF_1 5 1 - 6.963561424485768 1.641774208945097 CCTCCCCAGGGGCA chr8 143221574 143221575 chr8:143221575:G:A rs943577284 G A G EBF1_EBF_1 -4 0 + 0 0 . chr8 143221582 143221583 chr8:143221583:C:T rs60987469 C T C EBF1_EBF_1 4 1 + 4.5793733561768075 0.20998641123188394 GCTTCCAAGGGAAC chr8 143224768 143224769 chr8:143224769:C:T rs151113749 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 143227748 143227749 chr8:143227749:A:G rs6991129 A G a EBF1_EBF_1 6 1 + 6.983620526191581 4.816826094917106 GGACCCATGGGACC chr8 143228940 143228941 chr8:143228941:G:A rs72691642 G A G EBF1_EBF_1 26 0 - 0 0 . chr8 143229735 143229736 chr8:143229736:G:A rs7845765 G A g EBF1_EBF_1 26 0 + 0 0 . chr8 143245641 143245642 chr8:143245642:G:A rs186316771 G A G EBF1_EBF_1 13 1 - 7.027532901675775 7.872027016261293 CTTCCCCAGGGTCC chr8 143249911 143249912 chr8:143249912:T:C rs11136275 T C C EBF1_EBF_1 -20 0 - 0 0 . chr8 143251263 143251264 chr8:143251264:G:A rs4348512 G A A EBF1_EBF_1 -14 0 - 0 0 . chr8 143259000 143259001 chr8:143259001:G:T rs58512932 G T g EBF1_EBF_1 -10 0 + 0 0 . chr8 143259033 143259034 chr8:143259034:A:G rs7387428 A G G EBF1_EBF_1 23 0 + 0 0 . chr8 143268683 143268684 chr8:143268684:A:G rs1075645 A G A EBF1_EBF_1 18 0 - 0 0 . chr8 143269945 143269946 chr8:143269946:G:A rs7387960 G A G EBF1_EBF_1 28 0 + 0 0 . chr8 143271134 143271135 chr8:143271135:G:C rs73717507 G C G EBF1_EBF_1 33 0 + 0 0 . chr8 143276976 143276977 chr8:143276977:G:A rs2293922 G A A EBF1_EBF_1 1 1 + 5.163238938500464 4.902540106579162 TGTCCCCGAGGACC chr8 143277052 143277053 chr8:143277053:C:T rs181670752 C T C EBF1_EBF_1 8 1 - 8.990576268042695 4.764286179156435 AGACCCCAGGGACC chr8 143277067 143277068 chr8:143277068:C:T rs6995044 C T C EBF1_EBF_1 -7 0 - 0 0 . chr8 143290853 143290854 chr8:143290854:C:G rs760281902 C G C EBF1_EBF_1 10 1 - 4.687656154652865 -2.2031462326766107 TCTCACCAGGGACC chr8 143291050 143291051 chr8:143291051:G:A rs900886972 G A G EBF1_EBF_1 33 0 + 0 0 . chr8 143310854 143310855 chr8:143310855:A:G rs6997070 A G G EBF1_EBF_1 19 0 + 0 0 . chr8 143311288 143311289 chr8:143311289:T:C rs7002559 T C C EBF1_EBF_1 22 0 - 0 0 . chr8 143315952 143315953 chr8:143315953:G:C rs2293928 G C G EBF1_EBF_1 15 0 - 0 0 . chr8 143315961 143315962 chr8:143315962:T:C rs2293927 T C C EBF1_EBF_1 6 1 - 4.696380342921725 2.529585911647249 GCCCTCAAGGGACC chr8 143317894 143317895 chr8:143317895:C:T rs2272635 C T C EBF1_EBF_1 5 1 + 4.673153044598287 -0.648634170942383 CCTCCCGCGGGAAG chr8 143317918 143317919 chr8:143317919:C:T rs2272634 C T C EBF1_EBF_1 29 0 + 0 0 . chr8 143321029 143321030 chr8:143321030:G:A rs535501032 G A G EBF1_EBF_1 -19 0 - 0 0 . chr8 143330553 143330554 chr8:143330554:G:A rs377002621 G A g EBF1_EBF_1 5 1 - 3.5325857159626093 -1.789201499578061 CCTCCCGTGGGCCC chr8 143368954 143368955 chr8:143368955:C:T rs370192138 C T C EBF1_EBF_1 2 1 + 6.828045679240089 8.716869090682238 GACCCCGAGGGACC chr8 143368978 143368979 chr8:143368979:G:C chr8:143368979:G:C G C G EBF1_EBF_1 26 0 + 0 0 . chr8 143371180 143371181 chr8:143371181:G:A rs71520513 G A G EBF1_EBF_1 -16 0 + 0 0 . chr8 143374591 143374592 chr8:143374592:A:G rs2450770 A G A EBF1_EBF_1 2 1 + 5.220944643003354 2.1570865404017616 CCACCCAGGGGACA chr8 143376112 143376113 chr8:143376113:C:G rs10105417 C G C EBF1_EBF_1 -17 0 + 0 0 . chr8 143379643 143379644 chr8:143379644:G:C rs75446900 G C G EBF1_EBF_1 5 1 - 6.952554362255452 0.04767320962028483 CCCCCCCAGGGAGC chr8 143385196 143385197 chr8:143385197:G:C rs936489034 G C G EBF1_EBF_1 8 1 + 4.985341020633989 -0.3333178402806969 AGCCCCTGGGGCCA chr8 143385363 143385364 chr8:143385364:G:C rs116496365 G C G EBF1_EBF_1 7 1 - 5.06149541192913 6.042815993010485 TGCCCCCCGGGAAG chr8 143389748 143389749 chr8:143389749:C:T rs80170787 C T C EBF1_EBF_1 -5 0 + 0 0 . chr8 143397184 143397185 chr8:143397185:T:C rs62524032 T C T EBF1_EBF_1 -19 0 + 0 0 . chr8 143397186 143397187 chr8:143397187:T:A rs62524033 T A T EBF1_EBF_1 -17 0 + 0 0 . chr8 143406141 143406142 chr8:143406142:G:A rs34745666 G A G EBF1_EBF_1 -15 0 + 0 0 . chr8 143422209 143422210 chr8:143422210:A:G rs7819729 A G G EBF1_EBF_1 13 1 - 7.100080988646271 6.255586874060753 AGCCCCATGGGCCT chr8 143424028 143424029 chr8:143424029:C:T rs13267722 C T T EBF1_EBF_1 -9 0 - 0 0 . chr8 143430839 143430840 chr8:143430840:A:G rs142135070 A G A EBF1_EBF_1 -8 0 + 0 0 . chr8 143431085 143431086 chr8:143431086:C:A rs772037248 C A C EBF1_EBF_1 3 1 + 5.71124908851777 -1.1949249409480374 ACCCCCTCGGGGCC chr8 143432445 143432446 chr8:143432446:G:C rs60099982 G C C EBF1_EBF_1 7 1 + 4.303718176230704 3.322397595149348 AGCCCCAGGAGAGG chr8 143432737 143432738 chr8:143432738:C:T rs183327868 C T C EBF1_EBF_1 0 1 + 5.023281605347309 5.305483666077887 CTTCCCCGGGGCCA chr8 143440014 143440015 chr8:143440015:G:A rs117239159 G A G EBF1_EBF_1 3 1 - 4.4779748767821985 -1.9274238647711193 GGCCCCCAGGGCCC chr8 143440029 143440030 chr8:143440030:G:A rs143343836 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 143440286 143440287 chr8:143440287:G:A rs36083358 G A G EBF1_EBF_1 21 0 + 0 0 . chr8 143452246 143452247 chr8:143452247:C:T rs74528256 C T C EBF1_EBF_1 8 1 + 5.629081540021631 4.056307611959123 ATCCCCCACGGAGT chr8 143464896 143464897 chr8:143464897:G:A rs147141058 G A G EBF1_EBF_1 12 1 + 3.4935517813134664 4.912369123412944 AGCCCCCAGGGCGG chr8 143475987 143475988 chr8:143475988:G:A rs11986221 G A G EBF1_EBF_1 18 0 - 0 0 . chr8 143478312 143478313 chr8:143478313:T:C rs730051 T C T EBF1_EBF_1 -8 0 + 0 0 . chr8 143489869 143489870 chr8:143489870:T:A rs73715633 T A T EBF1_EBF_1 0 1 - 5.35867323024005 3.385616807274028 ACTCCCCAGAGTCA chr8 143494132 143494133 chr8:143494133:C:T rs547993560 C T C EBF1_EBF_1 5 1 + 5.440306570237389 0.11851935469671898 CCTCCCCAGGGCCA chr8 143499767 143499768 chr8:143499768:G:A rs397421 G A G EBF1_EBF_1 -17 0 - 0 0 . chr8 143509769 143509770 chr8:143509770:C:T rs12542952 C T C EBF1_EBF_1 7 1 - 4.7971015091369065 6.1095599144257315 AACCCCCGGGGCCC chr8 143515106 143515107 chr8:143515107:C:T rs35246934 C T C EBF1_EBF_1 -10 0 + 0 0 . chr8 143515140 143515141 chr8:143515141:T:C rs150913067 T C T EBF1_EBF_1 24 0 + 0 0 . chr8 143516783 143516784 chr8:143516784:C:G rs896939 C G C EBF1_EBF_1 -14 0 + 0 0 . chr8 143516803 143516804 chr8:143516804:G:A rs201328155 G A G EBF1_EBF_1 6 1 + 7.478487570087817 9.645282001362292 AGCCCCGTGGGATC chr8 143516811 143516812 chr8:143516812:C:T rs7820767 C T T EBF1_EBF_1 14 0 + 0 0 . chr8 143516812 143516813 chr8:143516813:G:A rs139062665 G A G EBF1_EBF_1 15 0 + 0 0 . chr8 143521315 143521316 chr8:143521316:G:C rs2060968 G C G EBF1_EBF_1 16 0 - 0 0 . chr8 143521426 143521427 chr8:143521427:T:C rs2060967 T C T EBF1_EBF_1 15 0 - 0 0 . chr8 143521454 143521455 chr8:143521455:G:T rs62521942 G T G EBF1_EBF_1 -13 0 - 0 0 . chr8 143528837 143528838 chr8:143528838:G:A rs11782064 G A G EBF1_EBF_1 0 1 - 5.897361705587464 6.179563766318042 CCTCCCAGAGGACT chr8 143538864 143538865 chr8:143538865:G:A rs3750208 G A G EBF1_EBF_1 7 1 - 3.849146617374263 5.666651363656887 GCCCCCTCGGGGAC chr8 143541747 143541748 chr8:143541748:A:G rs11779871 A G A EBF1_EBF_1 -15 0 - 0 0 . chr8 143542472 143542473 chr8:143542473:C:T rs11779379 C T T EBF1_EBF_1 19 0 + 0 0 . chr8 143568688 143568689 chr8:143568689:C:G rs146559587 C G C EBF1_EBF_1 14 0 + 0 0 . chr8 143572312 143572313 chr8:143572313:G:A rs28667790 G A C EBF1_EBF_1 -5 0 + 0 0 . chr8 143579393 143579394 chr8:143579394:G:A rs4874156 G A G EBF1_EBF_1 17 0 - 0 0 . chr8 143582062 143582063 chr8:143582063:A:C rs3793372 A C A EBF1_EBF_1 -1 0 + 0 0 . chr8 143600140 143600141 chr8:143600141:G:C rs3210186 G C G EBF1_EBF_1 4 1 - 4.572701038348356 -1.0530640628011891 AGCCCCACGGGGGC chr8 143608393 143608394 chr8:143608394:G:A rs978204948 G A G EBF1_EBF_1 8 1 + 5.939421294461497 1.7131312055752366 GATCCCTGGGGCCT chr8 143612715 143612716 chr8:143612716:G:T chr8:143612716:G:T G T G EBF1_EBF_1 -14 0 + 0 0 . chr8 143612719 143612720 chr8:143612720:G:C rs2978 G C G EBF1_EBF_1 -10 0 + 0 0 . chr8 143613395 143613396 chr8:143613396:C:T rs75004647 C T C EBF1_EBF_1 24 0 + 0 0 . chr8 143614554 143614555 chr8:143614555:G:A rs138093039 G A G EBF1_EBF_1 -9 0 + 0 0 . chr8 143616724 143616725 chr8:143616725:T:C chr8:143616725:T:C T C T EBF1_EBF_1 -19 0 - 0 0 . chr8 143636397 143636398 chr8:143636398:G:C rs11136309 G C C EBF1_EBF_1 12 1 - 4.897455666680875 3.5088197230027234 GCTCCTCAGGGACA chr8 143636622 143636623 chr8:143636623:C:T rs566990759 C T C EBF1_EBF_1 20 0 + 0 0 . chr8 143643148 143643149 chr8:143643149:G:A rs896937 G A G EBF1_EBF_1 2 1 - 5.939541245760569 7.828364657202719 CGCCCCTAGGGGCC chr8 143681412 143681413 chr8:143681413:G:A rs12056643 G A G EBF1_EBF_1 -10 0 + 0 0 . chr8 143695350 143695351 chr8:143695351:G:A rs11136316 G A A EBF1_EBF_1 -17 0 - 0 0 . chr8 143719072 143719073 chr8:143719073:C:T rs12678428 C T c EBF1_EBF_1 5 1 + 4.538724839255141 -0.7830623762855302 CTCCCCGAGGGGCC chr8 143722128 143722129 chr8:143722129:T:C rs56038219 T C T EBF1_EBF_1 26 0 - 0 0 . chr8 143729107 143729108 chr8:143729108:G:A rs9969456 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 143733308 143733309 chr8:143733309:G:A rs62524249 G A G EBF1_EBF_1 28 0 - 0 0 . chr8 143733347 143733348 chr8:143733348:G:A rs55869852 G A G EBF1_EBF_1 -11 0 - 0 0 . chr8 143735733 143735734 chr8:143735734:G:A rs116449549 G A G EBF1_EBF_1 -5 0 - 0 0 . chr8 143738102 143738103 chr8:143738103:C:T rs145486468 C T C EBF1_EBF_1 27 0 - 0 0 . chr8 143738323 143738324 chr8:143738324:C:T rs146516858 C T C EBF1_EBF_1 13 1 - 4.928762477899084 6.043088049968523 AGCCTCCAGGGACG chr8 143741565 143741566 chr8:143741566:C:T rs142830415 C T C EBF1_EBF_1 -12 0 + 0 0 . chr8 143742308 143742309 chr8:143742309:G:C rs35427453 G C G EBF1_EBF_1 11 1 + 5.343493785758053 3.8202389315096745 GCCCCCCGGGGGCT chr8 143771174 143771175 chr8:143771175:G:A rs374606132 G A G EBF1_EBF_1 1 1 - 4.150675867534828 5.046109307933573 TCCCCCGCGGGACA chr8 143793240 143793241 chr8:143793241:G:A rs551710371 G A G EBF1_EBF_1 8 1 + 4.239624061921997 0.013333973035736468 CCACCCACGGGACA chr8 143794669 143794670 chr8:143794670:C:T rs114891562 C T C EBF1_EBF_1 0 1 + 6.199110189923865 6.481312250654443 CTCCCCTTGGGGAC chr8 143800539 143800540 chr8:143800540:C:T rs11786637 C T C EBF1_EBF_1 -16 0 + 0 0 . chr8 143800573 143800574 chr8:143800574:C:T rs34067383 C T C EBF1_EBF_1 18 0 + 0 0 . chr8 143814652 143814653 chr8:143814653:G:A rs571039580 G A G EBF1_EBF_1 9 1 + 7.4885496694981315 4.833610404050527 AACCCCTAGGTACT chr8 143823621 143823622 chr8:143823622:G:C rs1393577075 G C G EBF1_EBF_1 -6 0 + 0 0 . chr8 143835619 143835620 chr8:143835620:A:G rs11774027 A G a EBF1_EBF_1 30 0 - 0 0 . chr8 143840528 143840529 chr8:143840529:C:T rs577670377 C T . EBF1_EBF_1 -1 0 - 0 0 . chr8 143841468 143841469 chr8:143841469:C:T rs146643392 C T . EBF1_EBF_1 -5 0 + 0 0 . chr8 143877872 143877873 chr8:143877873:C:T rs73715518 C T . EBF1_EBF_1 0 1 + 5.0444169572936435 5.326619018024222 CCTCCCACGGGTCT chr8 143884806 143884807 chr8:143884807:C:T rs61072241 C T . EBF1_EBF_1 27 0 - 0 0 . chr8 143913712 143913713 chr8:143913713:C:T rs72693390 C T . EBF1_EBF_1 12 1 + 5.322468991367717 4.296202420816867 GGCCCCCAGGGCCT chr8 143936674 143936675 chr8:143936675:G:A rs554765447 G A . EBF1_EBF_1 -7 0 + 0 0 . chr8 143938037 143938038 chr8:143938038:G:A rs13439519 G A . EBF1_EBF_1 25 0 + 0 0 . chr8 143939780 143939781 chr8:143939781:C:T rs4073082 C T . EBF1_EBF_1 27 0 - 0 0 . chr8 143940527 143940528 chr8:143940528:C:T rs1231901250 C T . EBF1_EBF_1 31 0 - 0 0 . chr8 143940563 143940564 chr8:143940564:C:T rs112979447 C T . EBF1_EBF_1 -5 0 - 0 0 . chr8 143940865 143940866 chr8:143940866:C:T rs56317026 C T . EBF1_EBF_1 28 0 + 0 0 . chr8 143942742 143942743 chr8:143942743:G:A rs868934325 G A . EBF1_EBF_1 -15 0 + 0 0 . chr8 143942747 143942748 chr8:143942748:G:C rs541470067 G C . EBF1_EBF_1 -10 0 + 0 0 . chr8 143942775 143942776 chr8:143942776:C:A chr8:143942776:C:A C A . EBF1_EBF_1 18 0 + 0 0 . chr8 143951376 143951377 chr8:143951377:G:T rs147368495 G T . EBF1_EBF_1 20 0 - 0 0 . chr8 143971088 143971089 chr8:143971089:C:T rs73377249 C T c EBF1_EBF_1 18 0 - 0 0 . chr8 143975009 143975010 chr8:143975010:T:G chr8:143975010:T:G T G T EBF1_EBF_1 -18 0 + 0 0 . chr8 143975034 143975035 chr8:143975035:T:C rs984703353 T C T EBF1_EBF_1 7 1 + 4.696367316175915 2.8788625698932915 ACTCACCTGGGACG chr8 143991513 143991514 chr8:143991514:G:A rs201456230 G A G EBF1_EBF_1 10 1 + 6.67670837014932 1.3586799108823528 ACCCCCAAGGGGGC chr8 144019934 144019935 chr8:144019935:T:G chr8:144019935:T:G T G t EBF1_EBF_1 22 0 + 0 0 . chr8 144020555 144020556 chr8:144020556:A:G rs1366140675 A G N EBF1_EBF_1 24 0 + 0 0 . chr8 144022958 144022959 chr8:144022959:T:C rs992554289 T C - EBF1_EBF_1 -11 0 + 0 0 . chr8 144024069 144024070 chr8:144024070:T:C rs1374651427 T C - EBF1_EBF_1 31 0 + 0 0 . chr8 144047755 144047756 chr8:144047756:G:A rs148245374 G A G EBF1_EBF_1 21 0 - 0 0 . chr8 144050785 144050786 chr8:144050786:A:C rs568384408 A C A EBF1_EBF_1 0 1 + 11.491882230028947 9.236623746332349 ATCCCCCAGGGACC chr8 144052891 144052892 chr8:144052892:G:A rs11136253 G A A EBF1_EBF_1 -14 0 + 0 0 . chr8 144059940 144059941 chr8:144059941:C:T rs7004867 C T C EBF1_EBF_1 -7 0 + 0 0 . chr8 144078464 144078465 chr8:144078465:T:C rs370678224 T C T EBF1_EBF_1 18 0 + 0 0 . chr8 144082218 144082219 chr8:144082219:C:T rs112428562 C T C EBF1_EBF_1 1 1 + 3.6160457869942144 4.511479227392959 ACTCCACTGGGACG chr8 144086759 144086760 chr8:144086760:C:T rs150260787 C T C EBF1_EBF_1 -10 0 + 0 0 . chr8 144112835 144112836 chr8:144112836:C:T rs4075389 C T C EBF1_EBF_1 -14 0 + 0 0 . chr8 144126788 144126789 chr8:144126789:T:C rs113293936 T C T EBF1_EBF_1 32 0 - 0 0 . chr8 144148126 144148127 chr8:144148127:C:T chr8:144148127:C:T C T c EBF1_EBF_1 6 1 - 5.665421014571238 7.832215445845712 TTCCCCGAGGGGCT chr8 144168961 144168962 chr8:144168962:G:C rs116491362 G C G EBF1_EBF_1 14 0 - 0 0 . chr8 144203674 144203675 chr8:144203675:G:A rs12543945 G A G EBF1_EBF_1 -17 0 - 0 0 . chr8 144221886 144221887 chr8:144221887:A:G rs10099607 A G G EBF1_EBF_1 30 0 - 0 0 . chr8 144250758 144250759 chr8:144250759:T:G rs1041044992 T G T EBF1_EBF_1 -19 0 + 0 0 . chr8 144263545 144263546 chr8:144263546:G:A rs958617970 G A G EBF1_EBF_1 8 1 + 6.439195085786027 2.212904996899765 CCCCCCACGGGAAC chr8 144267350 144267351 chr8:144267351:C:A rs941320895 C A C EBF1_EBF_1 -6 0 - 0 0 . chr8 144267351 144267352 chr8:144267352:C:G rs879094205 C G C EBF1_EBF_1 -7 0 - 0 0 . chr8 144270309 144270310 chr8:144270310:C:T rs11248212 C T C EBF1_EBF_1 -6 0 + 0 0 . chr8 144271429 144271430 chr8:144271430:C:T rs765770351 C T C EBF1_EBF_1 -18 0 - 0 0 . chr8 144274397 144274398 chr8:144274398:G:C rs1026476239 G C G EBF1_EBF_1 -8 0 - 0 0 . chr8 144274790 144274791 chr8:144274791:C:T rs112071332 C T C EBF1_EBF_1 25 0 + 0 0 . chr8 144275609 144275610 chr8:144275610:G:A rs56219574 G A G EBF1_EBF_1 27 0 - 0 0 . chr8 144277689 144277690 chr8:144277690:A:G rs13438969 A G g EBF1_EBF_1 -10 0 + 0 0 . chr8 144277720 144277721 chr8:144277721:C:A rs907272612 C A C EBF1_EBF_1 4 1 + 8.836670477182219 3.012110853728751 ATCCCCGTGGGACA chr8 144278070 144278071 chr8:144278071:G:A rs587608338 G A g EBF1_EBF_1 -13 0 - 0 0 . chr8 144279155 144279156 chr8:144279156:A:G rs72614053 A G G EBF1_EBF_1 26 0 + 0 0 . chr8 144279161 144279162 chr8:144279162:C:T rs72614054 C T C EBF1_EBF_1 32 0 + 0 0 . chr8 144321056 144321057 chr8:144321057:G:A rs3890400 G A G EBF1_EBF_1 13 1 - 5.527629907542134 6.372124022127652 GATCCCAGGAGAGC chr8 144326940 144326941 chr8:144326941:G:A chr8:144326941:G:A G A g EBF1_EBF_1 4 1 - 4.458957133753532 0.0895701888086068 ACTCCCAGGGTGCC chr8 144332073 144332074 chr8:144332074:A:C rs1272044027 A C A EBF1_EBF_1 2 1 - 7.098800211840593 0.3504745216401245 CCTCCCCTGAGACC chr8 144337178 144337179 chr8:144337179:C:T rs562126326 C T C EBF1_EBF_1 8 1 - 6.624218414440603 2.397928325554341 CCTCCCAGGAGACC chr8 144337961 144337962 chr8:144337962:G:A rs140718693 G A G EBF1_EBF_1 15 0 - 0 0 . chr8 144338993 144338994 chr8:144338994:T:C rs559191461 T C T EBF1_EBF_1 16 0 + 0 0 . chr8 144351264 144351265 chr8:144351265:A:G rs7011824 A G A EBF1_EBF_1 15 0 + 0 0 . chr8 144352487 144352488 chr8:144352488:C:G rs11991724 C G G EBF1_EBF_1 -4 0 + 0 0 . chr8 144358461 144358462 chr8:144358462:C:T rs183286864 C T c EBF1_EBF_1 20 0 - 0 0 . chr8 144373901 144373902 chr8:144373902:T:C rs7836258 T C T EBF1_EBF_1 7 1 - 4.190784580168491 2.878326174879666 GGCCCCCAGAGGCT chr8 144399892 144399893 chr8:144399893:C:T rs2291135 C T C EBF1_EBF_1 22 0 - 0 0 . chr8 144415152 144415153 chr8:144415153:C:T rs782152455 C T C EBF1_EBF_1 -7 0 + 0 0 . chr8 144415943 144415944 chr8:144415944:C:T rs17855765 C T C EBF1_EBF_1 24 0 - 0 0 . chr8 144422719 144422720 chr8:144422720:T:C rs551134741 T C T EBF1_EBF_1 -10 0 + 0 0 . chr8 144426504 144426505 chr8:144426505:G:A rs7842602 G A - EBF1_EBF_1 6 1 - 6.89850401149714 7.199790140358898 GTCCCCCGGGGAGC chr8 144432731 144432732 chr8:144432732:A:G rs2620631 A G G EBF1_EBF_1 19 0 - 0 0 . chr8 144436291 144436292 chr8:144436292:G:A rs7830832 G A G EBF1_EBF_1 -12 0 - 0 0 . chr8 144444378 144444379 chr8:144444379:G:A chr8:144444379:G:A G A G EBF1_EBF_1 7 1 + 5.274192890322979 6.586651295611804 GGTCCCCGAGGAAC chr8 144444707 144444708 chr8:144444708:T:C rs535336149 T C T EBF1_EBF_1 15 0 + 0 0 . chr8 144467534 144467535 chr8:144467535:G:A rs35968570 G A G EBF1_EBF_1 3 1 - 5.335443104855937 -1.0699556366973804 GCTCGCCTGGGACT chr8 144472384 144472385 chr8:144472385:C:T rs61739558 C T C EBF1_EBF_1 33 0 + 0 0 . chr8 144477938 144477939 chr8:144477939:A:G rs2721201 A G A EBF1_EBF_1 -14 0 + 0 0 . chr8 144477966 144477967 chr8:144477967:C:T rs182744657 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 144478379 144478380 chr8:144478380:C:T rs887517740 C T C EBF1_EBF_1 -13 0 - 0 0 . chr8 144503466 144503467 chr8:144503467:G:C rs190931650 G C G EBF1_EBF_1 30 0 + 0 0 . chr8 144504946 144504947 chr8:144504947:G:A rs2101039 G A G EBF1_EBF_1 0 1 - 11.436110199762549 11.718312260493127 CCTCCCAAGGGACT chr8 144510907 144510908 chr8:144510908:G:C rs375893023 G C G EBF1_EBF_1 23 0 + 0 0 . chr8 144529036 144529037 chr8:144529037:C:G rs368594520 C G C EBF1_EBF_1 -15 0 + 0 0 . chr8 144529065 144529066 chr8:144529066:C:T rs1002105448 C T C EBF1_EBF_1 14 0 + 0 0 . chr8 144529078 144529079 chr8:144529079:G:A rs146008656 G A G EBF1_EBF_1 27 0 + 0 0 . chr8 144529980 144529981 chr8:144529981:T:C rs557936597 T C T EBF1_EBF_1 7 1 - 4.9374531716004695 3.624994766311644 AGCCCCGAGAGAGC chr8 144545168 144545169 chr8:144545169:A:G rs2620643 A G A EBF1_EBF_1 16 0 + 0 0 . chr8 144583521 144583522 chr8:144583522:G:A rs114310459 G A G EBF1_EBF_1 16 0 - 0 0 . chr8 144589564 144589565 chr8:144589565:A:C rs148925552 A C C EBF1_EBF_1 25 0 - 0 0 . chr8 144611813 144611814 chr8:144611814:A:G rs145897630 A G A EBF1_EBF_1 22 0 + 0 0 . chr8 144620863 144620864 chr8:144620864:G:A rs113310602 G A A EBF1_EBF_1 8 1 + 6.613465600154836 2.3871755112685746 AATCCCTGGGGCCA chr8 144684903 144684904 chr8:144684904:G:A rs548727353 G A G EBF1_EBF_1 6 1 - 3.584931385856183 3.88621751471794 TGTCCCCGGGGCGC chr8 144700071 144700072 chr8:144700072:G:A rs115737298 G A G EBF1_EBF_1 -19 0 - 0 0 . chr8 144700441 144700442 chr8:144700442:G:A rs1015925966 G A G EBF1_EBF_1 22 0 + 0 0 . chr8 144729733 144729734 chr8:144729734:G:C rs115184424 G C g EBF1_EBF_1 -16 0 - 0 0 . chr8 144738966 144738967 chr8:144738967:C:G rs111831519 C G G EBF1_EBF_1 -7 0 + 0 0 . chr8 144762404 144762405 chr8:144762405:C:G rs2958485 C G G EBF1_EBF_1 32 0 - 0 0 . chr8 144790575 144790576 chr8:144790576:G:A rs117189340 G A G EBF1_EBF_1 -19 0 + 0 0 . chr8 144790600 144790601 chr8:144790601:T:C rs112562770 T C T EBF1_EBF_1 6 1 + 5.4581963149604835 5.156910186098726 CCACCCTAGGGAGC chr8 144800076 144800077 chr8:144800077:C:G rs2976645 C G g EBF1_EBF_1 -11 0 + 0 0 . chr8 144800402 144800403 chr8:144800403:G:A rs117470815 G A G EBF1_EBF_1 25 0 + 0 0 . chr8 144805071 144805072 chr8:144805072:G:A rs114639732 G A G EBF1_EBF_1 14 0 + 0 0 . chr8 144805081 144805082 chr8:144805082:C:T rs115671984 C T C EBF1_EBF_1 24 0 + 0 0 . chr8 144820482 144820483 chr8:144820483:T:C rs1735192 T C C EBF1_EBF_1 14 0 - 0 0 . chr8 144823447 144823448 chr8:144823448:G:A rs2258177 G A A EBF1_EBF_1 32 0 - 0 0 . chr8 144823453 144823454 chr8:144823454:T:C rs2258178 T C C EBF1_EBF_1 26 0 - 0 0 . chr8 144826666 144826667 chr8:144826667:C:T rs7815819 C T C EBF1_EBF_1 8 1 - 5.846557504829357 1.620267415943096 CTCCCCACGGGAAG chr8 144826683 144826684 chr8:144826684:C:T rs540492626 C T C EBF1_EBF_1 -9 0 - 0 0 . chr8 144827432 144827433 chr8:144827433:C:G rs533151980 C G C EBF1_EBF_1 33 0 + 0 0 . chr8 144827615 144827616 chr8:144827616:C:T rs9657507 C T C EBF1_EBF_1 -7 0 - 0 0 . chr8 144827720 144827721 chr8:144827721:C:G rs182049137 C G C EBF1_EBF_1 14 0 + 0 0 . chr8 144827732 144827733 chr8:144827733:G:C rs1342703574 G C G EBF1_EBF_1 26 0 + 0 0 . chr8 144875375 144875376 chr8:144875376:C:T rs1209855 C T C EBF1_EBF_1 19 0 + 0 0 . chr8 144901244 144901245 chr8:144901245:G:A rs868342143 G A G EBF1_EBF_1 -6 0 - 0 0 . chr8 144901510 144901511 chr8:144901511:A:G rs145367721 A G A EBF1_EBF_1 -11 0 - 0 0 . chr8 144925487 144925488 chr8:144925488:G:A rs75079300 G A g EBF1_EBF_1 18 0 + 0 0 . chr8 144949197 144949198 chr8:144949198:C:T rs7824142 C T C EBF1_EBF_1 25 0 - 0 0 . chr8 144950534 144950535 chr8:144950535:G:C rs535092626 G C G EBF1_EBF_1 -18 0 + 0 0 . chr8 145002868 145002869 chr8:145002869:G:A rs963606655 G A G EBF1_EBF_1 -8 0 + 0 0 . chr8 145002875 145002876 chr8:145002876:A:G rs7823346 A G A EBF1_EBF_1 -1 0 + 0 0 . chr8 145002899 145002900 chr8:145002900:A:T rs943817989 A T A EBF1_EBF_1 23 0 + 0 0 . chr8 145057129 145057130 chr8:145057130:G:A rs28453985 G A . EBF1_EBF_1 10 1 + 7.763060954834117 2.4450324955671503 GCTCCCTTGAGACC chr8 145057493 145057494 chr8:145057494:G:C rs6982281 G C . EBF1_EBF_1 -18 0 + 0 0 . chr8 145057507 145057508 chr8:145057508:A:G rs569433956 A G . EBF1_EBF_1 -4 0 + 0 0 . chr8 145063245 145063246 chr8:145063246:C:T rs114146642 C T . EBF1_EBF_1 31 0 + 0 0 . chr8 145063247 145063248 chr8:145063248:A:T rs117500614 A T . EBF1_EBF_1 33 0 + 0 0 . chr8 145066249 145066250 chr8:145066250:A:G rs6599565 A G . EBF1_EBF_1 1 1 - 8.8930596954677 7.997626255068955 CTCCCCCAGGGAAA chr8 145075532 145075533 chr8:145075533:C:T rs753717052 C T . EBF1_EBF_1 26 0 + 0 0 . chr9 114075 114076 chr9:114076:G:A rs147978793 G A . EBF1_EBF_1 -8 0 + 0 0 . chr9 114609 114610 chr9:114610:G:A rs12342589 G A . EBF1_EBF_1 26 0 + 0 0 . chr9 179259 179260 chr9:179260:C:A rs146547182 C A . EBF1_EBF_1 23 0 + 0 0 . chr9 209230 209231 chr9:209231:C:G rs62533311 C G C EBF1_EBF_1 -17 0 + 0 0 . chr9 214516 214517 chr9:214517:C:A rs145642597 C A C EBF1_EBF_1 10 1 - 6.645975734542124 2.4632235483148595 TCACCCCAGGGATT chr9 249103 249104 chr9:249104:G:T rs10966134 G T G EBF1_EBF_1 8 1 + 4.773673968420248 -2.117758820556947 CCTCACCTGGGACT chr9 252287 252288 chr9:252288:C:T rs35446872 C T T EBF1_EBF_1 19 0 + 0 0 . chr9 344759 344760 chr9:344760:A:G rs7869892 A G A EBF1_EBF_1 18 0 + 0 0 . chr9 345899 345900 chr9:345900:C:T rs13292760 C T C EBF1_EBF_1 5 1 + 5.11250167036947 -0.20928554517120113 ATCCCCGTGGGTCC chr9 370369 370370 chr9:370370:G:C rs11515377 G C C EBF1_EBF_1 7 1 - 5.457863625793491 6.439184206874848 CCACCCACGGGACT chr9 401381 401382 chr9:401382:G:A rs62531871 G A G EBF1_EBF_1 -2 0 + 0 0 . chr9 401407 401408 chr9:401408:G:A rs62531872 G A G EBF1_EBF_1 24 0 + 0 0 . chr9 404404 404405 chr9:404405:G:C rs4237148 G C C EBF1_EBF_1 31 0 - 0 0 . chr9 421031 421032 chr9:421032:C:G rs2297079 C G C EBF1_EBF_1 10 1 - 4.4181523531816635 -2.4726500341478115 CTTCCCCACGGAGC chr9 445285 445286 chr9:445286:T:C rs10974490 T C T EBF1_EBF_1 30 0 + 0 0 . chr9 470034 470035 chr9:470035:T:C rs913699 T C T EBF1_EBF_1 -7 0 + 0 0 . chr9 490070 490071 chr9:490071:C:A rs10758655 C A A EBF1_EBF_1 8 1 - 8.208568123964843 1.3171353349876473 TTTCCCCAGAGAGT chr9 503891 503892 chr9:503892:T:G rs116645015 T G T EBF1_EBF_1 -15 0 + 0 0 . chr9 505553 505554 chr9:505554:C:G rs112910454 C G C EBF1_EBF_1 25 0 - 0 0 . chr9 518674 518675 chr9:518675:A:G rs7026716 A G A EBF1_EBF_1 -5 0 + 0 0 . chr9 537259 537260 chr9:537260:A:G rs9408616 A G A EBF1_EBF_1 28 0 - 0 0 . chr9 549517 549518 chr9:549518:A:G rs2279619 A G A EBF1_EBF_1 19 0 - 0 0 . chr9 574193 574194 chr9:574194:G:A rs556122805 G A G EBF1_EBF_1 21 0 + 0 0 . chr9 603191 603192 chr9:603192:T:G rs1532309 T G T EBF1_EBF_1 -13 0 + 0 0 . chr9 629244 629245 chr9:629245:A:C rs2078544 A C A EBF1_EBF_1 10 1 - 5.77803304573904 9.960785231966302 ACTCCCCTGGTGCT chr9 649865 649866 chr9:649866:G:A rs6477081 G A A EBF1_EBF_1 -7 0 - 0 0 . chr9 751660 751661 chr9:751661:C:T rs7865774 C T T EBF1_EBF_1 29 0 - 0 0 . chr9 751754 751755 chr9:751755:T:A rs7875467 T A T EBF1_EBF_1 19 0 + 0 0 . chr9 776348 776349 chr9:776349:G:A rs2370197 G A G EBF1_EBF_1 33 0 + 0 0 . chr9 801374 801375 chr9:801375:G:A rs10114340 G A g EBF1_EBF_1 7 1 - 9.276481504238832 11.093986250521455 ATTCCCCCGAGACT chr9 847592 847593 chr9:847593:C:T rs28528183 C T C EBF1_EBF_1 -15 0 + 0 0 . chr9 847616 847617 chr9:847617:G:A rs115959382 G A G EBF1_EBF_1 9 1 + 4.5493913382418985 1.8944520727942946 TCTCCCCTGGGTGC chr9 978307 978308 chr9:978308:G:T rs72701089 G T G EBF1_EBF_1 20 0 - 0 0 . chr9 979079 979080 chr9:979080:C:A rs279887 C A C EBF1_EBF_1 25 0 + 0 0 . chr9 982715 982716 chr9:982716:C:T rs10448246 C T C EBF1_EBF_1 -7 0 - 0 0 . chr9 1032283 1032284 chr9:1032284:T:A rs1221358967 T A T EBF1_EBF_1 -4 0 + 0 0 . chr9 1071827 1071828 chr9:1071828:G:A rs73388645 G A G EBF1_EBF_1 29 0 - 0 0 . chr9 1083799 1083800 chr9:1083800:G:C rs1016295330 G C G EBF1_EBF_1 10 1 + 7.822638143843154 0.9318357565136792 CCCCCCTTGGGAAA chr9 1143322 1143323 chr9:1143323:A:G rs9298670 A G G EBF1_EBF_1 11 1 + 5.478968815902281 2.5862619722982694 TTCCCCTGGAGACA chr9 1157032 1157033 chr9:1157033:G:A rs10959853 G A G EBF1_EBF_1 13 1 - 5.502081402996189 6.346575517581707 ACCCTCTAGGGATC chr9 1157059 1157060 chr9:1157060:C:T rs7041002 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 1171368 1171369 chr9:1171369:A:G rs57523908 A G A EBF1_EBF_1 -8 0 - 0 0 . chr9 1179605 1179606 chr9:1179606:A:G rs12379384 A G A EBF1_EBF_1 19 0 - 0 0 . chr9 1262292 1262293 chr9:1262293:G:A rs7037688 G A A EBF1_EBF_1 -13 0 + 0 0 . chr9 1355060 1355061 chr9:1355061:G:A rs1538721 G A G EBF1_EBF_1 27 0 + 0 0 . chr9 1393539 1393540 chr9:1393540:C:T rs76641435 C T C EBF1_EBF_1 6 1 + 6.721150900139157 7.022437029000915 ACTCCCCTGGAAAC chr9 1453730 1453731 chr9:1453731:G:A rs1332004 G A G EBF1_EBF_1 -13 0 + 0 0 . chr9 1455850 1455851 chr9:1455851:T:C rs10961531 T C C EBF1_EBF_1 25 0 - 0 0 . chr9 1464431 1464432 chr9:1464432:A:C rs75074283 A C A EBF1_EBF_1 -20 0 + 0 0 . chr9 1490468 1490469 chr9:1490469:C:G rs74798018 C G C EBF1_EBF_1 -11 0 - 0 0 . chr9 1599287 1599288 chr9:1599288:C:G rs185028119 C G C EBF1_EBF_1 -5 0 - 0 0 . chr9 1801534 1801535 chr9:1801535:C:G rs3889672 C G C EBF1_EBF_1 29 0 - 0 0 . chr9 1938862 1938863 chr9:1938863:G:C rs77537018 G C G EBF1_EBF_1 10 1 + 4.206821153937202 -2.6839812333922732 CCACCCCGGGGATC chr9 2023315 2023316 chr9:2023316:T:C rs10738558 T C C EBF1_EBF_1 -17 0 - 0 0 . chr9 2109512 2109513 chr9:2109513:T:A rs80090101 T A T EBF1_EBF_1 7 1 + 5.25211357753702 5.7283878176245775 GACCCCATGGGGCA chr9 2109521 2109522 chr9:2109522:C:G rs1109952 C G C EBF1_EBF_1 16 0 + 0 0 . chr9 2157478 2157479 chr9:2157479:A:C rs77090829 A C A EBF1_EBF_1 -1 0 - 0 0 . chr9 2160343 2160344 chr9:2160344:C:T rs115828582 C T C EBF1_EBF_1 10 1 - 8.046775344445733 2.7287468851787664 ATTCCTAAGGGACA chr9 2242636 2242637 chr9:2242637:T:C rs140948287 T C T EBF1_EBF_1 -5 0 + 0 0 . chr9 2260546 2260547 chr9:2260547:G:C rs4740667 G C G EBF1_EBF_1 5 1 - 7.01796106549057 0.11307991285540081 GCCCCCCGGGGACA chr9 2261051 2261052 chr9:2261052:C:T rs7847256 C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 2267241 2267242 chr9:2267242:T:C rs79923706 T C T EBF1_EBF_1 -6 0 + 0 0 . chr9 2267243 2267244 chr9:2267244:T:G rs555319375 T G T EBF1_EBF_1 -4 0 + 0 0 . chr9 2497984 2497985 chr9:2497985:C:G rs12683430 C G C EBF1_EBF_1 0 1 - 5.488874037226999 5.125899423095232 GTTCACTTGGGACC chr9 2522474 2522475 chr9:2522475:G:A rs10966757 G A A EBF1_EBF_1 33 0 - 0 0 . chr9 2526577 2526578 chr9:2526578:T:G rs1571814 T G G EBF1_EBF_1 11 1 - 9.310961096329681 4.894999398477292 TCTCCCTTGGGATC chr9 2556466 2556467 chr9:2556467:G:A rs76203704 G A G EBF1_EBF_1 23 0 + 0 0 . chr9 2568042 2568043 chr9:2568043:A:G rs7858086 A G A EBF1_EBF_1 -6 0 - 0 0 . chr9 2596150 2596151 chr9:2596151:G:T rs10812315 G T T EBF1_EBF_1 1 1 + 7.047974741592463 7.753636527421991 AGTCCCAAAGGAGA chr9 2610714 2610715 chr9:2610715:C:G rs76322239 C G C EBF1_EBF_1 -12 0 - 0 0 . chr9 2621541 2621542 chr9:2621542:C:A chr9:2621542:C:A C A c EBF1_EBF_1 2 1 + 4.789856658787736 2.99421248263101 AGCCCCAGGCGAAC chr9 2621558 2621559 chr9:2621559:G:C rs561418350 G C g EBF1_EBF_1 19 0 + 0 0 . chr9 2621563 2621564 chr9:2621564:G:A rs142199771 G A g EBF1_EBF_1 24 0 + 0 0 . chr9 2622716 2622717 chr9:2622717:G:C rs377064951 G C G EBF1_EBF_1 -14 0 - 0 0 . chr9 2728434 2728435 chr9:2728435:A:C rs113399808 A C A EBF1_EBF_1 0 1 + 9.323429279762157 7.068170796065555 AGTCCCCAAGGAAT chr9 2743829 2743830 chr9:2743830:G:T rs35463104 G T T EBF1_EBF_1 -17 0 + 0 0 . chr9 2843992 2843993 chr9:2843993:C:T rs10968528 C T C EBF1_EBF_1 32 0 + 0 0 . chr9 2850570 2850571 chr9:2850571:G:T rs73642106 G T G EBF1_EBF_1 25 0 - 0 0 . chr9 2949731 2949732 chr9:2949732:A:G rs10969131 A G A EBF1_EBF_1 16 0 + 0 0 . chr9 2966998 2966999 chr9:2966999:G:A rs12344335 G A G EBF1_EBF_1 18 0 - 0 0 . chr9 3007671 3007672 chr9:3007672:C:T rs193103714 C T C EBF1_EBF_1 0 1 + 6.251249536771181 6.533451597501757 CTTCCCATGGGCAA chr9 3099350 3099351 chr9:3099351:G:A rs10757995 G A A EBF1_EBF_1 19 0 - 0 0 . chr9 3177563 3177564 chr9:3177564:C:T rs7021876 C T T EBF1_EBF_1 28 0 + 0 0 . chr9 3261664 3261665 chr9:3261665:G:A rs494348 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 3733598 3733599 chr9:3733599:G:C rs4741848 G C C EBF1_EBF_1 10 1 + 10.204772518708277 3.3139701313788033 CTTCCCAGGGGAAC chr9 3733603 3733604 chr9:3733604:T:C rs10973264 T C T EBF1_EBF_1 15 0 + 0 0 . chr9 3809793 3809794 chr9:3809794:C:T rs10973639 C T C EBF1_EBF_1 8 1 - 6.051438763869222 1.8251486749829609 CTTCCCCTGGGCTT chr9 3842938 3842939 chr9:3842939:C:T rs1378325 C T C EBF1_EBF_1 10 1 - 4.782583634530234 -0.5354448247367324 ATCCCCAAGAGCCC chr9 3858032 3858033 chr9:3858033:G:T rs191904795 G T G EBF1_EBF_1 24 0 + 0 0 . chr9 3865703 3865704 chr9:3865704:G:A rs10491902 G A A EBF1_EBF_1 19 0 + 0 0 . chr9 3885698 3885699 chr9:3885699:A:G rs1260500678 A G A EBF1_EBF_1 -18 0 + 0 0 . chr9 3952891 3952892 chr9:3952892:G:A rs10974256 G A G EBF1_EBF_1 22 0 - 0 0 . chr9 4070278 4070279 chr9:4070279:T:C rs10974315 T C T EBF1_EBF_1 -3 0 - 0 0 . chr9 4084133 4084134 chr9:4084134:T:C rs7861853 T C C EBF1_EBF_1 32 0 - 0 0 . chr9 4195529 4195530 chr9:4195530:C:A rs7039241 C A C EBF1_EBF_1 2 1 + 5.855499581156082 4.059855404999356 CTCCCCATGGGGCA chr9 4196924 4196925 chr9:4196925:G:T rs73398428 G T G EBF1_EBF_1 27 0 + 0 0 . chr9 4335772 4335773 chr9:4335773:G:C rs4741963 G C G EBF1_EBF_1 9 1 + 5.584898043837386 0.5092088974919227 CATCCCCTGGGTCC chr9 4335778 4335779 chr9:4335779:T:C rs61153789 T C T EBF1_EBF_1 15 0 + 0 0 . chr9 4347241 4347242 chr9:4347242:A:C rs7019820 A C A EBF1_EBF_1 17 0 - 0 0 . chr9 4400990 4400991 chr9:4400991:C:T rs4401921 C T T EBF1_EBF_1 -20 0 - 0 0 . chr9 4490958 4490959 chr9:4490959:A:T rs74907704 A T A EBF1_EBF_1 16 0 - 0 0 . chr9 4554007 4554008 chr9:4554008:A:T rs73641472 A T a EBF1_EBF_1 -1 0 - 0 0 . chr9 4589801 4589802 chr9:4589802:G:C rs301439 G C C EBF1_EBF_1 6 1 + 4.011511273354356 5.816703336827553 CCCCCCGTGGGGCT chr9 4621320 4621321 chr9:4621321:A:C rs28406630 A C C EBF1_EBF_1 13 1 + 4.943904882891513 5.317650332177489 CTCCCCCAGGGGTA chr9 4662364 4662365 chr9:4662365:C:A rs71496487 C A C EBF1_EBF_1 30 0 - 0 0 . chr9 4662368 4662369 chr9:4662369:A:C rs301487 A C A EBF1_EBF_1 26 0 - 0 0 . chr9 4662393 4662394 chr9:4662394:A:G rs34250374 A G A EBF1_EBF_1 1 1 - 4.399272510684119 3.5038390702853732 CTCCTCCGGGGACT chr9 4662397 4662398 chr9:4662398:T:C rs35791393 T C T EBF1_EBF_1 -3 0 - 0 0 . chr9 4681015 4681016 chr9:4681016:G:A rs77535787 G A G EBF1_EBF_1 -18 0 - 0 0 . chr9 4769646 4769647 chr9:4769647:T:C rs407504 T C C EBF1_EBF_1 25 0 + 0 0 . chr9 4804448 4804449 chr9:4804449:A:G rs7859603 A G G EBF1_EBF_1 12 1 + 6.151785434987655 4.732968092888178 GTTCCCAGGGGCAC chr9 4838145 4838146 chr9:4838146:G:A rs900322764 G A G EBF1_EBF_1 27 0 - 0 0 . chr9 4864890 4864891 chr9:4864891:A:G rs7041623 A G G EBF1_EBF_1 -17 0 + 0 0 . chr9 4905378 4905379 chr9:4905379:C:A rs116604994 C A C EBF1_EBF_1 26 0 + 0 0 . chr9 4939223 4939224 chr9:4939224:C:G rs79640544 C G C EBF1_EBF_1 4 1 + 6.037793569436082 0.4120284682865395 ACCCCCATCGGAAT chr9 5110898 5110899 chr9:5110899:T:C rs3780377 T C C EBF1_EBF_1 -3 0 + 0 0 . chr9 5112462 5112463 chr9:5112463:C:T rs185883220 C T C EBF1_EBF_1 5 1 + 4.8045134121076805 -0.5172738034329901 GGACCCCCGGGACC chr9 5149137 5149138 chr9:5149138:T:C rs10815165 T C C EBF1_EBF_1 -13 0 - 0 0 . chr9 5293259 5293260 chr9:5293260:C:T rs4637905 C T C EBF1_EBF_1 26 0 + 0 0 . chr9 5309830 5309831 chr9:5309831:C:T rs4742076 C T C EBF1_EBF_1 18 0 - 0 0 . chr9 5386383 5386384 chr9:5386384:T:G rs10975087 T G t EBF1_EBF_1 15 0 + 0 0 . chr9 5431114 5431115 chr9:5431115:G:T rs4742092 G T T EBF1_EBF_1 24 0 - 0 0 . chr9 5486383 5486384 chr9:5486384:A:T rs1556187 A T a EBF1_EBF_1 18 0 + 0 0 . chr9 5583501 5583502 chr9:5583502:C:G rs561281231 C G C EBF1_EBF_1 -20 0 - 0 0 . chr9 5601390 5601391 chr9:5601391:C:A rs62557975 C A C EBF1_EBF_1 21 0 - 0 0 . chr9 5601398 5601399 chr9:5601399:T:C rs62557976 T C T EBF1_EBF_1 13 1 - 7.9120222595588245 6.797696687489386 CTCCCCAGGGGACA chr9 5608720 5608721 chr9:5608721:A:G rs558205080 A G A EBF1_EBF_1 -19 0 - 0 0 . chr9 5628505 5628506 chr9:5628506:C:T rs977439697 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 5628514 5628515 chr9:5628515:G:C chr9:5628515:G:C G C G EBF1_EBF_1 -15 0 - 0 0 . chr9 5628820 5628821 chr9:5628821:C:G rs762060837 C G C EBF1_EBF_1 -6 0 + 0 0 . chr9 5628833 5628834 chr9:5628834:G:A rs888099508 G A G EBF1_EBF_1 7 1 + 5.90826000883224 7.220718414121066 AAACCCTGGAGACT chr9 5629761 5629762 chr9:5629762:T:C rs991585831 T C C EBF1_EBF_1 -14 0 + 0 0 . chr9 5742084 5742085 chr9:5742085:C:T rs4742120 C T C EBF1_EBF_1 -16 0 - 0 0 . chr9 5791150 5791151 chr9:5791151:G:A rs1342854 G A A EBF1_EBF_1 9 1 + 8.667754645661528 6.012815380213923 ATTCTCCAGGGAAA chr9 5839396 5839397 chr9:5839397:T:C rs138909199 T C T EBF1_EBF_1 -4 0 - 0 0 . chr9 5918095 5918096 chr9:5918096:C:G rs2381343 C G C EBF1_EBF_1 20 0 + 0 0 . chr9 6230512 6230513 chr9:6230513:C:G rs10758750 C G C EBF1_EBF_1 3 1 + 8.618265152182124 2.790500704066906 ACACCCAAGGGAGT chr9 6232702 6232703 chr9:6232703:C:G rs12351913 C G G EBF1_EBF_1 -13 0 + 0 0 . chr9 6247550 6247551 chr9:6247551:C:T rs11792139 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 6302608 6302609 chr9:6302609:G:C rs67541153 G C g EBF1_EBF_1 4 1 - 7.899231602179709 2.273466501030165 ACCCCCTAGAGAAA chr9 6412683 6412684 chr9:6412684:C:A rs540298500 C A C EBF1_EBF_1 4 1 + 4.0100105860788915 -1.8145490373745765 GGCCCCCGGGGCCT chr9 6412687 6412688 chr9:6412688:G:A rs576892903 G A G EBF1_EBF_1 8 1 + 4.0100105860788915 -0.21627950280737007 GGCCCCCGGGGCCT chr9 6412708 6412709 chr9:6412709:A:C rs1432184691 A C A EBF1_EBF_1 29 0 + 0 0 . chr9 6414252 6414253 chr9:6414253:T:C rs148643389 T C T EBF1_EBF_1 11 1 - 5.208836145133751 2.3161293015297395 CCTCCCTCGAGACA chr9 6472111 6472112 chr9:6472112:T:G rs34581400 T G T EBF1_EBF_1 12 1 - 7.490076164700465 7.459894766279139 GGACCCAAGGGAAC chr9 6575975 6575976 chr9:6575976:A:T rs10975655 A T T EBF1_EBF_1 20 0 + 0 0 . chr9 6592306 6592307 chr9:6592307:C:T rs76153763 C T T EBF1_EBF_1 26 0 + 0 0 . chr9 6610325 6610326 chr9:6610326:C:T rs35374927 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 6625559 6625560 chr9:6625560:C:A rs10975705 C A A EBF1_EBF_1 14 0 + 0 0 . chr9 6631610 6631611 chr9:6631611:A:G rs934854 A G A EBF1_EBF_1 28 0 - 0 0 . chr9 6656629 6656630 chr9:6656630:C:T rs12554718 C T C EBF1_EBF_1 3 1 + 7.656422697924664 1.251023956371347 TTTCCCAAGAGAAG chr9 6681109 6681110 chr9:6681110:G:A rs113768128 G A G EBF1_EBF_1 -13 0 + 0 0 . chr9 6682804 6682805 chr9:6682805:C:G rs149980751 C G C EBF1_EBF_1 -20 0 - 0 0 . chr9 6684752 6684753 chr9:6684753:G:C rs820516 G C g EBF1_EBF_1 27 0 + 0 0 . chr9 6703897 6703898 chr9:6703898:C:T chr9:6703898:C:T C T C EBF1_EBF_1 3 1 + 7.393843937041358 0.98844519548804 AATCCTTAGGGACC chr9 6714807 6714808 chr9:6714808:C:G rs62568249 C G c EBF1_EBF_1 -18 0 + 0 0 . chr9 6729478 6729479 chr9:6729479:G:A rs35857578 G A G EBF1_EBF_1 -6 0 - 0 0 . chr9 6781086 6781087 chr9:6781087:G:A rs2779737 G A A EBF1_EBF_1 6 1 - 4.630588587734374 4.931874716596132 ACTTCCCCGGGACT chr9 6781098 6781099 chr9:6781099:T:C rs55842150 T C C EBF1_EBF_1 -6 0 - 0 0 . chr9 6783024 6783025 chr9:6783025:C:A rs12002710 C A C EBF1_EBF_1 8 1 - 6.5495405428070725 -0.34189224617012215 AATCCCAAGAGCCT chr9 6783045 6783046 chr9:6783046:G:T rs72699657 G T T EBF1_EBF_1 -13 0 - 0 0 . chr9 6889731 6889732 chr9:6889732:A:G rs73405231 A G A EBF1_EBF_1 25 0 - 0 0 . chr9 6930895 6930896 chr9:6930896:G:A rs7037799 G A A EBF1_EBF_1 -15 0 - 0 0 . chr9 6930900 6930901 chr9:6930901:T:G rs58307562 T G G EBF1_EBF_1 -20 0 - 0 0 . chr9 6938289 6938290 chr9:6938290:C:T rs62533825 C T T EBF1_EBF_1 -3 0 + 0 0 . chr9 6960106 6960107 chr9:6960107:A:C rs7022642 A C C EBF1_EBF_1 -12 0 - 0 0 . chr9 6985057 6985058 chr9:6985058:T:C rs7027018 T C T EBF1_EBF_1 1 1 - 6.408462991517725 6.669161823439027 AATCCCATGAGGAA chr9 6985067 6985068 chr9:6985068:C:T rs818905 C T C EBF1_EBF_1 -9 0 - 0 0 . chr9 7090015 7090016 chr9:7090016:A:G rs7848212 A G A EBF1_EBF_1 -18 0 - 0 0 . chr9 7146964 7146965 chr9:7146965:C:G rs722629 C G C EBF1_EBF_1 19 0 + 0 0 . chr9 7165945 7165946 chr9:7165946:A:G rs10815533 A G A EBF1_EBF_1 31 0 + 0 0 . chr9 7208341 7208342 chr9:7208342:T:C rs2820899 T C C EBF1_EBF_1 22 0 + 0 0 . chr9 7212994 7212995 chr9:7212995:C:G rs10815553 C G G EBF1_EBF_1 26 0 - 0 0 . chr9 7230777 7230778 chr9:7230778:T:C rs10815559 T C C EBF1_EBF_1 25 0 - 0 0 . chr9 7247045 7247046 chr9:7247046:C:T rs10815572 C T T EBF1_EBF_1 -6 0 - 0 0 . chr9 7267175 7267176 chr9:7267176:T:C rs4740874 T C T EBF1_EBF_1 -6 0 + 0 0 . chr9 7267662 7267663 chr9:7267663:C:T rs10733532 C T C EBF1_EBF_1 12 1 + 6.515413280565132 5.489146710014282 TTTCCCCTGGGCCC chr9 7267678 7267679 chr9:7267679:T:A rs117914626 T A T EBF1_EBF_1 28 0 + 0 0 . chr9 7295389 7295390 chr9:7295390:G:T rs10976186 G T T EBF1_EBF_1 9 1 + 5.633380158586043 -1.2036092495027655 TCCCCCAAAGGAAT chr9 7379570 7379571 chr9:7379571:G:A rs2381587 G A A EBF1_EBF_1 -18 0 + 0 0 . chr9 7388037 7388038 chr9:7388038:G:A rs77656966 G A G EBF1_EBF_1 16 0 + 0 0 . chr9 7426220 7426221 chr9:7426221:A:G rs1926403 A G - EBF1_EBF_1 -2 0 - 0 0 . chr9 7452545 7452546 chr9:7452546:A:T rs2997560 A T T EBF1_EBF_1 -19 0 + 0 0 . chr9 7542966 7542967 chr9:7542967:A:G rs10976384 A G A EBF1_EBF_1 33 0 - 0 0 . chr9 7560702 7560703 chr9:7560703:G:T rs10976399 G T G EBF1_EBF_1 5 1 - 7.527105111531372 0.6222239588962033 TCCCCCAAGGGAAG chr9 7560711 7560712 chr9:7560712:G:T rs7467341 G T G EBF1_EBF_1 -4 0 - 0 0 . chr9 7662659 7662660 chr9:7662660:A:C rs10976483 A C A EBF1_EBF_1 31 0 + 0 0 . chr9 7685788 7685789 chr9:7685789:A:C rs12376595 A C A EBF1_EBF_1 31 0 - 0 0 . chr9 7689065 7689066 chr9:7689066:C:G rs78551425 C G G EBF1_EBF_1 -20 0 - 0 0 . chr9 7790417 7790418 chr9:7790418:G:C rs67023560 G C G EBF1_EBF_1 31 0 - 0 0 . chr9 7790563 7790564 chr9:7790564:T:G rs34155879 T G T EBF1_EBF_1 25 0 + 0 0 . chr9 7824231 7824232 chr9:7824232:C:T rs928460 C T C EBF1_EBF_1 12 1 + 6.517326079842585 5.491059509291735 AATCACTAGGGACA chr9 7830745 7830746 chr9:7830746:C:T rs12351544 C T C EBF1_EBF_1 32 0 + 0 0 . chr9 7879981 7879982 chr9:7879982:T:C rs186682393 T C T EBF1_EBF_1 31 0 - 0 0 . chr9 7909575 7909576 chr9:7909576:G:T rs7849795 G T T EBF1_EBF_1 11 1 + 6.378281593096399 5.173074180601685 AATCCCATGAGGCA chr9 7910744 7910745 chr9:7910745:T:A rs149388280 T A A EBF1_EBF_1 -13 0 + 0 0 . chr9 7997067 7997068 chr9:7997068:C:G rs77035226 C G C EBF1_EBF_1 -16 0 + 0 0 . chr9 8030541 8030542 chr9:8030542:C:G rs10117682 C G C EBF1_EBF_1 -6 0 - 0 0 . chr9 8071398 8071399 chr9:8071399:C:T rs72704229 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 8189344 8189345 chr9:8189345:T:A rs183060746 T A T EBF1_EBF_1 -4 0 - 0 0 . chr9 8222367 8222368 chr9:8222368:C:A rs34840552 C A C EBF1_EBF_1 10 1 - 6.059640140852811 1.8768879546255457 CCTCCCTAGGGTCA chr9 8269072 8269073 chr9:8269073:C:T rs7025712 C T C EBF1_EBF_1 25 0 + 0 0 . chr9 8287691 8287692 chr9:8287692:G:C rs112181835 G C G EBF1_EBF_1 -6 0 + 0 0 . chr9 8430350 8430351 chr9:8430351:A:C rs10815871 A C C EBF1_EBF_1 17 0 - 0 0 . chr9 8438003 8438004 chr9:8438004:C:G rs1323585 C G G EBF1_EBF_1 31 0 - 0 0 . chr9 8518142 8518143 chr9:8518143:T:C rs7026388 T C C EBF1_EBF_1 22 0 + 0 0 . chr9 8542568 8542569 chr9:8542569:A:T rs13298042 A T A EBF1_EBF_1 -3 0 - 0 0 . chr9 8698731 8698732 chr9:8698732:C:A rs3817210 C A A EBF1_EBF_1 -20 0 - 0 0 . chr9 8718571 8718572 chr9:8718572:T:C rs72700397 T C T EBF1_EBF_1 22 0 + 0 0 . chr9 8787226 8787227 chr9:8787227:G:A rs17660773 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 8833237 8833238 chr9:8833238:T:C rs77097551 T C T EBF1_EBF_1 -17 0 + 0 0 . chr9 8856374 8856375 chr9:8856375:G:A rs72704330 G A G EBF1_EBF_1 21 0 + 0 0 . chr9 8857014 8857015 chr9:8857015:G:A rs150697593 G A G EBF1_EBF_1 -7 0 + 0 0 . chr9 8932859 8932860 chr9:8932860:G:C rs4260942 G C C EBF1_EBF_1 15 0 - 0 0 . chr9 8980806 8980807 chr9:8980807:G:A rs62529151 G A G EBF1_EBF_1 4 1 - 12.49327139967624 8.123884454731318 AGTCCCCAGGGATT chr9 8980813 8980814 chr9:8980814:C:T rs1433536 C T c EBF1_EBF_1 -3 0 - 0 0 . chr9 9141260 9141261 chr9:9141261:G:T rs78553685 G T G EBF1_EBF_1 -4 0 + 0 0 . chr9 9469400 9469401 chr9:9469401:C:G rs117236404 C G C EBF1_EBF_1 24 0 + 0 0 . chr9 9556991 9556992 chr9:9556992:T:C rs828828 T C T EBF1_EBF_1 -2 0 + 0 0 . chr9 9778368 9778369 chr9:9778369:T:C rs3122699 T C C EBF1_EBF_1 12 1 - 11.064085167640428 9.645267825540952 TTTCCCCAGGGAAA chr9 9778388 9778389 chr9:9778389:G:C rs2761719 G C G EBF1_EBF_1 -8 0 - 0 0 . chr9 9790345 9790346 chr9:9790346:G:C rs2025393 G C G EBF1_EBF_1 8 1 + 9.077234428756007 3.758575567841322 CTTCCCCAGGGGCT chr9 9795072 9795073 chr9:9795073:G:A rs1746797 G A A EBF1_EBF_1 -10 0 - 0 0 . chr9 9812857 9812858 chr9:9812858:C:G rs1768866 C G C EBF1_EBF_1 10 1 - 6.904802025004396 0.013999637674921739 ATACCCTGGAGAAT chr9 9879768 9879769 chr9:9879769:G:C rs58401393 G C G EBF1_EBF_1 28 0 + 0 0 . chr9 9910921 9910922 chr9:9910922:C:A rs4741000 C A A EBF1_EBF_1 23 0 - 0 0 . chr9 9941753 9941754 chr9:9941754:G:C rs4552967 G C G EBF1_EBF_1 -2 0 + 0 0 . chr9 9999608 9999609 chr9:9999609:A:G rs118151312 A G A EBF1_EBF_1 -5 0 - 0 0 . chr9 10001031 10001032 chr9:10001032:T:C rs74629381 T C t EBF1_EBF_1 11 1 - 7.432703481295813 4.539996637691802 GTTCCCAAGAGAGA chr9 10185572 10185573 chr9:10185573:T:C rs294851 T C T EBF1_EBF_1 15 0 + 0 0 . chr9 10231931 10231932 chr9:10231932:G:A rs74780768 G A G EBF1_EBF_1 19 0 - 0 0 . chr9 10269003 10269004 chr9:10269004:T:C rs1486143041 T C T EBF1_EBF_1 -16 0 + 0 0 . chr9 10269024 10269025 chr9:10269025:C:T rs80234374 C T C EBF1_EBF_1 5 1 + 9.591268911380713 4.269481695840044 TATCCCCTGGGACA chr9 10269031 10269032 chr9:10269032:C:T rs7866465 C T C EBF1_EBF_1 12 1 + 9.591268911380713 8.565002340829864 TATCCCCTGGGACA chr9 10488756 10488757 chr9:10488757:G:C rs833406 G C G EBF1_EBF_1 0 1 - 5.550484290779198 5.913458904910965 CTCCCCATGGGCCT chr9 10533056 10533057 chr9:10533057:G:C rs7040139 G C G EBF1_EBF_1 4 1 - 9.805091736957273 4.179326635807731 ATTCCCAGGAGAAC chr9 10563079 10563080 chr9:10563080:T:C rs115887475 T C T EBF1_EBF_1 6 1 + 10.140705585487312 9.839419456625555 ATTCCCTAGGGCAT chr9 10593287 10593288 chr9:10593288:A:G rs1923437 A G A EBF1_EBF_1 6 1 + 5.587181008735544 3.420386577461069 GCTCCCAGGAGATA chr9 10650854 10650855 chr9:10650855:A:C rs12002640 A C C EBF1_EBF_1 18 0 + 0 0 . chr9 10674572 10674573 chr9:10674573:C:T rs4443711 C T T EBF1_EBF_1 -2 0 + 0 0 . chr9 10790283 10790284 chr9:10790284:G:A rs72704868 G A g EBF1_EBF_1 26 0 + 0 0 . chr9 10836170 10836171 chr9:10836171:T:C rs10756136 T C C EBF1_EBF_1 6 1 - 6.999000949907548 4.832206518633073 GGCCCCATGAGAAT chr9 10975294 10975295 chr9:10975295:C:G rs78053249 C G C EBF1_EBF_1 9 1 - 7.297558341807526 2.2218691954620633 CTTCCCCTAGGAAT chr9 11045104 11045105 chr9:11045105:A:G rs10959480 A G A EBF1_EBF_1 6 1 + 5.495900574572233 3.329106143297758 ACTCCAATGGGAAC chr9 11108585 11108586 chr9:11108586:C:A rs1577120 C A A EBF1_EBF_1 10 1 - 7.242904892885342 3.0601527066580774 ACTCCCTGGCGACT chr9 11241609 11241610 chr9:11241610:G:T rs10114942 G T G EBF1_EBF_1 18 0 - 0 0 . chr9 11618202 11618203 chr9:11618203:C:T rs12236939 C T C EBF1_EBF_1 -5 0 + 0 0 . chr9 11835254 11835255 chr9:11835255:A:G rs12684266 A G a EBF1_EBF_1 22 0 - 0 0 . chr9 12035898 12035899 chr9:12035899:C:T rs73404830 C T C EBF1_EBF_1 -20 0 - 0 0 . chr9 12104251 12104252 chr9:12104252:A:T rs13289658 A T A EBF1_EBF_1 17 0 + 0 0 . chr9 12329428 12329429 chr9:12329429:T:C rs35768965 T C C EBF1_EBF_1 7 1 - 8.060936184128842 6.748477778840015 TTCCCCCAGGGAAG chr9 12329428 12329429 chr9:12329429:T:G chr9:12329429:T:G T G C EBF1_EBF_1 7 1 - 8.060936184128842 5.767157197758658 TTCCCCCAGGGAAG chr9 12329465 12329466 chr9:12329466:T:A rs575169956 T A T EBF1_EBF_1 -10 0 + 0 0 . chr9 12334277 12334278 chr9:12334278:G:C rs7048400 G C C EBF1_EBF_1 9 1 + 5.264618120010091 0.18892897366462785 ACTCCCACCGGAAC chr9 12334294 12334295 chr9:12334295:C:T rs7048181 C T C EBF1_EBF_1 26 0 + 0 0 . chr9 12352034 12352035 chr9:12352035:A:G rs10960614 A G A EBF1_EBF_1 -5 0 - 0 0 . chr9 12814794 12814795 chr9:12814795:T:G rs79695172 T G t EBF1_EBF_1 -6 0 - 0 0 . chr9 12814803 12814804 chr9:12814804:C:G rs76561069 C G G EBF1_EBF_1 -15 0 - 0 0 . chr9 12935057 12935058 chr9:12935058:C:T rs7043940 C T C EBF1_EBF_1 -5 0 - 0 0 . chr9 12955636 12955637 chr9:12955637:G:A rs10960865 G A G EBF1_EBF_1 0 1 + 8.307650632465082 10.199934502029913 GGTCCCAAGAGATT chr9 12958980 12958981 chr9:12958981:G:C rs71507352 G C G EBF1_EBF_1 -11 0 - 0 0 . chr9 13083161 13083162 chr9:13083162:C:T rs72706337 C T C EBF1_EBF_1 14 0 - 0 0 . chr9 13107693 13107694 chr9:13107694:G:C rs770685525 G C G EBF1_EBF_1 8 1 + 5.931245646542963 0.6125867856282783 CTTCCCAAGGGTAG chr9 13137603 13137604 chr9:13137604:T:C rs7035244 T C T EBF1_EBF_1 26 0 - 0 0 . chr9 13323592 13323593 chr9:13323593:G:C rs1038979 G C C EBF1_EBF_1 -15 0 + 0 0 . chr9 13381068 13381069 chr9:13381069:G:T rs1928644 G T G EBF1_EBF_1 -3 0 + 0 0 . chr9 13387483 13387484 chr9:13387484:G:A rs75585141 G A G EBF1_EBF_1 8 1 + 8.701770627244247 4.475480538357987 TCTCCCCAGAGACT chr9 13387502 13387503 chr9:13387503:A:G rs7025824 A G A EBF1_EBF_1 27 0 + 0 0 . chr9 13455984 13455985 chr9:13455985:G:A rs13297484 G A G EBF1_EBF_1 7 1 + 6.572988696501887 7.885447101790711 CTTCCCTGGGGCAT chr9 13535323 13535324 chr9:13535324:T:A rs1324170 T A T EBF1_EBF_1 30 0 - 0 0 . chr9 13706062 13706063 chr9:13706063:G:A rs113577786 G A G EBF1_EBF_1 4 1 - 9.72466813261165 5.355281187666727 CTTCCCAAGGGAGA chr9 13706080 13706081 chr9:13706081:T:C rs530778560 T C T EBF1_EBF_1 -14 0 - 0 0 . chr9 13773934 13773935 chr9:13773935:T:C rs10961237 T C T EBF1_EBF_1 15 0 + 0 0 . chr9 13852782 13852783 chr9:13852783:G:A rs10961283 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 13863925 13863926 chr9:13863926:G:A rs34187448 G A A EBF1_EBF_1 -5 0 + 0 0 . chr9 13863956 13863957 chr9:13863957:T:A rs10120471 T A T EBF1_EBF_1 26 0 + 0 0 . chr9 13895337 13895338 chr9:13895338:G:A rs10810056 G A G EBF1_EBF_1 -1 0 - 0 0 . chr9 13916206 13916207 chr9:13916207:T:C rs141975884 T C T EBF1_EBF_1 -12 0 - 0 0 . chr9 13933348 13933349 chr9:13933349:G:C rs7849118 G C G EBF1_EBF_1 27 0 + 0 0 . chr9 14072896 14072897 chr9:14072897:A:G rs6474814 A G A EBF1_EBF_1 -18 0 + 0 0 . chr9 14072941 14072942 chr9:14072942:G:A rs7035356 G A G EBF1_EBF_1 27 0 + 0 0 . chr9 14098953 14098954 chr9:14098954:T:C rs74764572 T C T EBF1_EBF_1 -17 0 - 0 0 . chr9 14315281 14315282 chr9:14315282:G:A rs573405521 G A - EBF1_EBF_1 28 0 - 0 0 . chr9 14315310 14315311 chr9:14315311:C:T chr9:14315311:C:T C T c EBF1_EBF_1 -1 0 - 0 0 . chr9 14315433 14315434 chr9:14315434:C:T rs942831622 C T c EBF1_EBF_1 -20 0 + 0 0 . chr9 14315454 14315455 chr9:14315455:C:T chr9:14315455:C:T C T c EBF1_EBF_1 1 1 + 4.868028686488991 5.7634621268877355 ACCCCCCGGGGCCC chr9 14315457 14315458 chr9:14315458:C:T rs930079324 C T c EBF1_EBF_1 4 1 + 4.868028686488991 0.49864174154406576 ACCCCCCGGGGCCC chr9 14315483 14315484 chr9:14315484:G:A rs183660885 G A g EBF1_EBF_1 30 0 + 0 0 . chr9 14346343 14346344 chr9:14346344:C:T rs72700531 C T C EBF1_EBF_1 8 1 - 5.778039985701679 1.5517498968154189 GTTCCCAGGGGCAA chr9 14350085 14350086 chr9:14350086:G:A rs142089521 G A G EBF1_EBF_1 6 1 - 3.5662688615801095 3.8675549904418665 GGTCCCCGGGGCCG chr9 14436625 14436626 chr9:14436626:A:G rs144856305 A G A EBF1_EBF_1 -8 0 + 0 0 . chr9 14534104 14534105 chr9:14534105:G:A rs7046317 G A G EBF1_EBF_1 -19 0 + 0 0 . chr9 14659971 14659972 chr9:14659972:A:C rs13296658 A C A EBF1_EBF_1 -11 0 - 0 0 . chr9 14659972 14659973 chr9:14659973:T:A rs13297952 T A T EBF1_EBF_1 -12 0 - 0 0 . chr9 14702372 14702373 chr9:14702373:G:A rs74581086 G A G EBF1_EBF_1 5 1 - 5.898878795125091 0.5770915795844213 GTTCCCGTGGTAAT chr9 14713526 14713527 chr9:14713527:A:G rs76362137 A G G EBF1_EBF_1 -16 0 + 0 0 . chr9 14770522 14770523 chr9:14770523:G:A rs17218977 G A G EBF1_EBF_1 -18 0 - 0 0 . chr9 14850061 14850062 chr9:14850062:A:C rs2818941 A C C EBF1_EBF_1 14 0 + 0 0 . chr9 14883913 14883914 chr9:14883914:C:T rs7048783 C T C EBF1_EBF_1 5 1 + 8.491792231186054 3.170005015645384 TTCCCCAAGGGAGC chr9 14906869 14906870 chr9:14906870:G:A rs940120 G A A EBF1_EBF_1 5 1 - 5.1979446319269025 -0.12384258361376636 AATGCCCAGGGAGC chr9 14968093 14968094 chr9:14968094:G:A rs12350915 G A G EBF1_EBF_1 13 1 + 5.484805348613148 6.599130920682587 CTTCCCCAGGTAAG chr9 14993181 14993182 chr9:14993182:C:G rs10756633 C G G EBF1_EBF_1 21 0 - 0 0 . chr9 14993189 14993190 chr9:14993190:G:A rs146053273 G A G EBF1_EBF_1 13 1 - 5.399748454967661 6.244242569553179 CGCCCCCTGAGACC chr9 14993198 14993199 chr9:14993199:G:A rs75990804 G A G EBF1_EBF_1 4 1 - 5.399748454967661 1.0303615100227363 CGCCCCCTGAGACC chr9 14993208 14993209 chr9:14993209:T:A rs537152555 T A T EBF1_EBF_1 -6 0 - 0 0 . chr9 14993214 14993215 chr9:14993215:G:A rs113425424 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 14993610 14993611 chr9:14993611:C:A rs7864046 C A C EBF1_EBF_1 23 0 - 0 0 . chr9 15117421 15117422 chr9:15117422:C:G rs2800612 C G C EBF1_EBF_1 5 1 + 5.243472659527487 -1.6614084931076816 TCCCCCTAGAGATC chr9 15232921 15232922 chr9:15232922:C:G rs655454 C G - EBF1_EBF_1 32 0 - 0 0 . chr9 15401898 15401899 chr9:15401899:C:G rs2244861 C G C EBF1_EBF_1 -12 0 - 0 0 . chr9 15498616 15498617 chr9:15498617:A:G rs114308044 A G A EBF1_EBF_1 24 0 + 0 0 . chr9 15553281 15553282 chr9:15553282:G:A chr9:15553282:G:A G A G EBF1_EBF_1 -15 0 - 0 0 . chr9 15715216 15715217 chr9:15715217:G:A rs4741534 G A A EBF1_EBF_1 13 1 + 6.7308078591045915 7.84513343117403 TCTCCCAAGAGACG chr9 15914669 15914670 chr9:15914670:A:G rs3008692 A G G EBF1_EBF_1 -19 0 - 0 0 . chr9 15914804 15914805 chr9:15914805:G:C rs3008691 G C G EBF1_EBF_1 -11 0 - 0 0 . chr9 15998507 15998508 chr9:15998508:G:T rs1328269 G T g EBF1_EBF_1 -11 0 + 0 0 . chr9 16022806 16022807 chr9:16022807:T:C rs55920240 T C T EBF1_EBF_1 30 0 + 0 0 . chr9 16034119 16034120 chr9:16034120:C:G rs11789738 C G C EBF1_EBF_1 22 0 - 0 0 . chr9 16057379 16057380 chr9:16057380:C:A rs3008709 C A A EBF1_EBF_1 6 1 + 5.045291462381481 5.406893830182758 TTCCCCCAGGAACT chr9 16069880 16069881 chr9:16069881:A:G rs7872670 A G G EBF1_EBF_1 -9 0 - 0 0 . chr9 16131280 16131281 chr9:16131281:C:T rs16934106 C T C EBF1_EBF_1 19 0 - 0 0 . chr9 16161621 16161622 chr9:16161622:A:G rs16934169 A G A EBF1_EBF_1 -7 0 + 0 0 . chr9 16185452 16185453 chr9:16185453:G:A rs116310977 G A G EBF1_EBF_1 -11 0 + 0 0 . chr9 16191890 16191891 chr9:16191891:G:A rs61542621 G A A EBF1_EBF_1 24 0 + 0 0 . chr9 16192574 16192575 chr9:16192575:C:T rs7044140 C T C EBF1_EBF_1 -18 0 + 0 0 . chr9 16218124 16218125 chr9:16218125:A:C rs9695809 A C A EBF1_EBF_1 19 0 - 0 0 . chr9 16218660 16218661 chr9:16218661:T:C rs16934274 T C T EBF1_EBF_1 27 0 - 0 0 . chr9 16238418 16238419 chr9:16238419:C:G rs74955144 C G C EBF1_EBF_1 -19 0 - 0 0 . chr9 16244121 16244122 chr9:16244122:C:T rs1412535 C T T EBF1_EBF_1 -3 0 + 0 0 . chr9 16252808 16252809 chr9:16252809:A:C rs12683780 A C A EBF1_EBF_1 -2 0 - 0 0 . chr9 16271956 16271957 chr9:16271957:C:G rs9298754 C G C EBF1_EBF_1 21 0 - 0 0 . chr9 16271977 16271978 chr9:16271978:G:A rs913664957 G A G EBF1_EBF_1 0 1 - 5.156892754736784 5.439094815467362 CGCCCCTTGAGAGT chr9 16275334 16275335 chr9:16275335:A:G rs935898715 A G A EBF1_EBF_1 28 0 - 0 0 . chr9 16295379 16295380 chr9:16295380:G:A rs2151063 G A A EBF1_EBF_1 -6 0 + 0 0 . chr9 16310724 16310725 chr9:16310725:T:G rs9407751 T G T EBF1_EBF_1 19 0 - 0 0 . chr9 16316661 16316662 chr9:16316662:A:G rs12551841 A G A EBF1_EBF_1 -16 0 - 0 0 . chr9 16330001 16330002 chr9:16330002:C:T rs191830442 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 16334335 16334336 chr9:16334336:C:T rs72714944 C T C EBF1_EBF_1 -3 0 - 0 0 . chr9 16365758 16365759 chr9:16365759:A:G rs7851912 A G G EBF1_EBF_1 16 0 - 0 0 . chr9 16419520 16419521 chr9:16419521:G:A rs117452684 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 16461668 16461669 chr9:16461669:C:A rs150410076 C A C EBF1_EBF_1 -14 0 + 0 0 . chr9 16510701 16510702 chr9:16510702:G:C rs556234198 G C G EBF1_EBF_1 18 0 - 0 0 . chr9 16531046 16531047 chr9:16531047:A:T rs10962476 A T t EBF1_EBF_1 -14 0 + 0 0 . chr9 16576087 16576088 chr9:16576088:G:A rs141977822 G A G EBF1_EBF_1 -8 0 + 0 0 . chr9 16601471 16601472 chr9:16601472:C:T rs80290010 C T C EBF1_EBF_1 -2 0 + 0 0 . chr9 16673390 16673391 chr9:16673391:A:G rs13296034 A G G EBF1_EBF_1 -15 0 + 0 0 . chr9 16867921 16867922 chr9:16867922:C:G rs566111945 C G C EBF1_EBF_1 15 0 - 0 0 . chr9 16871324 16871325 chr9:16871325:T:C rs182046936 T C t EBF1_EBF_1 28 0 + 0 0 . chr9 16877255 16877256 chr9:16877256:C:G rs4612477 C G C EBF1_EBF_1 4 1 + 6.196719387184092 0.5709542860345489 GGCCCCTAGAGAAA chr9 16908384 16908385 chr9:16908385:C:G rs80039758 C G C EBF1_EBF_1 4 1 + 7.444140406674521 1.8183753055249765 ATCCCCCTGGGCCT chr9 16954894 16954895 chr9:16954895:C:T rs73428715 C T C EBF1_EBF_1 5 1 + 5.246743383846056 -0.07504383169461248 CCTGCCCAGGGACT chr9 16992326 16992327 chr9:16992327:C:G rs10733336 C G C EBF1_EBF_1 21 0 + 0 0 . chr9 17018417 17018418 chr9:17018418:C:G rs10962821 C G C EBF1_EBF_1 3 1 + 5.010607083057297 -0.8171573650579189 CCTCTCCTGGGACA chr9 17026602 17026603 chr9:17026603:A:G rs263545 A G A EBF1_EBF_1 -7 0 - 0 0 . chr9 17293692 17293693 chr9:17293693:C:T rs673587 C T C EBF1_EBF_1 6 1 + 6.699279451102082 7.0005655799638395 ACTCCCCGGGAACT chr9 17295261 17295262 chr9:17295262:A:C rs4409489 A C C EBF1_EBF_1 -9 0 + 0 0 . chr9 17530658 17530659 chr9:17530659:G:A rs565554626 G A G EBF1_EBF_1 -8 0 + 0 0 . chr9 17532841 17532842 chr9:17532842:T:C rs2593373 T C T EBF1_EBF_1 7 1 - 5.175686707977685 3.86322830268886 GGTCCCTAGAGTCT chr9 17725312 17725313 chr9:17725313:T:G rs7857486 T G G EBF1_EBF_1 14 0 - 0 0 . chr9 17725315 17725316 chr9:17725316:T:C rs7857488 T C C EBF1_EBF_1 11 1 - 5.542864542709697 2.650157699105686 CACCCCTAGAGACA chr9 17731323 17731324 chr9:17731324:A:G rs7874110 A G G EBF1_EBF_1 -8 0 - 0 0 . chr9 17825217 17825218 chr9:17825218:C:A rs7869721 C A C EBF1_EBF_1 8 1 - 8.752452490716392 1.8610197017391974 ATCCCCATGAGAAC chr9 17852138 17852139 chr9:17852139:C:T rs10963305 C T C EBF1_EBF_1 25 0 - 0 0 . chr9 17862191 17862192 chr9:17862192:G:C rs10733339 G C C EBF1_EBF_1 23 0 - 0 0 . chr9 17906067 17906068 chr9:17906068:T:C rs55946083 T C T EBF1_EBF_1 20 0 - 0 0 . chr9 17906075 17906076 chr9:17906076:C:A rs113337915 C A C EBF1_EBF_1 12 1 - 4.421115551057452 4.783484924184753 TGTCCCCTGGGCGC chr9 17908291 17908292 chr9:17908292:G:C rs73414823 G C G EBF1_EBF_1 5 1 - 6.229833201973687 -0.6750479506614806 CTCCCCTAGGTAAT chr9 17908296 17908297 chr9:17908297:G:T rs141770725 G T G EBF1_EBF_1 0 1 - 6.229833201973687 8.485091685670287 CTCCCCTAGGTAAT chr9 17965724 17965725 chr9:17965725:T:C rs16936157 T C T EBF1_EBF_1 -9 0 + 0 0 . chr9 17980978 17980979 chr9:17980979:C:T rs1778188 C T C EBF1_EBF_1 12 1 - 9.230768509443315 10.649585851542792 ATTCCCAGGAGAGT chr9 18143563 18143564 chr9:18143564:T:G rs112083543 T G T EBF1_EBF_1 -7 0 + 0 0 . chr9 18268582 18268583 chr9:18268583:C:T rs13286417 C T T EBF1_EBF_1 7 1 - 6.159887829221465 7.472346234510288 ATTCCCCGGAGTCT chr9 18520592 18520593 chr9:18520593:A:G rs10963624 A G A EBF1_EBF_1 -12 0 + 0 0 . chr9 18543984 18543985 chr9:18543985:T:G rs78025895 T G T EBF1_EBF_1 17 0 - 0 0 . chr9 18601461 18601462 chr9:18601462:G:A rs10756981 G A A EBF1_EBF_1 -12 0 + 0 0 . chr9 18601565 18601566 chr9:18601566:G:A rs2184002 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 18601570 18601571 chr9:18601571:G:C rs2184001 G C C EBF1_EBF_1 19 0 - 0 0 . chr9 18606306 18606307 chr9:18606307:G:A rs2000117 G A G EBF1_EBF_1 33 0 - 0 0 . chr9 18666553 18666554 chr9:18666554:C:A rs73644652 C A C EBF1_EBF_1 9 1 - 6.392248309037175 -0.4447410990516341 AGTCACATGGGAAA chr9 18688728 18688729 chr9:18688729:T:C rs72688676 T C T EBF1_EBF_1 14 0 - 0 0 . chr9 18708010 18708011 chr9:18708011:C:T rs116456613 C T C EBF1_EBF_1 32 0 + 0 0 . chr9 18769085 18769086 chr9:18769086:G:A rs72690535 G A G EBF1_EBF_1 19 0 + 0 0 . chr9 18825104 18825105 chr9:18825105:C:G rs62549130 C G C EBF1_EBF_1 3 1 + 8.239335194921674 2.411570746806458 GCTCCCTAGAGACC chr9 18851699 18851700 chr9:18851700:A:G rs7041494 A G G EBF1_EBF_1 -8 0 - 0 0 . chr9 18857687 18857688 chr9:18857688:G:T rs10963809 G T G EBF1_EBF_1 27 0 - 0 0 . chr9 18862036 18862037 chr9:18862037:T:G rs115873520 T G T EBF1_EBF_1 28 0 + 0 0 . chr9 18892345 18892346 chr9:18892346:C:T rs76107790 C T C EBF1_EBF_1 11 1 + 7.836181635238207 8.154229076991871 TTTCCCCAGGGCCT chr9 18897006 18897007 chr9:18897007:A:G rs1359842 A G G EBF1_EBF_1 -5 0 - 0 0 . chr9 18905022 18905023 chr9:18905023:C:T rs7864728 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 18972051 18972052 chr9:18972052:G:A rs7023291 G A G EBF1_EBF_1 -14 0 + 0 0 . chr9 18972088 18972089 chr9:18972089:T:C rs7039445 T C T EBF1_EBF_1 23 0 + 0 0 . chr9 18982373 18982374 chr9:18982374:G:A rs7871205 G A G EBF1_EBF_1 16 0 + 0 0 . chr9 19032295 19032296 chr9:19032296:C:T rs1932450 C T C EBF1_EBF_1 7 1 + 4.887580143248636 6.70508488953126 TTTCCCCCAGGACC chr9 19033377 19033378 chr9:19033378:G:A rs7036118 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 19127351 19127352 chr9:19127352:A:C rs72561767 A C A EBF1_EBF_1 -6 0 - 0 0 . chr9 19127363 19127364 chr9:19127364:C:T rs1030516683 C T C EBF1_EBF_1 -18 0 - 0 0 . chr9 19133733 19133734 chr9:19133734:G:A rs10757028 G A G EBF1_EBF_1 8 1 + 6.029918931822344 1.8036288429360832 GATCCCAGGGGCAT chr9 19174382 19174383 chr9:19174383:A:C rs76172236 A C C EBF1_EBF_1 1 1 - 10.039136900121603 9.333475114292076 GTTCCCCCGGGACT chr9 19189214 19189215 chr9:19189215:C:A rs12551120 C A C EBF1_EBF_1 21 0 - 0 0 . chr9 19230333 19230334 chr9:19230334:G:T rs549199891 G T G EBF1_EBF_1 21 0 - 0 0 . chr9 19230335 19230336 chr9:19230336:C:T rs567500049 C T C EBF1_EBF_1 19 0 - 0 0 . chr9 19231134 19231135 chr9:19231135:A:G rs763058048 A G A EBF1_EBF_1 28 0 - 0 0 . chr9 19231147 19231148 chr9:19231148:C:T rs570547650 C T C EBF1_EBF_1 15 0 - 0 0 . chr9 19231169 19231170 chr9:19231170:G:T rs902209679 G T G EBF1_EBF_1 -7 0 - 0 0 . chr9 19409328 19409329 chr9:19409329:C:T rs536580498 C T C EBF1_EBF_1 -15 0 - 0 0 . chr9 19426980 19426981 chr9:19426981:A:G rs7023514 A G A EBF1_EBF_1 2 1 - 8.126943841462248 6.238120430020095 CTTCCCCAGAGAAA chr9 19468511 19468512 chr9:19468512:G:A rs60719329 G A G EBF1_EBF_1 32 0 + 0 0 . chr9 19471897 19471898 chr9:19471898:C:G rs560451087 C G C EBF1_EBF_1 16 0 + 0 0 . chr9 19624178 19624179 chr9:19624179:G:T rs938706686 G T G EBF1_EBF_1 23 0 + 0 0 . chr9 19660169 19660170 chr9:19660170:T:C rs114333131 T C T EBF1_EBF_1 15 0 - 0 0 . chr9 19660188 19660189 chr9:19660189:G:A rs7045004 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 19660191 19660192 chr9:19660192:G:A rs568620565 G A G EBF1_EBF_1 -7 0 - 0 0 . chr9 19675994 19675995 chr9:19675995:G:A rs79568834 G A G EBF1_EBF_1 -19 0 + 0 0 . chr9 19827847 19827848 chr9:19827848:C:G rs2891137 C G C EBF1_EBF_1 15 0 + 0 0 . chr9 20032025 20032026 chr9:20032026:C:T rs10811303 C T C EBF1_EBF_1 -6 0 + 0 0 . chr9 20077544 20077545 chr9:20077545:C:G rs10123380 C G c EBF1_EBF_1 16 0 + 0 0 . chr9 20086326 20086327 chr9:20086327:G:T rs62552179 G T g EBF1_EBF_1 26 0 - 0 0 . chr9 20138238 20138239 chr9:20138239:A:G rs72614261 A G A EBF1_EBF_1 -1 0 - 0 0 . chr9 20287283 20287284 chr9:20287284:G:A rs717082 G A G EBF1_EBF_1 3 1 - 10.373915097112437 3.968516355559122 ATTCCCTAGGTAAT chr9 20292246 20292247 chr9:20292247:A:G rs10757131 A G G EBF1_EBF_1 -16 0 - 0 0 . chr9 20354576 20354577 chr9:20354577:C:T rs2301548 C T T EBF1_EBF_1 0 1 - 5.840487235626997 7.732771105191831 GTTGCCAAGGGATT chr9 20595317 20595318 chr9:20595318:C:G rs1291635 C G g EBF1_EBF_1 32 0 + 0 0 . chr9 20620363 20620364 chr9:20620364:C:T rs370105425 C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 20621243 20621244 chr9:20621244:G:A rs573251305 G A G EBF1_EBF_1 -7 0 - 0 0 . chr9 20623283 20623284 chr9:20623284:G:A rs7875326 G A G EBF1_EBF_1 19 0 - 0 0 . chr9 20640561 20640562 chr9:20640562:G:A rs73436413 G A G EBF1_EBF_1 31 0 + 0 0 . chr9 20649638 20649639 chr9:20649639:C:A rs12349809 C A C EBF1_EBF_1 -20 0 - 0 0 . chr9 20754644 20754645 chr9:20754645:C:G rs145216370 C G C EBF1_EBF_1 27 0 - 0 0 . chr9 20786994 20786995 chr9:20786995:G:C rs10511686 G C C EBF1_EBF_1 -13 0 + 0 0 . chr9 20803783 20803784 chr9:20803784:G:A rs145999192 G A G EBF1_EBF_1 27 0 + 0 0 . chr9 20848483 20848484 chr9:20848484:A:G rs59533952 A G A EBF1_EBF_1 25 0 - 0 0 . chr9 21030907 21030908 chr9:21030908:G:C rs10964802 G C G EBF1_EBF_1 -16 0 - 0 0 . chr9 21082814 21082815 chr9:21082815:C:T rs10811466 C T C EBF1_EBF_1 10 1 - 9.337377661138614 4.019349201871648 AGCCCCAAGAGACT chr9 21107482 21107483 chr9:21107483:A:T rs1030299 A T T EBF1_EBF_1 -2 0 + 0 0 . chr9 21181876 21181877 chr9:21181877:C:G rs2098814 C G C EBF1_EBF_1 27 0 + 0 0 . chr9 21296314 21296315 chr9:21296315:T:G rs10124167 T G T EBF1_EBF_1 -4 0 + 0 0 . chr9 21318832 21318833 chr9:21318833:T:C rs1467734 T C T EBF1_EBF_1 -8 0 - 0 0 . chr9 21381812 21381813 chr9:21381813:C:T rs1187407599 C T C EBF1_EBF_1 26 0 - 0 0 . chr9 21395909 21395910 chr9:21395910:T:C rs117030632 T C T EBF1_EBF_1 -18 0 - 0 0 . chr9 21396091 21396092 chr9:21396092:C:T rs117487764 C T C EBF1_EBF_1 24 0 - 0 0 . chr9 21396127 21396128 chr9:21396128:C:T rs12378477 C T C EBF1_EBF_1 -12 0 - 0 0 . chr9 21402820 21402821 chr9:21402821:C:T rs16938391 C T C EBF1_EBF_1 -7 0 - 0 0 . chr9 21403380 21403381 chr9:21403381:T:G rs117581840 T G T EBF1_EBF_1 20 0 + 0 0 . chr9 21412386 21412387 chr9:21412387:C:A rs12346196 C A C EBF1_EBF_1 4 1 + 12.198769615554012 6.374209992100547 AGTCCCCTGGGACC chr9 21491004 21491005 chr9:21491005:C:G rs12551723 C G C EBF1_EBF_1 12 1 - 8.292644213185827 9.681280156863977 CCTCCCTTGGGAGA chr9 21503812 21503813 chr9:21503813:T:G rs4977726 T G G EBF1_EBF_1 -3 0 - 0 0 . chr9 21528336 21528337 chr9:21528337:A:T rs72703447 A T A EBF1_EBF_1 -20 0 + 0 0 . chr9 21559971 21559972 chr9:21559972:C:T chr9:21559972:C:T C T C EBF1_EBF_1 -16 0 + 0 0 . chr9 21756089 21756090 chr9:21756090:C:T rs2218220 C T C EBF1_EBF_1 6 1 + 6.2504740478557546 6.551760176717512 GCACCCCTGGGATT chr9 21803608 21803609 chr9:21803609:T:G rs928352285 T G T EBF1_EBF_1 7 1 - 7.271987813187306 4.978208826817124 AAACCCCAGGGAAG chr9 21810531 21810532 chr9:21810532:T:G rs7871477 T G G EBF1_EBF_1 30 0 + 0 0 . chr9 21813718 21813719 chr9:21813719:C:T rs58377678 C T T EBF1_EBF_1 13 1 + 11.025709080042118 11.870203194627637 AATCCCCAGGGAGC chr9 21813721 21813722 chr9:21813722:G:A chr9:21813722:G:A G A G EBF1_EBF_1 16 0 + 0 0 . chr9 22060935 22060936 chr9:22060936:A:G rs2383205 A G G EBF1_EBF_1 -18 0 - 0 0 . chr9 22155709 22155710 chr9:22155710:C:T rs944802 C T C EBF1_EBF_1 21 0 + 0 0 . chr9 22200807 22200808 chr9:22200808:G:A rs2127455 G A G EBF1_EBF_1 18 0 - 0 0 . chr9 22214717 22214718 chr9:22214718:T:C rs7024671 T C T EBF1_EBF_1 -3 0 + 0 0 . chr9 22229149 22229150 chr9:22229150:A:G rs78191391 A G A EBF1_EBF_1 -12 0 + 0 0 . chr9 22240875 22240876 chr9:22240876:C:G rs138059221 C G C EBF1_EBF_1 11 1 - 6.444423588741333 4.921168734492954 ATTCCCCAGAGGGC chr9 22258961 22258962 chr9:22258962:C:G rs34247799 C G C EBF1_EBF_1 10 1 - 4.296202420816867 -2.5945999665126083 GGCCCCCAGGGCTT chr9 22384228 22384229 chr9:22384229:A:C rs1329402 A C A EBF1_EBF_1 -4 0 + 0 0 . chr9 22814843 22814844 chr9:22814844:C:G rs7031018 C G C EBF1_EBF_1 26 0 - 0 0 . chr9 22894949 22894950 chr9:22894950:T:C rs922363 T C T EBF1_EBF_1 23 0 - 0 0 . chr9 23005955 23005956 chr9:23005956:T:C rs117452802 T C T EBF1_EBF_1 -19 0 + 0 0 . chr9 23357494 23357495 chr9:23357495:A:C rs10965796 A C A EBF1_EBF_1 -3 0 + 0 0 . chr9 23371942 23371943 chr9:23371943:A:C rs10811910 A C A EBF1_EBF_1 -2 0 - 0 0 . chr9 23402770 23402771 chr9:23402771:C:T rs7044536 C T T EBF1_EBF_1 12 1 + 8.075008317469337 7.048741746918488 AGTCCCCAAGGACA chr9 23668769 23668770 chr9:23668770:C:T rs7873184 C T C EBF1_EBF_1 4 1 + 8.829234692212905 4.459847747267982 CCTCCCAAGGGAGA chr9 23670312 23670313 chr9:23670313:C:T rs1410842 C T T EBF1_EBF_1 8 1 - 6.675546069893767 2.449255981007506 ACTGCCAAGGGAAA chr9 24012338 24012339 chr9:24012339:T:A rs7019142 T A T EBF1_EBF_1 -12 0 - 0 0 . chr9 24756610 24756611 chr9:24756611:A:G rs4977956 A G G EBF1_EBF_1 2 1 - 5.580062364945456 3.691238953503305 GTTCCCCAGAGTCT chr9 24832641 24832642 chr9:24832642:T:C rs36112905 T C T EBF1_EBF_1 10 1 + 9.215991772180463 6.507941571078252 ATTCCCAAGGTAAA chr9 24853877 24853878 chr9:24853878:C:A rs10812110 C A C EBF1_EBF_1 25 0 - 0 0 . chr9 24939931 24939932 chr9:24939932:A:C rs7031722 A C A EBF1_EBF_1 -1 0 + 0 0 . chr9 25061604 25061605 chr9:25061605:A:G rs10966664 A G G EBF1_EBF_1 14 0 - 0 0 . chr9 25427654 25427655 chr9:25427655:T:C rs12553796 T C C EBF1_EBF_1 -6 0 + 0 0 . chr9 25558183 25558184 chr9:25558184:G:C rs62531279 G C G EBF1_EBF_1 9 1 + 7.36109137442278 2.2854022280773165 ACTCCCCAGGGTTC chr9 25558188 25558189 chr9:25558189:T:C rs10966964 T C T EBF1_EBF_1 14 0 + 0 0 . chr9 25677045 25677046 chr9:25677046:G:A rs114948668 G A G EBF1_EBF_1 2 1 - 7.03290026879264 8.921723680234791 TGCCCCCAGAGAAT chr9 25678555 25678556 chr9:25678556:C:T rs765858542 C T C EBF1_EBF_1 11 1 - 7.936542901314869 10.82924974491888 ACTCCCCGGGGGAA chr9 25728022 25728023 chr9:25728023:G:A rs35941302 G A G EBF1_EBF_1 14 0 + 0 0 . chr9 25802816 25802817 chr9:25802817:A:G rs114711172 A G A EBF1_EBF_1 -14 0 + 0 0 . chr9 26152226 26152227 chr9:26152227:C:T rs4978051 C T T EBF1_EBF_1 15 0 + 0 0 . chr9 26189098 26189099 chr9:26189099:C:G rs10812359 C G C EBF1_EBF_1 2 1 + 5.873742968135122 1.0142406893768054 GGCCCCAAAGGACT chr9 26261747 26261748 chr9:26261748:C:T rs375803 C T C EBF1_EBF_1 12 1 + 6.274338847845393 5.248072277294543 TTACCCAAGAGACT chr9 26444584 26444585 chr9:26444585:A:G rs7864971 A G a EBF1_EBF_1 32 0 + 0 0 . chr9 26490951 26490952 chr9:26490952:T:C rs12379156 T C T EBF1_EBF_1 -12 0 + 0 0 . chr9 26493808 26493809 chr9:26493809:C:G rs10967368 C G C EBF1_EBF_1 15 0 - 0 0 . chr9 26537286 26537287 chr9:26537287:C:A rs137888468 C A C EBF1_EBF_1 33 0 - 0 0 . chr9 26725703 26725704 chr9:26725704:C:T rs10511779 C T C EBF1_EBF_1 -12 0 + 0 0 . chr9 26768410 26768411 chr9:26768411:C:T rs17693677 C T C EBF1_EBF_1 -2 0 + 0 0 . chr9 26820989 26820990 chr9:26820990:G:A rs10125998 G A G EBF1_EBF_1 -9 0 + 0 0 . chr9 26940154 26940155 chr9:26940155:A:G rs72719536 A G A EBF1_EBF_1 -4 0 + 0 0 . chr9 26956102 26956103 chr9:26956103:C:T rs138996030 C T C EBF1_EBF_1 32 0 - 0 0 . chr9 26983439 26983440 chr9:26983440:A:G rs75759446 A G A EBF1_EBF_1 28 0 + 0 0 . chr9 27094931 27094932 chr9:27094932:G:A rs116578587 G A G EBF1_EBF_1 -11 0 + 0 0 . chr9 27094935 27094936 chr9:27094936:A:G rs7038875 A G A EBF1_EBF_1 -7 0 + 0 0 . chr9 27126416 27126417 chr9:27126417:G:T rs1334809 G T G EBF1_EBF_1 -18 0 + 0 0 . chr9 27176887 27176888 chr9:27176888:T:C rs2224530 T C T EBF1_EBF_1 29 0 + 0 0 . chr9 27203683 27203684 chr9:27203684:A:G rs608934 A G A EBF1_EBF_1 18 0 - 0 0 . chr9 27203697 27203698 chr9:27203698:T:C rs1319528 T C T EBF1_EBF_1 4 1 - 4.657217293890457 4.85601181619438 ACCCACATGGGACC chr9 27277026 27277027 chr9:27277027:G:A rs7038108 G A A EBF1_EBF_1 12 1 - 5.256084610330021 4.229818039779171 CTTCCCAAGGTGCT chr9 27341327 27341328 chr9:27341328:C:T rs76672070 C T C EBF1_EBF_1 5 1 + 9.410175426423546 4.088388210882877 CCTCCCCTGGGAAA chr9 27341339 27341340 chr9:27341340:A:G rs7041639 A G A EBF1_EBF_1 17 0 + 0 0 . chr9 27354797 27354798 chr9:27354798:C:G rs6475991 C G C EBF1_EBF_1 29 0 - 0 0 . chr9 27389295 27389296 chr9:27389296:C:A rs73643201 C A C EBF1_EBF_1 -12 0 - 0 0 . chr9 27413213 27413214 chr9:27413214:A:G rs17835670 A G A EBF1_EBF_1 28 0 + 0 0 . chr9 27414124 27414125 chr9:27414125:C:T rs117887972 C T C EBF1_EBF_1 -6 0 + 0 0 . chr9 27447677 27447678 chr9:27447678:A:T rs62541583 A T T EBF1_EBF_1 22 0 - 0 0 . chr9 27447678 27447679 chr9:27447679:A:T rs62541584 A T T EBF1_EBF_1 21 0 - 0 0 . chr9 27466827 27466828 chr9:27466828:T:C rs7027614 T C C EBF1_EBF_1 18 0 + 0 0 . chr9 27487689 27487690 chr9:27487690:C:T rs79253310 C T C EBF1_EBF_1 -10 0 - 0 0 . chr9 27495921 27495922 chr9:27495922:C:A rs10967964 C A C EBF1_EBF_1 -9 0 - 0 0 . chr9 27857858 27857859 chr9:27857859:C:T rs10968151 C T c EBF1_EBF_1 5 1 + 5.757789637634344 0.4360024220936737 AGCCCCGAGGGTAT chr9 27874105 27874106 chr9:27874106:G:C rs9918948 G C g EBF1_EBF_1 -17 0 + 0 0 . chr9 27934608 27934609 chr9:27934609:T:C rs16912014 T C T EBF1_EBF_1 21 0 - 0 0 . chr9 27943870 27943871 chr9:27943871:C:T rs62541087 C T C EBF1_EBF_1 -19 0 - 0 0 . chr9 27943895 27943896 chr9:27943896:A:G rs80243711 A G A EBF1_EBF_1 6 1 - 6.876285634652987 6.574999505791229 TCTCCCTGGAGAAC chr9 27977763 27977764 chr9:27977764:G:A rs10968246 G A A EBF1_EBF_1 -12 0 + 0 0 . chr9 28001290 28001291 chr9:28001291:T:C rs1197943 T C C EBF1_EBF_1 -8 0 + 0 0 . chr9 28060205 28060206 chr9:28060206:A:G rs76359610 A G A EBF1_EBF_1 17 0 - 0 0 . chr9 28147519 28147520 chr9:28147520:C:T rs140202043 C T C EBF1_EBF_1 11 1 - 5.406502501533329 8.299209345137339 GCTCCCCCGGGGCC chr9 28147675 28147676 chr9:28147676:C:T rs9298893 C T C EBF1_EBF_1 25 0 - 0 0 . chr9 28147697 28147698 chr9:28147698:G:C rs77749958 G C G EBF1_EBF_1 3 1 - 4.011511273354356 -1.8162531747608612 CCCCCCGTGGGGCT chr9 28164646 28164647 chr9:28164647:A:G rs2044961 A G A EBF1_EBF_1 -8 0 + 0 0 . chr9 28209417 28209418 chr9:28209418:A:G rs2068928 A G A EBF1_EBF_1 -9 0 - 0 0 . chr9 28234301 28234302 chr9:28234302:C:A rs56173832 C A c EBF1_EBF_1 2 1 + 10.057557321574814 8.261913145418086 AACCCCCGGGGACT chr9 28383740 28383741 chr9:28383741:A:G rs1331882 A G A EBF1_EBF_1 7 1 - 7.30692201682793 5.4894172705453075 GCACCCCTGGGAAT chr9 28405416 28405417 chr9:28405417:C:T rs10968565 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 28439874 28439875 chr9:28439875:A:G rs7040274 A G G EBF1_EBF_1 28 0 - 0 0 . chr9 28446817 28446818 chr9:28446818:C:A rs78258877 C A C EBF1_EBF_1 19 0 - 0 0 . chr9 28707619 28707620 chr9:28707620:G:A rs186029517 G A G EBF1_EBF_1 13 1 + 5.87225334774134 6.986578919810779 ACTCCCAAGGGTGG chr9 28810839 28810840 chr9:28810840:T:C rs13297990 T C T EBF1_EBF_1 26 0 - 0 0 . chr9 28816122 28816123 chr9:28816123:C:T rs824236 C T T EBF1_EBF_1 -1 0 + 0 0 . chr9 28900277 28900278 chr9:28900278:C:G rs2164075 C G G EBF1_EBF_1 -11 0 + 0 0 . chr9 28900296 28900297 chr9:28900297:A:T rs2164074 A T T EBF1_EBF_1 8 1 + 5.065572308018193 2.400429607927258 AGACCCTAAGGACC chr9 28967396 28967397 chr9:28967397:C:T rs72719342 C T C EBF1_EBF_1 9 1 - 8.00266092508908 5.3477216596414765 AGTCCCCTAGGAAC chr9 29028674 29028675 chr9:29028675:C:G rs7036727 C G C EBF1_EBF_1 25 0 + 0 0 . chr9 29150873 29150874 chr9:29150874:T:G rs4879316 T G T EBF1_EBF_1 14 0 + 0 0 . chr9 29440624 29440625 chr9:29440625:A:G rs7037152 A G a EBF1_EBF_1 18 0 + 0 0 . chr9 29440639 29440640 chr9:29440640:G:A rs7037477 G A A EBF1_EBF_1 33 0 + 0 0 . chr9 29441098 29441099 chr9:29441099:C:G rs6476134 C G G EBF1_EBF_1 -8 0 - 0 0 . chr9 29472383 29472384 chr9:29472384:C:T rs12347124 C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 29476856 29476857 chr9:29476857:T:G rs10813104 T G G EBF1_EBF_1 -12 0 + 0 0 . chr9 29503483 29503484 chr9:29503484:T:C rs79514409 T C T EBF1_EBF_1 6 1 - 6.975843040229322 4.809048608954846 CACCCCAGGGGAAA chr9 29512796 29512797 chr9:29512797:A:G rs73644121 A G A EBF1_EBF_1 24 0 - 0 0 . chr9 29623033 29623034 chr9:29623034:T:C rs1564558 T C T EBF1_EBF_1 20 0 - 0 0 . chr9 29686720 29686721 chr9:29686721:A:G rs12004303 A G A EBF1_EBF_1 20 0 - 0 0 . chr9 29777317 29777318 chr9:29777318:A:C rs11790889 A C C EBF1_EBF_1 -4 0 + 0 0 . chr9 30126792 30126793 chr9:30126793:T:G rs16915598 T G G EBF1_EBF_1 20 0 - 0 0 . chr9 30280710 30280711 chr9:30280711:T:G rs79319822 T G T EBF1_EBF_1 -18 0 - 0 0 . chr9 30732219 30732220 chr9:30732220:A:G rs10757991 A G G EBF1_EBF_1 -14 0 - 0 0 . chr9 30826000 30826001 chr9:30826001:A:G rs1115507 A G A EBF1_EBF_1 -8 0 - 0 0 . chr9 30851612 30851613 chr9:30851613:G:A rs35661164 G A G EBF1_EBF_1 3 1 - 5.628883901398521 -0.7765148401547962 TGACCCCAGGGAGC chr9 30935859 30935860 chr9:30935860:T:C rs7027946 T C C EBF1_EBF_1 19 0 + 0 0 . chr9 30944170 30944171 chr9:30944171:G:T rs6476242 G T T EBF1_EBF_1 5 1 - 12.51545359949368 5.610572446858513 ACTCCCCAGGGAAC chr9 30944181 30944182 chr9:30944182:T:G rs6476243 T G G EBF1_EBF_1 -6 0 - 0 0 . chr9 31005255 31005256 chr9:31005256:A:T rs7858641 A T a EBF1_EBF_1 16 0 - 0 0 . chr9 31140541 31140542 chr9:31140542:G:A rs6476275 G A A EBF1_EBF_1 30 0 + 0 0 . chr9 31237214 31237215 chr9:31237215:A:G rs1333615 A G A EBF1_EBF_1 -9 0 + 0 0 . chr9 31237226 31237227 chr9:31237227:C:T rs10970231 C T C EBF1_EBF_1 3 1 + 6.031871656242048 -0.3735270853112696 ACTCCCTGGGGCTC chr9 31394276 31394277 chr9:31394277:T:C rs7873690 T C C EBF1_EBF_1 11 1 + 5.555129579271912 5.2370821375182475 AATCCCAGGAGTCT chr9 31519160 31519161 chr9:31519161:G:A rs1211479415 G A G EBF1_EBF_1 8 1 + 6.527713003956779 2.3014229150705185 CTTCCCCAGGGCTT chr9 31519481 31519482 chr9:31519482:A:G rs142950821 A G G EBF1_EBF_1 -17 0 + 0 0 . chr9 31705960 31705961 chr9:31705961:C:T rs12552096 C T C EBF1_EBF_1 -10 0 - 0 0 . chr9 31774237 31774238 chr9:31774238:G:A rs114303566 G A G EBF1_EBF_1 -7 0 - 0 0 . chr9 31775170 31775171 chr9:31775171:C:G rs62552824 C G C EBF1_EBF_1 4 1 + 6.678335455240674 1.0525703540911313 CTTCCTCAGGGAAT chr9 32010553 32010554 chr9:32010554:T:G rs79796890 T G G EBF1_EBF_1 11 1 - 10.222116701847353 5.806155003994965 ACTCCCAAGAGAAC chr9 32042556 32042557 chr9:32042557:G:A rs7859850 G A A EBF1_EBF_1 21 0 - 0 0 . chr9 32042590 32042591 chr9:32042591:C:T rs7859661 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 32056298 32056299 chr9:32056299:T:C rs12236182 T C C EBF1_EBF_1 -12 0 - 0 0 . chr9 32114245 32114246 chr9:32114246:C:T rs12002947 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 32148839 32148840 chr9:32148840:C:A rs142904397 C A C EBF1_EBF_1 -20 0 + 0 0 . chr9 32291646 32291647 chr9:32291647:C:G rs61119163 C G C EBF1_EBF_1 -6 0 - 0 0 . chr9 32550537 32550538 chr9:32550538:G:C rs374188870 G C G EBF1_EBF_1 -11 0 + 0 0 . chr9 32703788 32703789 chr9:32703789:C:T rs1329004 C T C EBF1_EBF_1 -11 0 + 0 0 . chr9 32706624 32706625 chr9:32706625:G:A rs10813856 G A A EBF1_EBF_1 4 1 - 5.300136842832799 0.9307498978878762 ATCCCCTTTGGAAT chr9 32776376 32776377 chr9:32776377:C:T rs114804063 C T C EBF1_EBF_1 0 1 + 4.47709799761531 4.7593000583458895 CTCCCCCAGGGCAA chr9 33019623 33019624 chr9:33019624:C:A rs10971337 C A C EBF1_EBF_1 15 0 - 0 0 . chr9 33055083 33055084 chr9:33055084:T:C rs10758182 T C T EBF1_EBF_1 15 0 + 0 0 . chr9 33082970 33082971 chr9:33082971:T:C rs12552290 T C T EBF1_EBF_1 27 0 - 0 0 . chr9 33104275 33104276 chr9:33104276:A:G rs55901279 A G A EBF1_EBF_1 -5 0 + 0 0 . chr9 33104397 33104398 chr9:33104398:A:G rs1013216 A G G EBF1_EBF_1 -4 0 - 0 0 . chr9 33138904 33138905 chr9:33138905:G:A rs373042458 G A G EBF1_EBF_1 30 0 + 0 0 . chr9 33142729 33142730 chr9:33142730:G:A rs7873903 G A G EBF1_EBF_1 9 1 + 8.793041528400517 6.138102262952914 CCTCCCGTGGGACT chr9 33145544 33145545 chr9:33145545:T:C rs10813956 T C C EBF1_EBF_1 -2 0 + 0 0 . chr9 33211992 33211993 chr9:33211993:G:A rs150347904 G A G EBF1_EBF_1 19 0 - 0 0 . chr9 33216429 33216430 chr9:33216430:G:C rs563353 G C C EBF1_EBF_1 25 0 - 0 0 . chr9 33217326 33217327 chr9:33217327:C:G rs482233 C G C EBF1_EBF_1 -17 0 + 0 0 . chr9 33234020 33234021 chr9:33234021:C:T rs4879679 C T C EBF1_EBF_1 -10 0 - 0 0 . chr9 33263641 33263642 chr9:33263642:G:A rs181411579 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 33263665 33263666 chr9:33263666:G:A chr9:33263666:G:A G A G EBF1_EBF_1 9 1 + 5.244120573550025 2.589181308102421 AGACCCTTGGGCCT chr9 33263675 33263676 chr9:33263676:A:G rs3758270 A G A EBF1_EBF_1 19 0 + 0 0 . chr9 33288279 33288280 chr9:33288280:G:T rs116397297 G T G EBF1_EBF_1 22 0 - 0 0 . chr9 33385560 33385561 chr9:33385561:G:A rs118174430 G A G EBF1_EBF_1 -16 0 - 0 0 . chr9 33417722 33417723 chr9:33417723:T:C rs16919246 T C T EBF1_EBF_1 33 0 + 0 0 . chr9 33420205 33420206 chr9:33420206:C:T rs7022959 C T C EBF1_EBF_1 8 1 - 5.707788630395086 1.481498541508824 GCTCCCTCGGGGCC chr9 33427144 33427145 chr9:33427145:C:A rs111920012 C A C EBF1_EBF_1 -4 0 + 0 0 . chr9 33427148 33427149 chr9:33427149:C:G rs10971503 C G C EBF1_EBF_1 0 1 + 6.182271894021325 6.545246508153092 CCTCCCCTGGGCCT chr9 33431513 33431514 chr9:33431514:A:G rs4559326 A G A EBF1_EBF_1 -1 0 - 0 0 . chr9 33436723 33436724 chr9:33436724:T:G rs35833088 T G T EBF1_EBF_1 9 1 - 7.081871948648747 4.6611220677508856 CTTCCCCAGAGAGC chr9 33447425 33447426 chr9:33447426:C:G rs591810 C G G EBF1_EBF_1 22 0 - 0 0 . chr9 33453231 33453232 chr9:33453232:T:G rs583076 T G G EBF1_EBF_1 -8 0 + 0 0 . chr9 33458578 33458579 chr9:33458579:C:T rs476059 C T C EBF1_EBF_1 27 0 - 0 0 . chr9 33461882 33461883 chr9:33461883:C:T rs3739677 C T C EBF1_EBF_1 -15 0 - 0 0 . chr9 33467615 33467616 chr9:33467616:T:C rs501213 T C T EBF1_EBF_1 24 0 - 0 0 . chr9 33468683 33468684 chr9:33468684:T:C rs11795028 T C C EBF1_EBF_1 -18 0 + 0 0 . chr9 33468729 33468730 chr9:33468730:T:C rs2777748 T C T EBF1_EBF_1 28 0 + 0 0 . chr9 33598332 33598333 chr9:33598333:G:A rs10971595 G A G EBF1_EBF_1 23 0 + 0 0 . chr9 33720369 33720370 chr9:33720370:T:C chr9:33720370:T:C T C T EBF1_EBF_1 11 1 - 7.392534990636795 4.499828147032784 CCTCCCAAGAGAGT chr9 33731704 33731705 chr9:33731705:C:A rs141091008 C A C EBF1_EBF_1 3 1 + 4.344387808392403 -2.561786221073405 CTCCCCCTGGGCCC chr9 33750846 33750847 chr9:33750847:G:C rs855551 G C G EBF1_EBF_1 19 0 + 0 0 . chr9 33797762 33797763 chr9:33797763:G:A rs83922 G A G EBF1_EBF_1 6 1 - 7.027532901675775 7.328819030537531 CTTCCCCAGGGTCC chr9 33818502 33818503 chr9:33818503:G:A rs34829934 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 33902037 33902038 chr9:33902038:T:C rs4879732 T C C EBF1_EBF_1 6 1 - 5.9921367871148155 3.8253423558403394 CTACCCAAGAGACT chr9 33924313 33924314 chr9:33924314:G:A rs10971794 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 33924343 33924344 chr9:33924344:G:A rs72727331 G A G EBF1_EBF_1 15 0 + 0 0 . chr9 34047383 34047384 chr9:34047384:G:A rs10117410 G A A EBF1_EBF_1 22 0 - 0 0 . chr9 34048535 34048536 chr9:34048536:G:T rs180874563 G T G EBF1_EBF_1 -12 0 + 0 0 . chr9 34251944 34251945 chr9:34251945:G:C rs1049557 G C G EBF1_EBF_1 8 1 + 8.838727766824857 3.520068905910172 CTTCCCCTGAGACT chr9 34251953 34251954 chr9:34251954:G:T rs3135929 G T G EBF1_EBF_1 17 0 + 0 0 . chr9 34256540 34256541 chr9:34256541:A:G rs36025573 A G A EBF1_EBF_1 31 0 + 0 0 . chr9 34272441 34272442 chr9:34272442:A:C rs531631523 A C A EBF1_EBF_1 15 0 + 0 0 . chr9 34341869 34341870 chr9:34341870:G:T rs10972066 G T G EBF1_EBF_1 19 0 + 0 0 . chr9 34376460 34376461 chr9:34376461:T:C rs143010357 T C T EBF1_EBF_1 22 0 - 0 0 . chr9 34417596 34417597 chr9:34417597:C:T rs7018509 C T C EBF1_EBF_1 -8 0 + 0 0 . chr9 34443490 34443491 chr9:34443491:T:G rs4879789 T G T EBF1_EBF_1 23 0 - 0 0 . chr9 34455004 34455005 chr9:34455005:C:T rs72735226 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 34457853 34457854 chr9:34457854:C:A rs10814111 C A C EBF1_EBF_1 -2 0 + 0 0 . chr9 34458661 34458662 chr9:34458662:G:A rs570902107 G A G EBF1_EBF_1 -19 0 - 0 0 . chr9 34458662 34458663 chr9:34458663:G:T rs539437697 G T G EBF1_EBF_1 -20 0 - 0 0 . chr9 34482932 34482933 chr9:34482933:G:A rs6476450 G A G EBF1_EBF_1 -17 0 - 0 0 . chr9 34498656 34498657 chr9:34498657:T:A rs150699558 T A T EBF1_EBF_1 -6 0 - 0 0 . chr9 34521189 34521190 chr9:34521190:G:T rs72735260 G T G EBF1_EBF_1 31 0 - 0 0 . chr9 34553939 34553940 chr9:34553940:G:C rs2381165 G C G EBF1_EBF_1 26 0 + 0 0 . chr9 34556023 34556024 chr9:34556024:C:G rs73500901 C G C EBF1_EBF_1 13 1 + 5.3466818406679435 3.8586108193125286 CGACCCCAGGGAGC chr9 34559502 34559503 chr9:34559503:T:C rs6476453 T C C EBF1_EBF_1 15 0 - 0 0 . chr9 34559502 34559503 chr9:34559503:T:G chr9:34559503:T:G T G C EBF1_EBF_1 15 0 - 0 0 . chr9 34559523 34559524 chr9:34559524:C:A rs112857578 C A C EBF1_EBF_1 -6 0 - 0 0 . chr9 34591666 34591667 chr9:34591667:G:A rs28678127 G A G EBF1_EBF_1 -16 0 - 0 0 . chr9 34688368 34688369 chr9:34688369:G:A rs3176817 G A G EBF1_EBF_1 24 0 + 0 0 . chr9 34719398 34719399 chr9:34719399:A:G rs12554795 A G A EBF1_EBF_1 11 1 - 5.265151508711647 4.9471040669579835 CTTCCCCAGGGTGA chr9 34797734 34797735 chr9:34797735:T:C rs2094723 T C T EBF1_EBF_1 -5 0 - 0 0 . chr9 34851620 34851621 chr9:34851621:A:G rs2062672 A G A EBF1_EBF_1 23 0 - 0 0 . chr9 34858414 34858415 chr9:34858415:A:G rs6476467 A G g EBF1_EBF_1 21 0 - 0 0 . chr9 34958219 34958220 chr9:34958220:C:A rs7863231 C A - EBF1_EBF_1 30 0 + 0 0 . chr9 34980637 34980638 chr9:34980638:C:T rs117092075 C T C EBF1_EBF_1 19 0 + 0 0 . chr9 34989004 34989005 chr9:34989005:G:A rs79374581 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 34991521 34991522 chr9:34991522:C:T rs112039554 C T C EBF1_EBF_1 18 0 - 0 0 . chr9 34992094 34992095 chr9:34992095:G:C rs140921927 G C G EBF1_EBF_1 -10 0 + 0 0 . chr9 35003726 35003727 chr9:35003727:T:C rs36104719 T C C EBF1_EBF_1 -7 0 + 0 0 . chr9 35071956 35071957 chr9:35071957:T:G chr9:35071957:T:G T G T EBF1_EBF_1 -17 0 - 0 0 . chr9 35107742 35107743 chr9:35107743:C:T rs61735988 C T c EBF1_EBF_1 -13 0 + 0 0 . chr9 35107767 35107768 chr9:35107768:C:A rs13287211 C A c EBF1_EBF_1 12 1 + 9.236623746332349 9.266805144753675 CTCCCCCAGGGACC chr9 35108138 35108139 chr9:35108139:C:T rs146693620 C T c EBF1_EBF_1 7 1 - 6.926003683226917 8.238462088515742 ATCCCCCGGGGTCT chr9 35306489 35306490 chr9:35306490:C:G rs2770151 C G C EBF1_EBF_1 -12 0 + 0 0 . chr9 35313056 35313057 chr9:35313057:G:A rs17361814 G A G EBF1_EBF_1 19 0 + 0 0 . chr9 35350087 35350088 chr9:35350088:A:G rs6476483 A G G EBF1_EBF_1 27 0 + 0 0 . chr9 35482235 35482236 chr9:35482236:C:T rs17370643 C T C EBF1_EBF_1 -3 0 - 0 0 . chr9 35553795 35553796 chr9:35553796:G:A rs77506115 G A G EBF1_EBF_1 3 1 - 11.16067071429883 4.755271972745514 ACTCCCAGGGGACA chr9 35562363 35562364 chr9:35562364:C:T rs559498163 C T C EBF1_EBF_1 6 1 + 6.869342583068437 7.170628711930195 CCTCCCCGGGGGCT chr9 35647543 35647544 chr9:35647544:C:T rs944169905 C T C EBF1_EBF_1 16 0 - 0 0 . chr9 35649106 35649107 chr9:35649107:G:T rs149815956 G T G EBF1_EBF_1 -17 0 - 0 0 . chr9 35658784 35658785 chr9:35658785:G:A rs561133761 G A G EBF1_EBF_1 30 0 - 0 0 . chr9 35658804 35658805 chr9:35658805:C:T chr9:35658805:C:T C T C EBF1_EBF_1 10 1 - 8.719395524379797 3.401367065112831 ACCCCCATGGGAGA chr9 35659593 35659594 chr9:35659594:T:C rs10441725 T C C EBF1_EBF_1 32 0 + 0 0 . chr9 35659746 35659747 chr9:35659747:C:G rs1890593 C G G EBF1_EBF_1 -6 0 - 0 0 . chr9 35674103 35674104 chr9:35674104:T:C rs12553173 T C C EBF1_EBF_1 7 1 - 5.936527848608893 4.624069443320068 CCTCCCAAGGGGGA chr9 35681370 35681371 chr9:35681371:T:C rs4879924 T C T EBF1_EBF_1 24 0 - 0 0 . chr9 35683475 35683476 chr9:35683476:C:G rs2789750 C G G EBF1_EBF_1 -9 0 + 0 0 . chr9 35690398 35690399 chr9:35690399:G:A rs557687542 G A G EBF1_EBF_1 -2 0 - 0 0 . chr9 35757364 35757365 chr9:35757365:T:A rs3750437 T A A EBF1_EBF_1 33 0 - 0 0 . chr9 35757400 35757401 chr9:35757401:T:C rs554818106 T C T EBF1_EBF_1 -3 0 - 0 0 . chr9 35757410 35757411 chr9:35757411:G:A rs575960477 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 35791610 35791611 chr9:35791611:G:C rs1021103618 G C G EBF1_EBF_1 -15 0 - 0 0 . chr9 35793709 35793710 chr9:35793710:C:T rs2236289 C T C EBF1_EBF_1 -5 0 + 0 0 . chr9 35802585 35802586 chr9:35802586:C:T rs5812 C T T EBF1_EBF_1 15 0 - 0 0 . chr9 35815672 35815673 chr9:35815673:A:G rs7848310 A G A EBF1_EBF_1 -6 0 + 0 0 . chr9 35829392 35829393 chr9:35829393:C:T rs2381409 C T t EBF1_EBF_1 31 0 + 0 0 . chr9 35854439 35854440 chr9:35854440:A:C rs7035913 A C C EBF1_EBF_1 -9 0 + 0 0 . chr9 35892053 35892054 chr9:35892054:A:G rs12000024 A G A EBF1_EBF_1 1 1 - 5.424313871997848 4.528880431599103 ATTCCCAGGAGGAG chr9 35895152 35895153 chr9:35895153:A:G rs7857073 A G a EBF1_EBF_1 -14 0 - 0 0 . chr9 35907221 35907222 chr9:35907222:C:T rs539640752 C T C EBF1_EBF_1 19 0 + 0 0 . chr9 35907405 35907406 chr9:35907406:C:G rs79040207 C G C EBF1_EBF_1 10 1 - 5.817961398516765 -1.0728409888127102 TCTCCCCGAGGACT chr9 35907473 35907474 chr9:35907474:C:T rs57649053 C T C EBF1_EBF_1 31 0 + 0 0 . chr9 35907474 35907475 chr9:35907475:A:G rs72729411 A G A EBF1_EBF_1 32 0 + 0 0 . chr9 35949457 35949458 chr9:35949458:C:T rs13302108 C T C EBF1_EBF_1 29 0 - 0 0 . chr9 35962200 35962201 chr9:35962201:G:T rs150865287 G T g EBF1_EBF_1 -13 0 - 0 0 . chr9 36018312 36018313 chr9:36018313:G:T rs7029221 G T G EBF1_EBF_1 -18 0 + 0 0 . chr9 36037611 36037612 chr9:36037612:C:T rs2296255 C T C EBF1_EBF_1 17 0 - 0 0 . chr9 36037646 36037647 chr9:36037647:A:G rs1324471 A G G EBF1_EBF_1 -18 0 - 0 0 . chr9 36092986 36092987 chr9:36092987:A:G rs10972720 A G A EBF1_EBF_1 15 0 - 0 0 . chr9 36127899 36127900 chr9:36127900:T:C rs10118668 T C T EBF1_EBF_1 7 1 - 7.589797242879847 6.27733883759102 AGACCCAAGGGAGA chr9 36135897 36135898 chr9:36135898:C:T rs10814334 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 36136568 36136569 chr9:36136569:A:C rs16932974 A C C EBF1_EBF_1 18 0 - 0 0 . chr9 36136589 36136590 chr9:36136590:T:G rs3739614 T G T EBF1_EBF_1 -3 0 - 0 0 . chr9 36137574 36137575 chr9:36137575:T:A rs144912681 T A T EBF1_EBF_1 -3 0 + 0 0 . chr9 36137583 36137584 chr9:36137584:C:G rs79625583 C G C EBF1_EBF_1 6 1 + 4.348339855659181 2.543147792185983 TTCCCCCCGGGAGG chr9 36142096 36142097 chr9:36142097:C:G rs10814336 C G C EBF1_EBF_1 29 0 + 0 0 . chr9 36154534 36154535 chr9:36154535:C:T rs79416848 C T C EBF1_EBF_1 -12 0 - 0 0 . chr9 36211662 36211663 chr9:36211663:A:G chr9:36211663:A:G A G A EBF1_EBF_1 22 0 + 0 0 . chr9 36294221 36294222 chr9:36294222:C:A rs192183442 C A C EBF1_EBF_1 12 1 + 6.905666398510461 6.935847796931787 CATCCCCAGGGTCT chr9 36400241 36400242 chr9:36400242:A:G rs2480460 A G G EBF1_EBF_1 26 0 + 0 0 . chr9 36416672 36416673 chr9:36416673:T:C rs56258823 T C T EBF1_EBF_1 29 0 - 0 0 . chr9 36567451 36567452 chr9:36567452:T:C chr9:36567452:T:C T C T EBF1_EBF_1 7 1 + 4.544148899495243 2.726644153212619 GCTCCCTTGGGCAG chr9 36718814 36718815 chr9:36718815:T:C rs7863614 T C C EBF1_EBF_1 0 1 - 7.18042514126427 5.288141271699437 AGCCCCAGGAGACC chr9 36739775 36739776 chr9:36739776:C:T rs112367408 C T C EBF1_EBF_1 -2 0 + 0 0 . chr9 36786833 36786834 chr9:36786834:T:A rs4880013 T A T EBF1_EBF_1 26 0 - 0 0 . chr9 36787779 36787780 chr9:36787780:G:A rs6476580 G A A EBF1_EBF_1 17 0 - 0 0 . chr9 36804157 36804158 chr9:36804158:G:T rs77564994 G T A EBF1_EBF_1 -2 0 + 0 0 . chr9 36826673 36826674 chr9:36826674:C:T rs113324136 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 36826679 36826680 chr9:36826680:G:T rs111367538 G T T EBF1_EBF_1 -19 0 - 0 0 . chr9 36827259 36827260 chr9:36827260:C:T rs77457296 C T C EBF1_EBF_1 24 0 + 0 0 . chr9 36827260 36827261 chr9:36827261:A:G rs1410011 A G A EBF1_EBF_1 25 0 + 0 0 . chr9 36835441 36835442 chr9:36835442:T:C rs10973098 T C T EBF1_EBF_1 7 1 - 7.5728947706111445 6.2604363653223185 GGTCCCAAGGGCCT chr9 36848632 36848633 chr9:36848633:G:A rs4297116 G A G EBF1_EBF_1 8 1 + 8.088625003409446 3.862334914523183 CTCCCCTCGGGACT chr9 36848999 36849000 chr9:36849000:G:A rs10448237 G A G EBF1_EBF_1 33 0 - 0 0 . chr9 36850845 36850846 chr9:36850846:A:T rs80339670 A T t EBF1_EBF_1 -14 0 + 0 0 . chr9 36859521 36859522 chr9:36859522:C:A rs10814462 C A C EBF1_EBF_1 23 0 - 0 0 . chr9 36862183 36862184 chr9:36862184:A:T rs73648155 A T A EBF1_EBF_1 16 0 - 0 0 . chr9 36868046 36868047 chr9:36868047:C:T rs62533254 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 36868773 36868774 chr9:36868774:C:T rs4880022 C T C EBF1_EBF_1 -14 0 + 0 0 . chr9 36872998 36872999 chr9:36872999:T:C rs55676460 T C T EBF1_EBF_1 22 0 - 0 0 . chr9 36873432 36873433 chr9:36873433:G:A rs7026985 G A A EBF1_EBF_1 19 0 + 0 0 . chr9 36882051 36882052 chr9:36882052:C:T rs34810717 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 36882790 36882791 chr9:36882791:G:A rs117596797 G A G EBF1_EBF_1 -6 0 + 0 0 . chr9 36888754 36888755 chr9:36888755:C:G rs11999001 C G C EBF1_EBF_1 8 1 - 4.747181735563987 -0.5714771253507005 CCTCCCTGGGGTCA chr9 36889650 36889651 chr9:36889651:C:A rs117487893 C A C EBF1_EBF_1 -5 0 + 0 0 . chr9 36898855 36898856 chr9:36898856:C:T rs72735616 C T C EBF1_EBF_1 1 1 + 5.0580780804959335 5.953511520894679 ACACCCCTGGGGCA chr9 36900819 36900820 chr9:36900820:C:T rs3758159 C T C EBF1_EBF_1 19 0 - 0 0 . chr9 36900828 36900829 chr9:36900829:C:T rs573963903 C T C EBF1_EBF_1 10 1 - 7.430208735021414 2.1121802757544477 GTACCCCAGGGACA chr9 36927646 36927647 chr9:36927647:G:A rs75700935 G A G EBF1_EBF_1 -1 0 - 0 0 . chr9 36930330 36930331 chr9:36930331:C:T rs138133241 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 36945235 36945236 chr9:36945236:G:A rs1411057 G A A EBF1_EBF_1 32 0 + 0 0 . chr9 36946086 36946087 chr9:36946087:G:A rs60184820 G A - EBF1_EBF_1 -6 0 - 0 0 . chr9 36946094 36946095 chr9:36946095:G:A rs111342415 G A - EBF1_EBF_1 -14 0 - 0 0 . chr9 36946759 36946760 chr9:36946760:G:A rs535156994 G A G EBF1_EBF_1 -10 0 + 0 0 . chr9 36946773 36946774 chr9:36946774:C:T rs1388661126 C T C EBF1_EBF_1 4 1 + 7.512341477807823 3.1429545328628987 ATCCCCAGGAGACA chr9 36949610 36949611 chr9:36949611:G:A rs3780161 G A A EBF1_EBF_1 33 0 + 0 0 . chr9 36963374 36963375 chr9:36963375:A:G rs1475233495 A G A EBF1_EBF_1 -10 0 - 0 0 . chr9 36968059 36968060 chr9:36968060:G:A rs10814487 G A A EBF1_EBF_1 7 1 + 6.626018967779036 7.938477373067861 ATTCCCCGGGGCTC chr9 36969367 36969368 chr9:36969368:G:A rs12552934 G A G EBF1_EBF_1 8 1 + 9.06293090632167 4.836640817435408 TCTCCCCCGGGACT chr9 36981585 36981586 chr9:36981586:G:A rs72735660 G A G EBF1_EBF_1 5 1 - 11.708935347366833 6.387148131826167 TTTCCCTAGGGACC chr9 36981690 36981691 chr9:36981691:C:T rs1407351341 C T C EBF1_EBF_1 4 1 + 7.3429149905393905 2.973528045594465 ACACCCCAGGGAAG chr9 36986650 36986651 chr9:36986651:C:T rs111840371 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 37018443 37018444 chr9:37018444:A:G rs144746352 A G A EBF1_EBF_1 25 0 - 0 0 . chr9 37031525 37031526 chr9:37031526:T:C rs73646313 T C C EBF1_EBF_1 -17 0 - 0 0 . chr9 37035374 37035375 chr9:37035375:C:A rs116283142 C A C EBF1_EBF_1 19 0 - 0 0 . chr9 37051617 37051618 chr9:37051618:G:C rs10973170 G C G EBF1_EBF_1 2 1 - 7.949508722786487 3.0900064440281696 AGCCCCCAGAGATT chr9 37056632 37056633 chr9:37056633:C:T rs78636550 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 37120207 37120208 chr9:37120208:C:A rs746278145 C A C EBF1_EBF_1 28 0 - 0 0 . chr9 37120223 37120224 chr9:37120224:T:A rs540939003 T A T EBF1_EBF_1 12 1 - 4.964312206713635 3.907864237741459 ACCCCCATGAGGAC chr9 37283835 37283836 chr9:37283836:T:C rs138520477 T C T EBF1_EBF_1 14 0 - 0 0 . chr9 37294346 37294347 chr9:37294347:G:T rs116570090 G T G EBF1_EBF_1 -9 0 - 0 0 . chr9 37371773 37371774 chr9:37371774:G:T rs1350013724 G T G EBF1_EBF_1 31 0 + 0 0 . chr9 37378856 37378857 chr9:37378857:G:C rs585611 G C G EBF1_EBF_1 9 1 + 4.648915985177055 -0.42677316116840947 CTTCCCCCGGTACC chr9 37384581 37384582 chr9:37384582:T:G rs10973316 T G T EBF1_EBF_1 30 0 + 0 0 . chr9 37422488 37422489 chr9:37422489:G:A rs1447779430 G A G EBF1_EBF_1 11 1 + 5.325729948132141 8.218436791736151 TCTCCCCCGGGGCC chr9 37429499 37429500 chr9:37429500:C:T rs309460 C T C EBF1_EBF_1 11 1 - 5.413562096953867 8.306268940557878 GCTCCCCTGAGGCT chr9 37509877 37509878 chr9:37509878:C:T rs1006763 C T C EBF1_EBF_1 -18 0 - 0 0 . chr9 37565750 37565751 chr9:37565751:C:T rs13301611 C T c EBF1_EBF_1 0 1 + 8.609340221082887 8.891542281813464 CGTCCCCAGAGACT chr9 37582954 37582955 chr9:37582955:T:C rs34504934 T C T EBF1_EBF_1 23 0 - 0 0 . chr9 37594560 37594561 chr9:37594561:C:T chr9:37594561:C:T C T C EBF1_EBF_1 28 0 + 0 0 . chr9 37603048 37603049 chr9:37603049:G:A rs4599892 G A G EBF1_EBF_1 1 1 - 5.569015148632391 6.464448589031137 ACCCTCCTGGGATT chr9 37620875 37620876 chr9:37620876:T:C rs2209561 T C T EBF1_EBF_1 -2 0 + 0 0 . chr9 37621568 37621569 chr9:37621569:G:C rs10758447 G C C EBF1_EBF_1 11 1 - 7.782807917701624 9.30606277195 AGTCCCCTGGGCCC chr9 37621593 37621594 chr9:37621594:G:A rs62534423 G A G EBF1_EBF_1 -14 0 - 0 0 . chr9 37650029 37650030 chr9:37650030:T:C rs11998911 T C T EBF1_EBF_1 14 0 - 0 0 . chr9 37650333 37650334 chr9:37650334:C:T rs55945940 C T C EBF1_EBF_1 -15 0 - 0 0 . chr9 37677662 37677663 chr9:37677663:A:G rs7859793 A G A EBF1_EBF_1 19 0 - 0 0 . chr9 37708309 37708310 chr9:37708310:A:C rs2296555 A C A EBF1_EBF_1 -4 0 - 0 0 . chr9 37745415 37745416 chr9:37745416:G:A rs3747541 G A A EBF1_EBF_1 -20 0 + 0 0 . chr9 37889243 37889244 chr9:37889244:C:T rs2025440 C T T EBF1_EBF_1 19 0 + 0 0 . chr9 37919016 37919017 chr9:37919017:C:T rs75380662 C T C EBF1_EBF_1 1 1 + 5.647373857681816 6.542807298080561 CCTCCCTGGGGCCT chr9 37956375 37956376 chr9:37956376:A:G rs7043870 A G G EBF1_EBF_1 30 0 - 0 0 . chr9 37986764 37986765 chr9:37986765:C:A rs1215263684 C A C EBF1_EBF_1 -14 0 + 0 0 . chr9 37986804 37986805 chr9:37986805:G:A rs943940 G A G EBF1_EBF_1 26 0 + 0 0 . chr9 37997456 37997457 chr9:37997457:C:T rs117910107 C T C EBF1_EBF_1 -6 0 + 0 0 . chr9 37999039 37999040 chr9:37999040:A:G rs4878731 A G A EBF1_EBF_1 2 1 - 5.150760063104283 3.2619366516621313 GCTCCCCAGGGCTC chr9 38016926 38016927 chr9:38016927:A:G rs73437977 A G A EBF1_EBF_1 22 0 + 0 0 . chr9 38019194 38019195 chr9:38019195:C:T rs540843846 C T T EBF1_EBF_1 14 0 - 0 0 . chr9 38019195 38019196 chr9:38019196:G:A rs116147304 G A G EBF1_EBF_1 13 1 - 4.973042798773332 5.81753691335885 CATCCCAAGAGGCC chr9 38019543 38019544 chr9:38019544:C:T rs561851544 C T C EBF1_EBF_1 -5 0 + 0 0 . chr9 38034183 38034184 chr9:38034184:T:A rs4878742 T A T EBF1_EBF_1 -15 0 - 0 0 . chr9 38112666 38112667 chr9:38112667:G:C rs4878751 G C G EBF1_EBF_1 -10 0 - 0 0 . chr9 38197758 38197759 chr9:38197759:G:A rs73446161 G A G EBF1_EBF_1 29 0 - 0 0 . chr9 38227880 38227881 chr9:38227881:G:A rs13292819 G A G EBF1_EBF_1 -7 0 - 0 0 . chr9 38229850 38229851 chr9:38229851:G:A rs10814667 G A G EBF1_EBF_1 14 0 + 0 0 . chr9 38230880 38230881 chr9:38230881:C:T rs56176882 C T c EBF1_EBF_1 26 0 - 0 0 . chr9 38289741 38289742 chr9:38289742:T:A rs7037723 T A T EBF1_EBF_1 33 0 + 0 0 . chr9 38307272 38307273 chr9:38307273:T:G rs77318297 T G T EBF1_EBF_1 0 1 - 8.271115846967135 6.015857363270534 AGCCCCAAGGGAGG chr9 38309334 38309335 chr9:38309335:G:A rs1885490 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 38345098 38345099 chr9:38345099:C:T rs564566385 C T C EBF1_EBF_1 31 0 + 0 0 . chr9 38347361 38347362 chr9:38347362:C:T rs78205925 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 38375217 38375218 chr9:38375218:T:C rs987187 T C T EBF1_EBF_1 12 1 + 5.823726524586683 6.849993095137533 ACCCCCTTGGGCTT chr9 38393353 38393354 chr9:38393354:C:T rs145903024 C T C EBF1_EBF_1 -5 0 + 0 0 . chr9 38393354 38393355 chr9:38393355:C:T rs151108637 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 38417319 38417320 chr9:38417320:C:T rs10973796 C T T EBF1_EBF_1 21 0 + 0 0 . chr9 38417992 38417993 chr9:38417993:A:G rs4086036 A G G EBF1_EBF_1 20 0 + 0 0 . chr9 38418904 38418905 chr9:38418905:C:T rs186102919 C T G EBF1_EBF_1 -1 0 - 0 0 . chr9 38439044 38439045 chr9:38439045:G:A rs7038678 G A A EBF1_EBF_1 22 0 - 0 0 . chr9 38489303 38489304 chr9:38489304:G:A rs4554568 G A g EBF1_EBF_1 -10 0 - 0 0 . chr9 38568756 38568757 chr9:38568757:G:A chr9:38568757:G:A G A G EBF1_EBF_1 21 0 - 0 0 . chr9 38651860 38651861 chr9:38651861:G:A rs2993175 G A a EBF1_EBF_1 18 0 - 0 0 . chr9 38708303 38708304 chr9:38708304:C:T rs56059667 C T C EBF1_EBF_1 8 1 - 5.669807434213539 1.4435173453272783 TGCCCCATGAGACA chr9 38925715 38925716 chr9:38925716:C:G rs62568411 C G c EBF1_EBF_1 17 0 + 0 0 . chr9 39280167 39280168 chr9:39280168:C:T rs567582269 C T c EBF1_EBF_1 26 0 - 0 0 . chr9 39462899 39462900 chr9:39462900:A:G rs1256629241 A G a EBF1_EBF_1 -3 0 - 0 0 . chr9 39533885 39533886 chr9:39533886:C:T rs71235123 C T . EBF1_EBF_1 1 1 + 4.860308014890151 5.755741455288897 GCACCCCAGGGGCT chr9 39605214 39605215 chr9:39605215:C:T rs9329926 C T c EBF1_EBF_1 33 0 + 0 0 . chr9 39810562 39810563 chr9:39810563:C:T rs185427162 C T c EBF1_EBF_1 -4 0 - 0 0 . chr9 40112055 40112056 chr9:40112056:G:A rs10119001 G A g EBF1_EBF_1 1 1 + 5.9267181654411045 5.666019333519802 AGGCCCCAGGGACC chr9 40884608 40884609 chr9:40884609:G:A rs1239920030 G A . EBF1_EBF_1 3 1 - 9.238411900957448 2.83301315940413 CATCCCAGGGGAAC chr9 40884627 40884628 chr9:40884628:A:T rs537941415 A T . EBF1_EBF_1 -16 0 - 0 0 . chr9 40884748 40884749 chr9:40884749:G:A rs10217291 G A . EBF1_EBF_1 -3 0 - 0 0 . chr9 40910955 40910956 chr9:40910956:G:C rs1182083341 G C . EBF1_EBF_1 31 0 + 0 0 . chr9 40914786 40914787 chr9:40914787:A:G rs1344531762 A G . EBF1_EBF_1 -10 0 + 0 0 . chr9 40914814 40914815 chr9:40914815:T:A rs1218667112 T A . EBF1_EBF_1 18 0 + 0 0 . chr9 40914826 40914827 chr9:40914827:T:G rs1411464519 T G . EBF1_EBF_1 30 0 + 0 0 . chr9 40926712 40926713 chr9:40926713:C:T rs80269136 C T . EBF1_EBF_1 15 0 - 0 0 . chr9 40926734 40926735 chr9:40926735:C:A rs56022200 C A . EBF1_EBF_1 -7 0 - 0 0 . chr9 40928908 40928909 chr9:40928909:G:T rs80152045 G T . EBF1_EBF_1 20 0 - 0 0 . chr9 40975797 40975798 chr9:40975798:C:G rs1451750258 C G . EBF1_EBF_1 15 0 + 0 0 . chr9 40992037 40992038 chr9:40992038:G:A rs878984974 G A . EBF1_EBF_1 14 0 + 0 0 . chr9 40992054 40992055 chr9:40992055:C:G rs1356414412 C G . EBF1_EBF_1 31 0 + 0 0 . chr9 40992145 40992146 chr9:40992146:G:A rs74983119 G A . EBF1_EBF_1 14 0 - 0 0 . chr9 40992730 40992731 chr9:40992731:C:T rs879478247 C T . EBF1_EBF_1 24 0 - 0 0 . chr9 41100705 41100706 chr9:41100706:C:T rs9413988 C T . EBF1_EBF_1 -19 0 + 0 0 . chr9 41100720 41100721 chr9:41100721:C:T rs9414014 C T . EBF1_EBF_1 -4 0 + 0 0 . chr9 41111210 41111211 chr9:41111211:G:A rs77392968 G A . EBF1_EBF_1 5 1 - 5.230887489318934 -0.09089972622173653 TCCCCCTCGGGATA chr9 41111561 41111562 chr9:41111562:C:G rs62551608 C G . EBF1_EBF_1 28 0 - 0 0 . chr9 41124841 41124842 chr9:41124842:G:A rs374881851 G A . EBF1_EBF_1 29 0 + 0 0 . chr9 41266855 41266856 chr9:41266856:C:T rs1216574517 C T . EBF1_EBF_1 -16 0 + 0 0 . chr9 41349496 41349497 chr9:41349497:C:G rs11262000 C G . EBF1_EBF_1 3 1 - 5.830231573438392 11.657996021553608 TCTGCCTAGGGACT chr9 41352265 41352266 chr9:41352266:G:C rs9697208 G C . EBF1_EBF_1 -9 0 - 0 0 . chr9 41754767 41754768 chr9:41754768:C:T rs201111090 C T . EBF1_EBF_1 15 0 - 0 0 . chr9 41754802 41754803 chr9:41754803:G:A rs3867097 G A . EBF1_EBF_1 -20 0 - 0 0 . chr9 42121198 42121199 chr9:42121199:C:G rs144676376 C G C EBF1_EBF_1 26 0 - 0 0 . chr9 42129324 42129325 chr9:42129325:G:A rs138609333 G A G EBF1_EBF_1 5 1 - 3.60131043806013 -1.7204767774805396 GCTCCCGCGGGGCC chr9 42306779 42306780 chr9:42306780:G:A rs1432477710 G A G EBF1_EBF_1 17 0 + 0 0 . chr9 42427553 42427554 chr9:42427554:G:A rs76057651 G A G EBF1_EBF_1 -7 0 + 0 0 . chr9 42502928 42502929 chr9:42502929:C:T rs1472525516 C T N EBF1_EBF_1 -2 0 + 0 0 . chr9 42636397 42636398 chr9:42636398:G:A rs10429493 G A g EBF1_EBF_1 31 0 - 0 0 . chr9 42725934 42725935 chr9:42725935:G:A rs186126670 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 42852227 42852228 chr9:42852228:G:A rs181882291 G A . EBF1_EBF_1 -4 0 + 0 0 . chr9 42852263 42852264 chr9:42852264:C:A rs1450608805 C A . EBF1_EBF_1 32 0 + 0 0 . chr9 42946902 42946903 chr9:42946903:G:A rs62539526 G A . EBF1_EBF_1 20 0 + 0 0 . chr9 42965542 42965543 chr9:42965543:G:A rs544673815 G A . EBF1_EBF_1 -14 0 - 0 0 . chr9 43089644 43089645 chr9:43089645:T:C rs1400555798 T C T EBF1_EBF_1 -10 0 + 0 0 . chr9 61667965 61667966 chr9:61667966:G:A rs56228009 G A . EBF1_EBF_1 -16 0 + 0 0 . chr9 61671837 61671838 chr9:61671838:C:T rs11788302 C T . EBF1_EBF_1 13 1 + 6.60654819812326 7.451042312708778 AACCCCCAGGGGTC chr9 62064959 62064960 chr9:62064960:C:T rs1379607180 C T c EBF1_EBF_1 -9 0 + 0 0 . chr9 62798851 62798852 chr9:62798852:G:C chr9:62798852:G:C G C . EBF1_EBF_1 17 0 + 0 0 . chr9 62838668 62838669 chr9:62838669:G:A rs796295359 G A . EBF1_EBF_1 18 0 + 0 0 . chr9 62838677 62838678 chr9:62838678:G:A rs10907857 G A . EBF1_EBF_1 27 0 + 0 0 . chr9 62852999 62853000 chr9:62853000:A:G rs10909727 A G . EBF1_EBF_1 26 0 - 0 0 . chr9 63332987 63332988 chr9:63332988:G:A rs763630882 G A g EBF1_EBF_1 21 0 + 0 0 . chr9 64013778 64013779 chr9:64013779:A:G rs1314752750 A G . EBF1_EBF_1 -10 0 + 0 0 . chr9 64014176 64014177 chr9:64014177:G:C rs1244294924 G C . EBF1_EBF_1 -1 0 + 0 0 . chr9 64014180 64014181 chr9:64014181:C:T rs1392274437 C T . EBF1_EBF_1 3 1 + 5.355626011797281 -1.049772729756036 GGTCCCTGGGGCCC chr9 64015374 64015375 chr9:64015375:G:A chr9:64015375:G:A G A . EBF1_EBF_1 17 0 + 0 0 . chr9 64016421 64016422 chr9:64016422:G:A rs1295830888 G A . EBF1_EBF_1 10 1 + 5.355626011797281 0.037597552530315506 GGTCCCTGGGGCCC chr9 64369226 64369227 chr9:64369227:C:A rs371355519 C A C EBF1_EBF_1 -5 0 + 0 0 . chr9 64369245 64369246 chr9:64369246:C:T rs774909824 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 64821662 64821663 chr9:64821663:A:C rs547084986 A C . EBF1_EBF_1 -15 0 - 0 0 . chr9 65386786 65386787 chr9:65386787:A:T rs1449487868 A T . EBF1_EBF_1 12 1 - 6.60654819812326 7.662996167095436 AACCCCCAGGGGTC chr9 65387217 65387218 chr9:65387218:G:A rs1390083438 G A . EBF1_EBF_1 -17 0 - 0 0 . chr9 65411081 65411082 chr9:65411082:G:A rs1296685358 G A . EBF1_EBF_1 -2 0 - 0 0 . chr9 65429082 65429083 chr9:65429083:C:G rs1272626332 C G . EBF1_EBF_1 25 0 - 0 0 . chr9 65434115 65434116 chr9:65434116:C:T rs1333137911 C T . EBF1_EBF_1 5 1 + 5.522057710851156 0.20027049531048513 AGCCGCAAGGGACC chr9 65434116 65434117 chr9:65434117:A:G rs1410175927 A G . EBF1_EBF_1 6 1 + 5.522057710851156 3.35526327957668 AGCCGCAAGGGACC chr9 65434286 65434287 chr9:65434287:C:T rs1378515022 C T . EBF1_EBF_1 26 0 + 0 0 . chr9 65443897 65443898 chr9:65443898:A:G chr9:65443898:A:G A G . EBF1_EBF_1 13 1 - 8.698070727648924 7.853576613063405 AATCCCTTGGGCAT chr9 65487261 65487262 chr9:65487262:C:T rs1466110325 C T . EBF1_EBF_1 -5 0 + 0 0 . chr9 66153267 66153268 chr9:66153268:G:A rs1057409031 G A G EBF1_EBF_1 29 0 - 0 0 . chr9 66245143 66245144 chr9:66245144:C:T rs532987369 C T c EBF1_EBF_1 -9 0 - 0 0 . chr9 66265179 66265180 chr9:66265180:G:A rs750221888 G A g EBF1_EBF_1 7 1 + 3.6657039392573725 4.978162344546198 GGTCCCCGGGGCGC chr9 68307919 68307920 chr9:68307920:C:A rs1443092399 C A . EBF1_EBF_1 -4 0 - 0 0 . chr9 68417428 68417429 chr9:68417429:C:T rs375508650 C T C EBF1_EBF_1 1 1 - 3.7100446877224007 3.4493458558010985 CGTCCCCCGGGCCC chr9 68428285 68428286 chr9:68428286:C:T rs1492823 C T T EBF1_EBF_1 24 0 - 0 0 . chr9 68548453 68548454 chr9:68548454:C:T rs7028200 C T C EBF1_EBF_1 -19 0 - 0 0 . chr9 68690355 68690356 chr9:68690356:C:G rs549152649 C G C EBF1_EBF_1 0 1 + 4.059847518651441 4.4228221327832085 CCCCCCCAGGGGGC chr9 68691364 68691365 chr9:68691365:G:A rs78520729 G A G EBF1_EBF_1 -7 0 - 0 0 . chr9 68705995 68705996 chr9:68705996:G:C rs41287409 G C G EBF1_EBF_1 33 0 + 0 0 . chr9 68747188 68747189 chr9:68747189:A:G rs58591646 A G A EBF1_EBF_1 -7 0 - 0 0 . chr9 68806800 68806801 chr9:68806801:C:T rs72717935 C T C EBF1_EBF_1 10 1 - 9.928671594926076 4.610643135659111 TTTCCCTAGAGAAT chr9 68829952 68829953 chr9:68829953:C:T rs148546458 C T C EBF1_EBF_1 10 1 - 7.835147601280571 2.5171191420136054 ACCCCCAGGAGACT chr9 68841553 68841554 chr9:68841554:C:T rs1414945 C T C EBF1_EBF_1 -10 0 - 0 0 . chr9 68897907 68897908 chr9:68897908:C:G rs12553327 C G C EBF1_EBF_1 -4 0 + 0 0 . chr9 68897910 68897911 chr9:68897911:T:C rs7043242 T C C EBF1_EBF_1 -1 0 + 0 0 . chr9 68899630 68899631 chr9:68899631:A:G rs883951 A G A EBF1_EBF_1 12 1 - 5.757185527467407 6.783452098018257 AATCCCAAGTGATT chr9 68981435 68981436 chr9:68981436:A:G rs73443574 A G A EBF1_EBF_1 31 0 - 0 0 . chr9 69014145 69014146 chr9:69014146:A:G rs879003816 A G A EBF1_EBF_1 -14 0 + 0 0 . chr9 69027707 69027708 chr9:69027708:A:C rs372799349 A C A EBF1_EBF_1 25 0 - 0 0 . chr9 69030632 69030633 chr9:69030633:G:A rs11144828 G A G EBF1_EBF_1 9 1 + 4.73872383537531 2.083784569927705 CTGCCCAAGGGACC chr9 69030876 69030877 chr9:69030877:A:T rs9411166 A T T EBF1_EBF_1 -1 0 - 0 0 . chr9 69089177 69089178 chr9:69089178:G:A rs10781394 G A A EBF1_EBF_1 12 1 - 8.90717129678965 7.880904726238802 ATTCCCCAGGAACT chr9 69090555 69090556 chr9:69090556:G:A rs7875924 G A G EBF1_EBF_1 21 0 + 0 0 . chr9 69122767 69122768 chr9:69122768:G:A rs4278214 G A G EBF1_EBF_1 22 0 - 0 0 . chr9 69123083 69123084 chr9:69123084:C:A rs143624780 C A C EBF1_EBF_1 20 0 + 0 0 . chr9 69176630 69176631 chr9:69176631:A:G rs1538583 A G A EBF1_EBF_1 -15 0 + 0 0 . chr9 69276974 69276975 chr9:69276975:G:A rs57557355 G A G EBF1_EBF_1 1 1 - 5.454990067977717 6.350423508376463 CCTCCCTTAGGACA chr9 69277039 69277040 chr9:69277040:C:T rs12379151 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 69296099 69296100 chr9:69296100:A:G rs116937590 A G A EBF1_EBF_1 21 0 + 0 0 . chr9 69381153 69381154 chr9:69381154:T:C rs113555884 T C T EBF1_EBF_1 32 0 - 0 0 . chr9 69392565 69392566 chr9:69392566:C:A rs10453247 C A C EBF1_EBF_1 -2 0 - 0 0 . chr9 69412724 69412725 chr9:69412725:T:G rs10113929 T G G EBF1_EBF_1 7 1 - 6.848217743075011 4.554438756704831 CCTCCCCAAGGACT chr9 69413216 69413217 chr9:69413217:A:C rs73459180 A C A EBF1_EBF_1 21 0 + 0 0 . chr9 69413313 69413314 chr9:69413314:T:C rs73647211 T C C EBF1_EBF_1 21 0 + 0 0 . chr9 69442162 69442163 chr9:69442163:C:G rs34665415 C G C EBF1_EBF_1 14 0 + 0 0 . chr9 69442444 69442445 chr9:69442445:C:T rs1806631 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 69498068 69498069 chr9:69498069:T:C rs10867668 T C T EBF1_EBF_1 7 1 - 7.353306752342189 6.040848347053364 CCTCCCCAGGGAGG chr9 69501058 69501059 chr9:69501059:C:T rs7871753 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 69581662 69581663 chr9:69581663:C:T rs6559643 C T C EBF1_EBF_1 24 0 - 0 0 . chr9 69595692 69595693 chr9:69595693:T:C rs1411441 T C T EBF1_EBF_1 20 0 - 0 0 . chr9 69604015 69604016 chr9:69604016:C:T rs78223883 C T C EBF1_EBF_1 6 1 + 6.427605427020922 6.7288915558826785 ATTCCCCGGGTAAG chr9 69620753 69620754 chr9:69620754:C:T rs111508711 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 69760305 69760306 chr9:69760306:C:A rs139312308 C A C EBF1_EBF_1 23 0 - 0 0 . chr9 69891369 69891370 chr9:69891370:C:T rs4744950 C T T EBF1_EBF_1 32 0 + 0 0 . chr9 69901207 69901208 chr9:69901208:G:C rs1218816699 G C G EBF1_EBF_1 -15 0 + 0 0 . chr9 69919995 69919996 chr9:69919996:A:G rs11140890 A G A EBF1_EBF_1 7 1 + 6.927947124248619 5.615488718959794 AATCCCGAGAGATC chr9 70004046 70004047 chr9:70004047:A:C rs7863229 A C C EBF1_EBF_1 17 0 + 0 0 . chr9 70040662 70040663 chr9:70040663:C:T rs572563858 C T C EBF1_EBF_1 18 0 - 0 0 . chr9 70045642 70045643 chr9:70045643:G:A rs755778 G A G EBF1_EBF_1 -20 0 - 0 0 . chr9 70086714 70086715 chr9:70086715:C:A rs79482052 C A C EBF1_EBF_1 33 0 + 0 0 . chr9 70121144 70121145 chr9:70121145:C:G rs7853094 C G C EBF1_EBF_1 -10 0 - 0 0 . chr9 70133865 70133866 chr9:70133866:T:C rs11141761 T C T EBF1_EBF_1 25 0 + 0 0 . chr9 70259429 70259430 chr9:70259430:A:G rs943535 A G A EBF1_EBF_1 -20 0 - 0 0 . chr9 70259586 70259587 chr9:70259587:C:T chr9:70259587:C:T C T C EBF1_EBF_1 32 0 - 0 0 . chr9 70259603 70259604 chr9:70259604:A:G rs888809066 A G A EBF1_EBF_1 15 0 - 0 0 . chr9 70285293 70285294 chr9:70285294:A:C rs75639333 A C A EBF1_EBF_1 -15 0 + 0 0 . chr9 70420152 70420153 chr9:70420153:C:A rs373527353 C A C EBF1_EBF_1 -18 0 + 0 0 . chr9 70467160 70467161 chr9:70467161:G:A rs779077317 G A G EBF1_EBF_1 10 1 + 5.166328791506514 -0.15169966776045207 CCTCCCAGGAGAAG chr9 70564857 70564858 chr9:70564858:T:C rs62545462 T C T EBF1_EBF_1 -17 0 + 0 0 . chr9 70589179 70589180 chr9:70589180:T:C rs12347269 T C T EBF1_EBF_1 17 0 - 0 0 . chr9 70595385 70595386 chr9:70595386:A:G rs11142498 A G G EBF1_EBF_1 -15 0 - 0 0 . chr9 70601629 70601630 chr9:70601630:C:T rs4618765 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 70616745 70616746 chr9:70616746:C:T rs11142508 C T C EBF1_EBF_1 -10 0 + 0 0 . chr9 70795623 70795624 chr9:70795624:G:A rs1328155 G A G EBF1_EBF_1 -3 0 + 0 0 . chr9 70824233 70824234 chr9:70824234:G:A rs35420371 G A G EBF1_EBF_1 -7 0 + 0 0 . chr9 70846067 70846068 chr9:70846068:C:T rs7873256 C T T EBF1_EBF_1 5 1 + 7.675437929005837 2.353650713465168 ATTCCCTAAAGAAT chr9 70852962 70852963 chr9:70852963:T:A rs35387614 T A - EBF1_EBF_1 -16 0 + 0 0 . chr9 70852987 70852988 chr9:70852988:G:T rs10868911 G T T EBF1_EBF_1 9 1 + 6.821317640834095 -0.015671767254713087 TTTCCCATGGGTCA chr9 70923401 70923402 chr9:70923402:A:T rs2993018 A T T EBF1_EBF_1 30 0 - 0 0 . chr9 70923443 70923444 chr9:70923444:A:G rs77073814 A G A EBF1_EBF_1 -12 0 - 0 0 . chr9 70979832 70979833 chr9:70979833:G:C rs1558923 G C G EBF1_EBF_1 -13 0 - 0 0 . chr9 70994688 70994689 chr9:70994689:C:T rs1421155 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 71079617 71079618 chr9:71079618:T:C rs74587070 T C T EBF1_EBF_1 -20 0 + 0 0 . chr9 71115648 71115649 chr9:71115649:G:A rs762705499 G A G EBF1_EBF_1 10 1 + 6.315152981103548 0.9971245218365818 TTCCCCTTGAGACA chr9 71119919 71119920 chr9:71119920:C:T rs6560176 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 71249576 71249577 chr9:71249577:T:C rs7871760 T C T EBF1_EBF_1 14 0 + 0 0 . chr9 71421966 71421967 chr9:71421967:A:G rs7852943 A G A EBF1_EBF_1 32 0 + 0 0 . chr9 71483714 71483715 chr9:71483715:C:T rs111836282 C T C EBF1_EBF_1 -16 0 + 0 0 . chr9 71604625 71604626 chr9:71604626:C:T rs2309991 C T T EBF1_EBF_1 -15 0 + 0 0 . chr9 71604653 71604654 chr9:71604654:C:A rs148552443 C A C EBF1_EBF_1 13 1 + 7.210539442746786 6.83679399346081 ATCCCCCAGGGGGC chr9 71629322 71629323 chr9:71629323:G:T rs944039 G T T EBF1_EBF_1 31 0 + 0 0 . chr9 71645895 71645896 chr9:71645896:G:A rs10781032 G A G EBF1_EBF_1 30 0 - 0 0 . chr9 71647352 71647353 chr9:71647353:T:C chr9:71647353:T:C T C T EBF1_EBF_1 -20 0 - 0 0 . chr9 71740808 71740809 chr9:71740809:A:G rs10746886 A G G EBF1_EBF_1 -6 0 - 0 0 . chr9 71854834 71854835 chr9:71854835:A:C rs35150360 A C A EBF1_EBF_1 -17 0 - 0 0 . chr9 71981085 71981086 chr9:71981086:C:A chr9:71981086:C:A C A C EBF1_EBF_1 14 0 + 0 0 . chr9 71994191 71994192 chr9:71994192:G:A rs2310097 G A G EBF1_EBF_1 3 1 - 8.236200629362065 1.8308018878087466 GCCCCCCGGGGACT chr9 72044193 72044194 chr9:72044194:T:G rs752441 T G g EBF1_EBF_1 19 0 - 0 0 . chr9 72468178 72468179 chr9:72468179:C:T rs7867990 C T T EBF1_EBF_1 31 0 - 0 0 . chr9 72691695 72691696 chr9:72691696:A:G rs1444833 A G G EBF1_EBF_1 0 1 + 4.757974897761248 2.8656910281964145 AGTCCCCAGGCATC chr9 72731353 72731354 chr9:72731354:A:G rs2487465 A G G EBF1_EBF_1 -6 0 - 0 0 . chr9 72972802 72972803 chr9:72972803:G:C rs918836 G C G EBF1_EBF_1 14 0 + 0 0 . chr9 72979639 72979640 chr9:72979640:G:T rs7858367 G T G EBF1_EBF_1 8 1 + 6.128344652454124 -0.7630881365230702 AATGCCATGGGAAA chr9 72981098 72981099 chr9:72981099:G:A rs719780 G A A EBF1_EBF_1 27 0 + 0 0 . chr9 72981150 72981151 chr9:72981151:T:G rs886152966 T G T EBF1_EBF_1 -4 0 - 0 0 . chr9 73152668 73152669 chr9:73152669:A:T rs75902196 A T A EBF1_EBF_1 2 1 - 7.2781292926604575 3.593661705061579 ATTCCCCTAGGATA chr9 73649306 73649307 chr9:73649307:G:A rs17059214 G A G EBF1_EBF_1 28 0 - 0 0 . chr9 74125913 74125914 chr9:74125914:G:A rs4288440 G A G EBF1_EBF_1 29 0 + 0 0 . chr9 74220267 74220268 chr9:74220268:A:G rs11143852 A G G EBF1_EBF_1 -17 0 + 0 0 . chr9 74500764 74500765 chr9:74500765:G:A rs4376556 G A G EBF1_EBF_1 25 0 + 0 0 . chr9 74598349 74598350 chr9:74598350:G:C rs10869425 G C C EBF1_EBF_1 5 1 - 5.224707009840329 -1.6801741427948385 CTTCACAGGGGAAT chr9 74702927 74702928 chr9:74702928:G:A rs2249056 G A G EBF1_EBF_1 25 0 - 0 0 . chr9 74791840 74791841 chr9:74791841:T:C rs7030086 T C C EBF1_EBF_1 13 1 - 6.308213281900586 5.193887709831147 AACCCCAGGGGGCA chr9 75087737 75087738 chr9:75087738:C:T chr9:75087738:C:T C T C EBF1_EBF_1 14 0 - 0 0 . chr9 75143202 75143203 chr9:75143203:G:A rs6560423 G A A EBF1_EBF_1 8 1 + 5.864722069857135 1.6384319809708732 CCTCCCAAGGTAGT chr9 75181962 75181963 chr9:75181963:G:A rs76863701 G A A EBF1_EBF_1 -15 0 + 0 0 . chr9 75308860 75308861 chr9:75308861:A:G rs72736643 A G G EBF1_EBF_1 -11 0 + 0 0 . chr9 75308866 75308867 chr9:75308867:T:A rs72736644 T A T EBF1_EBF_1 -5 0 + 0 0 . chr9 75359006 75359007 chr9:75359007:A:T rs10125193 A T A EBF1_EBF_1 10 1 + 7.3871967947959325 8.522473067835634 AGTCCCCAGGAAAC chr9 75451791 75451792 chr9:75451792:G:A rs73545847 G A G EBF1_EBF_1 -12 0 + 0 0 . chr9 75451809 75451810 chr9:75451810:A:G rs891370 A G G EBF1_EBF_1 6 1 + 6.999000949907548 4.832206518633073 GGCCCCATGAGAAT chr9 75451836 75451837 chr9:75451837:C:G rs744594 C G C EBF1_EBF_1 33 0 + 0 0 . chr9 75490583 75490584 chr9:75490584:A:G rs1327368 A G G EBF1_EBF_1 23 0 - 0 0 . chr9 75606515 75606516 chr9:75606516:C:T rs11144513 C T C EBF1_EBF_1 12 1 + 4.7962464220804675 3.7699798515296172 CTTCCCTGGGAACC chr9 75615422 75615423 chr9:75615423:A:G rs914660 A G G EBF1_EBF_1 -9 0 - 0 0 . chr9 75649032 75649033 chr9:75649033:G:A rs744338 G A G EBF1_EBF_1 17 0 - 0 0 . chr9 75649038 75649039 chr9:75649039:A:G rs7861353 A G G EBF1_EBF_1 11 1 - 5.162622717910066 4.8445752761564025 CTTCCCCTGGGTGC chr9 75715607 75715608 chr9:75715608:C:G rs145957842 C G C EBF1_EBF_1 22 0 + 0 0 . chr9 75739985 75739986 chr9:75739986:A:T rs554741220 A T A EBF1_EBF_1 30 0 + 0 0 . chr9 75739987 75739988 chr9:75739988:G:C rs2031881 G C G EBF1_EBF_1 32 0 + 0 0 . chr9 75987734 75987735 chr9:75987735:C:A rs10781323 C A C EBF1_EBF_1 3 1 + 9.429234357145308 2.5230603276795023 AACCCCAAGGGAAG chr9 76068832 76068833 chr9:76068833:A:G rs2153227 A G G EBF1_EBF_1 -8 0 - 0 0 . chr9 76200481 76200482 chr9:76200482:G:A rs12236539 G A A EBF1_EBF_1 -10 0 + 0 0 . chr9 76200496 76200497 chr9:76200497:C:T rs113209156 C T C EBF1_EBF_1 5 1 + 4.461178931512912 -0.8606082840277588 AGCCCCGTGAGAGC chr9 76335286 76335287 chr9:76335287:G:A rs2803439 G A G EBF1_EBF_1 -7 0 + 0 0 . chr9 76379565 76379566 chr9:76379566:C:T rs34434749 C T C EBF1_EBF_1 9 1 - 5.116515494698417 2.461576229250814 GTTGCCCAGGGAGT chr9 76423424 76423425 chr9:76423425:A:G rs1324213 A G G EBF1_EBF_1 23 0 + 0 0 . chr9 76666708 76666709 chr9:76666709:G:C rs471623 G C G EBF1_EBF_1 -16 0 + 0 0 . chr9 76687247 76687248 chr9:76687248:C:T rs971259175 C T C EBF1_EBF_1 10 1 - 6.840088312830959 1.522059853563993 GATCCCAAGAGAGC chr9 76756399 76756400 chr9:76756400:G:A rs10217198 G A G EBF1_EBF_1 -3 0 + 0 0 . chr9 76756421 76756422 chr9:76756422:G:C rs560124121 G C G EBF1_EBF_1 19 0 + 0 0 . chr9 76756435 76756436 chr9:76756436:C:A rs10217562 C A C EBF1_EBF_1 33 0 + 0 0 . chr9 76818431 76818432 chr9:76818432:A:G rs10114251 A G G EBF1_EBF_1 -8 0 + 0 0 . chr9 76905307 76905308 chr9:76905308:G:A rs112378385 G A G EBF1_EBF_1 17 0 + 0 0 . chr9 76980768 76980769 chr9:76980769:G:A rs11145177 G A G EBF1_EBF_1 -14 0 - 0 0 . chr9 76984408 76984409 chr9:76984409:A:G rs77250739 A G A EBF1_EBF_1 23 0 - 0 0 . chr9 76984665 76984666 chr9:76984666:T:C rs10869854 T C C EBF1_EBF_1 -20 0 + 0 0 . chr9 76984697 76984698 chr9:76984698:A:G rs10869855 A G G EBF1_EBF_1 11 1 + 8.768659455629043 5.875952612025031 CTCCCCCGGGGACT chr9 76985700 76985701 chr9:76985701:G:A rs10869858 G A G EBF1_EBF_1 22 0 - 0 0 . chr9 77022208 77022209 chr9:77022209:C:T rs1026766431 C T C EBF1_EBF_1 -12 0 - 0 0 . chr9 77171144 77171145 chr9:77171145:A:G rs71487623 A G A EBF1_EBF_1 22 0 - 0 0 . chr9 77363004 77363005 chr9:77363005:C:T rs72746091 C T C EBF1_EBF_1 18 0 - 0 0 . chr9 77363024 77363025 chr9:77363025:C:G rs58139137 C G C EBF1_EBF_1 -2 0 - 0 0 . chr9 77408413 77408414 chr9:77408414:C:G rs142632821 C G C EBF1_EBF_1 15 0 - 0 0 . chr9 77449491 77449492 chr9:77449492:G:A rs12341276 G A G EBF1_EBF_1 -8 0 - 0 0 . chr9 77455542 77455543 chr9:77455543:G:A rs73456137 G A G EBF1_EBF_1 25 0 - 0 0 . chr9 77496032 77496033 chr9:77496033:G:A rs11145439 G A G EBF1_EBF_1 24 0 + 0 0 . chr9 77522858 77522859 chr9:77522859:G:C rs11789121 G C G EBF1_EBF_1 8 1 + 5.326219353111599 0.007560492196912572 AACTCCAAGGGACT chr9 77535141 77535142 chr9:77535142:C:G rs10869936 C G C EBF1_EBF_1 -4 0 - 0 0 . chr9 77623813 77623814 chr9:77623814:A:T rs555654550 A T A EBF1_EBF_1 -17 0 - 0 0 . chr9 77873104 77873105 chr9:77873105:T:C rs564132663 T C T EBF1_EBF_1 18 0 + 0 0 . chr9 77949421 77949422 chr9:77949422:G:A rs74821909 G A G EBF1_EBF_1 1 1 - 8.037106424494675 8.932539864893421 TCTCCCAAGAGATT chr9 77979630 77979631 chr9:77979631:G:C chr9:77979631:G:C G C g EBF1_EBF_1 3 1 - 4.624916009862259 -1.2028484382529572 CCCCCCTTGGGCAT chr9 78034786 78034787 chr9:78034787:A:C rs10870013 A C A EBF1_EBF_1 26 0 - 0 0 . chr9 78121702 78121703 chr9:78121703:T:C rs72741727 T C T EBF1_EBF_1 20 0 - 0 0 . chr9 78121729 78121730 chr9:78121730:G:C rs141603019 G C G EBF1_EBF_1 -7 0 - 0 0 . chr9 78239427 78239428 chr9:78239428:T:G rs1571777 T G T EBF1_EBF_1 20 0 - 0 0 . chr9 78306815 78306816 chr9:78306816:C:T rs10116267 C T C EBF1_EBF_1 14 0 - 0 0 . chr9 78315175 78315176 chr9:78315176:C:T rs186808439 C T C EBF1_EBF_1 3 1 + 4.520015118757112 -1.8853836227962055 ACTCGCAGGGGAGC chr9 78324100 78324101 chr9:78324101:G:A rs867378 G A G EBF1_EBF_1 16 0 - 0 0 . chr9 78325659 78325660 chr9:78325660:G:A rs1411916 G A G EBF1_EBF_1 -11 0 + 0 0 . chr9 78369856 78369857 chr9:78369857:G:A rs72745695 G A G EBF1_EBF_1 -11 0 + 0 0 . chr9 78389336 78389337 chr9:78389337:A:G rs10867191 A G A EBF1_EBF_1 17 0 + 0 0 . chr9 78438894 78438895 chr9:78438895:C:A rs185379159 C A C EBF1_EBF_1 28 0 - 0 0 . chr9 78438933 78438934 chr9:78438934:G:T rs11137679 G T G EBF1_EBF_1 -11 0 - 0 0 . chr9 78439718 78439719 chr9:78439719:A:C rs570602371 A C A EBF1_EBF_1 28 0 - 0 0 . chr9 78439722 78439723 chr9:78439723:C:T rs910725465 C T C EBF1_EBF_1 24 0 - 0 0 . chr9 78449980 78449981 chr9:78449981:G:A rs10867205 G A G EBF1_EBF_1 -18 0 - 0 0 . chr9 78520460 78520461 chr9:78520461:C:T rs3913784 C T C EBF1_EBF_1 10 1 - 4.727460156649892 -0.590568302617074 AACCCTCTGGGACC chr9 78549967 78549968 chr9:78549968:G:C rs11137730 G C G EBF1_EBF_1 8 1 + 5.217087750813691 -0.10157111010099573 CTTCCCCAGAGTCT chr9 78550020 78550021 chr9:78550021:C:T rs13291217 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 78562285 78562286 chr9:78562286:C:G rs7861457 C G G EBF1_EBF_1 -19 0 - 0 0 . chr9 78631189 78631190 chr9:78631190:C:T rs34140846 C T C EBF1_EBF_1 22 0 - 0 0 . chr9 78769268 78769269 chr9:78769269:G:A rs17788621 G A G EBF1_EBF_1 4 1 - 9.438171797461726 5.068784852516803 AACCCCTAGGGAGC chr9 78779234 78779235 chr9:78779235:C:T rs1888833 C T C EBF1_EBF_1 23 0 - 0 0 . chr9 78812823 78812824 chr9:78812824:A:C rs11137857 A C C EBF1_EBF_1 33 0 - 0 0 . chr9 78812847 78812848 chr9:78812848:C:T rs7044914 C T T EBF1_EBF_1 9 1 - 6.785981252776658 4.131041987329055 TTTGCCAAGGGACT chr9 78860762 78860763 chr9:78860763:G:A rs12377171 G A A EBF1_EBF_1 8 1 + 8.212258128433533 3.9859680395472736 ATTCCCACGGGGAA chr9 78869604 78869605 chr9:78869605:T:G rs7018498 T G G EBF1_EBF_1 16 0 - 0 0 . chr9 78927770 78927771 chr9:78927771:C:T rs7033632 C T T EBF1_EBF_1 -18 0 - 0 0 . chr9 78954406 78954407 chr9:78954407:A:G rs7874359 A G G EBF1_EBF_1 11 1 + 4.54085799011048 1.6481511465064682 ACCCTCCGGGGACA chr9 78986494 78986495 chr9:78986495:C:T rs78975084 C T C EBF1_EBF_1 20 0 + 0 0 . chr9 79196076 79196077 chr9:79196077:A:G rs4877474 A G G EBF1_EBF_1 -8 0 + 0 0 . chr9 79196115 79196116 chr9:79196116:C:T rs4877475 C T T EBF1_EBF_1 31 0 + 0 0 . chr9 79220821 79220822 chr9:79220822:C:A rs273465 C A C EBF1_EBF_1 31 0 - 0 0 . chr9 79255895 79255896 chr9:79255896:G:A rs1753061 G A A EBF1_EBF_1 4 1 - 5.169436731601724 0.8000497866568002 ACTGCCCAGGGACG chr9 79303811 79303812 chr9:79303812:C:A rs11138142 C A A EBF1_EBF_1 15 0 + 0 0 . chr9 79323621 79323622 chr9:79323622:A:G rs73653380 A G G EBF1_EBF_1 27 0 + 0 0 . chr9 79743953 79743954 chr9:79743954:T:C rs11138348 T C C EBF1_EBF_1 -12 0 - 0 0 . chr9 79787881 79787882 chr9:79787882:T:C rs981902 T C C EBF1_EBF_1 -18 0 + 0 0 . chr9 79821065 79821066 chr9:79821066:A:G rs115010598 A G A EBF1_EBF_1 -20 0 + 0 0 . chr9 79863277 79863278 chr9:79863278:G:A rs17801569 G A G EBF1_EBF_1 31 0 - 0 0 . chr9 79863317 79863318 chr9:79863318:G:A rs72736431 G A g EBF1_EBF_1 -9 0 - 0 0 . chr9 79884648 79884649 chr9:79884649:G:A rs268013 G A G EBF1_EBF_1 -6 0 - 0 0 . chr9 79947147 79947148 chr9:79947148:G:A rs75647887 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 79952565 79952566 chr9:79952566:C:G rs12341725 C G C EBF1_EBF_1 -13 0 - 0 0 . chr9 79952566 79952567 chr9:79952567:C:T rs12552605 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 79972532 79972533 chr9:79972533:G:T rs10217789 G T T EBF1_EBF_1 -2 0 + 0 0 . chr9 79977417 79977418 chr9:79977418:C:T rs11138436 C T T EBF1_EBF_1 12 1 - 5.136775675924112 6.55559301802359 CTTCCCCAAGGAGA chr9 79989140 79989141 chr9:79989141:G:A rs4877526 G A G EBF1_EBF_1 3 1 - 8.488546209263525 2.0831474677102078 CTTCCCAAGAGAAA chr9 80185431 80185432 chr9:80185432:T:C rs62567125 T C C EBF1_EBF_1 16 0 - 0 0 . chr9 80212978 80212979 chr9:80212979:T:C rs524964 T C T EBF1_EBF_1 32 0 - 0 0 . chr9 80238242 80238243 chr9:80238243:A:T rs62575877 A T A EBF1_EBF_1 21 0 + 0 0 . chr9 80238245 80238246 chr9:80238246:A:G rs3860890 A G A EBF1_EBF_1 24 0 + 0 0 . chr9 80360662 80360663 chr9:80360663:C:T rs12686543 C T C EBF1_EBF_1 -15 0 + 0 0 . chr9 80378713 80378714 chr9:80378714:G:A rs4278224 G A G EBF1_EBF_1 -6 0 + 0 0 . chr9 80425624 80425625 chr9:80425625:G:T rs4877574 G T G EBF1_EBF_1 14 0 - 0 0 . chr9 80519738 80519739 chr9:80519739:G:A rs10746648 G A G EBF1_EBF_1 30 0 + 0 0 . chr9 80553195 80553196 chr9:80553196:A:C rs1328501 A C C EBF1_EBF_1 2 1 - 5.746013436117966 -1.0023122540825022 GTTCCCGGGAGAAC chr9 80587116 80587117 chr9:80587117:T:G rs7849462 T G T EBF1_EBF_1 33 0 + 0 0 . chr9 80883656 80883657 chr9:80883657:G:A rs10867638 G A A EBF1_EBF_1 29 0 + 0 0 . chr9 80886004 80886005 chr9:80886005:C:G rs7027099 C G G EBF1_EBF_1 20 0 - 0 0 . chr9 80949424 80949425 chr9:80949425:G:A rs17304186 G A A EBF1_EBF_1 -6 0 - 0 0 . chr9 81291980 81291981 chr9:81291981:C:T rs191906468 C T C EBF1_EBF_1 -10 0 - 0 0 . chr9 81375792 81375793 chr9:81375793:G:A rs112258825 G A G EBF1_EBF_1 8 1 + 5.440571421743148 1.2142813328568862 TCTCCCGAGGGGCA chr9 81424672 81424673 chr9:81424673:G:A rs2149717 G A A EBF1_EBF_1 -7 0 - 0 0 . chr9 81592982 81592983 chr9:81592983:C:G rs7023704 C G C EBF1_EBF_1 7 1 - 6.6643317802663296 5.683011199184973 TCTCCCTGGAGATT chr9 81593123 81593124 chr9:81593124:G:A rs61733324 G A G EBF1_EBF_1 2 1 - 5.564660278975636 7.453483690417786 AACCCCACGAGACA chr9 81596317 81596318 chr9:81596318:T:C rs114220627 T C T EBF1_EBF_1 -17 0 - 0 0 . chr9 81610014 81610015 chr9:81610015:T:A rs142052366 T A T EBF1_EBF_1 -9 0 + 0 0 . chr9 81620143 81620144 chr9:81620144:G:A rs577299679 G A G EBF1_EBF_1 7 1 - 5.965303171419001 7.782807917701624 AGTCCCCCGGGCCC chr9 81664877 81664878 chr9:81664878:G:A rs1253 G A A EBF1_EBF_1 24 0 - 0 0 . chr9 81687791 81687792 chr9:81687792:G:A rs13286197 G A G EBF1_EBF_1 15 0 + 0 0 . chr9 81687793 81687794 chr9:81687794:G:A rs113783637 G A G EBF1_EBF_1 17 0 + 0 0 . chr9 81687794 81687795 chr9:81687795:G:A rs559735263 G A G EBF1_EBF_1 18 0 + 0 0 . chr9 81718380 81718381 chr9:81718381:T:C rs6559635 T C T EBF1_EBF_1 25 0 + 0 0 . chr9 81729626 81729627 chr9:81729627:G:T rs3860897 G T t EBF1_EBF_1 2 1 - 8.591357749256474 6.795713573099747 AACCCCCTGGGAAG chr9 81771751 81771752 chr9:81771752:A:G rs11139405 A G A EBF1_EBF_1 -14 0 - 0 0 . chr9 81839808 81839809 chr9:81839809:T:A rs10867820 T A T EBF1_EBF_1 7 1 + 5.263143681173363 5.739417921260921 CATCGCATGGGACT chr9 82128521 82128522 chr9:82128522:A:G rs12347979 A G G EBF1_EBF_1 12 1 + 7.44742075892918 6.028603416829703 AGCCCCCTGGGGAC chr9 82458100 82458101 chr9:82458101:C:T rs111228813 C T C EBF1_EBF_1 2 1 + 7.137634635128641 9.026458046570792 CACCCCAGGGGATT chr9 82511814 82511815 chr9:82511815:T:G rs34293766 T G T EBF1_EBF_1 14 0 - 0 0 . chr9 82535400 82535401 chr9:82535401:A:C rs115331456 A C C EBF1_EBF_1 11 1 + 11.92281601853701 7.506854320684622 ATTCCCCAGGGAAG chr9 82674482 82674483 chr9:82674483:C:T rs11139684 C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 82674487 82674488 chr9:82674488:G:A rs10780552 G A G EBF1_EBF_1 -12 0 + 0 0 . chr9 82764567 82764568 chr9:82764568:G:C rs930186483 G C G EBF1_EBF_1 4 1 - 7.534142774958274 1.9083776738087295 AACCCCATGGGAGG chr9 83113642 83113643 chr9:83113643:C:T rs112739575 C T C EBF1_EBF_1 -16 0 - 0 0 . chr9 83197414 83197415 chr9:83197415:T:C rs1830771 T C C EBF1_EBF_1 26 0 - 0 0 . chr9 83197421 83197422 chr9:83197422:T:C rs112499827 T C T EBF1_EBF_1 19 0 - 0 0 . chr9 83239371 83239372 chr9:83239372:A:G rs7043945 A G A EBF1_EBF_1 13 1 - 6.782521396505568 5.93802728192005 TCTCCCCTGGGTCT chr9 83281848 83281849 chr9:83281849:C:G rs973778411 C G C EBF1_EBF_1 -4 0 - 0 0 . chr9 83296318 83296319 chr9:83296319:G:A rs79643461 G A G EBF1_EBF_1 6 1 - 3.064663062285206 3.3659491911469637 CTGCCCCGGGGACC chr9 83322721 83322722 chr9:83322722:G:A rs4361831 G A G EBF1_EBF_1 2 1 - 5.129868096782347 7.018691508224497 AACCTCCAGGGATC chr9 83342177 83342178 chr9:83342178:G:T rs62563651 G T G EBF1_EBF_1 3 1 - 6.222440384680343 -0.6837336447854636 GTTCCCCTGGGCCA chr9 83346844 83346845 chr9:83346845:T:C rs1536889 T C T EBF1_EBF_1 12 1 - 9.712993698357437 8.29417635625796 CATCCCCTGGGAAC chr9 83361938 83361939 chr9:83361939:A:G rs7034503 A G a EBF1_EBF_1 25 0 + 0 0 . chr9 83441362 83441363 chr9:83441363:T:G rs72745090 T G T EBF1_EBF_1 -8 0 - 0 0 . chr9 83492532 83492533 chr9:83492533:A:G rs10780606 A G G EBF1_EBF_1 14 0 + 0 0 . chr9 83570539 83570540 chr9:83570540:T:C rs4877258 T C T EBF1_EBF_1 -13 0 - 0 0 . chr9 83625511 83625512 chr9:83625512:C:T rs13291631 C T C EBF1_EBF_1 9 1 - 7.550863028991997 4.895923763544393 AGTCCCCAGGGTTC chr9 83631146 83631147 chr9:83631147:T:C rs74350486 T C t EBF1_EBF_1 -18 0 + 0 0 . chr9 83631173 83631174 chr9:83631174:T:C chr9:83631174:T:C T C t EBF1_EBF_1 9 1 + 4.3476323090299 6.108932570773245 AGCCCCCTGTGAAT chr9 83665931 83665932 chr9:83665932:G:A rs2781000 G A A EBF1_EBF_1 2 1 - 5.587709311423368 7.476532722865517 TTCCCCAAGGGGTA chr9 83818112 83818113 chr9:83818113:C:T chr9:83818113:C:T C T C EBF1_EBF_1 3 1 + 7.880679824809925 1.4752810832566068 CCTCCCGAGGGAGT chr9 83818121 83818122 chr9:83818122:G:A rs295272 G A g EBF1_EBF_1 12 1 + 7.880679824809925 9.299497166909402 CCTCCCGAGGGAGT chr9 83818135 83818136 chr9:83818136:C:T rs530680068 C T C EBF1_EBF_1 26 0 + 0 0 . chr9 83921589 83921590 chr9:83921590:A:C rs1376326889 A C A EBF1_EBF_1 7 1 + 4.5576150835853735 2.263836097215192 CGTCCCCAGGAAGT chr9 83980769 83980770 chr9:83980770:C:T chr9:83980770:C:T C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 84147519 84147520 chr9:84147520:C:T rs116211374 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 84165082 84165083 chr9:84165083:G:A rs11140410 G A G EBF1_EBF_1 14 0 + 0 0 . chr9 84318443 84318444 chr9:84318444:G:C rs12338297 G C G EBF1_EBF_1 32 0 + 0 0 . chr9 84367577 84367578 chr9:84367578:G:T rs11140548 G T G EBF1_EBF_1 -15 0 - 0 0 . chr9 84393944 84393945 chr9:84393945:A:G rs12379161 A G G EBF1_EBF_1 -20 0 - 0 0 . chr9 84670999 84671000 chr9:84671000:C:T rs1187326 C T c EBF1_EBF_1 5 1 + 4.698488452242769 -0.6232987632979023 TGCCCCTAGGGCCC chr9 84709563 84709564 chr9:84709564:G:T rs148995306 G T G EBF1_EBF_1 12 1 - 7.700443726866283 7.730625125287609 GATCCCTGGAGACT chr9 84897460 84897461 chr9:84897461:C:G rs11140802 C G C EBF1_EBF_1 -6 0 + 0 0 . chr9 84987982 84987983 chr9:84987983:C:T rs11795386 C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 84996985 84996986 chr9:84996986:A:G rs4510940 A G G EBF1_EBF_1 19 0 - 0 0 . chr9 84997605 84997606 chr9:84997606:G:A rs77586425 G A G EBF1_EBF_1 11 1 + 6.850261798529976 9.742968642133986 GCTCCCCTGGGGCA chr9 85237667 85237668 chr9:85237668:C:T rs992303 C T T EBF1_EBF_1 -7 0 + 0 0 . chr9 85276844 85276845 chr9:85276845:G:A rs114933138 G A G EBF1_EBF_1 33 0 - 0 0 . chr9 85276872 85276873 chr9:85276873:G:A rs78683486 G A G EBF1_EBF_1 5 1 - 7.713858212298073 2.3920709967574014 TTTCCCCCGGGATA chr9 85276884 85276885 chr9:85276885:G:A rs1159589765 G A G EBF1_EBF_1 -7 0 - 0 0 . chr9 85276893 85276894 chr9:85276894:T:C rs534595430 T C T EBF1_EBF_1 -16 0 - 0 0 . chr9 85303315 85303316 chr9:85303316:G:A rs2769595 G A G EBF1_EBF_1 -16 0 + 0 0 . chr9 85319127 85319128 chr9:85319128:A:G rs4384064 A G a EBF1_EBF_1 6 1 + 9.937635088251291 7.770840656976816 ACCCCCATGGGAGT chr9 85380748 85380749 chr9:85380749:G:C rs2593012 G C C EBF1_EBF_1 31 0 + 0 0 . chr9 85415098 85415099 chr9:85415099:T:C rs4877930 T C C EBF1_EBF_1 16 0 - 0 0 . chr9 85420825 85420826 chr9:85420826:G:A rs7030883 G A G EBF1_EBF_1 25 0 - 0 0 . chr9 85428341 85428342 chr9:85428342:A:G rs12555297 A G A EBF1_EBF_1 14 0 - 0 0 . chr9 85805020 85805021 chr9:85805021:G:A rs59561145 G A . EBF1_EBF_1 0 1 - 9.299497166909402 9.58169922763998 CCTCCCGAGGGAAT chr9 86055256 86055257 chr9:86055257:C:T rs956200559 C T C EBF1_EBF_1 21 0 + 0 0 . chr9 86083978 86083979 chr9:86083979:G:A rs1928230 G A G EBF1_EBF_1 26 0 - 0 0 . chr9 86099788 86099789 chr9:86099789:C:A rs567987713 C A C EBF1_EBF_1 22 0 + 0 0 . chr9 86099839 86099840 chr9:86099840:C:A rs3812504 C A C EBF1_EBF_1 28 0 - 0 0 . chr9 86133090 86133091 chr9:86133091:G:A rs56130017 G A G EBF1_EBF_1 -14 0 + 0 0 . chr9 86186114 86186115 chr9:86186115:T:A rs569806996 T A T EBF1_EBF_1 -6 0 + 0 0 . chr9 86282077 86282078 chr9:86282078:G:C rs753747733 G C G EBF1_EBF_1 17 0 - 0 0 . chr9 86282081 86282082 chr9:86282082:G:A rs564438747 G A G EBF1_EBF_1 13 1 - 6.214620123052136 7.059114237637654 CGTCCCCGGGGGCC chr9 86282100 86282101 chr9:86282101:C:T rs1466732528 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 86362870 86362871 chr9:86362871:C:T rs563779481 C T C EBF1_EBF_1 22 0 + 0 0 . chr9 86369099 86369100 chr9:86369100:G:A rs144363743 G A G EBF1_EBF_1 29 0 - 0 0 . chr9 86408592 86408593 chr9:86408593:G:A rs11141381 G A G EBF1_EBF_1 23 0 - 0 0 . chr9 86488923 86488924 chr9:86488924:T:C rs168494 T C C EBF1_EBF_1 -15 0 + 0 0 . chr9 86541126 86541127 chr9:86541127:A:C rs112790603 A C A EBF1_EBF_1 18 0 + 0 0 . chr9 86542560 86542561 chr9:86542561:A:T rs927949 A T T EBF1_EBF_1 -3 0 - 0 0 . chr9 86577558 86577559 chr9:86577559:G:A rs191380742 G A G EBF1_EBF_1 17 0 - 0 0 . chr9 86590711 86590712 chr9:86590712:G:A rs10119156 G A G EBF1_EBF_1 -11 0 - 0 0 . chr9 86652922 86652923 chr9:86652923:C:T rs7858590 C T C EBF1_EBF_1 -12 0 - 0 0 . chr9 86664973 86664974 chr9:86664974:G:A rs3739732 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 86719895 86719896 chr9:86719896:G:A rs4878009 G A A EBF1_EBF_1 3 1 - 8.312387291901222 1.9069885503479038 ACACCCATGGGACA chr9 86722200 86722201 chr9:86722201:T:C rs571144391 T C T EBF1_EBF_1 15 0 - 0 0 . chr9 86738448 86738449 chr9:86738449:C:T rs145677415 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 86738470 86738471 chr9:86738471:C:T rs11141528 C T C EBF1_EBF_1 9 1 - 10.91923189693282 8.264292631485217 TTTCCCATGGGACA chr9 86795654 86795655 chr9:86795655:C:A rs115160872 C A C EBF1_EBF_1 -1 0 + 0 0 . chr9 86807141 86807142 chr9:86807142:C:T rs182303713 C T C EBF1_EBF_1 29 0 + 0 0 . chr9 86807142 86807143 chr9:86807143:G:A rs527636 G A G EBF1_EBF_1 30 0 + 0 0 . chr9 86828810 86828811 chr9:86828811:C:A rs1952348 C A A EBF1_EBF_1 25 0 - 0 0 . chr9 86904818 86904819 chr9:86904819:A:G rs10429548 A G G EBF1_EBF_1 -8 0 - 0 0 . chr9 86943572 86943573 chr9:86943573:G:A chr9:86943573:G:A G A G EBF1_EBF_1 -11 0 + 0 0 . chr9 86947086 86947087 chr9:86947087:C:G chr9:86947087:C:G C G c EBF1_EBF_1 -7 0 - 0 0 . chr9 86948784 86948785 chr9:86948785:G:C rs529367139 G C G EBF1_EBF_1 -10 0 + 0 0 . chr9 86948790 86948791 chr9:86948791:T:C rs2301361 T C C EBF1_EBF_1 -4 0 + 0 0 . chr9 86948826 86948827 chr9:86948827:A:G rs116405936 A G A EBF1_EBF_1 32 0 + 0 0 . chr9 86984141 86984142 chr9:86984142:G:C rs77052039 G C G EBF1_EBF_1 5 1 - 8.662284926608557 1.7574037739733899 ACCCCCCTGGGAAG chr9 86984158 86984159 chr9:86984159:A:G rs113998971 A G A EBF1_EBF_1 -12 0 - 0 0 . chr9 87084069 87084070 chr9:87084070:C:T rs11141676 C T C EBF1_EBF_1 10 1 - 5.607982447144212 0.28995398787724574 CTTCCCCAGAGGAC chr9 87139278 87139279 chr9:87139279:G:A rs142889487 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 87148244 87148245 chr9:87148245:G:A rs35529451 G A G EBF1_EBF_1 3 1 - 5.965303171419001 -0.4400955701343162 AGTCCCCCGGGCCC chr9 87160099 87160100 chr9:87160100:G:A rs277752 G A G EBF1_EBF_1 19 0 + 0 0 . chr9 87185798 87185799 chr9:87185799:T:C rs277725 T C C EBF1_EBF_1 -10 0 - 0 0 . chr9 87201291 87201292 chr9:87201292:C:A rs141014284 C A C EBF1_EBF_1 15 0 + 0 0 . chr9 87201292 87201293 chr9:87201293:C:T rs112662890 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 87259602 87259603 chr9:87259603:G:A rs7025731 G A A EBF1_EBF_1 -16 0 + 0 0 . chr9 87265918 87265919 chr9:87265919:A:G rs17471781 A G A EBF1_EBF_1 20 0 - 0 0 . chr9 87268007 87268008 chr9:87268008:G:A rs114990713 G A G EBF1_EBF_1 -19 0 - 0 0 . chr9 87269451 87269452 chr9:87269452:C:A rs6559973 C A A EBF1_EBF_1 2 1 + 7.612220090237115 5.816575914080388 TCCCCCTGGGGACC chr9 87290528 87290529 chr9:87290529:A:G rs7029533 A G G EBF1_EBF_1 2 1 - 7.479464878300378 5.590641466858227 ACTCCCAGGAGATA chr9 87334526 87334527 chr9:87334527:G:A rs73478389 G A G EBF1_EBF_1 -20 0 - 0 0 . chr9 87343896 87343897 chr9:87343897:C:A rs115912103 C A C EBF1_EBF_1 -1 0 - 0 0 . chr9 87428888 87428889 chr9:87428889:G:A rs74761222 G A G EBF1_EBF_1 4 1 - 8.166023251424868 3.796636306479944 ACTCCCTGGGTAAT chr9 87465006 87465007 chr9:87465007:G:C rs35268036 G C G EBF1_EBF_1 5 1 - 6.5253931582558895 -0.37948799437927794 AGTCTCCAGAGAAT chr9 87479824 87479825 chr9:87479825:A:T rs10868604 A T T EBF1_EBF_1 -16 0 + 0 0 . chr9 87502621 87502622 chr9:87502622:A:G rs938980901 A G A EBF1_EBF_1 -14 0 - 0 0 . chr9 87516465 87516466 chr9:87516466:T:G rs79739525 T G T EBF1_EBF_1 29 0 - 0 0 . chr9 87516473 87516474 chr9:87516474:C:T rs36229584 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 87524525 87524526 chr9:87524526:C:T rs11141862 C T c EBF1_EBF_1 -13 0 + 0 0 . chr9 87529758 87529759 chr9:87529759:C:T rs36230550 C T C EBF1_EBF_1 9 1 - 7.357812191460414 4.702872926012811 AGCCCCTTGGGTCT chr9 87530947 87530948 chr9:87530948:T:C rs10868616 T C T EBF1_EBF_1 0 1 - 10.672043939076742 8.77976006951191 ATCCCCCTGGGAAA chr9 87531861 87531862 chr9:87531862:G:A rs7024473 G A A EBF1_EBF_1 8 1 + 9.521638180116256 5.295348091229998 AATCCCCAGGGGCC chr9 87562111 87562112 chr9:87562112:T:G rs1977491 T G G EBF1_EBF_1 -12 0 - 0 0 . chr9 87607049 87607050 chr9:87607050:T:C rs4878106 T C C EBF1_EBF_1 -18 0 - 0 0 . chr9 87660104 87660105 chr9:87660105:T:G rs3118844 T G - EBF1_EBF_1 -2 0 - 0 0 . chr9 87679543 87679544 chr9:87679544:C:A rs17053329 C A C EBF1_EBF_1 -10 0 - 0 0 . chr9 87684247 87684248 chr9:87684248:G:A rs137867916 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 87684249 87684250 chr9:87684250:T:C rs3128487 T C C EBF1_EBF_1 22 0 - 0 0 . chr9 87696649 87696650 chr9:87696650:G:A rs3128492 G A G EBF1_EBF_1 -18 0 + 0 0 . chr9 87700370 87700371 chr9:87700371:G:A rs7044579 G A G EBF1_EBF_1 9 1 + 6.027441116574148 3.3725018511265454 AGTGCCCTGGGAAA chr9 87704990 87704991 chr9:87704991:A:G rs3118862 A G A EBF1_EBF_1 -3 0 + 0 0 . chr9 87793836 87793837 chr9:87793837:A:G rs1927967 A G G EBF1_EBF_1 26 0 - 0 0 . chr9 87800562 87800563 chr9:87800563:G:T rs7043494 G T G EBF1_EBF_1 30 0 + 0 0 . chr9 87803316 87803317 chr9:87803317:A:G rs1536739 A G G EBF1_EBF_1 7 1 + 4.955806980468851 3.6433485751800267 ACCCCCCAGGTAAG chr9 87805936 87805937 chr9:87805937:G:A rs880544 G A A EBF1_EBF_1 7 1 + 5.621656468534805 6.9341148738236305 TTTCCCCGGGAACT chr9 87824527 87824528 chr9:87824528:A:G rs7039827 A G G EBF1_EBF_1 29 0 + 0 0 . chr9 87858161 87858162 chr9:87858162:C:G rs200487737 C G - EBF1_EBF_1 8 1 - 8.250778631107115 2.9321197701924313 CTTCCCAAGGGGAA chr9 87858686 87858687 chr9:87858687:G:A rs7873960 G A - EBF1_EBF_1 32 0 - 0 0 . chr9 87858716 87858717 chr9:87858717:G:A rs7873972 G A - EBF1_EBF_1 2 1 - 6.072319147345435 7.961142558787586 GGCCCCTGGAGACT chr9 87878150 87878151 chr9:87878151:A:C rs59800802 A C - EBF1_EBF_1 6 1 - 5.820266066464 3.7137878741290447 GCTCCCTTGGGCTT chr9 87890037 87890038 chr9:87890038:G:C rs3922977 G C G EBF1_EBF_1 -7 0 + 0 0 . chr9 87932663 87932664 chr9:87932664:G:A rs111978978 G A . EBF1_EBF_1 28 0 - 0 0 . chr9 88007628 88007629 chr9:88007629:A:G rs73653989 A G . EBF1_EBF_1 -10 0 - 0 0 . chr9 88016581 88016582 chr9:88016582:T:C rs34439777 T C . EBF1_EBF_1 29 0 + 0 0 . chr9 88037584 88037585 chr9:88037585:C:A rs1929951 C A . EBF1_EBF_1 -8 0 + 0 0 . chr9 88037605 88037606 chr9:88037606:T:G rs11142055 T G . EBF1_EBF_1 13 1 + 7.1884110173138565 4.8558458813729235 CTCCCCCAGGGGCT chr9 88065730 88065731 chr9:88065731:C:T rs12352378 C T . EBF1_EBF_1 32 0 - 0 0 . chr9 88082070 88082071 chr9:88082071:G:T rs77709145 G T . EBF1_EBF_1 24 0 - 0 0 . chr9 88085180 88085181 chr9:88085181:C:A rs9644993 C A . EBF1_EBF_1 -20 0 - 0 0 . chr9 88161098 88161099 chr9:88161099:G:C rs10746831 G C . EBF1_EBF_1 14 0 + 0 0 . chr9 88239473 88239474 chr9:88239474:C:G rs10046858 C G G EBF1_EBF_1 18 0 - 0 0 . chr9 88320600 88320601 chr9:88320601:C:T rs76189560 C T c EBF1_EBF_1 15 0 + 0 0 . chr9 88326131 88326132 chr9:88326132:G:A rs73495811 G A G EBF1_EBF_1 17 0 - 0 0 . chr9 88389053 88389054 chr9:88389054:C:T rs1027934224 C T C EBF1_EBF_1 6 1 + 4.027218763863215 4.328504892724973 TCACCCCGGGGACG chr9 88389060 88389061 chr9:88389061:G:C rs76106374 G C G EBF1_EBF_1 13 1 + 4.027218763863215 5.51528978521863 TCACCCCGGGGACG chr9 88460429 88460430 chr9:88460430:C:T rs10116309 C T T EBF1_EBF_1 30 0 - 0 0 . chr9 88461412 88461413 chr9:88461413:G:T rs73652568 G T G EBF1_EBF_1 31 0 + 0 0 . chr9 88476546 88476547 chr9:88476547:C:T rs141952774 C T C EBF1_EBF_1 11 1 - 7.994417136475387 10.887123980079396 AACCCCAAGGGGCC chr9 88532359 88532360 chr9:88532360:A:T rs78271402 A T A EBF1_EBF_1 9 1 + 5.89018450787479 1.7081343652335839 TTTCCCCAGAGGAC chr9 88534114 88534115 chr9:88534115:G:T rs73487841 G T G EBF1_EBF_1 -2 0 - 0 0 . chr9 88588550 88588551 chr9:88588551:C:G rs28390308 C G C EBF1_EBF_1 -7 0 + 0 0 . chr9 88652323 88652324 chr9:88652324:G:A rs9411018 G A G EBF1_EBF_1 28 0 + 0 0 . chr9 88678485 88678486 chr9:88678486:T:C rs6559296 T C C EBF1_EBF_1 -16 0 + 0 0 . chr9 88724117 88724118 chr9:88724118:T:C rs9410463 T C T EBF1_EBF_1 31 0 + 0 0 . chr9 88733899 88733900 chr9:88733900:G:A rs28613359 G A G EBF1_EBF_1 1 1 - 9.742968642133986 10.638402082532732 GCTCCCCTGGGACA chr9 88737578 88737579 chr9:88737579:A:T rs7038645 A T A EBF1_EBF_1 6 1 - 4.912305917158365 4.972622156097886 ACCCCCTGGAGGAT chr9 88752432 88752433 chr9:88752433:G:A rs28495823 G A G EBF1_EBF_1 21 0 - 0 0 . chr9 88752628 88752629 chr9:88752629:C:T rs4877072 C T T EBF1_EBF_1 14 0 + 0 0 . chr9 88752632 88752633 chr9:88752633:T:C rs4877071 T C C EBF1_EBF_1 18 0 + 0 0 . chr9 88752744 88752745 chr9:88752745:A:G rs28661717 A G A EBF1_EBF_1 7 1 - 5.436006045449627 3.6185012991670034 TGTCCCCTGGGCCA chr9 88753871 88753872 chr9:88753872:C:T rs28427106 C T C EBF1_EBF_1 31 0 + 0 0 . chr9 88754752 88754753 chr9:88754753:T:G rs4877092 T G G EBF1_EBF_1 23 0 + 0 0 . chr9 88758109 88758110 chr9:88758110:C:G rs4877096 C G C EBF1_EBF_1 25 0 - 0 0 . chr9 88777100 88777101 chr9:88777101:C:T rs74870294 C T C EBF1_EBF_1 -11 0 + 0 0 . chr9 88777101 88777102 chr9:88777102:G:C rs115603831 G C G EBF1_EBF_1 -10 0 + 0 0 . chr9 88777138 88777139 chr9:88777139:G:A rs9410335 G A A EBF1_EBF_1 27 0 + 0 0 . chr9 88783799 88783800 chr9:88783800:C:T rs9410200 C T c EBF1_EBF_1 -5 0 + 0 0 . chr9 88783803 88783804 chr9:88783804:T:C rs9410201 T C C EBF1_EBF_1 -1 0 + 0 0 . chr9 88806262 88806263 chr9:88806263:G:A rs2062762 G A G EBF1_EBF_1 -19 0 + 0 0 . chr9 88809560 88809561 chr9:88809561:C:T rs115512354 C T C EBF1_EBF_1 0 1 + 4.788672880238825 5.070874940969403 CGCCCCTAGAGAGC chr9 88836028 88836029 chr9:88836029:C:T rs9410377 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 88892974 88892975 chr9:88892975:G:A rs11137440 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 88905944 88905945 chr9:88905945:A:G rs4877024 A G A EBF1_EBF_1 32 0 + 0 0 . chr9 88924339 88924340 chr9:88924340:G:C rs77446443 G C G EBF1_EBF_1 2 1 - 4.867030224613258 0.00752794585494021 ACCCCCCAGAGGTT chr9 88938453 88938454 chr9:88938454:T:C rs1393567 T C C EBF1_EBF_1 -10 0 - 0 0 . chr9 88949845 88949846 chr9:88949846:A:G rs7020528 A G A EBF1_EBF_1 -7 0 + 0 0 . chr9 88950556 88950557 chr9:88950557:G:A rs4645617 G A G EBF1_EBF_1 10 1 + 7.148363636494347 1.8303351772273806 AATCCCCTGGGCCA chr9 88976453 88976454 chr9:88976454:C:G rs1426834832 C G C EBF1_EBF_1 13 1 + 5.661009841818524 4.172938820463109 CTCCCCAGGAGAAC chr9 88978236 88978237 chr9:88978237:G:A rs73654712 G A G EBF1_EBF_1 16 0 - 0 0 . chr9 88978269 88978270 chr9:88978270:T:C rs73496008 T C T EBF1_EBF_1 -17 0 - 0 0 . chr9 88978374 88978375 chr9:88978375:T:C rs62551476 T C C EBF1_EBF_1 -13 0 + 0 0 . chr9 88991217 88991218 chr9:88991218:G:A rs943672219 G A G EBF1_EBF_1 21 0 - 0 0 . chr9 88998727 88998728 chr9:88998728:C:G rs58235552 C G G EBF1_EBF_1 16 0 + 0 0 . chr9 89006281 89006282 chr9:89006282:A:G rs7865979 A G a EBF1_EBF_1 13 1 - 5.32769141511977 4.483197300534252 AATCCACAGGGATT chr9 89021909 89021910 chr9:89021910:C:T rs9410295 C T C EBF1_EBF_1 10 1 - 7.519768151309435 2.201739692042469 AGCCCCCAGGGGCA chr9 89036505 89036506 chr9:89036506:G:A rs17435107 G A G EBF1_EBF_1 1 1 + 4.550829331142906 4.290130499221604 CGTCCCCGGGTACA chr9 89055031 89055032 chr9:89055032:G:A rs4242599 G A G EBF1_EBF_1 -19 0 + 0 0 . chr9 89180411 89180412 chr9:89180412:C:T chr9:89180412:C:T C T C EBF1_EBF_1 -15 0 + 0 0 . chr9 89180416 89180417 chr9:89180417:G:A rs73500129 G A G EBF1_EBF_1 -10 0 + 0 0 . chr9 89186044 89186045 chr9:89186045:C:T rs35203225 C T C EBF1_EBF_1 -16 0 + 0 0 . chr9 89208409 89208410 chr9:89208410:C:T rs73500171 C T T EBF1_EBF_1 13 1 + 7.205326266942156 8.049820381527674 AATCCCCAGGTATC chr9 89223890 89223891 chr9:89223891:T:C rs17437738 T C T EBF1_EBF_1 -20 0 - 0 0 . chr9 89254940 89254941 chr9:89254941:A:C rs76495081 A C A EBF1_EBF_1 -13 0 + 0 0 . chr9 89318200 89318201 chr9:89318201:C:T chr9:89318201:C:T C T C EBF1_EBF_1 19 0 - 0 0 . chr9 89318218 89318219 chr9:89318219:G:C rs3211707 G C C EBF1_EBF_1 1 1 - 6.464473954751904 6.654245609321121 TCTCCCCTGGGCCT chr9 89319846 89319847 chr9:89319847:A:G rs200624154 A G A EBF1_EBF_1 -16 0 - 0 0 . chr9 89375442 89375443 chr9:89375443:T:C rs113126558 T C T EBF1_EBF_1 -5 0 + 0 0 . chr9 89380675 89380676 chr9:89380676:C:T rs77518289 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 89382771 89382772 chr9:89382772:G:A rs7036818 G A G EBF1_EBF_1 5 1 - 4.242219256090225 -1.0795679594504455 CCCCCCGCGGGACC chr9 89388098 89388099 chr9:89388099:T:C rs144743981 T C T EBF1_EBF_1 25 0 - 0 0 . chr9 89390688 89390689 chr9:89390689:T:C rs34614044 T C T EBF1_EBF_1 -14 0 + 0 0 . chr9 89400820 89400821 chr9:89400821:C:T rs190179046 C T C EBF1_EBF_1 -3 0 + 0 0 . chr9 89400827 89400828 chr9:89400828:C:T chr9:89400828:C:T C T C EBF1_EBF_1 4 1 + 8.720329548804921 4.350942603859999 AACCCCGAGGGACC chr9 89411805 89411806 chr9:89411806:C:A rs4877083 C A C EBF1_EBF_1 -2 0 - 0 0 . chr9 89425353 89425354 chr9:89425354:T:C rs10752655 T C C EBF1_EBF_1 20 0 - 0 0 . chr9 89425357 89425358 chr9:89425358:C:T rs10797121 C T T EBF1_EBF_1 16 0 - 0 0 . chr9 89426135 89426136 chr9:89426136:C:T rs187763593 C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 89428168 89428169 chr9:89428169:C:G rs150611566 C G C EBF1_EBF_1 31 0 - 0 0 . chr9 89438208 89438209 chr9:89438209:G:A rs7041468 G A A EBF1_EBF_1 -9 0 - 0 0 . chr9 89448934 89448935 chr9:89448935:G:A rs182933992 G A G EBF1_EBF_1 8 1 + 6.839947475872763 2.6136573869865005 CCTCCCACGGGAAG chr9 89465288 89465289 chr9:89465289:C:T rs28498354 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 89465289 89465290 chr9:89465290:G:A rs59528265 G A G EBF1_EBF_1 29 0 + 0 0 . chr9 89467354 89467355 chr9:89467355:C:T rs34871294 C T C EBF1_EBF_1 -15 0 + 0 0 . chr9 89496409 89496410 chr9:89496410:G:C rs35721473 G C G EBF1_EBF_1 8 1 + 5.750787604597723 0.4321287436830369 ACCCTCCTGGGACC chr9 89497724 89497725 chr9:89497725:G:A rs569047414 G A G EBF1_EBF_1 7 1 - 6.305396400054758 8.12290114633738 ATCCCCCCGGGGCC chr9 89498734 89498735 chr9:89498735:G:A rs35829761 G A G EBF1_EBF_1 4 1 - 9.100126982912126 4.7307400379672035 CTCCCCTGGGGAAT chr9 89522764 89522765 chr9:89522765:C:A rs34687606 C A C EBF1_EBF_1 3 1 + 4.732362851883712 -2.1738111775820936 CTTCCCAGGGGCTC chr9 89534572 89534573 chr9:89534573:C:T rs34485092 C T C EBF1_EBF_1 -5 0 + 0 0 . chr9 89539822 89539823 chr9:89539823:C:T rs141821235 C T C EBF1_EBF_1 19 0 + 0 0 . chr9 89555979 89555980 chr9:89555980:T:G rs13286354 T G T EBF1_EBF_1 18 0 - 0 0 . chr9 89556008 89556009 chr9:89556009:C:G rs1166421013 C G C EBF1_EBF_1 -11 0 - 0 0 . chr9 89594279 89594280 chr9:89594280:T:A rs918665906 T A C EBF1_EBF_1 -18 0 + 0 0 . chr9 89599007 89599008 chr9:89599008:T:G rs1055595 T G G EBF1_EBF_1 26 0 + 0 0 . chr9 89607004 89607005 chr9:89607005:G:C rs948873977 G C G EBF1_EBF_1 -7 0 - 0 0 . chr9 89648963 89648964 chr9:89648964:C:T rs191926042 C T C EBF1_EBF_1 -4 0 - 0 0 . chr9 89657348 89657349 chr9:89657349:C:T rs538307227 C T C EBF1_EBF_1 7 1 - 7.3893122219554215 8.701770627244247 TCTCCCCGGAGACT chr9 89676532 89676533 chr9:89676533:C:T rs570540254 C T C EBF1_EBF_1 -6 0 + 0 0 . chr9 89679739 89679740 chr9:89679740:C:A rs112870749 C A C EBF1_EBF_1 -11 0 + 0 0 . chr9 89679761 89679762 chr9:89679762:T:C rs72752912 T C C EBF1_EBF_1 11 1 + 7.840156527533987 7.522109085780323 AATCCCCTGGGTCC chr9 89680021 89680022 chr9:89680022:G:A rs72752913 G A G EBF1_EBF_1 6 1 - 7.237914118481113 7.539200247342871 GGCCCCCGGGGAAA chr9 89704555 89704556 chr9:89704556:G:A rs4461990 G A G EBF1_EBF_1 7 1 + 5.19446177603463 6.506920181323455 AGTCACCGGGGAAA chr9 89706747 89706748 chr9:89706748:A:G rs7870403 A G A EBF1_EBF_1 28 0 - 0 0 . chr9 89709138 89709139 chr9:89709139:A:G rs4876955 A G a EBF1_EBF_1 -16 0 + 0 0 . chr9 89731622 89731623 chr9:89731623:A:G rs938804404 A G G EBF1_EBF_1 7 1 - 4.794926875879293 2.977422129596669 GCTCCTCTGGGACC chr9 89748263 89748264 chr9:89748264:G:C rs111232781 G C G EBF1_EBF_1 -12 0 - 0 0 . chr9 89757817 89757818 chr9:89757818:A:G rs3923362 A G A EBF1_EBF_1 24 0 - 0 0 . chr9 89759627 89759628 chr9:89759628:T:C rs147580094 T C T EBF1_EBF_1 -16 0 - 0 0 . chr9 89767283 89767284 chr9:89767284:C:A rs76097735 C A C EBF1_EBF_1 5 1 + 6.973468247107349 0.06858709447218121 CTCCCCAAGAGAAC chr9 89767305 89767306 chr9:89767306:G:A rs78819980 G A G EBF1_EBF_1 27 0 + 0 0 . chr9 89768762 89768763 chr9:89768763:C:T rs11265933 C T c EBF1_EBF_1 -18 0 - 0 0 . chr9 89775717 89775718 chr9:89775718:C:A rs71512215 C A C EBF1_EBF_1 -17 0 - 0 0 . chr9 89782628 89782629 chr9:89782629:A:G rs10908935 A G A EBF1_EBF_1 16 0 + 0 0 . chr9 89792397 89792398 chr9:89792398:C:T rs75962899 C T C EBF1_EBF_1 19 0 - 0 0 . chr9 89793702 89793703 chr9:89793703:C:G chr9:89793703:C:G C G C EBF1_EBF_1 20 0 - 0 0 . chr9 89793702 89793703 chr9:89793703:C:T rs73649344 C T C EBF1_EBF_1 20 0 - 0 0 . chr9 89803222 89803223 chr9:89803223:G:C rs189817677 G C G EBF1_EBF_1 31 0 - 0 0 . chr9 89843093 89843094 chr9:89843094:A:G rs982565436 A G A EBF1_EBF_1 19 0 + 0 0 . chr9 89845652 89845653 chr9:89845653:C:A rs1042395160 C A C EBF1_EBF_1 5 1 + 8.273560145054649 1.368678992419479 TCTCCCCTGGGAGA chr9 89853534 89853535 chr9:89853535:A:G rs1036969547 A G G EBF1_EBF_1 -8 0 - 0 0 . chr9 89856182 89856183 chr9:89856183:T:C rs1397975427 T C T EBF1_EBF_1 -7 0 + 0 0 . chr9 89856744 89856745 chr9:89856745:G:A rs1212499393 G A G EBF1_EBF_1 32 0 - 0 0 . chr9 89856791 89856792 chr9:89856792:C:T rs1401199500 C T C EBF1_EBF_1 -15 0 - 0 0 . chr9 89859312 89859313 chr9:89859313:G:A rs1425242867 G A G EBF1_EBF_1 31 0 + 0 0 . chr9 89878324 89878325 chr9:89878325:G:A rs963899245 G A G EBF1_EBF_1 8 1 + 8.801020939748701 4.57473085086244 TGCCCCAAGGGACA chr9 89878332 89878333 chr9:89878333:T:A rs975665431 T A T EBF1_EBF_1 16 0 + 0 0 . chr9 89879563 89879564 chr9:89879564:C:T rs938754879 C T C EBF1_EBF_1 8 1 - 8.656545729385261 4.430255640499001 CATCCCCTGGGATC chr9 89880403 89880404 chr9:89880404:A:G rs973648353 A G A EBF1_EBF_1 23 0 - 0 0 . chr9 89894027 89894028 chr9:89894028:C:T rs879179193 C T C EBF1_EBF_1 -3 0 + 0 0 . chr9 89894060 89894061 chr9:89894061:C:T rs1002505269 C T T EBF1_EBF_1 30 0 + 0 0 . chr9 89919613 89919614 chr9:89919614:C:G rs1317457897 C G C EBF1_EBF_1 -2 0 - 0 0 . chr9 89953122 89953123 chr9:89953123:C:T rs12342097 C T T EBF1_EBF_1 17 0 + 0 0 . chr9 89954313 89954314 chr9:89954314:G:A rs16905942 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 89955319 89955320 chr9:89955320:T:C rs59750037 T C C EBF1_EBF_1 21 0 + 0 0 . chr9 89995414 89995415 chr9:89995415:A:G rs662867 A G A EBF1_EBF_1 -2 0 + 0 0 . chr9 90003994 90003995 chr9:90003995:C:T rs4744499 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 90019809 90019810 chr9:90019810:T:A rs142684338 T A C EBF1_EBF_1 1 1 + 5.978482429822017 5.012121812071187 CTTCCCAGAGGAAC chr9 90019834 90019835 chr9:90019835:G:T rs35752917 G T G EBF1_EBF_1 26 0 + 0 0 . chr9 90032336 90032337 chr9:90032337:T:C rs684476 T C C EBF1_EBF_1 -11 0 + 0 0 . chr9 90068460 90068461 chr9:90068461:T:C rs544950 T C C EBF1_EBF_1 28 0 + 0 0 . chr9 90102665 90102666 chr9:90102666:G:C rs145578452 G C G EBF1_EBF_1 -15 0 - 0 0 . chr9 90108142 90108143 chr9:90108143:T:C rs7852983 T C T EBF1_EBF_1 20 0 + 0 0 . chr9 90198989 90198990 chr9:90198990:T:C rs1777024 T C C EBF1_EBF_1 7 1 + 3.920928083293451 2.1034233370108284 TGCCCCCTGGGCCC chr9 90272082 90272083 chr9:90272083:C:T rs1754066 C T T EBF1_EBF_1 24 0 + 0 0 . chr9 90433394 90433395 chr9:90433395:C:T rs10821448 C T c EBF1_EBF_1 17 0 - 0 0 . chr9 90446695 90446696 chr9:90446696:C:T rs62552660 C T C EBF1_EBF_1 3 1 + 6.905318601183567 0.49991985963025115 ATTCCCAAGTGACC chr9 90469557 90469558 chr9:90469558:C:T rs2114350 C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 90555519 90555520 chr9:90555520:C:T rs79866282 C T C EBF1_EBF_1 17 0 - 0 0 . chr9 90555520 90555521 chr9:90555521:G:C rs77019893 G C C EBF1_EBF_1 16 0 - 0 0 . chr9 90583931 90583932 chr9:90583932:A:C rs73652920 A C A EBF1_EBF_1 -20 0 - 0 0 . chr9 90638529 90638530 chr9:90638530:A:G rs7026977 A G . EBF1_EBF_1 11 1 + 6.727041695466286 3.834334851862274 GGTCCCAAGAGAGA chr9 90658324 90658325 chr9:90658325:A:G rs1819453 A G . EBF1_EBF_1 -10 0 + 0 0 . chr9 90802175 90802176 chr9:90802176:C:T rs569206121 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 90836752 90836753 chr9:90836753:T:C rs12344881 T C T EBF1_EBF_1 15 0 - 0 0 . chr9 90858035 90858036 chr9:90858036:G:C rs182343 G C G EBF1_EBF_1 14 0 - 0 0 . chr9 90859790 90859791 chr9:90859791:G:A rs1675328 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 90877848 90877849 chr9:90877849:C:T rs2290885 C T C EBF1_EBF_1 24 0 - 0 0 . chr9 90882896 90882897 chr9:90882897:C:T rs10993744 C T C EBF1_EBF_1 13 1 + 5.079832885752198 5.924327000337716 GATCCCCAGGGCTC chr9 90882905 90882906 chr9:90882906:A:C rs1675333 A C A EBF1_EBF_1 22 0 + 0 0 . chr9 90899922 90899923 chr9:90899923:A:G rs1760118 A G A EBF1_EBF_1 -15 0 + 0 0 . chr9 90915872 90915873 chr9:90915873:C:T rs550693441 C T C EBF1_EBF_1 10 1 - 6.407026442911957 1.0889979836449917 CCCCCCCTGGGAAG chr9 90915889 90915890 chr9:90915890:C:T rs7865699 C T C EBF1_EBF_1 -7 0 - 0 0 . chr9 90926982 90926983 chr9:90926983:A:G rs7861954 A G A EBF1_EBF_1 17 0 - 0 0 . chr9 90948324 90948325 chr9:90948325:C:T rs190029 C T T EBF1_EBF_1 -17 0 - 0 0 . chr9 90950904 90950905 chr9:90950905:A:G rs186123 A G A EBF1_EBF_1 -10 0 - 0 0 . chr9 90961848 90961849 chr9:90961849:G:A rs73490578 G A G EBF1_EBF_1 11 1 + 5.259913345680053 8.152620189284065 ACTCCCAGAGGGCT chr9 90964978 90964979 chr9:90964979:A:G rs376648 A G G EBF1_EBF_1 28 0 + 0 0 . chr9 90983579 90983580 chr9:90983580:C:A rs62558008 C A C EBF1_EBF_1 0 1 + 7.924091649520875 10.179350133217474 CCTCCCACGGGACA chr9 90985476 90985477 chr9:90985477:G:T rs296728 G T T EBF1_EBF_1 32 0 + 0 0 . chr9 91036955 91036956 chr9:91036956:A:T rs751279 A T T EBF1_EBF_1 -12 0 - 0 0 . chr9 91066038 91066039 chr9:91066039:C:A rs7025659 C A A EBF1_EBF_1 28 0 + 0 0 . chr9 91081026 91081027 chr9:91081027:A:G rs12551765 A G G EBF1_EBF_1 22 0 + 0 0 . chr9 91101117 91101118 chr9:91101118:G:A rs73650920 G A G EBF1_EBF_1 -4 0 + 0 0 . chr9 91148629 91148630 chr9:91148630:C:T rs76323647 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 91151868 91151869 chr9:91151869:C:T rs373211242 C T C EBF1_EBF_1 -16 0 + 0 0 . chr9 91160870 91160871 chr9:91160871:G:C rs73494920 G C C EBF1_EBF_1 1 1 - 5.243135009399531 5.4329066639687476 ACTCCCTGGGTGCT chr9 91178747 91178748 chr9:91178748:G:A rs56186703 G A G EBF1_EBF_1 -20 0 + 0 0 . chr9 91180481 91180482 chr9:91180482:A:G rs1705265 A G G EBF1_EBF_1 -3 0 + 0 0 . chr9 91187477 91187478 chr9:91187478:C:T rs10991815 C T C EBF1_EBF_1 7 1 - 5.875952612025031 7.1884110173138565 CTCCCCCGGGGGCT chr9 91187486 91187487 chr9:91187487:T:G rs77875489 T G T EBF1_EBF_1 -2 0 - 0 0 . chr9 91190982 91190983 chr9:91190983:T:C rs778984984 T C T EBF1_EBF_1 28 0 - 0 0 . chr9 91192228 91192229 chr9:91192229:T:C rs113920852 T C T EBF1_EBF_1 20 0 + 0 0 . chr9 91207486 91207487 chr9:91207487:G:A rs7025567 G A A EBF1_EBF_1 6 1 + 8.030667710402279 10.197462141676752 ATCCCCGGGGGAAA chr9 91212194 91212195 chr9:91212195:C:T rs75069666 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 91245341 91245342 chr9:91245342:A:G rs77800109 A G A EBF1_EBF_1 26 0 + 0 0 . chr9 91376538 91376539 chr9:91376539:C:T rs2440587 C T C EBF1_EBF_1 7 1 - 7.3043724134678945 8.61683081875672 AGACCCCGGGGACA chr9 91461570 91461571 chr9:91461571:C:A rs7032956 C A C EBF1_EBF_1 -3 0 - 0 0 . chr9 91572917 91572918 chr9:91572918:T:C rs6479363 T C C EBF1_EBF_1 11 1 - 8.570937497092144 5.678230653488132 TTTCCCCAGAGATT chr9 91589175 91589176 chr9:91589176:C:G rs4744067 C G g EBF1_EBF_1 -8 0 + 0 0 . chr9 91590736 91590737 chr9:91590737:C:A rs10992003 C A C EBF1_EBF_1 0 1 - 5.603333751523085 5.522561198121895 GGTCCCCTGAGGCT chr9 91590743 91590744 chr9:91590744:A:G rs60690708 A G A EBF1_EBF_1 -7 0 - 0 0 . chr9 91681987 91681988 chr9:91681988:C:A rs1030252672 C A C EBF1_EBF_1 7 1 + 6.0754586851522 8.369237671522383 ACTCCCTCGAGAGA chr9 91682985 91682986 chr9:91682986:G:A rs78929088 G A G EBF1_EBF_1 -2 0 - 0 0 . chr9 91682993 91682994 chr9:91682994:C:T rs905965104 C T C EBF1_EBF_1 -10 0 - 0 0 . chr9 91718620 91718621 chr9:91718621:A:G rs56009112 A G g EBF1_EBF_1 26 0 - 0 0 . chr9 91734759 91734760 chr9:91734760:C:T rs7869182 C T C EBF1_EBF_1 20 0 - 0 0 . chr9 91796115 91796116 chr9:91796116:C:A rs10119449 C A C EBF1_EBF_1 16 0 - 0 0 . chr9 91814353 91814354 chr9:91814354:G:A rs17514885 G A G EBF1_EBF_1 31 0 + 0 0 . chr9 91838673 91838674 chr9:91838674:G:C rs10992129 G C G EBF1_EBF_1 -10 0 - 0 0 . chr9 91839797 91839798 chr9:91839798:G:A rs73651569 G A G EBF1_EBF_1 3 1 - 7.9254638958513635 1.5200651542980466 GCTCCCCCGGGACA chr9 91842483 91842484 chr9:91842484:G:A rs78098713 G A G EBF1_EBF_1 -2 0 - 0 0 . chr9 91844871 91844872 chr9:91844872:G:A rs1534531 G A G EBF1_EBF_1 14 0 + 0 0 . chr9 91858469 91858470 chr9:91858470:A:G rs57464764 A G A EBF1_EBF_1 -18 0 + 0 0 . chr9 91860210 91860211 chr9:91860211:C:T rs189655830 C T C EBF1_EBF_1 3 1 + 7.269858451554711 0.8644597100013941 GTTCCCTTGAGAGC chr9 91860226 91860227 chr9:91860227:G:A rs4744105 G A G EBF1_EBF_1 19 0 + 0 0 . chr9 91899176 91899177 chr9:91899177:G:A rs78677787 G A G EBF1_EBF_1 1 1 - 6.692569669599125 7.58800310999787 ACCCCCTGGGGGCC chr9 91900191 91900192 chr9:91900192:A:G rs4430151 A G G EBF1_EBF_1 -5 0 + 0 0 . chr9 91935665 91935666 chr9:91935666:C:T rs10992172 C T C EBF1_EBF_1 10 1 - 4.023456874095035 -1.2945715851719315 TGCCCCCAGGGCCA chr9 91972661 91972662 chr9:91972662:G:A rs367826399 G A g EBF1_EBF_1 25 0 + 0 0 . chr9 92103757 92103758 chr9:92103758:A:G rs7037848 A G G EBF1_EBF_1 -6 0 - 0 0 . chr9 92134130 92134131 chr9:92134131:C:G chr9:92134131:C:G C G c EBF1_EBF_1 22 0 - 0 0 . chr9 92138319 92138320 chr9:92138320:T:C rs2076912 T C t EBF1_EBF_1 15 0 - 0 0 . chr9 92138339 92138340 chr9:92138340:G:A rs2104921 G A g EBF1_EBF_1 -5 0 - 0 0 . chr9 92142275 92142276 chr9:92142276:T:C rs145207516 T C t EBF1_EBF_1 0 1 + 6.36043780601073 6.0782357452801525 TGTCCCAAGAGGCT chr9 92143441 92143442 chr9:92143442:T:C rs4391487 T C T EBF1_EBF_1 -14 0 - 0 0 . chr9 92163566 92163567 chr9:92163567:C:T rs73653035 C T c EBF1_EBF_1 3 1 + 6.039668808970603 -0.36572993258271286 ACTCGCCTGGGAAA chr9 92181614 92181615 chr9:92181615:T:C rs4743862 T C - EBF1_EBF_1 -6 0 + 0 0 . chr9 92183446 92183447 chr9:92183447:A:T rs56110031 A T - EBF1_EBF_1 -11 0 + 0 0 . chr9 92187432 92187433 chr9:92187433:G:A rs11793122 G A - EBF1_EBF_1 10 1 + 4.956170028942268 -0.3618584303246972 CTCCCCAAGGGTGT chr9 92190509 92190510 chr9:92190510:C:T rs78946608 C T - EBF1_EBF_1 19 0 - 0 0 . chr9 92253932 92253933 chr9:92253933:C:T rs10820960 C T C EBF1_EBF_1 5 1 + 9.983052677603316 4.661265462062647 TATCCCATGGGAAA chr9 92614311 92614312 chr9:92614312:T:C rs7024536 T C T EBF1_EBF_1 7 1 + 7.838624384461852 6.02111963817923 ACTCCCTTGGGTCA chr9 92620003 92620004 chr9:92620004:G:A rs10119539 G A G EBF1_EBF_1 -3 0 + 0 0 . chr9 92620014 92620015 chr9:92620015:C:T rs12686780 C T C EBF1_EBF_1 8 1 + 6.099735321469316 4.526961393406808 ATTCCCTCCGGAAC chr9 92620019 92620020 chr9:92620020:C:G rs12686781 C G C EBF1_EBF_1 13 1 + 6.099735321469316 4.611664300113901 ATTCCCTCCGGAAC chr9 92634126 92634127 chr9:92634127:C:T rs7852698 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 92634163 92634164 chr9:92634164:C:T rs79300120 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 92637921 92637922 chr9:92637922:G:T rs117751089 G T G EBF1_EBF_1 33 0 + 0 0 . chr9 92658840 92658841 chr9:92658841:C:T rs118174366 C T C EBF1_EBF_1 31 0 + 0 0 . chr9 92670364 92670365 chr9:92670365:G:A rs536983556 G A G EBF1_EBF_1 -17 0 + 0 0 . chr9 92691597 92691598 chr9:92691598:T:C rs72756476 T C T EBF1_EBF_1 0 1 + 5.685014998227663 5.402812937497085 TTTCCCTTGAGGCC chr9 92713654 92713655 chr9:92713655:G:A rs3739605 G A G EBF1_EBF_1 -16 0 - 0 0 . chr9 92719964 92719965 chr9:92719965:A:G rs957056009 A G A EBF1_EBF_1 14 0 - 0 0 . chr9 92725214 92725215 chr9:92725215:G:A rs10821008 G A G EBF1_EBF_1 -19 0 - 0 0 . chr9 92728683 92728684 chr9:92728684:C:A rs72758505 C A C EBF1_EBF_1 2 1 + 5.157205964101574 3.361561787944848 TGCCCCATGGGCAT chr9 92745618 92745619 chr9:92745619:A:C rs10992444 A C A EBF1_EBF_1 20 0 - 0 0 . chr9 92765318 92765319 chr9:92765319:G:C rs76076355 G C G EBF1_EBF_1 9 1 + 5.8704333757099825 0.7947442293645182 AACCCCCAGGGGGA chr9 92770390 92770391 chr9:92770391:C:G rs74607226 C G C EBF1_EBF_1 16 0 - 0 0 . chr9 92793656 92793657 chr9:92793657:T:G rs7868651 T G N EBF1_EBF_1 32 0 + 0 0 . chr9 92895047 92895048 chr9:92895048:A:G rs10821036 A G G EBF1_EBF_1 28 0 - 0 0 . chr9 92912029 92912030 chr9:92912030:C:G rs76172762 C G C EBF1_EBF_1 3 1 + 9.41592852297352 3.5881640748583052 TCTCCCAAGGGAAG chr9 92913271 92913272 chr9:92913272:G:A rs77173259 G A A EBF1_EBF_1 0 1 - 5.264094714966994 5.546296775697572 CTTCCCGCGGGAGA chr9 92937811 92937812 chr9:92937812:T:C rs10821044 T C C EBF1_EBF_1 14 0 + 0 0 . chr9 92942072 92942073 chr9:92942073:T:G rs10120152 T G T EBF1_EBF_1 11 1 - 6.8278822159738155 2.411920518121426 AAACCCACGGGAAC chr9 92942075 92942076 chr9:92942076:C:T rs7858810 C T C EBF1_EBF_1 8 1 - 6.8278822159738155 2.6015921270875544 AAACCCACGGGAAC chr9 92942264 92942265 chr9:92942265:A:G rs80268057 A G A EBF1_EBF_1 7 1 + 5.991856413367773 4.679398008078947 CCCCCCGAGGGAGT chr9 92945909 92945910 chr9:92945910:T:C rs10821046 T C C EBF1_EBF_1 27 0 - 0 0 . chr9 92949079 92949080 chr9:92949080:T:C rs3936098 T C C EBF1_EBF_1 -11 0 - 0 0 . chr9 92960880 92960881 chr9:92960881:G:T rs7035881 G T G EBF1_EBF_1 10 1 + 5.626635660391898 1.443883474164633 ATCCCCCCGGGCCT chr9 92974161 92974162 chr9:92974162:T:C rs79322212 T C T EBF1_EBF_1 -2 0 + 0 0 . chr9 92988447 92988448 chr9:92988448:C:G rs10992565 C G C EBF1_EBF_1 30 0 + 0 0 . chr9 92988448 92988449 chr9:92988449:T:G rs10992566 T G T EBF1_EBF_1 31 0 + 0 0 . chr9 93007799 93007800 chr9:93007800:C:T rs80311283 C T C EBF1_EBF_1 6 1 + 6.365439944225696 6.666726073087454 GCCCCCCGGGGATC chr9 93012824 93012825 chr9:93012825:C:G rs974085279 C G C EBF1_EBF_1 -12 0 + 0 0 . chr9 93015688 93015689 chr9:93015689:G:T rs10992577 G T T EBF1_EBF_1 2 1 - 5.730685523060172 3.9350413469034438 TCCCCCCAGGGGCC chr9 93018757 93018758 chr9:93018758:T:C rs10761191 T C C EBF1_EBF_1 14 0 - 0 0 . chr9 93028541 93028542 chr9:93028542:G:A rs78037191 G A G EBF1_EBF_1 10 1 + 4.698367486894584 -0.6196609723723815 CCTCCCCAGAGAGG chr9 93039142 93039143 chr9:93039143:T:C rs12340555 T C T EBF1_EBF_1 -20 0 + 0 0 . chr9 93058553 93058554 chr9:93058554:A:C rs73514174 A C C EBF1_EBF_1 22 0 + 0 0 . chr9 93065126 93065127 chr9:93065127:G:A rs4077177 G A G EBF1_EBF_1 3 1 - 5.660006614636815 -0.7453921269165019 GATCCCCTGGGCAC chr9 93089627 93089628 chr9:93089628:A:G rs75425029 A G G EBF1_EBF_1 20 0 + 0 0 . chr9 93095337 93095338 chr9:93095338:T:A rs80246510 T A T EBF1_EBF_1 -1 0 + 0 0 . chr9 93095349 93095350 chr9:93095350:C:A rs151063289 C A C EBF1_EBF_1 11 1 + 8.913804617805484 13.329766315657873 ACTCCCCAGGGCCT chr9 93095367 93095368 chr9:93095368:G:C rs12378451 G C C EBF1_EBF_1 29 0 + 0 0 . chr9 93097005 93097006 chr9:93097006:C:G rs73651364 C G C EBF1_EBF_1 4 1 + 6.658546134108883 1.0327810329593388 CCTCCCCAGGGCCT chr9 93098365 93098366 chr9:93098366:G:A rs113222796 G A G EBF1_EBF_1 -20 0 + 0 0 . chr9 93113292 93113293 chr9:93113293:G:A rs2298243 G A G EBF1_EBF_1 20 0 - 0 0 . chr9 93114132 93114133 chr9:93114133:C:T rs4743887 C T C EBF1_EBF_1 7 1 + 9.082014974452848 10.899519720735471 CCTCCCTCGGGACT chr9 93130831 93130832 chr9:93130832:A:G rs7847349 A G A EBF1_EBF_1 33 0 - 0 0 . chr9 93132603 93132604 chr9:93132604:C:T rs7042420 C T T EBF1_EBF_1 6 1 + 10.816401842620747 11.117687971482503 AGCCCCCAGGGAAC chr9 93132610 93132611 chr9:93132611:C:T rs190161193 C T C EBF1_EBF_1 13 1 + 10.816401842620747 11.660895957206266 AGCCCCCAGGGAAC chr9 93132621 93132622 chr9:93132622:G:T rs574975191 G T G EBF1_EBF_1 24 0 + 0 0 . chr9 93138333 93138334 chr9:93138334:G:A rs6479455 G A g EBF1_EBF_1 -15 0 + 0 0 . chr9 93184770 93184771 chr9:93184771:C:T rs138367435 C T C EBF1_EBF_1 -12 0 + 0 0 . chr9 93199063 93199064 chr9:93199064:C:T rs34020099 C T C EBF1_EBF_1 22 0 - 0 0 . chr9 93209252 93209253 chr9:93209253:G:A rs147035098 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 93223370 93223371 chr9:93223371:C:T rs4744196 C T T EBF1_EBF_1 25 0 + 0 0 . chr9 93223521 93223522 chr9:93223522:T:C chr9:93223522:T:C T C T EBF1_EBF_1 6 1 - 10.441031138413164 8.27423670713869 AACCCCATGGGAAC chr9 93253355 93253356 chr9:93253356:C:A rs75282265 C A C EBF1_EBF_1 -12 0 + 0 0 . chr9 93253724 93253725 chr9:93253725:A:G rs4744204 A G A EBF1_EBF_1 -12 0 + 0 0 . chr9 93259140 93259141 chr9:93259141:C:T rs45534637 C T c EBF1_EBF_1 5 1 + 5.7393966845832205 0.4176094690425498 ACCCCCCTGGGGCG chr9 93261702 93261703 chr9:93261703:G:C rs114734427 G C G EBF1_EBF_1 -11 0 - 0 0 . chr9 93270048 93270049 chr9:93270049:G:A rs4744208 G A G EBF1_EBF_1 27 0 + 0 0 . chr9 93291585 93291586 chr9:93291586:T:G rs1317698 T G T EBF1_EBF_1 15 0 - 0 0 . chr9 93291645 93291646 chr9:93291646:G:A rs1889339 G A G EBF1_EBF_1 -8 0 + 0 0 . chr9 93295628 93295629 chr9:93295629:A:G rs55643343 A G G EBF1_EBF_1 -5 0 - 0 0 . chr9 93297653 93297654 chr9:93297654:G:C rs56300939 G C C EBF1_EBF_1 3 1 - 6.417468338558119 0.5897038904429018 GGTCCCAAGGTAGT chr9 93297667 93297668 chr9:93297668:G:C rs191859632 G C G EBF1_EBF_1 -11 0 - 0 0 . chr9 93302018 93302019 chr9:93302019:C:A rs6479473 C A A EBF1_EBF_1 8 1 - 6.009149407995885 -0.8822833809813091 CATCCCAGGAGAGT chr9 93302778 93302779 chr9:93302779:G:T rs116933944 G T G EBF1_EBF_1 13 1 - 8.86758491577925 8.493839466493275 ACTCCCTAGGGGTC chr9 93305959 93305960 chr9:93305960:C:T rs116687966 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 93306622 93306623 chr9:93306623:G:A rs12346137 G A G EBF1_EBF_1 -6 0 - 0 0 . chr9 93310578 93310579 chr9:93310579:C:G rs10992702 C G C EBF1_EBF_1 5 1 + 10.78188310690985 3.8770019542746823 CTTCCCCAGGGAAA chr9 93325639 93325640 chr9:93325640:C:T rs138081093 C T C EBF1_EBF_1 32 0 + 0 0 . chr9 93345782 93345783 chr9:93345783:C:T rs73514573 C T C EBF1_EBF_1 -11 0 - 0 0 . chr9 93354866 93354867 chr9:93354867:C:T rs10761215 C T T EBF1_EBF_1 -3 0 - 0 0 . chr9 93373397 93373398 chr9:93373398:C:T rs11789033 C T C EBF1_EBF_1 17 0 + 0 0 . chr9 93388041 93388042 chr9:93388042:G:T rs2494782 G T G EBF1_EBF_1 27 0 + 0 0 . chr9 93453707 93453708 chr9:93453708:C:T rs115871430 C T C EBF1_EBF_1 3 1 + 6.601028802996342 0.1956300614430253 ATTCCTCAGGGAAG chr9 93509011 93509012 chr9:93509012:A:G rs10821146 A G A EBF1_EBF_1 12 1 + 6.447014897675825 5.028197555576347 AGCCTCCAGGGAAC chr9 93511282 93511283 chr9:93511283:C:T rs7867466 C T C EBF1_EBF_1 28 0 - 0 0 . chr9 93576099 93576100 chr9:93576100:T:C rs10821161 T C C EBF1_EBF_1 -12 0 + 0 0 . chr9 93576139 93576140 chr9:93576140:T:A rs569075240 T A T EBF1_EBF_1 28 0 + 0 0 . chr9 93577711 93577712 chr9:93577712:G:A rs75508131 G A G EBF1_EBF_1 24 0 + 0 0 . chr9 93594527 93594528 chr9:93594528:G:T rs7044283 G T T EBF1_EBF_1 9 1 + 5.378445354869592 -1.4585440532192193 CACCCCAAGGGTCT chr9 93598159 93598160 chr9:93598160:A:G rs79849140 A G A EBF1_EBF_1 2 1 + 6.286467021567215 3.222608918965622 ACACCCCAGGGATG chr9 93659836 93659837 chr9:93659837:C:T rs3750357 C T C EBF1_EBF_1 26 0 - 0 0 . chr9 93666142 93666143 chr9:93666143:C:T rs76863038 C T C EBF1_EBF_1 14 0 - 0 0 . chr9 93666174 93666175 chr9:93666175:A:G rs10992840 A G G EBF1_EBF_1 -18 0 - 0 0 . chr9 93670633 93670634 chr9:93670634:A:G rs560979868 A G A EBF1_EBF_1 16 0 + 0 0 . chr9 93679272 93679273 chr9:93679273:C:T rs1132027 C T C EBF1_EBF_1 13 1 + 8.56036828665094 9.404862401236459 AGTCCCTAGGGCCC chr9 93681825 93681826 chr9:93681826:G:A rs7040595 G A G EBF1_EBF_1 33 0 - 0 0 . chr9 93682015 93682016 chr9:93682016:T:C rs112378964 T C T EBF1_EBF_1 27 0 - 0 0 . chr9 93682589 93682590 chr9:93682590:C:A rs142669288 C A C EBF1_EBF_1 15 0 + 0 0 . chr9 93698119 93698120 chr9:93698120:G:C rs75435181 G C G EBF1_EBF_1 -20 0 - 0 0 . chr9 93699836 93699837 chr9:93699837:C:T rs75889969 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 93722999 93723000 chr9:93723000:C:T rs76001666 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 93759408 93759409 chr9:93759409:A:G rs10761265 A G A EBF1_EBF_1 7 1 + 6.506920181323455 5.19446177603463 AGTCACCAGGGAAA chr9 93807474 93807475 chr9:93807475:A:G rs12000834 A G G EBF1_EBF_1 -11 0 + 0 0 . chr9 93819192 93819193 chr9:93819193:C:T rs117131268 C T C EBF1_EBF_1 25 0 - 0 0 . chr9 93819610 93819611 chr9:93819611:A:G rs4743934 A G G EBF1_EBF_1 26 0 - 0 0 . chr9 93819652 93819653 chr9:93819653:A:G rs144035223 A G A EBF1_EBF_1 -16 0 - 0 0 . chr9 93820671 93820672 chr9:93820672:G:C rs4743935 G C G EBF1_EBF_1 -20 0 + 0 0 . chr9 93823892 93823893 chr9:93823893:G:A rs10761282 G A A EBF1_EBF_1 26 0 + 0 0 . chr9 93827523 93827524 chr9:93827524:T:C rs62575610 T C T EBF1_EBF_1 -9 0 + 0 0 . chr9 93852029 93852030 chr9:93852030:T:C rs10821237 T C T EBF1_EBF_1 -3 0 - 0 0 . chr9 93869747 93869748 chr9:93869748:A:G rs10115198 A G G EBF1_EBF_1 2 1 - 9.913742667291938 8.024919255849788 AGTCCCAGGAGACT chr9 93890780 93890781 chr9:93890781:G:A rs10821253 G A G EBF1_EBF_1 4 1 - 4.497627424842046 0.12824047989712029 CCCCCCAGGGGGCC chr9 93895752 93895753 chr9:93895753:C:T rs61482071 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 93901775 93901776 chr9:93901776:C:T rs7048848 C T C EBF1_EBF_1 1 1 + 5.900610219081999 6.796043659480745 GCTCCCTGGAGATC chr9 93902555 93902556 chr9:93902556:C:T rs913477322 C T T EBF1_EBF_1 17 0 + 0 0 . chr9 93904366 93904367 chr9:93904367:C:A rs538091729 C A C EBF1_EBF_1 -7 0 - 0 0 . chr9 93948807 93948808 chr9:93948808:G:T rs11788035 G T T EBF1_EBF_1 -1 0 + 0 0 . chr9 93953258 93953259 chr9:93953259:C:G rs41281146 C G C EBF1_EBF_1 23 0 + 0 0 . chr9 93959281 93959282 chr9:93959282:G:T rs546811610 G T G EBF1_EBF_1 -4 0 + 0 0 . chr9 93959365 93959366 chr9:93959366:C:T rs10821275 C T C EBF1_EBF_1 27 0 - 0 0 . chr9 93964168 93964169 chr9:93964169:C:T rs7035334 C T C EBF1_EBF_1 25 0 - 0 0 . chr9 93964356 93964357 chr9:93964357:G:A rs1276297163 G A G EBF1_EBF_1 19 0 - 0 0 . chr9 93974016 93974017 chr9:93974017:G:A rs1933671 G A A EBF1_EBF_1 8 1 + 9.363065764810374 5.136775675924112 CTTCCCCAGGGAGA chr9 94154253 94154254 chr9:94154254:A:T rs10821305 A T A EBF1_EBF_1 0 1 + 6.283920110654355 4.310863687688333 ATTCTCTAGAGACA chr9 94166529 94166530 chr9:94166530:C:T rs10821310 C T c EBF1_EBF_1 31 0 - 0 0 . chr9 94166546 94166547 chr9:94166547:T:G chr9:94166547:T:G T G t EBF1_EBF_1 14 0 - 0 0 . chr9 94166550 94166551 chr9:94166551:C:A rs529204983 C A c EBF1_EBF_1 10 1 - 3.7074507446603953 -0.4753014415668696 GGCCCCCCGGGGCC chr9 94182789 94182790 chr9:94182790:T:C rs55881323 T C T EBF1_EBF_1 2 1 + 7.866363033659533 5.9775396222173836 TTTCCCCAGGGCAT chr9 94188931 94188932 chr9:94188932:T:G rs10821315 T G T EBF1_EBF_1 28 0 - 0 0 . chr9 94320416 94320417 chr9:94320417:G:A rs10993163 G A g EBF1_EBF_1 9 1 + 5.190428562565662 2.5354892971180583 TCTCCTCAGGGACC chr9 94323355 94323356 chr9:94323356:C:T rs7863391 C T c EBF1_EBF_1 30 0 + 0 0 . chr9 94325968 94325969 chr9:94325969:C:G rs7875387 C G g EBF1_EBF_1 31 0 - 0 0 . chr9 94587383 94587384 chr9:94587384:C:G rs573212 C G G EBF1_EBF_1 -7 0 + 0 0 . chr9 94600894 94600895 chr9:94600895:G:A rs4743960 G A G EBF1_EBF_1 6 1 - 7.177460050305188 7.4787461791669445 GTTCCCCGGAGAAA chr9 94669115 94669116 chr9:94669116:G:A rs138517102 G A G EBF1_EBF_1 30 0 + 0 0 . chr9 94669117 94669118 chr9:94669118:G:C rs28716590 G C G EBF1_EBF_1 32 0 + 0 0 . chr9 94768199 94768200 chr9:94768200:G:A rs1484998157 G A G EBF1_EBF_1 22 0 + 0 0 . chr9 94817939 94817940 chr9:94817940:A:G rs116032960 A G A EBF1_EBF_1 -1 0 - 0 0 . chr9 94937095 94937096 chr9:94937096:C:T rs12001616 C T C EBF1_EBF_1 -5 0 + 0 0 . chr9 94937175 94937176 chr9:94937176:C:T rs183651529 C T C EBF1_EBF_1 22 0 - 0 0 . chr9 94952805 94952806 chr9:94952806:G:A rs7852735 G A G EBF1_EBF_1 3 1 - 7.124489006852459 0.7190902652991416 GTCCCCAAGAGATT chr9 94974584 94974585 chr9:94974585:A:G rs28374530 A G A EBF1_EBF_1 7 1 + 7.338195943663824 6.025737538375 CCTCCCAAGGGTCT chr9 95004431 95004432 chr9:95004432:G:A rs187801305 G A G EBF1_EBF_1 -4 0 + 0 0 . chr9 95004473 95004474 chr9:95004474:G:A chr9:95004474:G:A G A G EBF1_EBF_1 6 1 + 6.088321537122214 8.255115968396687 AGCCCCGAGGGGCC chr9 95029172 95029173 chr9:95029173:T:A rs4744424 T A T EBF1_EBF_1 16 0 - 0 0 . chr9 95029884 95029885 chr9:95029885:A:G rs962229 A G A EBF1_EBF_1 22 0 - 0 0 . chr9 95044802 95044803 chr9:95044803:G:C rs10761372 G C C EBF1_EBF_1 -7 0 + 0 0 . chr9 95044835 95044836 chr9:95044836:C:T rs536984010 C T C EBF1_EBF_1 26 0 + 0 0 . chr9 95092288 95092289 chr9:95092289:G:A rs908060724 G A G EBF1_EBF_1 -6 0 - 0 0 . chr9 95092925 95092926 chr9:95092926:C:T rs73654520 C T C EBF1_EBF_1 -10 0 + 0 0 . chr9 95094526 95094527 chr9:95094527:C:T rs1889243 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 95183513 95183514 chr9:95183514:A:C rs171417 A C a EBF1_EBF_1 24 0 + 0 0 . chr9 95317489 95317490 chr9:95317490:C:T rs142260572 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 95317492 95317493 chr9:95317493:C:A rs4647351 C A C EBF1_EBF_1 18 0 + 0 0 . chr9 95384361 95384362 chr9:95384362:G:A rs905615 G A G EBF1_EBF_1 8 1 + 6.525063944039925 2.298773855153664 ACACCCACGGGAAA chr9 95413480 95413481 chr9:95413481:G:A rs357562 G A G EBF1_EBF_1 4 1 - 11.323158744640121 6.9537717996952 TTTCCCATGGGAAC chr9 95432478 95432479 chr9:95432479:G:A rs80321314 G A G EBF1_EBF_1 0 1 + 12.69451825429306 14.586802123857893 GTTCCCAAGGGACT chr9 95472620 95472621 chr9:95472621:C:T rs62558340 C T C EBF1_EBF_1 -12 0 + 0 0 . chr9 95506267 95506268 chr9:95506268:A:G rs865964629 A G A EBF1_EBF_1 -10 0 + 0 0 . chr9 95516130 95516131 chr9:95516131:C:T rs113154802 C T C EBF1_EBF_1 -20 0 + 0 0 . chr9 95517518 95517519 chr9:95517519:T:G rs28705285 T G G EBF1_EBF_1 21 0 + 0 0 . chr9 95523276 95523277 chr9:95523277:G:A rs3847312 G A G EBF1_EBF_1 29 0 - 0 0 . chr9 95553350 95553351 chr9:95553351:T:A chr9:95553351:T:A T A T EBF1_EBF_1 7 1 + 7.156540528586551 7.63281476867411 AACCCCCTGGGGCC chr9 95553372 95553373 chr9:95553373:A:G rs80046726 A G A EBF1_EBF_1 29 0 + 0 0 . chr9 95596400 95596401 chr9:95596401:C:A rs10115098 C A A EBF1_EBF_1 -11 0 + 0 0 . chr9 95603060 95603061 chr9:95603061:A:G rs10985640 A G G EBF1_EBF_1 30 0 + 0 0 . chr9 95632802 95632803 chr9:95632803:T:G rs10819911 T G G EBF1_EBF_1 27 0 - 0 0 . chr9 95634786 95634787 chr9:95634787:G:A rs116800694 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 95641958 95641959 chr9:95641959:A:G rs4742701 A G G EBF1_EBF_1 10 1 - 5.619059224461786 2.9110090233595756 GTTCCCAAGGTGCT chr9 95729410 95729411 chr9:95729411:C:A rs12337935 C A C EBF1_EBF_1 11 1 - 5.1948968179800366 3.9896894054853225 TTTCCCCCGGGGTC chr9 95738136 95738137 chr9:95738137:G:A rs753870 G A g EBF1_EBF_1 -16 0 - 0 0 . chr9 95743824 95743825 chr9:95743825:C:G rs700968 C G C EBF1_EBF_1 28 0 + 0 0 . chr9 95760859 95760860 chr9:95760860:A:G rs56129633 A G G EBF1_EBF_1 4 1 + 7.464460913273647 7.66325543557757 AATCACCAGGGAAT chr9 95764947 95764948 chr9:95764948:A:G rs150556531 A G A EBF1_EBF_1 16 0 + 0 0 . chr9 95832059 95832060 chr9:95832060:A:G rs55699149 A G A EBF1_EBF_1 29 0 - 0 0 . chr9 96023234 96023235 chr9:96023235:G:A rs114800090 G A G EBF1_EBF_1 32 0 + 0 0 . chr9 96028477 96028478 chr9:96028478:A:G rs62559794 A G A EBF1_EBF_1 1 1 + 4.997774005739886 5.258472837661188 AATTCCTGGGGACC chr9 96039270 96039271 chr9:96039271:G:A rs74774572 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 96044912 96044913 chr9:96044913:A:T rs4742824 A T T EBF1_EBF_1 0 1 - 5.836261088599663 7.809317511565684 TTTCCCCAGGTAGC chr9 96116908 96116909 chr9:96116909:T:G chr9:96116909:T:G T G T EBF1_EBF_1 19 0 + 0 0 . chr9 96166526 96166527 chr9:96166527:C:T rs115796197 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 96174321 96174322 chr9:96174322:A:G rs7857532 A G G EBF1_EBF_1 30 0 + 0 0 . chr9 96178607 96178608 chr9:96178608:A:G rs7047546 A G G EBF1_EBF_1 -7 0 - 0 0 . chr9 96193593 96193594 chr9:96193594:A:C rs4742876 A C A EBF1_EBF_1 -16 0 - 0 0 . chr9 96232817 96232818 chr9:96232818:C:A rs142269438 C A C EBF1_EBF_1 22 0 + 0 0 . chr9 96233107 96233108 chr9:96233108:G:C rs78023375 G C G EBF1_EBF_1 -1 0 + 0 0 . chr9 96313103 96313104 chr9:96313104:A:G rs1927885 A G G EBF1_EBF_1 -20 0 + 0 0 . chr9 96327949 96327950 chr9:96327950:C:T rs896849273 C T C EBF1_EBF_1 3 1 + 5.17034120219438 -1.2350575393589374 TGTCCCCAGAGAGG chr9 96339878 96339879 chr9:96339879:G:A rs73534946 G A G EBF1_EBF_1 6 1 + 6.91144059682966 9.078235028104135 ATTCCCGGAGGAAT chr9 96396130 96396131 chr9:96396131:T:C rs1036161585 T C T EBF1_EBF_1 32 0 + 0 0 . chr9 96413855 96413856 chr9:96413856:A:C rs7866098 A C C EBF1_EBF_1 13 1 + 7.9913580843240695 8.365103533610045 CCTCCCCTGGGAGA chr9 96417360 96417361 chr9:96417361:C:T rs533500104 C T C EBF1_EBF_1 12 1 + 5.1543245710302905 4.128058000479441 CCTCCCCAGCGACC chr9 96417370 96417371 chr9:96417371:C:T rs35590230 C T C EBF1_EBF_1 22 0 + 0 0 . chr9 96448983 96448984 chr9:96448984:C:A rs74526745 C A C EBF1_EBF_1 28 0 - 0 0 . chr9 96449908 96449909 chr9:96449909:C:G rs563514449 C G C EBF1_EBF_1 19 0 + 0 0 . chr9 96486916 96486917 chr9:96486917:C:T rs71499954 C T C EBF1_EBF_1 26 0 - 0 0 . chr9 96486921 96486922 chr9:96486922:C:T rs71499955 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 96486928 96486929 chr9:96486929:G:A rs1419667393 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 96496968 96496969 chr9:96496969:C:T rs10761086 C T C EBF1_EBF_1 -15 0 + 0 0 . chr9 96499087 96499088 chr9:96499088:G:T rs1302657860 G T G EBF1_EBF_1 32 0 - 0 0 . chr9 96514222 96514223 chr9:96514223:A:C rs894119456 A C A EBF1_EBF_1 1 1 - 6.789207794822199 6.083546008992671 ATTCCCAGGGTAAG chr9 96519986 96519987 chr9:96519987:G:C rs10820757 G C G EBF1_EBF_1 10 1 + 5.122305259508964 -1.7684971278205108 GTCCCTCAGGGACT chr9 96523569 96523570 chr9:96523570:C:T rs10125037 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 96566721 96566722 chr9:96566722:G:A rs115039779 G A G EBF1_EBF_1 -11 0 + 0 0 . chr9 96618075 96618076 chr9:96618076:G:A rs935040252 G A G EBF1_EBF_1 26 0 + 0 0 . chr9 96618080 96618081 chr9:96618081:A:C chr9:96618081:A:C A C A EBF1_EBF_1 31 0 + 0 0 . chr9 96618780 96618781 chr9:96618781:C:T rs113340683 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 96618794 96618795 chr9:96618795:G:A rs912863864 G A G EBF1_EBF_1 17 0 - 0 0 . chr9 96719604 96719605 chr9:96719605:G:A rs77440902 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 97046409 97046410 chr9:97046410:T:G rs7873286 T G T EBF1_EBF_1 23 0 + 0 0 . chr9 97128724 97128725 chr9:97128725:C:T rs13295383 C T C EBF1_EBF_1 9 1 + 5.780529526019586 4.01922926427624 ATTCCCCTGCGGCT chr9 97133728 97133729 chr9:97133729:C:T rs60971320 C T C EBF1_EBF_1 8 1 - 4.843528490336991 0.6172384014507295 GGTCACATGGGACC chr9 97232649 97232650 chr9:97232650:C:T rs7875158 C T t EBF1_EBF_1 7 1 - 5.866737338781083 7.179195744069909 ATTGCCCGGGGACA chr9 97232987 97232988 chr9:97232988:C:G rs10981278 C G g EBF1_EBF_1 0 1 + 5.527549434005025 5.890524048136792 CGTCCCCTGGGCCC chr9 97293452 97293453 chr9:97293453:G:C rs114037982 G C G EBF1_EBF_1 21 0 + 0 0 . chr9 97334287 97334288 chr9:97334288:T:C rs10817448 T C T EBF1_EBF_1 -13 0 - 0 0 . chr9 97367956 97367957 chr9:97367957:G:C rs182760669 G C G EBF1_EBF_1 33 0 - 0 0 . chr9 97385293 97385294 chr9:97385294:T:C rs4743085 T C T EBF1_EBF_1 -14 0 + 0 0 . chr9 97404696 97404697 chr9:97404697:A:G rs1033558574 A G A EBF1_EBF_1 -18 0 + 0 0 . chr9 97412375 97412376 chr9:97412376:T:C rs562639572 T C T EBF1_EBF_1 20 0 - 0 0 . chr9 97517426 97517427 chr9:97517427:C:T rs72751508 C T C EBF1_EBF_1 3 1 + 5.741419969001357 -0.6639787725519612 GACCCCAAGGGTCT chr9 97548567 97548568 chr9:97548568:C:T rs77998794 C T C EBF1_EBF_1 15 0 - 0 0 . chr9 97573116 97573117 chr9:97573117:A:G rs79346411 A G A EBF1_EBF_1 25 0 - 0 0 . chr9 97667192 97667193 chr9:97667193:G:A rs2297160 G A A EBF1_EBF_1 18 0 - 0 0 . chr9 97704352 97704353 chr9:97704353:T:G chr9:97704353:T:G T G T EBF1_EBF_1 -11 0 - 0 0 . chr9 97704353 97704354 chr9:97704354:G:A rs2805831 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 97710945 97710946 chr9:97710946:A:G rs76006242 A G A EBF1_EBF_1 27 0 - 0 0 . chr9 97795008 97795009 chr9:97795009:C:T rs16924274 C T C EBF1_EBF_1 9 1 - 5.749638510735701 3.0946992452880973 CAACCCTAGGGATC chr9 97852368 97852369 chr9:97852369:G:T rs74606599 G T G EBF1_EBF_1 30 0 - 0 0 . chr9 97857717 97857718 chr9:97857718:G:T rs535177596 G T G EBF1_EBF_1 29 0 + 0 0 . chr9 97859103 97859104 chr9:97859104:T:C rs1912998 T C C EBF1_EBF_1 -12 0 - 0 0 . chr9 97862910 97862911 chr9:97862911:T:C rs10759975 T C T EBF1_EBF_1 -15 0 - 0 0 . chr9 97900416 97900417 chr9:97900417:C:A rs114759413 C A C EBF1_EBF_1 20 0 - 0 0 . chr9 97909494 97909495 chr9:97909495:G:C rs12237296 G C C EBF1_EBF_1 8 1 + 4.656677624183652 -0.6619812367310336 CTTCCCCTGTGACT chr9 98060852 98060853 chr9:98060853:G:C rs1058446 G C G EBF1_EBF_1 33 0 - 0 0 . chr9 98082724 98082725 chr9:98082725:A:G rs2281732 A G A EBF1_EBF_1 -9 0 + 0 0 . chr9 98118276 98118277 chr9:98118277:T:G rs72755474 T G T EBF1_EBF_1 25 0 + 0 0 . chr9 98119242 98119243 chr9:98119243:C:G rs2761063 C G C EBF1_EBF_1 14 0 + 0 0 . chr9 98160591 98160592 chr9:98160592:G:A rs1544205 G A G EBF1_EBF_1 9 1 + 7.277879704724305 4.622940439276701 CCTCCCTAGGGTCT chr9 98169460 98169461 chr9:98169461:T:C rs367759405 T C T EBF1_EBF_1 33 0 - 0 0 . chr9 98174696 98174697 chr9:98174697:T:C rs56916178 T C T EBF1_EBF_1 -3 0 - 0 0 . chr9 98207853 98207854 chr9:98207854:C:A rs3780448 C A C EBF1_EBF_1 11 1 - 6.308213281900586 5.103005869405872 AACCCCAGGGGGCA chr9 98214856 98214857 chr9:98214857:T:C rs12348328 T C C EBF1_EBF_1 -15 0 - 0 0 . chr9 98222572 98222573 chr9:98222573:C:T rs10760206 C T C EBF1_EBF_1 7 1 - 8.875277390084038 10.187735795372863 CCTCCCTGGGGAAA chr9 98223543 98223544 chr9:98223544:T:G rs12352986 T G T EBF1_EBF_1 -11 0 + 0 0 . chr9 98228297 98228298 chr9:98228298:A:C rs73488713 A C A EBF1_EBF_1 25 0 + 0 0 . chr9 98244153 98244154 chr9:98244154:C:A rs10818668 C A A EBF1_EBF_1 30 0 + 0 0 . chr9 98255394 98255395 chr9:98255395:A:G rs115736722 A G A EBF1_EBF_1 -18 0 + 0 0 . chr9 98265062 98265063 chr9:98265063:T:A rs1469802 T A A EBF1_EBF_1 1 1 - 5.85308238378652 6.819443001537349 TATCCCATGGAACT chr9 98304630 98304631 chr9:98304631:T:C rs7848116 T C C EBF1_EBF_1 -10 0 - 0 0 . chr9 98306684 98306685 chr9:98306685:T:G rs7856392 T G G EBF1_EBF_1 -6 0 - 0 0 . chr9 98308594 98308595 chr9:98308595:A:G rs10985815 A G G EBF1_EBF_1 27 0 - 0 0 . chr9 98321136 98321137 chr9:98321137:T:C rs28420974 T C T EBF1_EBF_1 14 0 - 0 0 . chr9 98356454 98356455 chr9:98356455:A:C rs535021 A C A EBF1_EBF_1 -15 0 - 0 0 . chr9 98385902 98385903 chr9:98385903:C:T rs41273933 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 98472115 98472116 chr9:98472116:A:T rs75662158 A T A EBF1_EBF_1 31 0 - 0 0 . chr9 98496598 98496599 chr9:98496599:T:C rs1000440 T C C EBF1_EBF_1 -5 0 - 0 0 . chr9 98504301 98504302 chr9:98504302:C:T rs114097790 C T C EBF1_EBF_1 -12 0 + 0 0 . chr9 98507288 98507289 chr9:98507289:T:C rs2779558 T C T EBF1_EBF_1 27 0 - 0 0 . chr9 98516565 98516566 chr9:98516566:C:G rs868234 C G G EBF1_EBF_1 -4 0 + 0 0 . chr9 98517892 98517893 chr9:98517893:G:A rs10986509 G A G EBF1_EBF_1 5 1 - 4.445840669172255 -0.8759465463684144 ACTCCCTCTGGACT chr9 98532938 98532939 chr9:98532939:A:G rs6478747 A G A EBF1_EBF_1 29 0 - 0 0 . chr9 98549771 98549772 chr9:98549772:T:C rs61233819 T C T EBF1_EBF_1 27 0 + 0 0 . chr9 98578142 98578143 chr9:98578143:A:G rs3750343 A G A EBF1_EBF_1 25 0 + 0 0 . chr9 98593666 98593667 chr9:98593667:T:C rs10986878 T C T EBF1_EBF_1 -3 0 - 0 0 . chr9 98597109 98597110 chr9:98597110:T:C rs2151216 T C T EBF1_EBF_1 -15 0 - 0 0 . chr9 98616165 98616166 chr9:98616166:C:T rs2778912 C T C EBF1_EBF_1 10 1 - 10.11427488134743 4.7962464220804675 CTTCCCTGGGGACC chr9 98667516 98667517 chr9:98667517:T:C rs10435898 T C C EBF1_EBF_1 26 0 + 0 0 . chr9 98689222 98689223 chr9:98689223:G:T rs337533 G T G EBF1_EBF_1 -17 0 - 0 0 . chr9 98690348 98690349 chr9:98690349:G:A rs337535 G A G EBF1_EBF_1 10 1 + 5.965420950101839 0.6473924908348729 TTTCCCCAGGGCTC chr9 98707165 98707166 chr9:98707166:T:C rs394488 T C T EBF1_EBF_1 -10 0 - 0 0 . chr9 98714320 98714321 chr9:98714321:C:T rs72731093 C T C EBF1_EBF_1 4 1 + 5.202202253665316 0.832815308720392 ACCCCTTAGGGACA chr9 98714332 98714333 chr9:98714333:G:A rs72731094 G A G EBF1_EBF_1 16 0 + 0 0 . chr9 98726335 98726336 chr9:98726336:C:T rs6478839 C T C EBF1_EBF_1 8 1 - 5.305141270661882 1.0788511817756203 GCTCCCCAGGAAAC chr9 98727654 98727655 chr9:98727655:C:T rs10819266 C T C EBF1_EBF_1 -19 0 + 0 0 . chr9 98771260 98771261 chr9:98771261:T:C rs72735326 T C T EBF1_EBF_1 -10 0 + 0 0 . chr9 98775177 98775178 chr9:98775178:A:C rs7867234 A C C EBF1_EBF_1 19 0 - 0 0 . chr9 98787242 98787243 chr9:98787243:G:A rs565678628 G A G EBF1_EBF_1 9 1 + 6.702175082890584 4.047235817442981 ATCCCCCAGGGCCA chr9 98806444 98806445 chr9:98806445:A:G rs11790900 A G A EBF1_EBF_1 -5 0 + 0 0 . chr9 98877086 98877087 chr9:98877087:G:A rs10819414 G A A EBF1_EBF_1 12 1 - 5.714848225815624 4.688581655264774 GCTCCCTTGAGGCT chr9 98877237 98877238 chr9:98877238:C:G rs35310073 C G C EBF1_EBF_1 8 1 - 6.84982614483759 1.5311672839229065 ATCCCCCAGGGTGT chr9 98877241 98877242 chr9:98877242:G:T rs35510610 G T G EBF1_EBF_1 4 1 - 6.84982614483759 1.0252665213841246 ATCCCCCAGGGTGT chr9 98878554 98878555 chr9:98878555:T:C rs61731852 T C T EBF1_EBF_1 33 0 - 0 0 . chr9 98880072 98880073 chr9:98880073:A:G rs115891093 A G G EBF1_EBF_1 0 1 + 7.823805500781581 5.9315216312167465 ATTCCCATGGGCTC chr9 98880093 98880094 chr9:98880094:A:G rs10988060 A G A EBF1_EBF_1 21 0 + 0 0 . chr9 98901290 98901291 chr9:98901291:G:A rs117968037 G A G EBF1_EBF_1 -10 0 + 0 0 . chr9 98906910 98906911 chr9:98906911:C:G rs10819452 C G C EBF1_EBF_1 33 0 - 0 0 . chr9 98906942 98906943 chr9:98906943:G:T rs79160289 G T G EBF1_EBF_1 1 1 - 4.1735313499267015 4.102604172574617 GCCCCCATGGGCCC chr9 98925288 98925289 chr9:98925289:T:C rs116953747 T C T EBF1_EBF_1 6 1 + 4.9296033864275834 4.628317257565827 GTTCCCTGGAGGCC chr9 98925296 98925297 chr9:98925297:C:T rs117298511 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 98935740 98935741 chr9:98935741:T:C rs1033813 T C T EBF1_EBF_1 17 0 - 0 0 . chr9 98940596 98940597 chr9:98940597:G:A rs3758313 G A G EBF1_EBF_1 -7 0 + 0 0 . chr9 98964975 98964976 chr9:98964976:C:G rs77115457 C G C EBF1_EBF_1 33 0 - 0 0 . chr9 98967953 98967954 chr9:98967954:A:G rs882728 A G A EBF1_EBF_1 -19 0 + 0 0 . chr9 98971567 98971568 chr9:98971568:C:G rs545288536 C G C EBF1_EBF_1 9 1 - 6.545546129776877 1.4698569834314132 CCACCCCAGGGACC chr9 98971579 98971580 chr9:98971580:T:C rs1473791 T C C EBF1_EBF_1 -3 0 - 0 0 . chr9 98994661 98994662 chr9:98994662:C:T rs145042931 C T C EBF1_EBF_1 32 0 + 0 0 . chr9 99050712 99050713 chr9:99050713:G:A rs113177990 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 99059448 99059449 chr9:99059449:A:C rs112053546 A C A EBF1_EBF_1 -16 0 + 0 0 . chr9 99059465 99059466 chr9:99059466:C:T rs752477037 C T C EBF1_EBF_1 1 1 + 6.560151481219638 7.455584921618382 ACTCCCTAGAGCCT chr9 99060019 99060020 chr9:99060020:C:T rs7031588 C T C EBF1_EBF_1 30 0 - 0 0 . chr9 99076793 99076794 chr9:99076794:G:C chr9:99076794:G:C G C G EBF1_EBF_1 23 0 + 0 0 . chr9 99093935 99093936 chr9:99093936:T:C rs10988702 T C T EBF1_EBF_1 32 0 - 0 0 . chr9 99104912 99104913 chr9:99104913:G:C chr9:99104913:G:C G C G EBF1_EBF_1 1 1 + 8.085126095232447 7.89535444066323 AGCCCCCGGGGAGC chr9 99159996 99159997 chr9:99159997:G:A rs789675 G A g EBF1_EBF_1 -11 0 + 0 0 . chr9 99166419 99166420 chr9:99166420:A:C rs334363 A C C EBF1_EBF_1 27 0 + 0 0 . chr9 99171204 99171205 chr9:99171205:C:A rs79401641 C A C EBF1_EBF_1 18 0 + 0 0 . chr9 99171389 99171390 chr9:99171390:G:A rs184405603 G A G EBF1_EBF_1 4 1 - 6.744430037317177 2.3750430923722536 CGTCCCCTGAGAGT chr9 99176532 99176533 chr9:99176533:G:A rs16918352 G A A EBF1_EBF_1 32 0 - 0 0 . chr9 99190887 99190888 chr9:99190888:C:T rs10988745 C T T EBF1_EBF_1 25 0 - 0 0 . chr9 99222210 99222211 chr9:99222211:C:T chr9:99222211:C:T C T C EBF1_EBF_1 29 0 - 0 0 . chr9 99259036 99259037 chr9:99259037:T:C rs1699412 T C C EBF1_EBF_1 -15 0 - 0 0 . chr9 99302019 99302020 chr9:99302020:A:G rs162622 A G A EBF1_EBF_1 30 0 + 0 0 . chr9 99358864 99358865 chr9:99358865:A:C rs701342 A C C EBF1_EBF_1 11 1 + 6.558719683531449 2.14275798567906 AGCCCCATGAGAAG chr9 99366308 99366309 chr9:99366309:G:A rs11791756 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 99489896 99489897 chr9:99489897:T:C rs2416764 T C T EBF1_EBF_1 -14 0 + 0 0 . chr9 99601566 99601567 chr9:99601567:G:A rs146912648 G A G EBF1_EBF_1 21 0 - 0 0 . chr9 100066708 100066709 chr9:100066709:C:G rs73505717 C G c EBF1_EBF_1 18 0 + 0 0 . chr9 100066717 100066718 chr9:100066718:C:T rs145939865 C T c EBF1_EBF_1 27 0 + 0 0 . chr9 100281732 100281733 chr9:100281733:A:C rs946054 A C A EBF1_EBF_1 0 1 + 4.911155792764533 2.655897309067931 ACCCCCCTGGTATC chr9 100352575 100352576 chr9:100352576:C:G rs536753980 C G C EBF1_EBF_1 8 1 - 5.9376313915469385 0.6189725306322534 ACCCCCTAGGGCGT chr9 100352593 100352594 chr9:100352594:G:A rs11791943 G A G EBF1_EBF_1 -10 0 - 0 0 . chr9 100429501 100429502 chr9:100429502:C:T rs556994648 C T C EBF1_EBF_1 6 1 + 9.552816825504127 9.854102954365883 ATTCCCCTAGGAAT chr9 100436737 100436738 chr9:100436738:G:A rs12004891 G A G EBF1_EBF_1 -11 0 + 0 0 . chr9 100449756 100449757 chr9:100449757:T:C rs56720423 T C C EBF1_EBF_1 1 1 + 8.760762440100644 7.865328999701897 ATCCCCAGGAGAAT chr9 100468673 100468674 chr9:100468674:C:G rs12684454 C G C EBF1_EBF_1 8 1 - 8.809916866444562 3.4912580055298754 ACTCCCCTGAGAGT chr9 100473831 100473832 chr9:100473832:C:A rs35255178 C A C EBF1_EBF_1 23 0 - 0 0 . chr9 100578026 100578027 chr9:100578027:T:C rs1226591 T C C EBF1_EBF_1 7 1 - 5.946791390416999 4.634332985128174 CACCCCTAGAGAAC chr9 100670070 100670071 chr9:100670071:G:C rs34268339 G C G EBF1_EBF_1 -16 0 + 0 0 . chr9 100700804 100700805 chr9:100700805:G:A rs77916002 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 100756420 100756421 chr9:100756421:G:C rs767016374 G C G EBF1_EBF_1 18 0 + 0 0 . chr9 100759505 100759506 chr9:100759506:T:C rs7024267 T C t EBF1_EBF_1 -19 0 - 0 0 . chr9 100855484 100855485 chr9:100855485:C:T rs57169220 C T C EBF1_EBF_1 19 0 - 0 0 . chr9 101094848 101094849 chr9:101094849:T:A rs946497 T A T EBF1_EBF_1 -20 0 + 0 0 . chr9 101094875 101094876 chr9:101094876:T:C rs16919832 T C T EBF1_EBF_1 7 1 + 8.067129116070982 6.249624369788358 CCTCCCATGGGGCT chr9 101230399 101230400 chr9:101230400:C:G rs78124577 C G C EBF1_EBF_1 -16 0 + 0 0 . chr9 101310499 101310500 chr9:101310500:C:T rs77498727 C T C EBF1_EBF_1 5 1 + 8.834067396666079 3.5122801811254067 ACCCCCCAGGGAGA chr9 101449355 101449356 chr9:101449356:C:T rs76099504 C T C EBF1_EBF_1 23 0 - 0 0 . chr9 101449397 101449398 chr9:101449398:G:C rs547470404 G C g EBF1_EBF_1 -19 0 - 0 0 . chr9 101451005 101451006 chr9:101451006:G:A rs10819935 G A A EBF1_EBF_1 0 1 - 7.246293944406918 7.528496005137495 CGTCCCTTGAGAAA chr9 101466548 101466549 chr9:101466549:G:A rs187257427 G A G EBF1_EBF_1 -16 0 + 0 0 . chr9 101486672 101486673 chr9:101486673:C:G chr9:101486673:C:G C G C EBF1_EBF_1 29 0 - 0 0 . chr9 101533481 101533482 chr9:101533482:G:T rs3818337 G T T EBF1_EBF_1 1 1 + 6.552817305973789 7.258479091803317 TGTCCCCGGAGATT chr9 101533486 101533487 chr9:101533487:C:T rs3818336 C T C EBF1_EBF_1 6 1 + 6.552817305973789 6.8541034348355465 TGTCCCCGGAGATT chr9 101533502 101533503 chr9:101533503:C:T rs534370036 C T C EBF1_EBF_1 22 0 + 0 0 . chr9 101622301 101622302 chr9:101622302:A:G rs112617014 A G A EBF1_EBF_1 -15 0 + 0 0 . chr9 101627912 101627913 chr9:101627913:A:C rs2417290 A C A EBF1_EBF_1 29 0 + 0 0 . chr9 101664586 101664587 chr9:101664587:T:A rs1885978 T A A EBF1_EBF_1 -20 0 - 0 0 . chr9 101792894 101792895 chr9:101792895:A:C rs1415654 A C C EBF1_EBF_1 26 0 - 0 0 . chr9 102028531 102028532 chr9:102028532:T:C rs180903175 T C T EBF1_EBF_1 14 0 + 0 0 . chr9 102028550 102028551 chr9:102028551:G:A rs3012612 G A g EBF1_EBF_1 33 0 + 0 0 . chr9 102371865 102371866 chr9:102371866:G:T rs7875911 G T G EBF1_EBF_1 31 0 - 0 0 . chr9 102461657 102461658 chr9:102461658:C:A rs34427185 C A C EBF1_EBF_1 3 1 + 11.890283502948236 4.984109473482429 ATCCCCCTGGGAAT chr9 102483892 102483893 chr9:102483893:T:C rs1946334 T C C EBF1_EBF_1 31 0 - 0 0 . chr9 102584175 102584176 chr9:102584176:C:T rs75054685 C T C EBF1_EBF_1 9 1 + 5.339850331097407 3.578550069354061 ATTCCCTAGCGGCA chr9 102697484 102697485 chr9:102697485:T:G rs1412803 T G G EBF1_EBF_1 2 1 + 5.452588445325074 -1.2957372448753945 GGTCCCAAGGAACA chr9 102713108 102713109 chr9:102713109:T:C chr9:102713109:T:C T C T EBF1_EBF_1 -17 0 - 0 0 . chr9 102841627 102841628 chr9:102841628:T:G rs56260494 T G T EBF1_EBF_1 -10 0 + 0 0 . chr9 102967444 102967445 chr9:102967445:A:C rs10119972 A C c EBF1_EBF_1 1 1 + 5.478062970990503 5.548990148342589 AATCCCCAGAGGGC chr9 102968004 102968005 chr9:102968005:C:T rs112318369 C T c EBF1_EBF_1 -17 0 + 0 0 . chr9 103474308 103474309 chr9:103474309:G:A rs10990709 G A G EBF1_EBF_1 2 1 - 6.2526192449535944 8.141442656395741 AACCCCTTGGGTCC chr9 103502271 103502272 chr9:103502272:T:A rs925224 T A T EBF1_EBF_1 -10 0 + 0 0 . chr9 103614817 103614818 chr9:103614818:T:C rs10990830 T C C EBF1_EBF_1 6 1 - 5.6874525784056775 3.5206581471312024 AGTCCCAGAAGACT chr9 103680879 103680880 chr9:103680880:T:C rs1499415 T C C EBF1_EBF_1 26 0 - 0 0 . chr9 103702248 103702249 chr9:103702249:G:C rs970602 G C G EBF1_EBF_1 -12 0 + 0 0 . chr9 103702286 103702287 chr9:103702287:C:T rs10760985 C T C EBF1_EBF_1 26 0 + 0 0 . chr9 103838072 103838073 chr9:103838073:A:T rs73662947 A T T EBF1_EBF_1 27 0 - 0 0 . chr9 103838097 103838098 chr9:103838098:T:C rs73662948 T C T EBF1_EBF_1 2 1 - 7.341241492443244 4.277383389841651 AAACCCCAGGGAGC chr9 103879845 103879846 chr9:103879846:G:C rs62585061 G C G EBF1_EBF_1 10 1 + 6.182271894021325 -0.7085304933081495 CCTCCCCTGGGCCT chr9 103881135 103881136 chr9:103881136:C:A rs7863204 C A A EBF1_EBF_1 -13 0 + 0 0 . chr9 103881192 103881193 chr9:103881193:G:A rs10990970 G A G EBF1_EBF_1 0 1 - 7.549833253260674 7.832035313991252 CTTCCCAGGAGAAC chr9 103998263 103998264 chr9:103998264:T:C rs1545293 T C T EBF1_EBF_1 -16 0 + 0 0 . chr9 104038807 104038808 chr9:104038808:T:C rs1411097 T C C EBF1_EBF_1 1 1 - 6.470570326993691 6.731269158914993 AACCCCCAGAGATA chr9 104069514 104069515 chr9:104069515:G:A rs78302554 G A g EBF1_EBF_1 -12 0 - 0 0 . chr9 104101056 104101057 chr9:104101057:C:T rs62583475 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 104200808 104200809 chr9:104200809:G:A rs791864 G A G EBF1_EBF_1 -16 0 + 0 0 . chr9 104313865 104313866 chr9:104313866:G:A rs4743707 G A A EBF1_EBF_1 -15 0 + 0 0 . chr9 104361342 104361343 chr9:104361343:A:T rs73505937 A T A EBF1_EBF_1 24 0 - 0 0 . chr9 104473920 104473921 chr9:104473921:T:G rs792259 T G T EBF1_EBF_1 20 0 - 0 0 . chr9 104605718 104605719 chr9:104605719:A:G rs13289190 A G A EBF1_EBF_1 -5 0 + 0 0 . chr9 104637059 104637060 chr9:104637060:A:G rs10761063 A G G EBF1_EBF_1 -8 0 + 0 0 . chr9 104637236 104637237 chr9:104637237:G:C rs35869669 G C G EBF1_EBF_1 9 1 + 8.722203237001999 3.6465140906565345 GCCCCCAAGGGAAA chr9 104683353 104683354 chr9:104683354:G:T rs7042488 G T T EBF1_EBF_1 18 0 + 0 0 . chr9 104743605 104743606 chr9:104743606:C:A rs10761080 C A A EBF1_EBF_1 23 0 - 0 0 . chr9 104772857 104772858 chr9:104772858:G:A rs137968667 G A G EBF1_EBF_1 31 0 - 0 0 . chr9 104840384 104840385 chr9:104840385:C:T rs2246841 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 104840892 104840893 chr9:104840893:C:T rs2472450 C T T EBF1_EBF_1 -7 0 - 0 0 . chr9 104870039 104870040 chr9:104870040:T:C rs28714441 T C T EBF1_EBF_1 -16 0 + 0 0 . chr9 104882455 104882456 chr9:104882456:A:C rs3858074 A C C EBF1_EBF_1 -9 0 + 0 0 . chr9 104882798 104882799 chr9:104882799:C:T rs2275542 C T T EBF1_EBF_1 9 1 - 11.217154232707482 8.56221496725988 AGTCCCCAGGGAAG chr9 104926610 104926611 chr9:104926611:C:T rs62568211 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 104936330 104936331 chr9:104936331:C:T rs3887137 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 104952846 104952847 chr9:104952847:C:A rs79949216 C A C EBF1_EBF_1 16 0 - 0 0 . chr9 104969507 104969508 chr9:104969508:G:A rs7023634 G A A EBF1_EBF_1 8 1 + 7.756444414098803 3.53015432521254 TTCCCCCAGGGAGA chr9 105063959 105063960 chr9:105063960:C:T rs59253605 C T C EBF1_EBF_1 -4 0 - 0 0 . chr9 105118850 105118851 chr9:105118851:T:C rs116344183 T C T EBF1_EBF_1 15 0 + 0 0 . chr9 105172040 105172041 chr9:105172041:C:A rs72742525 C A C EBF1_EBF_1 28 0 - 0 0 . chr9 105216447 105216448 chr9:105216448:A:G rs13300318 A G A EBF1_EBF_1 1 1 - 6.293285027544576 5.397851587145832 ATTCCAAAGGGAGT chr9 105217185 105217186 chr9:105217186:T:C rs416573 T C C EBF1_EBF_1 -2 0 - 0 0 . chr9 105297020 105297021 chr9:105297021:C:T rs327992 C T T EBF1_EBF_1 -14 0 + 0 0 . chr9 105297062 105297063 chr9:105297063:A:G rs73666624 A G A EBF1_EBF_1 28 0 + 0 0 . chr9 105416983 105416984 chr9:105416984:C:T rs10125150 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 105558204 105558205 chr9:105558205:T:A rs114717870 T A A EBF1_EBF_1 -3 0 - 0 0 . chr9 105659708 105659709 chr9:105659709:A:G rs7037016 A G G EBF1_EBF_1 25 0 - 0 0 . chr9 105663016 105663017 chr9:105663017:C:T rs115542767 C T C EBF1_EBF_1 3 1 + 8.08211119772394 1.6767124561706224 ACCCCCCAGGGATG chr9 105678260 105678261 chr9:105678261:T:C rs10120372 T C T EBF1_EBF_1 1 1 + 7.277563159934497 6.382129719535752 CTTCCCTGGAGATT chr9 105681386 105681387 chr9:105681387:T:C rs3793640 T C C EBF1_EBF_1 -5 0 - 0 0 . chr9 105737767 105737768 chr9:105737768:A:G rs7047435 A G G EBF1_EBF_1 -4 0 - 0 0 . chr9 105751956 105751957 chr9:105751957:G:A rs79775634 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 105830772 105830773 chr9:105830773:C:G rs1359047742 C G C EBF1_EBF_1 0 1 - 8.489918455594013 8.126943841462248 GTTCCCCAGAGAAA chr9 105877891 105877892 chr9:105877892:G:A rs144618992 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 105890884 105890885 chr9:105890885:T:C rs62576675 T C T EBF1_EBF_1 -2 0 + 0 0 . chr9 105913342 105913343 chr9:105913343:C:G rs10759152 C G G EBF1_EBF_1 10 1 - 8.069310503219965 1.1785081158904913 ACTCCCCAGGGCCC chr9 105913365 105913366 chr9:105913366:T:A rs4742973 T A A EBF1_EBF_1 -13 0 - 0 0 . chr9 105967947 105967948 chr9:105967948:A:G rs192857082 A G A EBF1_EBF_1 12 1 + 5.060892856630559 3.642075514531082 CCTTCCAAGGGAAT chr9 105992546 105992547 chr9:105992547:T:C rs7866046 T C T EBF1_EBF_1 11 1 - 7.118535109375784 4.2258282657717725 TTTCCCAGGGTACT chr9 106134086 106134087 chr9:106134087:G:A rs1914291 G A A EBF1_EBF_1 0 1 - 5.242639245571163 5.52484130630174 CGTCCCAGAGGACC chr9 106156742 106156743 chr9:106156743:G:A rs10978431 G A A EBF1_EBF_1 27 0 - 0 0 . chr9 106288844 106288845 chr9:106288845:T:C rs113308406 T C C EBF1_EBF_1 -14 0 - 0 0 . chr9 106322256 106322257 chr9:106322257:A:G rs112307908 A G A EBF1_EBF_1 17 0 + 0 0 . chr9 106370480 106370481 chr9:106370481:T:C rs1304903 T C T EBF1_EBF_1 7 1 - 3.8947876552222276 2.5823292499334016 AGCCCCCATGGACC chr9 106431435 106431436 chr9:106431436:G:A rs1352593 G A G EBF1_EBF_1 12 1 - 7.2248970322778145 6.198630461726965 TTTCCCCAGGTACC chr9 106449298 106449299 chr9:106449299:A:G rs76411472 A G A EBF1_EBF_1 20 0 - 0 0 . chr9 106602784 106602785 chr9:106602785:G:A rs6477534 G A A EBF1_EBF_1 13 1 - 5.4752505979371735 6.3197447125226915 TTCCCCCAGAGAGC chr9 106729399 106729400 chr9:106729400:G:A rs28491580 G A G EBF1_EBF_1 -10 0 - 0 0 . chr9 106860377 106860378 chr9:106860378:G:A rs77655844 G A G EBF1_EBF_1 9 1 + 4.787785448972516 2.132846183524911 CCTCCCCAGGGCTC chr9 106878554 106878555 chr9:106878555:A:G rs10978664 A G A EBF1_EBF_1 -17 0 + 0 0 . chr9 107039833 107039834 chr9:107039834:A:T rs531127737 A T A EBF1_EBF_1 4 1 + 6.668711776222773 8.123884454731318 AGTCACCAGGGATT chr9 107046527 107046528 chr9:107046528:C:G rs698466 C G G EBF1_EBF_1 18 0 + 0 0 . chr9 107123737 107123738 chr9:107123738:G:A rs55802380 G A g EBF1_EBF_1 -6 0 + 0 0 . chr9 107131057 107131058 chr9:107131058:C:T rs80121504 C T C EBF1_EBF_1 1 1 + 9.65534482439895 10.550778264797692 ACTCCCTTGAGACC chr9 107135835 107135836 chr9:107135836:C:G rs908728 C G C EBF1_EBF_1 15 0 - 0 0 . chr9 107175858 107175859 chr9:107175859:G:A rs72732080 G A G EBF1_EBF_1 -19 0 + 0 0 . chr9 107180147 107180148 chr9:107180148:C:T rs10978739 C T T EBF1_EBF_1 -18 0 - 0 0 . chr9 107195668 107195669 chr9:107195669:C:T rs2203829 C T c EBF1_EBF_1 -11 0 + 0 0 . chr9 107219494 107219495 chr9:107219495:C:A chr9:107219495:C:A C A C EBF1_EBF_1 18 0 + 0 0 . chr9 107246960 107246961 chr9:107246961:C:T rs73668766 C T C EBF1_EBF_1 -15 0 - 0 0 . chr9 107250079 107250080 chr9:107250080:G:C rs181514807 G C G EBF1_EBF_1 5 1 - 6.734678622460308 -0.1702025301748603 TTTCCCCAGAGGAT chr9 107295981 107295982 chr9:107295982:T:G rs11573652 T G T EBF1_EBF_1 -6 0 - 0 0 . chr9 107349290 107349291 chr9:107349291:C:T rs6477570 C T T EBF1_EBF_1 30 0 - 0 0 . chr9 107352114 107352115 chr9:107352115:A:G rs66861834 A G G EBF1_EBF_1 -16 0 + 0 0 . chr9 107352150 107352151 chr9:107352151:G:A rs10978803 G A A EBF1_EBF_1 20 0 + 0 0 . chr9 107356194 107356195 chr9:107356195:A:G rs113647838 A G A EBF1_EBF_1 -5 0 + 0 0 . chr9 107466377 107466378 chr9:107466378:G:A chr9:107466378:G:A G A G EBF1_EBF_1 12 1 - 8.845095991662712 7.818829421111863 CCTCCCTGGGGACA chr9 107491187 107491188 chr9:107491188:C:T rs538069838 C T C EBF1_EBF_1 9 1 - 4.621983836584949 1.9670445711373454 ACTTCCCAGGGAAG chr9 107539601 107539602 chr9:107539602:C:T rs6477576 C T C EBF1_EBF_1 21 0 + 0 0 . chr9 107566444 107566445 chr9:107566445:A:C rs1573048 A C A EBF1_EBF_1 7 1 - 9.250145212205991 8.413961047004724 ATACCCCTGGGAAC chr9 107589631 107589632 chr9:107589632:T:C rs74368681 T C T EBF1_EBF_1 32 0 + 0 0 . chr9 107598171 107598172 chr9:107598172:G:C chr9:107598172:G:C G C G EBF1_EBF_1 8 1 + 6.338394680321912 1.019735819407225 AACCCCAGGGGGAA chr9 107600520 107600521 chr9:107600521:G:A rs74729870 G A G EBF1_EBF_1 4 1 - 6.866640750451832 2.497253805506907 ACACCCCTGGGAAG chr9 107612244 107612245 chr9:107612245:A:C rs115444928 A C A EBF1_EBF_1 24 0 + 0 0 . chr9 107637518 107637519 chr9:107637519:G:A rs538631265 G A G EBF1_EBF_1 25 0 - 0 0 . chr9 107637540 107637541 chr9:107637541:G:A rs554355835 G A G EBF1_EBF_1 3 1 - 10.503998676437533 4.098599934884217 ATTCCCCAGGGAGG chr9 107667506 107667507 chr9:107667507:G:T rs10978984 G T T EBF1_EBF_1 -2 0 - 0 0 . chr9 107688903 107688904 chr9:107688904:G:T rs563397606 G T G EBF1_EBF_1 12 1 - 10.550778264797692 10.58095966321902 ATTCCCTTGAGACC chr9 107699347 107699348 chr9:107699348:C:G rs6477588 C G G EBF1_EBF_1 6 1 - 6.411015613678961 8.21620767715216 CTCCCCGTGGGAGT chr9 107731660 107731661 chr9:107731661:T:C rs12352392 T C T EBF1_EBF_1 0 1 - 6.554049374657416 4.661765505092585 AATCCCCAGGGTGA chr9 107760094 107760095 chr9:107760095:T:C rs12005010 T C T EBF1_EBF_1 2 1 + 5.707690096621335 3.8188666851791853 CCTCCCAGGGGCCT chr9 107999231 107999232 chr9:107999232:C:T rs12236795 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 107999249 107999250 chr9:107999250:G:C rs527696831 G C G EBF1_EBF_1 -19 0 - 0 0 . chr9 108048668 108048669 chr9:108048669:C:T rs141687961 C T C EBF1_EBF_1 -8 0 + 0 0 . chr9 108054738 108054739 chr9:108054739:A:G rs10979182 A G G EBF1_EBF_1 -14 0 - 0 0 . chr9 108150764 108150765 chr9:108150765:C:T rs78814227 C T C EBF1_EBF_1 -8 0 - 0 0 . chr9 108163089 108163090 chr9:108163090:C:T rs78369149 C T C EBF1_EBF_1 -5 0 - 0 0 . chr9 108198605 108198606 chr9:108198606:C:G rs57659709 C G C EBF1_EBF_1 33 0 + 0 0 . chr9 108291256 108291257 chr9:108291257:A:C rs4978678 A C A EBF1_EBF_1 -3 0 + 0 0 . chr9 108374720 108374721 chr9:108374721:G:A rs1575448 G A A EBF1_EBF_1 22 0 + 0 0 . chr9 108469706 108469707 chr9:108469707:A:G rs9644924 A G G EBF1_EBF_1 -4 0 + 0 0 . chr9 108469741 108469742 chr9:108469742:G:T rs75134352 G T T EBF1_EBF_1 31 0 + 0 0 . chr9 108474624 108474625 chr9:108474625:A:G rs7044687 A G A EBF1_EBF_1 20 0 - 0 0 . chr9 108517555 108517556 chr9:108517556:C:T rs7025841 C T T EBF1_EBF_1 -1 0 + 0 0 . chr9 108538039 108538040 chr9:108538040:G:A rs78431573 G A G EBF1_EBF_1 22 0 + 0 0 . chr9 108545225 108545226 chr9:108545226:C:G rs112427360 C G C EBF1_EBF_1 -4 0 + 0 0 . chr9 108562552 108562553 chr9:108562553:A:G rs871425 A G G EBF1_EBF_1 24 0 - 0 0 . chr9 108664606 108664607 chr9:108664607:C:A rs72753966 C A C EBF1_EBF_1 -14 0 + 0 0 . chr9 108668368 108668369 chr9:108668369:G:A rs10979438 G A G EBF1_EBF_1 7 1 + 5.392011460451752 6.7044698657405775 AGCCCCAGGGAAAT chr9 108687093 108687094 chr9:108687094:C:T rs144890177 C T C EBF1_EBF_1 30 0 - 0 0 . chr9 108725084 108725085 chr9:108725085:G:A rs7031395 G A g EBF1_EBF_1 22 0 + 0 0 . chr9 108744137 108744138 chr9:108744138:G:A rs79908966 G A G EBF1_EBF_1 30 0 + 0 0 . chr9 108762758 108762759 chr9:108762759:A:C rs10512386 A C A EBF1_EBF_1 21 0 - 0 0 . chr9 108885760 108885761 chr9:108885761:A:T rs10126042 A T A EBF1_EBF_1 13 1 + 6.077477677753413 7.295717241624907 TTTCCCAAGGAACA chr9 109013536 109013537 chr9:109013537:C:A rs186647336 C A . EBF1_EBF_1 -15 0 + 0 0 . chr9 109036134 109036135 chr9:109036135:T:C rs73654217 T C T EBF1_EBF_1 18 0 + 0 0 . chr9 109194213 109194214 chr9:109194214:C:T rs10979741 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 109254202 109254203 chr9:109254203:C:T rs539810139 C T C EBF1_EBF_1 -13 0 + 0 0 . chr9 109269979 109269980 chr9:109269980:G:A rs185518527 G A G EBF1_EBF_1 16 0 + 0 0 . chr9 109277434 109277435 chr9:109277435:C:T rs77168246 C T T EBF1_EBF_1 13 1 - 4.4796780296933205 5.5940036017627595 AGTCCCCACGGAGG chr9 109311538 109311539 chr9:109311539:G:A rs115776586 G A G EBF1_EBF_1 -11 0 - 0 0 . chr9 109311987 109311988 chr9:109311988:T:G rs78842470 T G T EBF1_EBF_1 -17 0 + 0 0 . chr9 109311994 109311995 chr9:109311995:T:G rs757687565 T G T EBF1_EBF_1 -10 0 + 0 0 . chr9 109326974 109326975 chr9:109326975:A:G rs6477702 A G G EBF1_EBF_1 -10 0 + 0 0 . chr9 109329789 109329790 chr9:109329790:T:C rs17793425 T C T EBF1_EBF_1 29 0 + 0 0 . chr9 109403869 109403870 chr9:109403870:G:A rs78424603 G A G EBF1_EBF_1 13 1 - 8.598151167996706 9.442645282582225 TTCCCCAGGGGAAC chr9 109439002 109439003 chr9:109439003:A:G rs12377600 A G C EBF1_EBF_1 -11 0 + 0 0 . chr9 109449609 109449610 chr9:109449610:G:C rs72746990 G C G EBF1_EBF_1 11 1 - 4.707618136575792 6.23087299082417 TCTCCCCAGGGCGC chr9 109482157 109482158 chr9:109482158:T:C rs10759343 T C T EBF1_EBF_1 6 1 - 4.654791295942792 2.4879968646683164 AGCCCCATTGGAAT chr9 109496713 109496714 chr9:109496714:T:G rs4978815 T G G EBF1_EBF_1 27 0 - 0 0 . chr9 109504386 109504387 chr9:109504387:G:A rs4978394 G A A EBF1_EBF_1 33 0 - 0 0 . chr9 109587347 109587348 chr9:109587348:A:C rs10979952 A C C EBF1_EBF_1 2 1 + 9.623778733448004 11.41942290960473 ATACCCTAGGGACA chr9 109735730 109735731 chr9:109735731:C:T rs78531835 C T T EBF1_EBF_1 10 1 - 10.550870306246273 5.232841846979308 CATCCCAAGGGAAC chr9 109735976 109735977 chr9:109735977:C:T rs111645267 C T C EBF1_EBF_1 3 1 + 5.670649890500154 -0.734748851053163 TCTCCCATGGTAGT chr9 109766999 109767000 chr9:109767000:A:G rs1028868 A G G EBF1_EBF_1 17 0 - 0 0 . chr9 109780699 109780700 chr9:109780700:A:G rs1020779538 A G A EBF1_EBF_1 -10 0 + 0 0 . chr9 109780722 109780723 chr9:109780723:C:A rs113983808 C A C EBF1_EBF_1 13 1 + 5.153453515900941 4.779708066614965 ACCCCCAAGGGCGC chr9 109794567 109794568 chr9:109794568:C:A rs13286006 C A C EBF1_EBF_1 -19 0 + 0 0 . chr9 109794602 109794603 chr9:109794603:G:T rs16914504 G T T EBF1_EBF_1 16 0 + 0 0 . chr9 109980975 109980976 chr9:109980976:T:C rs547094837 T C T EBF1_EBF_1 21 0 - 0 0 . chr9 110028903 110028904 chr9:110028904:G:A rs260188 G A G EBF1_EBF_1 19 0 - 0 0 . chr9 110070150 110070151 chr9:110070151:C:T rs191049804 C T C EBF1_EBF_1 -19 0 + 0 0 . chr9 110095216 110095217 chr9:110095217:C:T rs35859552 C T C EBF1_EBF_1 26 0 + 0 0 . chr9 110095427 110095428 chr9:110095428:G:A rs79079379 G A g EBF1_EBF_1 -10 0 - 0 0 . chr9 110095429 110095430 chr9:110095430:C:T rs142314953 C T C EBF1_EBF_1 -12 0 - 0 0 . chr9 110116671 110116672 chr9:110116672:C:T rs62578865 C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 110204272 110204273 chr9:110204273:T:A rs10733575 T A A EBF1_EBF_1 2 1 - 6.853098146819843 10.537565734418717 GTACCCAGGGGACC chr9 110256150 110256151 chr9:110256151:C:G rs527797959 C G C EBF1_EBF_1 29 0 + 0 0 . chr9 110261241 110261242 chr9:110261242:G:A rs72757061 G A G EBF1_EBF_1 28 0 + 0 0 . chr9 110351154 110351155 chr9:110351155:C:A chr9:110351155:C:A C A C EBF1_EBF_1 -11 0 + 0 0 . chr9 110383723 110383724 chr9:110383724:G:A rs9776263 G A G EBF1_EBF_1 33 0 - 0 0 . chr9 110383777 110383778 chr9:110383778:G:T rs1410043 G T T EBF1_EBF_1 33 0 + 0 0 . chr9 110520245 110520246 chr9:110520246:G:A rs189228498 G A G EBF1_EBF_1 31 0 - 0 0 . chr9 110579392 110579393 chr9:110579393:C:T rs991690198 C T C EBF1_EBF_1 -16 0 + 0 0 . chr9 110660270 110660271 chr9:110660271:T:C rs3010805 T C C EBF1_EBF_1 -4 0 + 0 0 . chr9 110847354 110847355 chr9:110847355:A:G rs487558 A G G EBF1_EBF_1 -17 0 + 0 0 . chr9 110897898 110897899 chr9:110897899:C:T rs2766986 C T T EBF1_EBF_1 8 1 - 6.451329085052246 2.2250389961659858 AATCCCCAGATAAT chr9 111036979 111036980 chr9:111036980:A:C rs10980700 A C C EBF1_EBF_1 23 0 - 0 0 . chr9 111050853 111050854 chr9:111050854:A:G rs73657261 A G A EBF1_EBF_1 19 0 + 0 0 . chr9 111069163 111069164 chr9:111069164:A:G rs12353544 A G A EBF1_EBF_1 6 1 - 6.731071889843994 6.429785760982236 ATACCCTAGGGGCA chr9 111069181 111069182 chr9:111069182:C:T rs186728065 C T c EBF1_EBF_1 -12 0 - 0 0 . chr9 111097174 111097175 chr9:111097175:T:C rs1014562 T C T EBF1_EBF_1 -18 0 + 0 0 . chr9 111164747 111164748 chr9:111164748:G:A rs72759368 G A G EBF1_EBF_1 6 1 + 7.339888294303755 9.506682725578228 TTCCCCGAGGGACA chr9 111232645 111232646 chr9:111232646:G:C rs823998 G C G EBF1_EBF_1 -7 0 - 0 0 . chr9 111288787 111288788 chr9:111288788:A:T rs141177775 A T A EBF1_EBF_1 -1 0 - 0 0 . chr9 111484182 111484183 chr9:111484183:G:A rs781606858 G A G EBF1_EBF_1 30 0 - 0 0 . chr9 111484186 111484187 chr9:111484187:C:T rs549135919 C T C EBF1_EBF_1 26 0 - 0 0 . chr9 111484190 111484191 chr9:111484191:C:G rs142728928 C G C EBF1_EBF_1 22 0 - 0 0 . chr9 111484208 111484209 chr9:111484209:G:C rs773713544 G C G EBF1_EBF_1 4 1 - 4.864568228366307 -0.7611968727832386 GCTCCCCGGGGCCC chr9 111631896 111631897 chr9:111631897:C:T rs10980986 C T C EBF1_EBF_1 -20 0 + 0 0 . chr9 111631915 111631916 chr9:111631916:C:G rs10980987 C G C EBF1_EBF_1 -1 0 + 0 0 . chr9 111661940 111661941 chr9:111661941:T:G rs1407389 T G T EBF1_EBF_1 17 0 - 0 0 . chr9 111662251 111662252 chr9:111662252:T:C rs567887227 T C T EBF1_EBF_1 -12 0 - 0 0 . chr9 111662252 111662253 chr9:111662253:A:G rs79847281 A G A EBF1_EBF_1 -13 0 - 0 0 . chr9 111849758 111849759 chr9:111849759:C:G rs7047177 C G G EBF1_EBF_1 -3 0 + 0 0 . chr9 111942970 111942971 chr9:111942971:G:A rs75976798 G A G EBF1_EBF_1 12 1 - 7.132613504235043 6.106346933684194 AGTCCCAAGGGCCG chr9 111942984 111942985 chr9:111942985:G:A rs7046626 G A A EBF1_EBF_1 -2 0 - 0 0 . chr9 111944603 111944604 chr9:111944604:G:T rs75340252 G T g EBF1_EBF_1 32 0 + 0 0 . chr9 111949487 111949488 chr9:111949488:C:T rs2151556 C T c EBF1_EBF_1 32 0 - 0 0 . chr9 111949526 111949527 chr9:111949527:C:T rs2027050 C T c EBF1_EBF_1 -7 0 - 0 0 . chr9 112002826 112002827 chr9:112002827:G:A rs181136797 G A G EBF1_EBF_1 -14 0 + 0 0 . chr9 112019638 112019639 chr9:112019639:A:T rs57509581 A T A EBF1_EBF_1 32 0 - 0 0 . chr9 112041319 112041320 chr9:112041320:G:T rs918644301 G T G EBF1_EBF_1 10 1 + 5.76347296569486 1.5807207794675957 ACTCCTCAGGGATA chr9 112062302 112062303 chr9:112062303:G:A rs181367532 G A G EBF1_EBF_1 -20 0 + 0 0 . chr9 112073051 112073052 chr9:112073052:G:A rs961358580 G A G EBF1_EBF_1 -18 0 + 0 0 . chr9 112095598 112095599 chr9:112095599:T:G rs2762467 T G T EBF1_EBF_1 -9 0 + 0 0 . chr9 112173567 112173568 chr9:112173568:G:T rs7047547 G T G EBF1_EBF_1 -5 0 - 0 0 . chr9 112174891 112174892 chr9:112174892:A:C rs7021232 A C A EBF1_EBF_1 -18 0 - 0 0 . chr9 112208522 112208523 chr9:112208523:C:G rs7038215 C G C EBF1_EBF_1 14 0 - 0 0 . chr9 112268289 112268290 chr9:112268290:C:T rs7870866 C T T EBF1_EBF_1 -20 0 + 0 0 . chr9 112289486 112289487 chr9:112289487:G:A rs10114946 G A A EBF1_EBF_1 15 0 - 0 0 . chr9 112325196 112325197 chr9:112325197:C:A rs146692498 C A C EBF1_EBF_1 17 0 + 0 0 . chr9 112379797 112379798 chr9:112379798:G:A rs72757488 G A G EBF1_EBF_1 8 1 + 7.798123026406298 3.5718329375200373 AACCCCTAGAGACA chr9 112383772 112383773 chr9:112383773:T:G rs10817331 T G G EBF1_EBF_1 27 0 - 0 0 . chr9 112479381 112479382 chr9:112479382:T:C rs10283919 T C T EBF1_EBF_1 19 0 + 0 0 . chr9 112479389 112479390 chr9:112479390:G:A rs12348283 G A G EBF1_EBF_1 27 0 + 0 0 . chr9 112487764 112487765 chr9:112487765:A:C chr9:112487765:A:C A C A EBF1_EBF_1 -17 0 + 0 0 . chr9 112487768 112487769 chr9:112487769:T:C rs75050510 T C T EBF1_EBF_1 -13 0 + 0 0 . chr9 112487769 112487770 chr9:112487770:A:G rs1013660479 A G A EBF1_EBF_1 -12 0 + 0 0 . chr9 112496429 112496430 chr9:112496430:T:C rs3849131 T C C EBF1_EBF_1 27 0 + 0 0 . chr9 112549295 112549296 chr9:112549296:C:T rs4978496 C T C EBF1_EBF_1 30 0 - 0 0 . chr9 112549323 112549324 chr9:112549324:T:C rs4979132 T C C EBF1_EBF_1 2 1 - 8.747915753058022 5.68405765045643 AAACCCAAGGGAAA chr9 112700364 112700365 chr9:112700365:C:T rs2185768 C T T EBF1_EBF_1 8 1 - 7.892021402965851 3.66573131407959 AATCCCAGGGGCCT chr9 112700369 112700370 chr9:112700370:G:A rs1277112796 G A G EBF1_EBF_1 3 1 - 7.892021402965851 1.4866226614125342 AATCCCAGGGGCCT chr9 112764985 112764986 chr9:112764986:A:G rs56335181 A G A EBF1_EBF_1 13 1 + 9.831027069422301 8.716701497352862 CTTCCCAGGGGAAA chr9 112855541 112855542 chr9:112855542:T:C rs10115576 T C C EBF1_EBF_1 -18 0 - 0 0 . chr9 112867865 112867866 chr9:112867866:C:T rs1043044356 C T C EBF1_EBF_1 0 1 + 4.021059160670826 4.303261221401405 CCACCCCTGGGGCT chr9 112867868 112867869 chr9:112867869:C:T rs981774464 C T C EBF1_EBF_1 3 1 + 4.021059160670826 -2.384339580882491 CCACCCCTGGGGCT chr9 112884197 112884198 chr9:112884198:G:A rs78168483 G A G EBF1_EBF_1 1 1 + 10.128173748146533 9.86747491622523 AGCCCCCTGGGATT chr9 112890494 112890495 chr9:112890495:G:T rs141205765 G T G EBF1_EBF_1 8 1 + 4.1116635928698155 -2.77976919610738 AGCCCCCCGGGGCG chr9 112933508 112933509 chr9:112933509:C:A rs7045490 C A C EBF1_EBF_1 -4 0 + 0 0 . chr9 112939784 112939785 chr9:112939785:A:G rs11792260 A G A EBF1_EBF_1 -19 0 - 0 0 . chr9 113059573 113059574 chr9:113059574:T:C rs9785186 T C - EBF1_EBF_1 23 0 + 0 0 . chr9 113089007 113089008 chr9:113089008:G:T rs114625244 G T - EBF1_EBF_1 16 0 - 0 0 . chr9 113132256 113132257 chr9:113132257:C:T rs140106796 C T C EBF1_EBF_1 -19 0 + 0 0 . chr9 113150842 113150843 chr9:113150843:C:T rs79383971 C T C EBF1_EBF_1 0 1 - 4.919724849948889 6.812008719513721 GTTCCCAAGGGCTG chr9 113151552 113151553 chr9:113151553:C:G rs74895700 C G C EBF1_EBF_1 16 0 - 0 0 . chr9 113152913 113152914 chr9:113152914:A:G rs11794048 A G A EBF1_EBF_1 11 1 + 4.889201500321736 1.9964946567177244 AGCCACTAGGGACA chr9 113335363 113335364 chr9:113335364:C:T rs10981735 C T C EBF1_EBF_1 3 1 + 5.994518160828046 -0.4108805807252709 TGTCCCCTGCGACT chr9 113361021 113361022 chr9:113361022:A:C rs7033437 A C A EBF1_EBF_1 -19 0 + 0 0 . chr9 113376883 113376884 chr9:113376884:G:C rs3750526 G C C EBF1_EBF_1 29 0 + 0 0 . chr9 113477964 113477965 chr9:113477965:A:G rs10981794 A G A EBF1_EBF_1 -4 0 - 0 0 . chr9 113503302 113503303 chr9:113503303:C:G rs17186238 C G C EBF1_EBF_1 15 0 - 0 0 . chr9 113512299 113512300 chr9:113512300:A:G rs12342508 A G G EBF1_EBF_1 17 0 + 0 0 . chr9 113525336 113525337 chr9:113525337:T:C rs117042885 T C T EBF1_EBF_1 17 0 + 0 0 . chr9 113527635 113527636 chr9:113527636:C:G rs12346076 C G G EBF1_EBF_1 25 0 - 0 0 . chr9 113536506 113536507 chr9:113536507:G:A rs572319500 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 113536619 113536620 chr9:113536620:C:T rs7032311 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 113536636 113536637 chr9:113536637:A:G rs112189420 A G A EBF1_EBF_1 14 0 - 0 0 . chr9 113559100 113559101 chr9:113559101:C:T rs114663803 C T C EBF1_EBF_1 25 0 - 0 0 . chr9 113564697 113564698 chr9:113564698:T:C rs55931715 T C C EBF1_EBF_1 17 0 - 0 0 . chr9 113565162 113565163 chr9:113565163:C:T rs542337915 C T C EBF1_EBF_1 25 0 + 0 0 . chr9 113575928 113575929 chr9:113575929:G:A rs7875727 G A A EBF1_EBF_1 -9 0 - 0 0 . chr9 113578104 113578105 chr9:113578105:G:A rs10217341 G A G EBF1_EBF_1 30 0 - 0 0 . chr9 113580981 113580982 chr9:113580982:G:A rs188981092 G A G EBF1_EBF_1 -3 0 + 0 0 . chr9 113587861 113587862 chr9:113587862:G:A rs191527647 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 113590201 113590202 chr9:113590202:C:T chr9:113590202:C:T C T C EBF1_EBF_1 -12 0 - 0 0 . chr9 113590202 113590203 chr9:113590203:T:C rs72763848 T C T EBF1_EBF_1 -13 0 - 0 0 . chr9 113591992 113591993 chr9:113591993:G:C rs72763849 G C G EBF1_EBF_1 8 1 + 6.168958840753542 0.8502999798388569 AGACCCTGGAGACT chr9 113594600 113594601 chr9:113594601:G:A rs78606763 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 113595209 113595210 chr9:113595210:G:A rs544784071 G A G EBF1_EBF_1 12 1 - 5.279115784240964 4.252849213690114 GGTCCCACGGGCCT chr9 113597058 113597059 chr9:113597059:T:C rs1051013 T C T EBF1_EBF_1 13 1 + 6.083068449733326 5.238574335147808 AGCCCCCAGAGGCT chr9 113602907 113602908 chr9:113602908:A:G rs567743 A G G EBF1_EBF_1 16 0 - 0 0 . chr9 113604112 113604113 chr9:113604113:C:A rs947945948 C A C EBF1_EBF_1 18 0 + 0 0 . chr9 113617763 113617764 chr9:113617764:T:A rs1427425613 T A T EBF1_EBF_1 -17 0 + 0 0 . chr9 113621674 113621675 chr9:113621675:C:T rs117390056 C T C EBF1_EBF_1 3 1 + 6.942657563107862 0.5372588215545455 AGCCCCAGGGGGCC chr9 113697959 113697960 chr9:113697960:A:G rs7035387 A G A EBF1_EBF_1 25 0 - 0 0 . chr9 113710326 113710327 chr9:113710327:C:T rs9644932 C T T EBF1_EBF_1 -20 0 - 0 0 . chr9 113858936 113858937 chr9:113858937:G:T rs10981978 G T G EBF1_EBF_1 -5 0 + 0 0 . chr9 113886650 113886651 chr9:113886651:C:A rs139603139 C A C EBF1_EBF_1 10 1 - 5.450631120974555 1.2678789347472912 GCACCCCAGGGAAG chr9 113907098 113907099 chr9:113907099:A:G rs10123606 A G A EBF1_EBF_1 -15 0 - 0 0 . chr9 113907667 113907668 chr9:113907668:G:A rs147691803 G A G EBF1_EBF_1 11 1 - 4.3716129538801685 4.689660395633832 GGCCCCAGGGGCCT chr9 113907861 113907862 chr9:113907862:T:G rs7038501 T G G EBF1_EBF_1 -13 0 + 0 0 . chr9 113912004 113912005 chr9:113912005:G:T rs7867159 G T G EBF1_EBF_1 -6 0 + 0 0 . chr9 113954265 113954266 chr9:113954266:A:C rs141664596 A C A EBF1_EBF_1 29 0 + 0 0 . chr9 113959475 113959476 chr9:113959476:C:T rs814688 C T T EBF1_EBF_1 32 0 - 0 0 . chr9 113989961 113989962 chr9:113989962:C:G rs138250175 C G C EBF1_EBF_1 -3 0 - 0 0 . chr9 113992787 113992788 chr9:113992788:C:G rs56233226 C G C EBF1_EBF_1 -9 0 - 0 0 . chr9 114007149 114007150 chr9:114007150:C:T rs7848107 C T C EBF1_EBF_1 27 0 - 0 0 . chr9 114015988 114015989 chr9:114015989:A:G rs4979324 A G A EBF1_EBF_1 25 0 - 0 0 . chr9 114026133 114026134 chr9:114026134:C:T rs4979328 C T T EBF1_EBF_1 27 0 + 0 0 . chr9 114027290 114027291 chr9:114027291:C:T rs10982039 C T C EBF1_EBF_1 3 1 + 4.946057281138573 -1.459341460414743 GGTCACAAGGGACA chr9 114028208 114028209 chr9:114028209:G:A rs117982856 G A G EBF1_EBF_1 -6 0 + 0 0 . chr9 114028230 114028231 chr9:114028231:C:T rs10759679 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 114055065 114055066 chr9:114055066:C:T rs72759047 C T C EBF1_EBF_1 10 1 - 7.210421127591704 1.8923926683247383 TGCCCCATGGGACG chr9 114075664 114075665 chr9:114075665:C:T rs2567698 C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 114076045 114076046 chr9:114076046:T:C rs35503856 T C C EBF1_EBF_1 1 1 - 5.566164506424863 5.826863338346165 AATGCCCTGGGAGT chr9 114076317 114076318 chr9:114076318:G:C rs13286596 G C G EBF1_EBF_1 17 0 - 0 0 . chr9 114081924 114081925 chr9:114081925:C:T rs112432971 C T C EBF1_EBF_1 13 1 - 6.607203996000304 7.721529568069743 CACCCCTTGGGACG chr9 114081927 114081928 chr9:114081928:C:G rs10116075 C G G EBF1_EBF_1 10 1 - 6.607203996000304 -0.2835983913291704 CACCCCTTGGGACG chr9 114089353 114089354 chr9:114089354:C:T rs80272539 C T C EBF1_EBF_1 4 1 + 5.1493878167737925 0.7800008718288696 CCTCCCAAGGGCTC chr9 114089864 114089865 chr9:114089865:C:T rs7048737 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 114099590 114099591 chr9:114099591:A:C rs115785757 A C A EBF1_EBF_1 20 0 + 0 0 . chr9 114109128 114109129 chr9:114109129:C:T rs542686773 C T C EBF1_EBF_1 -14 0 + 0 0 . chr9 114125124 114125125 chr9:114125125:C:A rs7856967 C A C EBF1_EBF_1 1 1 - 6.0179195063406326 6.723581292170161 CGTCCCTAGAGGCT chr9 114127253 114127254 chr9:114127254:C:T rs79523756 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 114139419 114139420 chr9:114139420:C:A rs55839647 C A C EBF1_EBF_1 1 1 + 5.80674164249184 5.735814465139756 ACCCCCCAGGGCCA chr9 114139645 114139646 chr9:114139646:G:A rs1017360 G A G EBF1_EBF_1 0 1 + 4.891549826160475 6.783833695725307 GGTCCCCAGAGGAA chr9 114153763 114153764 chr9:114153764:G:A rs35191119 G A G EBF1_EBF_1 26 0 + 0 0 . chr9 114155875 114155876 chr9:114155876:G:A rs896695217 G A G EBF1_EBF_1 26 0 - 0 0 . chr9 114167046 114167047 chr9:114167047:T:C rs737142 T C T EBF1_EBF_1 -1 0 - 0 0 . chr9 114167065 114167066 chr9:114167066:C:A rs62555367 C A C EBF1_EBF_1 -20 0 - 0 0 . chr9 114167819 114167820 chr9:114167820:G:A rs2567707 G A G EBF1_EBF_1 15 0 + 0 0 . chr9 114169456 114169457 chr9:114169457:C:T rs2808771 C T T EBF1_EBF_1 -7 0 - 0 0 . chr9 114178137 114178138 chr9:114178138:A:C rs13295426 A C A EBF1_EBF_1 29 0 - 0 0 . chr9 114179365 114179366 chr9:114179366:C:T rs183010493 C T C EBF1_EBF_1 19 0 - 0 0 . chr9 114185666 114185667 chr9:114185667:G:A rs10982094 G A A EBF1_EBF_1 8 1 + 5.665156163065478 1.438866074179217 CTCCCCCAGGGCCT chr9 114209341 114209342 chr9:114209342:G:C rs2060133 G C G EBF1_EBF_1 -17 0 - 0 0 . chr9 114210637 114210638 chr9:114210638:C:G rs10982105 C G C EBF1_EBF_1 -7 0 - 0 0 . chr9 114215199 114215200 chr9:114215200:G:A rs745489 G A G EBF1_EBF_1 15 0 - 0 0 . chr9 114220323 114220324 chr9:114220324:C:T rs4979355 C T C EBF1_EBF_1 6 1 + 4.5610373340530295 4.862323462914786 GTTCCCCGAGGAGC chr9 114224187 114224188 chr9:114224188:C:G rs7873541 C G C EBF1_EBF_1 21 0 + 0 0 . chr9 114240236 114240237 chr9:114240237:C:T rs2014134 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 114254628 114254629 chr9:114254629:A:C rs6478074 A C A EBF1_EBF_1 23 0 - 0 0 . chr9 114260328 114260329 chr9:114260329:C:T rs923602 C T C EBF1_EBF_1 -16 0 + 0 0 . chr9 114267980 114267981 chr9:114267981:G:A rs7027370 G A G EBF1_EBF_1 31 0 - 0 0 . chr9 114270741 114270742 chr9:114270742:T:C rs4143245 T C C EBF1_EBF_1 -13 0 + 0 0 . chr9 114272468 114272469 chr9:114272469:T:C rs1490742 T C T EBF1_EBF_1 -6 0 + 0 0 . chr9 114273042 114273043 chr9:114273043:A:T rs12115691 A T A EBF1_EBF_1 0 1 + 8.715076461416036 6.742020038450011 AACCCCCAGAGACT chr9 114276764 114276765 chr9:114276765:G:A rs73548906 G A G EBF1_EBF_1 -4 0 + 0 0 . chr9 114277338 114277339 chr9:114277339:T:C rs1766058 T C C EBF1_EBF_1 33 0 - 0 0 . chr9 114279012 114279013 chr9:114279013:A:G rs2636880 A G G EBF1_EBF_1 17 0 - 0 0 . chr9 114283070 114283071 chr9:114283071:G:T chr9:114283071:G:T G T G EBF1_EBF_1 -5 0 - 0 0 . chr9 114284840 114284841 chr9:114284841:G:T rs13291199 G T G EBF1_EBF_1 26 0 - 0 0 . chr9 114284862 114284863 chr9:114284863:G:A rs568071544 G A G EBF1_EBF_1 4 1 - 5.755741455288897 1.386354510343973 GTACCCCAGGGGCT chr9 114288509 114288510 chr9:114288510:G:A rs1631319 G A G EBF1_EBF_1 24 0 + 0 0 . chr9 114304433 114304434 chr9:114304434:G:A rs548883096 G A G EBF1_EBF_1 -2 0 - 0 0 . chr9 114308627 114308628 chr9:114308628:G:A rs1249759 G A g EBF1_EBF_1 -2 0 - 0 0 . chr9 114323354 114323355 chr9:114323355:T:C rs1687381 T C C EBF1_EBF_1 26 0 - 0 0 . chr9 114339026 114339027 chr9:114339027:G:T chr9:114339027:G:T G T G EBF1_EBF_1 25 0 + 0 0 . chr9 114362238 114362239 chr9:114362239:A:T rs2636901 A T T EBF1_EBF_1 -7 0 - 0 0 . chr9 114363793 114363794 chr9:114363794:C:T rs2787350 C T T EBF1_EBF_1 7 1 - 6.374992603111548 7.687451008400372 AATCCCCGGGTAGT chr9 114370645 114370646 chr9:114370646:T:C rs2636904 T C C EBF1_EBF_1 6 1 - 8.440852905372829 6.274058474098352 TCCCCCAAGGGAGT chr9 114372733 114372734 chr9:114372734:C:T rs16928495 C T C EBF1_EBF_1 -13 0 + 0 0 . chr9 114372752 114372753 chr9:114372753:C:T rs148324230 C T C EBF1_EBF_1 6 1 + 5.8760029880239095 6.177289116885666 TTTCCCCAGAGAGG chr9 114383762 114383763 chr9:114383763:C:G rs7041363 C G C EBF1_EBF_1 -4 0 + 0 0 . chr9 114395400 114395401 chr9:114395401:G:A rs28719625 G A G EBF1_EBF_1 29 0 + 0 0 . chr9 114401582 114401583 chr9:114401583:G:C rs10817605 G C G EBF1_EBF_1 -8 0 + 0 0 . chr9 114419561 114419562 chr9:114419562:G:A rs10982210 G A G EBF1_EBF_1 28 0 - 0 0 . chr9 114423594 114423595 chr9:114423595:C:T rs539943196 C T C EBF1_EBF_1 4 1 + 7.576255183130739 3.206868238185816 ACCCCCAAAGGACT chr9 114448037 114448038 chr9:114448038:C:T rs1408527 C T T EBF1_EBF_1 27 0 + 0 0 . chr9 114450081 114450082 chr9:114450082:T:C rs1324635 T C T EBF1_EBF_1 -12 0 + 0 0 . chr9 114467119 114467120 chr9:114467120:T:G rs1535971 T G T EBF1_EBF_1 -12 0 + 0 0 . chr9 114467168 114467169 chr9:114467169:T:C rs10982231 T C T EBF1_EBF_1 -18 0 + 0 0 . chr9 114467177 114467178 chr9:114467178:C:T rs10982232 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 114478721 114478722 chr9:114478722:C:T rs146273185 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 114487861 114487862 chr9:114487862:T:C rs550205678 T C T EBF1_EBF_1 13 1 - 10.547902696882428 9.433577124812988 ACTCCCTTGGGAGA chr9 114494094 114494095 chr9:114494095:T:C rs1555700 T C T EBF1_EBF_1 13 1 - 6.540288410295896 5.425962838226457 ACCCCCCCGGGAGA chr9 114503252 114503253 chr9:114503253:C:A rs185038827 C A C EBF1_EBF_1 4 1 + 5.93641848380887 0.11185886035540271 AACCCCCTGAGAAG chr9 114508023 114508024 chr9:114508024:C:T rs12339669 C T C EBF1_EBF_1 4 1 + 7.598844470669283 3.22945752572436 CTTCCCTTAGGAAT chr9 114524629 114524630 chr9:114524630:C:T rs781211887 C T C EBF1_EBF_1 -19 0 + 0 0 . chr9 114551934 114551935 chr9:114551935:G:A rs10982287 G A G EBF1_EBF_1 31 0 - 0 0 . chr9 114587809 114587810 chr9:114587810:C:G rs186138316 C G C EBF1_EBF_1 33 0 + 0 0 . chr9 114587828 114587829 chr9:114587829:C:G rs372398703 C G C EBF1_EBF_1 33 0 - 0 0 . chr9 114603093 114603094 chr9:114603094:A:G rs4979442 A G G EBF1_EBF_1 32 0 - 0 0 . chr9 114606268 114606269 chr9:114606269:G:A rs574159629 G A G EBF1_EBF_1 -6 0 - 0 0 . chr9 114611300 114611301 chr9:114611301:G:T rs1437831326 G T G EBF1_EBF_1 -19 0 - 0 0 . chr9 114617045 114617046 chr9:114617046:G:T rs1368441478 G T G EBF1_EBF_1 -6 0 + 0 0 . chr9 114621638 114621639 chr9:114621639:G:A rs7027695 G A G EBF1_EBF_1 18 0 - 0 0 . chr9 114621674 114621675 chr9:114621675:A:T rs7027369 A T A EBF1_EBF_1 -18 0 - 0 0 . chr9 114638750 114638751 chr9:114638751:G:A rs116296910 G A G EBF1_EBF_1 19 0 - 0 0 . chr9 114655141 114655142 chr9:114655142:G:A rs10817661 G A G EBF1_EBF_1 14 0 + 0 0 . chr9 114680792 114680793 chr9:114680793:T:C rs10759730 T C C EBF1_EBF_1 -20 0 + 0 0 . chr9 114681396 114681397 chr9:114681397:T:C rs7043282 T C T EBF1_EBF_1 22 0 - 0 0 . chr9 114755325 114755326 chr9:114755326:C:T rs13293384 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 114830119 114830120 chr9:114830120:C:T rs181257369 C T C EBF1_EBF_1 13 1 - 6.087003430572736 7.201329002642175 CCTCCCCAGAGACG chr9 114860998 114860999 chr9:114860999:T:C rs188254589 T C T EBF1_EBF_1 18 0 - 0 0 . chr9 114903289 114903290 chr9:114903290:C:G rs3181371 C G C EBF1_EBF_1 22 0 - 0 0 . chr9 114930063 114930064 chr9:114930064:G:A rs3181357 G A G EBF1_EBF_1 4 1 - 6.603953838719627 2.234566893774704 TTTCCCGAGAGAAA chr9 114930892 114930893 chr9:114930893:A:G rs3181354 A G A EBF1_EBF_1 -10 0 - 0 0 . chr9 114931857 114931858 chr9:114931858:G:A rs568332781 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 114937464 114937465 chr9:114937465:A:C rs17292115 A C A EBF1_EBF_1 1 1 - 8.120620736885115 7.414958951055586 GTCCCCTAGAGAAT chr9 114954263 114954264 chr9:114954264:C:T rs7863168 C T C EBF1_EBF_1 8 1 + 6.329351418850012 4.756577490787504 AGTCCCAACGGAGC chr9 114989964 114989965 chr9:114989965:G:T chr9:114989965:G:T G T G EBF1_EBF_1 18 0 + 0 0 . chr9 115008356 115008357 chr9:115008357:G:T rs78308451 G T G EBF1_EBF_1 -2 0 - 0 0 . chr9 115015193 115015194 chr9:115015194:G:T rs774903601 G T G EBF1_EBF_1 3 1 - 10.561481244658838 3.655307215193032 CCTCCCTAGGGAAC chr9 115158815 115158816 chr9:115158816:C:T rs6478137 C T T EBF1_EBF_1 10 1 - 7.800746189035165 2.4827177297681984 ACTCCCATAGGAAA chr9 115207293 115207294 chr9:115207294:G:A rs10119915 G A G EBF1_EBF_1 1 1 - 5.389214835095601 6.284648275494346 CCTCCCCTGGTATT chr9 115208516 115208517 chr9:115208517:A:C rs1335355 A C C EBF1_EBF_1 -18 0 + 0 0 . chr9 115208544 115208545 chr9:115208545:G:T rs4335203 G T G EBF1_EBF_1 10 1 + 5.547384471960272 1.3646322857330064 ACACCCCAGGGTCT chr9 115217080 115217081 chr9:115217081:C:A rs13440344 C A C EBF1_EBF_1 25 0 + 0 0 . chr9 115305633 115305634 chr9:115305634:C:G rs12001385 C G C EBF1_EBF_1 30 0 + 0 0 . chr9 115362909 115362910 chr9:115362910:C:T rs148054871 C T c EBF1_EBF_1 30 0 - 0 0 . chr9 115362930 115362931 chr9:115362931:C:T rs974690 C T C EBF1_EBF_1 9 1 - 4.992529819296108 2.337590553848504 ACTCCCCAAGGGCA chr9 115365199 115365200 chr9:115365200:T:C rs7048282 T C T EBF1_EBF_1 31 0 + 0 0 . chr9 115415708 115415709 chr9:115415709:C:T rs2418341 C T C EBF1_EBF_1 2 1 + 6.248644352657815 8.137467764099963 TTCCCCTAGGGCCT chr9 115551705 115551706 chr9:115551706:G:T rs78880857 G T G EBF1_EBF_1 12 1 - 7.005376763591975 7.0355581620133005 TGACCCAAGGGACA chr9 115590312 115590313 chr9:115590313:T:C rs12000608 T C T EBF1_EBF_1 17 0 + 0 0 . chr9 115672800 115672801 chr9:115672801:T:C rs74938773 T C T EBF1_EBF_1 7 1 - 5.358088008044233 4.045629602755408 AGCCCCTAGAGGTT chr9 115672804 115672805 chr9:115672805:G:A rs151296283 G A G EBF1_EBF_1 3 1 - 5.358088008044233 -1.047310733509084 AGCCCCTAGAGGTT chr9 115691850 115691851 chr9:115691851:T:A rs733387 T A T EBF1_EBF_1 32 0 + 0 0 . chr9 115743779 115743780 chr9:115743780:C:G rs2188076 C G G EBF1_EBF_1 -15 0 - 0 0 . chr9 115821604 115821605 chr9:115821605:A:G rs73519600 A G A EBF1_EBF_1 -7 0 - 0 0 . chr9 115997706 115997707 chr9:115997707:G:A rs204191 G A G EBF1_EBF_1 28 0 + 0 0 . chr9 116042390 116042391 chr9:116042391:A:G rs78451982 A G G EBF1_EBF_1 -4 0 + 0 0 . chr9 116156199 116156200 chr9:116156200:C:T rs10983068 C T C EBF1_EBF_1 20 0 + 0 0 . chr9 116208957 116208958 chr9:116208958:T:C rs3789287 T C C EBF1_EBF_1 32 0 + 0 0 . chr9 116283285 116283286 chr9:116283286:T:C rs1033866 T C C EBF1_EBF_1 11 1 - 9.642132294019973 6.749425450415963 GCTCCCAGGGGACC chr9 116482805 116482806 chr9:116482806:G:A rs7858153 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 116499762 116499763 chr9:116499763:T:C rs7043570 T C T EBF1_EBF_1 -16 0 + 0 0 . chr9 116572304 116572305 chr9:116572305:C:T rs777167679 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 116721727 116721728 chr9:116721728:C:G rs55877239 C G C EBF1_EBF_1 -3 0 + 0 0 . chr9 116724410 116724411 chr9:116724411:C:T rs7861945 C T C EBF1_EBF_1 -15 0 + 0 0 . chr9 116746996 116746997 chr9:116746997:C:T rs10118266 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 116975358 116975359 chr9:116975359:G:A rs1331087441 G A G EBF1_EBF_1 -14 0 + 0 0 . chr9 117075289 117075290 chr9:117075290:G:A rs12338247 G A G EBF1_EBF_1 1 1 - 5.658126206956072 6.553559647354816 ACTCCCTGGGGCTA chr9 117091676 117091677 chr9:117091677:C:T rs570408427 C T C EBF1_EBF_1 8 1 - 5.325729948132141 1.0994398592458785 TCTCCCCCGGGGCC chr9 117116183 117116184 chr9:117116184:A:G rs78123334 A G A EBF1_EBF_1 12 1 - 5.590641466858227 6.616908037409077 ACCCCCAGGAGATA chr9 117123196 117123197 chr9:117123197:T:C rs59940303 T C T EBF1_EBF_1 -5 0 - 0 0 . chr9 117134954 117134955 chr9:117134955:G:A rs12554661 G A G EBF1_EBF_1 3 1 - 5.368185069477219 -1.0372136720760976 TAACCCCAGGGAGC chr9 117249835 117249836 chr9:117249836:C:T rs1335420 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 117253155 117253156 chr9:117253156:A:C rs2050421 A C C EBF1_EBF_1 32 0 - 0 0 . chr9 117301788 117301789 chr9:117301789:T:A rs12349105 T A T EBF1_EBF_1 -16 0 - 0 0 . chr9 117355923 117355924 chr9:117355924:G:A rs4837137 G A A EBF1_EBF_1 8 1 + 6.894067940036651 2.6677778511503893 TTCCCCCAGAGAAC chr9 117403332 117403333 chr9:117403333:C:T rs7467917 C T C EBF1_EBF_1 -18 0 + 0 0 . chr9 117412447 117412448 chr9:117412448:C:T rs76029962 C T C EBF1_EBF_1 -15 0 - 0 0 . chr9 117485726 117485727 chr9:117485727:G:C rs10217166 G C G EBF1_EBF_1 1 1 - 5.168597949338526 5.358369603907743 GCTCCCAGGGAACT chr9 117540563 117540564 chr9:117540564:T:C rs559135 T C C EBF1_EBF_1 31 0 - 0 0 . chr9 117551346 117551347 chr9:117551347:C:T rs2026727 C T T EBF1_EBF_1 -10 0 + 0 0 . chr9 117596337 117596338 chr9:117596338:A:C rs10983707 A C A EBF1_EBF_1 -11 0 - 0 0 . chr9 117697247 117697248 chr9:117697248:T:G rs11789302 T G T EBF1_EBF_1 -8 0 + 0 0 . chr9 117745092 117745093 chr9:117745093:A:G rs72616623 A G G EBF1_EBF_1 -8 0 + 0 0 . chr9 117745126 117745127 chr9:117745127:G:A rs72616624 G A G EBF1_EBF_1 26 0 + 0 0 . chr9 117771226 117771227 chr9:117771227:C:A rs10983782 C A C EBF1_EBF_1 -2 0 - 0 0 . chr9 117773284 117773285 chr9:117773285:C:T rs756637758 C T C EBF1_EBF_1 9 1 + 5.1543245710302905 3.393024309286944 CCTCCCCAGCGACC chr9 117887917 117887918 chr9:117887918:A:T rs1355999 A T A EBF1_EBF_1 16 0 + 0 0 . chr9 118045633 118045634 chr9:118045634:C:T rs2806094 C T T EBF1_EBF_1 -11 0 - 0 0 . chr9 118046245 118046246 chr9:118046246:C:T rs10818113 C T C EBF1_EBF_1 8 1 - 5.776756292803064 1.5504662039168031 TTTCCCAAGTGACT chr9 118324758 118324759 chr9:118324759:C:T rs16906945 C T C EBF1_EBF_1 12 1 + 5.342818834969534 4.316552264418684 TTCCCTTAGGGACT chr9 118363389 118363390 chr9:118363390:G:A rs561947659 G A G EBF1_EBF_1 -17 0 - 0 0 . chr9 118460250 118460251 chr9:118460251:T:C rs17367891 T C T EBF1_EBF_1 11 1 - 6.093842331773901 3.2011354881698897 AGCCCCTGGAGATC chr9 118529486 118529487 chr9:118529487:C:T rs4128166 C T T EBF1_EBF_1 -13 0 + 0 0 . chr9 119052868 119052869 chr9:119052869:G:A rs17292743 G A A EBF1_EBF_1 28 0 + 0 0 . chr9 119272574 119272575 chr9:119272575:C:A rs765276 C A A EBF1_EBF_1 5 1 + 6.841761810927106 -0.06311934170806177 GCTCCCAAGAGAAG chr9 119693058 119693059 chr9:119693059:C:G rs16908785 C G C EBF1_EBF_1 17 0 + 0 0 . chr9 119761701 119761702 chr9:119761702:G:A rs10984686 G A G EBF1_EBF_1 13 1 - 6.913152008167829 7.757646122753347 CTCCCCTAGAGAAC chr9 119840227 119840228 chr9:119840228:G:T rs79816991 G T G EBF1_EBF_1 25 0 - 0 0 . chr9 119841884 119841885 chr9:119841885:C:G rs944955 C G c EBF1_EBF_1 16 0 + 0 0 . chr9 119938956 119938957 chr9:119938957:T:C rs949900 T C T EBF1_EBF_1 -3 0 + 0 0 . chr9 119962040 119962041 chr9:119962041:A:G rs183754961 A G A EBF1_EBF_1 22 0 - 0 0 . chr9 119962075 119962076 chr9:119962076:T:C rs58035057 T C T EBF1_EBF_1 -13 0 - 0 0 . chr9 119986896 119986897 chr9:119986897:G:A rs4837690 G A G EBF1_EBF_1 7 1 - 5.28129546555797 7.098800211840593 CCTCCCCCGAGACC chr9 120001518 120001519 chr9:120001519:C:T rs7872465 C T T EBF1_EBF_1 -4 0 + 0 0 . chr9 120096969 120096970 chr9:120096970:C:T rs7022811 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 120183266 120183267 chr9:120183267:C:T rs561121956 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 120306591 120306592 chr9:120306592:G:A rs4367627 G A G EBF1_EBF_1 23 0 - 0 0 . chr9 120310374 120310375 chr9:120310375:C:T rs56331067 C T c EBF1_EBF_1 24 0 - 0 0 . chr9 120374503 120374504 chr9:120374504:C:T rs7850406 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 120376700 120376701 chr9:120376701:T:C rs62580495 T C T EBF1_EBF_1 24 0 + 0 0 . chr9 120384268 120384269 chr9:120384269:G:A rs12115690 G A G EBF1_EBF_1 32 0 - 0 0 . chr9 120386497 120386498 chr9:120386498:G:A rs549185416 G A G EBF1_EBF_1 -9 0 + 0 0 . chr9 120387212 120387213 chr9:120387213:G:A rs872423 G A A EBF1_EBF_1 32 0 - 0 0 . chr9 120388174 120388175 chr9:120388175:A:G rs4836819 A G G EBF1_EBF_1 32 0 - 0 0 . chr9 120451257 120451258 chr9:120451258:T:C rs55667840 T C T EBF1_EBF_1 0 1 - 6.661898157041283 4.7696142874764496 ATTCCCAAGGAAGA chr9 120713614 120713615 chr9:120713615:C:T rs115460970 C T C EBF1_EBF_1 -13 0 + 0 0 . chr9 120736222 120736223 chr9:120736223:G:A rs10985016 G A A EBF1_EBF_1 8 1 + 5.539645269506428 1.313355180620167 AATCCACAGGGAAC chr9 120737179 120737180 chr9:120737180:C:T rs34913899 C T C EBF1_EBF_1 29 0 + 0 0 . chr9 120830327 120830328 chr9:120830328:A:G rs114353689 A G A EBF1_EBF_1 15 0 + 0 0 . chr9 120845601 120845602 chr9:120845602:A:C rs10985051 A C A EBF1_EBF_1 25 0 + 0 0 . chr9 120866548 120866549 chr9:120866549:G:C rs10985066 G C G EBF1_EBF_1 33 0 + 0 0 . chr9 120868786 120868787 chr9:120868787:G:A rs938209959 G A G EBF1_EBF_1 -20 0 + 0 0 . chr9 120868796 120868797 chr9:120868797:A:C rs1490646495 A C A EBF1_EBF_1 -10 0 + 0 0 . chr9 120887890 120887891 chr9:120887891:G:A rs34655689 G A G EBF1_EBF_1 9 1 + 7.600554327415095 4.945615061967491 CTTCTCCAGGGACT chr9 120895223 120895224 chr9:120895224:G:C rs1468671 G C C EBF1_EBF_1 -9 0 + 0 0 . chr9 120903018 120903019 chr9:120903019:C:T rs10985085 C T C EBF1_EBF_1 -9 0 - 0 0 . chr9 120905754 120905755 chr9:120905755:A:G rs10435843 A G G EBF1_EBF_1 -10 0 + 0 0 . chr9 120910104 120910105 chr9:120910105:C:T rs77584282 C T C EBF1_EBF_1 30 0 + 0 0 . chr9 120928367 120928368 chr9:120928368:T:C rs1157465091 T C T EBF1_EBF_1 -7 0 - 0 0 . chr9 120928678 120928679 chr9:120928679:C:T rs3761849 C T T EBF1_EBF_1 7 1 + 9.095205959569363 10.912710705851985 ACTCCCACGGGAAG chr9 120928703 120928704 chr9:120928704:T:A rs563763464 T A T EBF1_EBF_1 32 0 + 0 0 . chr9 120933371 120933372 chr9:120933372:C:T rs74322175 C T C EBF1_EBF_1 -1 0 + 0 0 . chr9 120942561 120942562 chr9:120942562:G:A rs143615511 G A G EBF1_EBF_1 26 0 - 0 0 . chr9 120942577 120942578 chr9:120942578:C:T rs190013864 C T C EBF1_EBF_1 10 1 - 4.398143716890761 -0.9198847423762047 ACCCACCAGGGACA chr9 120951053 120951054 chr9:120951054:G:C rs7874632 G C G EBF1_EBF_1 4 1 - 5.07591765165243 -0.5498474494971133 ACTCCCTTGGCAAA chr9 121201626 121201627 chr9:121201627:G:A rs538848337 G A G EBF1_EBF_1 20 0 - 0 0 . chr9 121249732 121249733 chr9:121249733:T:A rs4837823 T A T EBF1_EBF_1 11 1 - 7.092279649577483 2.9943653934787573 AGTCCCCAGGTATA chr9 121284498 121284499 chr9:121284499:A:G rs7856855 A G A EBF1_EBF_1 -16 0 + 0 0 . chr9 121287141 121287142 chr9:121287142:G:T rs1306932477 G T G EBF1_EBF_1 27 0 + 0 0 . chr9 121301844 121301845 chr9:121301845:G:A rs150227634 G A G EBF1_EBF_1 15 0 + 0 0 . chr9 121322911 121322912 chr9:121322912:C:G rs74610849 C G C EBF1_EBF_1 -4 0 + 0 0 . chr9 121322922 121322923 chr9:121322923:C:T rs57798514 C T C EBF1_EBF_1 7 1 + 4.471172305844726 6.2886770521273485 TGCCCCCCGGGGCT chr9 121334883 121334884 chr9:121334884:G:T rs114742399 G T G EBF1_EBF_1 28 0 + 0 0 . chr9 121334901 121334902 chr9:121334902:C:G rs956629247 C G C EBF1_EBF_1 17 0 + 0 0 . chr9 121485332 121485333 chr9:121485333:C:T rs12375857 C T C EBF1_EBF_1 7 1 + 6.921484942809852 8.738989689092477 AATCCCCCGAGAGT chr9 121498290 121498291 chr9:121498291:C:A chr9:121498291:C:A C A C EBF1_EBF_1 33 0 + 0 0 . chr9 121533225 121533226 chr9:121533226:G:C rs4837875 G C G EBF1_EBF_1 -10 0 + 0 0 . chr9 121556723 121556724 chr9:121556724:T:C rs545115728 T C T EBF1_EBF_1 -11 0 - 0 0 . chr9 121558306 121558307 chr9:121558307:C:T rs56276906 C T C EBF1_EBF_1 9 1 - 5.8334625827904825 3.178523317342879 GCTCCCCAGGGCAA chr9 121558308 121558309 chr9:121558309:T:G chr9:121558309:T:G T G T EBF1_EBF_1 7 1 - 5.8334625827904825 3.5396835964203013 GCTCCCCAGGGCAA chr9 121558331 121558332 chr9:121558332:C:T rs530742095 C T C EBF1_EBF_1 27 0 - 0 0 . chr9 121558332 121558333 chr9:121558333:G:T rs552128382 G T G EBF1_EBF_1 26 0 - 0 0 . chr9 121566760 121566761 chr9:121566761:C:T rs58469433 C T C EBF1_EBF_1 14 0 - 0 0 . chr9 121568367 121568368 chr9:121568368:T:G rs577348 T G G EBF1_EBF_1 -6 0 - 0 0 . chr9 121568728 121568729 chr9:121568729:C:T rs1051351007 C T C EBF1_EBF_1 4 1 + 6.661202910160543 2.2918159652156174 CTCCCCTAGGGAGG chr9 121571072 121571073 chr9:121571073:G:A rs2777297 G A G EBF1_EBF_1 16 0 + 0 0 . chr9 121574781 121574782 chr9:121574782:C:G rs580920 C G C EBF1_EBF_1 0 1 - 4.6866044951322685 4.323629881000501 GCCCCCCTGGGCAT chr9 121592792 121592793 chr9:121592793:G:A rs577509 G A G EBF1_EBF_1 -1 0 - 0 0 . chr9 121600222 121600223 chr9:121600223:T:C rs2777319 T C C EBF1_EBF_1 -16 0 + 0 0 . chr9 121600242 121600243 chr9:121600243:C:T rs60631092 C T C EBF1_EBF_1 4 1 + 5.199496213772073 0.8301092688271502 ACCCCCTGGGGCAC chr9 121622367 121622368 chr9:121622368:A:G rs2789875 A G A EBF1_EBF_1 -3 0 - 0 0 . chr9 121649818 121649819 chr9:121649819:C:G rs12350538 C G C EBF1_EBF_1 33 0 + 0 0 . chr9 121660478 121660479 chr9:121660479:C:T rs1571805 C T c EBF1_EBF_1 14 0 - 0 0 . chr9 121666391 121666392 chr9:121666392:G:C rs79421848 G C C EBF1_EBF_1 -7 0 - 0 0 . chr9 121672391 121672392 chr9:121672392:T:A rs1299724184 T A T EBF1_EBF_1 31 0 - 0 0 . chr9 121673755 121673756 chr9:121673756:G:A rs7029095 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 121673770 121673771 chr9:121673771:A:G rs7028742 A G A EBF1_EBF_1 -20 0 - 0 0 . chr9 121686994 121686995 chr9:121686995:G:A rs74355253 G A G EBF1_EBF_1 -20 0 - 0 0 . chr9 121694034 121694035 chr9:121694035:G:A rs78946274 G A G EBF1_EBF_1 -1 0 - 0 0 . chr9 121695669 121695670 chr9:121695670:T:G rs7040850 T G G EBF1_EBF_1 18 0 - 0 0 . chr9 121698946 121698947 chr9:121698947:G:C rs138459400 G C g EBF1_EBF_1 13 1 - 7.320779337424462 5.832708316069047 GACCCCCGGGGACC chr9 121698974 121698975 chr9:121698975:G:A rs149296137 G A g EBF1_EBF_1 -15 0 - 0 0 . chr9 121743581 121743582 chr9:121743582:C:T rs1222257078 C T C EBF1_EBF_1 8 1 + 6.031783507953362 4.459009579890854 AGTCCCAGCGGACA chr9 121780903 121780904 chr9:121780904:A:G rs184316076 A G A EBF1_EBF_1 11 1 + 6.257243243106607 3.3645363995025956 ACCCTCCAGGGAAC chr9 121788913 121788914 chr9:121788914:C:T rs78770747 C T T EBF1_EBF_1 26 0 + 0 0 . chr9 121799036 121799037 chr9:121799037:T:C rs114630281 T C t EBF1_EBF_1 19 0 + 0 0 . chr9 121841880 121841881 chr9:121841881:C:T rs75087929 C T C EBF1_EBF_1 8 1 - 8.67189071840212 4.4456006295158605 ACTCCCAGGGGGAC chr9 121842778 121842779 chr9:121842779:C:T rs369927977 C T C EBF1_EBF_1 6 1 + 8.314927060775494 8.616213189637252 TTTCCCCGGAGAAT chr9 121860654 121860655 chr9:121860655:T:C rs13288774 T C T EBF1_EBF_1 -17 0 - 0 0 . chr9 121876413 121876414 chr9:121876414:C:T rs80080714 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 121881037 121881038 chr9:121881038:A:G rs7033841 A G G EBF1_EBF_1 -18 0 - 0 0 . chr9 121887791 121887792 chr9:121887792:T:C rs4422836 T C C EBF1_EBF_1 13 1 - 7.9913580843240695 6.8770325122546305 CCTCCCCTGGGAGA chr9 121926225 121926226 chr9:121926226:C:T rs56783479 C T C EBF1_EBF_1 14 0 - 0 0 . chr9 121927394 121927395 chr9:121927395:T:C rs7024834 T C T EBF1_EBF_1 -18 0 - 0 0 . chr9 121944849 121944850 chr9:121944850:G:A rs7857227 G A G EBF1_EBF_1 6 1 + 7.134375403847261 9.301169835121737 AATCCCGTGAGAAA chr9 121963234 121963235 chr9:121963235:G:A rs3763647 G A G EBF1_EBF_1 8 1 + 5.202189951317314 0.9758998624310535 ACTCCCCTGTGAAC chr9 121972726 121972727 chr9:121972727:C:T chr9:121972727:C:T C T C EBF1_EBF_1 32 0 + 0 0 . chr9 121996337 121996338 chr9:121996338:C:G rs4837933 C G C EBF1_EBF_1 -14 0 - 0 0 . chr9 122100342 122100343 chr9:122100343:C:G rs7040366 C G C EBF1_EBF_1 11 1 + 7.782807917701624 9.30606277195 AGTCCCCTGGGCCC chr9 122102105 122102106 chr9:122102106:T:G rs12551572 T G T EBF1_EBF_1 -20 0 - 0 0 . chr9 122119006 122119007 chr9:122119007:G:A rs73563600 G A G EBF1_EBF_1 9 1 + 6.474618952424793 3.8196796869771887 CAACCCCAGGGACC chr9 122121382 122121383 chr9:122121383:T:C rs74439799 T C T EBF1_EBF_1 -7 0 + 0 0 . chr9 122129630 122129631 chr9:122129631:G:C rs73565129 G C G EBF1_EBF_1 6 1 - 6.387823082614686 4.582631019141487 GCTCCCCGGGGGCC chr9 122134809 122134810 chr9:122134810:A:G rs10120294 A G G EBF1_EBF_1 -14 0 - 0 0 . chr9 122179830 122179831 chr9:122179831:C:G chr9:122179831:C:G C G C EBF1_EBF_1 19 0 + 0 0 . chr9 122204839 122204840 chr9:122204840:C:G rs60359238 C G C EBF1_EBF_1 5 1 + 6.079407053039093 -0.8254740995960749 GCCCCCCAGAGAAC chr9 122221422 122221423 chr9:122221423:G:T rs996883678 G T G EBF1_EBF_1 24 0 + 0 0 . chr9 122261694 122261695 chr9:122261695:G:A rs2297177 G A A EBF1_EBF_1 -18 0 - 0 0 . chr9 122265104 122265105 chr9:122265105:T:G rs578246931 T G T EBF1_EBF_1 11 1 - 6.345146105433154 1.929184407580765 CACCCCATGAGACT chr9 122361033 122361034 chr9:122361034:C:A rs543503455 C A C EBF1_EBF_1 0 1 - 5.098075593046559 5.01730303964537 GCACCCCAGAGACT chr9 122384663 122384664 chr9:122384664:C:T rs4836887 C T T EBF1_EBF_1 1 1 + 7.742139806841456 8.637573247240201 ACTCTCCAGGGACA chr9 122394790 122394791 chr9:122394791:C:T rs113318139 C T c EBF1_EBF_1 -3 0 + 0 0 . chr9 122464229 122464230 chr9:122464230:G:A rs73566056 G A G EBF1_EBF_1 -14 0 - 0 0 . chr9 122551683 122551684 chr9:122551684:G:C rs75003898 G C G EBF1_EBF_1 18 0 + 0 0 . chr9 122565577 122565578 chr9:122565578:A:G rs2185561 A G G EBF1_EBF_1 -3 0 + 0 0 . chr9 122609636 122609637 chr9:122609637:T:C rs10818729 T C C EBF1_EBF_1 21 0 - 0 0 . chr9 122629397 122629398 chr9:122629398:T:C rs12347681 T C T EBF1_EBF_1 25 0 + 0 0 . chr9 122828320 122828321 chr9:122828321:G:A rs183956845 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 122931444 122931445 chr9:122931445:G:A chr9:122931445:G:A G A G EBF1_EBF_1 5 1 - 5.578248029891113 0.2564608143504419 CTTCCCCCGGGTCT chr9 122931456 122931457 chr9:122931457:G:C rs183091814 G C G EBF1_EBF_1 -7 0 - 0 0 . chr9 122931513 122931514 chr9:122931514:G:A rs532347540 G A G EBF1_EBF_1 -16 0 - 0 0 . chr9 122997742 122997743 chr9:122997743:G:A rs77278484 G A G EBF1_EBF_1 32 0 + 0 0 . chr9 123027471 123027472 chr9:123027472:G:T rs10818778 G T G EBF1_EBF_1 26 0 + 0 0 . chr9 123116208 123116209 chr9:123116209:G:A rs4836912 G A G EBF1_EBF_1 16 0 - 0 0 . chr9 123289398 123289399 chr9:123289399:C:T rs10818802 C T T EBF1_EBF_1 -16 0 - 0 0 . chr9 123311256 123311257 chr9:123311257:G:A rs12236395 G A G EBF1_EBF_1 -18 0 - 0 0 . chr9 123334511 123334512 chr9:123334512:T:G rs139144334 T G T EBF1_EBF_1 0 1 - 6.4389687135441935 4.183710229847593 ACCCCCCTGAGATC chr9 123354415 123354416 chr9:123354416:G:A rs145636290 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 123354802 123354803 chr9:123354803:G:T rs10985982 G T G EBF1_EBF_1 25 0 - 0 0 . chr9 123354803 123354804 chr9:123354804:T:C rs10818811 T C T EBF1_EBF_1 24 0 - 0 0 . chr9 123373967 123373968 chr9:123373968:A:G rs2488599 A G G EBF1_EBF_1 -1 0 - 0 0 . chr9 123376068 123376069 chr9:123376069:T:C rs114406873 T C C EBF1_EBF_1 -10 0 + 0 0 . chr9 123376681 123376682 chr9:123376682:T:C rs2797947 T C C EBF1_EBF_1 1 1 + 7.171751084766173 6.276317644367428 ATACCCCTGGGGCT chr9 123406363 123406364 chr9:123406364:C:T rs12341785 C T C EBF1_EBF_1 19 0 + 0 0 . chr9 123415570 123415571 chr9:123415571:C:T rs62581070 C T C EBF1_EBF_1 8 1 - 9.011498083240266 4.785207994354003 CTTCCCACGGGATT chr9 123415580 123415581 chr9:123415581:A:G rs7048861 A G A EBF1_EBF_1 -2 0 - 0 0 . chr9 123468226 123468227 chr9:123468227:T:C rs62579169 T C T EBF1_EBF_1 29 0 - 0 0 . chr9 123568778 123568779 chr9:123568779:A:T rs187369607 A T A EBF1_EBF_1 -7 0 - 0 0 . chr9 123640490 123640491 chr9:123640491:C:G rs62579201 C G C EBF1_EBF_1 18 0 - 0 0 . chr9 123742667 123742668 chr9:123742668:G:A rs7024166 G A G EBF1_EBF_1 11 1 + 8.544775602489029 11.437482446093039 GCTCCCCAGGGGCT chr9 123754037 123754038 chr9:123754038:T:G rs191096931 T G T EBF1_EBF_1 -9 0 + 0 0 . chr9 123926081 123926082 chr9:123926082:A:G chr9:123926082:A:G A G A EBF1_EBF_1 18 0 + 0 0 . chr9 124001285 124001286 chr9:124001286:G:T rs72757170 G T G EBF1_EBF_1 -7 0 - 0 0 . chr9 124007970 124007971 chr9:124007971:C:G rs10818907 C G C EBF1_EBF_1 29 0 - 0 0 . chr9 124007994 124007995 chr9:124007995:G:A rs41274362 G A G EBF1_EBF_1 5 1 - 5.055818910226858 -0.2659683053138125 TCCCCCGAGGGAGA chr9 124015667 124015668 chr9:124015668:C:A rs141488082 C A C EBF1_EBF_1 3 1 + 5.712212050294144 -1.1939619791716634 AGCCCCCTGGGCTT chr9 124030269 124030270 chr9:124030270:C:T rs72757181 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 124032316 124032317 chr9:124032317:C:T rs7029737 C T C EBF1_EBF_1 -10 0 + 0 0 . chr9 124032350 124032351 chr9:124032351:G:C rs76357520 G C G EBF1_EBF_1 24 0 + 0 0 . chr9 124038972 124038973 chr9:124038973:G:C rs34495142 G C G EBF1_EBF_1 32 0 - 0 0 . chr9 124040967 124040968 chr9:124040968:C:T rs7042343 C T C EBF1_EBF_1 1 1 - 12.67504385564157 12.414345023720267 AGTCCCCAGGGACC chr9 124045638 124045639 chr9:124045639:G:C rs906591053 G C G EBF1_EBF_1 24 0 + 0 0 . chr9 124055159 124055160 chr9:124055160:G:A rs149638631 G A G EBF1_EBF_1 0 1 - 4.808518966995262 5.09072102772584 CTCCCCAAGGGCCA chr9 124089124 124089125 chr9:124089125:C:G rs34888673 C G C EBF1_EBF_1 9 1 - 7.344780040083634 2.2690908937381704 AGCCCCTTGGGGCA chr9 124100754 124100755 chr9:124100755:G:A rs79485280 G A G EBF1_EBF_1 3 1 - 4.937252911111031 -1.468145830442287 TCCCCCATGGGCCT chr9 124133889 124133890 chr9:124133890:A:G rs138353464 A G a EBF1_EBF_1 15 0 - 0 0 . chr9 124133909 124133910 chr9:124133910:A:G rs2807591 A G G EBF1_EBF_1 -5 0 - 0 0 . chr9 124133910 124133911 chr9:124133911:T:C rs188766557 T C T EBF1_EBF_1 -6 0 - 0 0 . chr9 124137285 124137286 chr9:124137286:C:A rs2807590 C A A EBF1_EBF_1 0 1 - 6.15450683532203 6.073734281920841 GGCCCCATGAGAAC chr9 124137501 124137502 chr9:124137502:G:A rs7027462 G A G EBF1_EBF_1 27 0 + 0 0 . chr9 124173602 124173603 chr9:124173603:C:T rs1536510 C T T EBF1_EBF_1 -5 0 + 0 0 . chr9 124174074 124174075 chr9:124174075:T:G rs10986260 T G G EBF1_EBF_1 20 0 - 0 0 . chr9 124188303 124188304 chr9:124188304:C:T rs73575753 C T T EBF1_EBF_1 19 0 - 0 0 . chr9 124188309 124188310 chr9:124188310:A:G rs77935882 A G G EBF1_EBF_1 13 1 - 6.73515427255131 5.890660157965792 ATCCCCATGGGTGT chr9 124189004 124189005 chr9:124189005:G:A rs7042608 G A G EBF1_EBF_1 -5 0 + 0 0 . chr9 124199298 124199299 chr9:124199299:C:T rs12002893 C T C EBF1_EBF_1 10 1 - 6.926559060845272 1.6085306015783063 GCACCCAAGGGAAA chr9 124206394 124206395 chr9:124206395:G:C rs58733878 G C G EBF1_EBF_1 -7 0 + 0 0 . chr9 124212742 124212743 chr9:124212743:G:A rs10760332 G A G EBF1_EBF_1 30 0 - 0 0 . chr9 124213374 124213375 chr9:124213375:G:A rs10986274 G A G EBF1_EBF_1 -2 0 + 0 0 . chr9 124223158 124223159 chr9:124223159:A:G rs62583791 A G G EBF1_EBF_1 -5 0 + 0 0 . chr9 124239845 124239846 chr9:124239846:G:T rs72759301 G T G EBF1_EBF_1 33 0 + 0 0 . chr9 124242548 124242549 chr9:124242549:G:C rs548931589 G C G EBF1_EBF_1 14 0 - 0 0 . chr9 124242865 124242866 chr9:124242866:A:G rs944336 A G A EBF1_EBF_1 7 1 + 4.895010284283158 3.582551878994332 AGCCCCCAGAGGAA chr9 124258092 124258093 chr9:124258093:G:T rs979956518 G T g EBF1_EBF_1 9 1 - 5.604169374951928 8.024919255849788 AGCCCCAGGCGACT chr9 124266035 124266036 chr9:124266036:C:T rs3814135 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 124270327 124270328 chr9:124270328:A:G rs1045774 A G a EBF1_EBF_1 17 0 - 0 0 . chr9 124283322 124283323 chr9:124283323:T:C rs78440401 T C T EBF1_EBF_1 20 0 - 0 0 . chr9 124288087 124288088 chr9:124288088:C:G rs4367656 C G G EBF1_EBF_1 -2 0 + 0 0 . chr9 124290339 124290340 chr9:124290340:G:A rs77421770 G A A EBF1_EBF_1 0 1 + 5.322468991367717 7.214752860932551 GGCCCCCAGGGCCT chr9 124300578 124300579 chr9:124300579:G:A rs529330609 G A G EBF1_EBF_1 29 0 - 0 0 . chr9 124300915 124300916 chr9:124300916:C:T rs117074788 C T C EBF1_EBF_1 5 1 + 6.446925714439001 1.12513849889833 TGCCCCTCGGGACA chr9 124306664 124306665 chr9:124306665:G:A rs112977248 G A G EBF1_EBF_1 -11 0 - 0 0 . chr9 124307429 124307430 chr9:124307430:C:T rs3758214 C T C EBF1_EBF_1 -12 0 + 0 0 . chr9 124307464 124307465 chr9:124307465:C:T rs3758213 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 124307473 124307474 chr9:124307474:C:T rs3758212 C T C EBF1_EBF_1 32 0 + 0 0 . chr9 124307941 124307942 chr9:124307942:T:C rs4838160 T C C EBF1_EBF_1 11 1 - 10.657482867071192 7.764776023467181 CTTCCCCGGGGACT chr9 124320270 124320271 chr9:124320271:T:C rs77242531 T C c EBF1_EBF_1 -14 0 - 0 0 . chr9 124320767 124320768 chr9:124320768:C:T rs116532767 C T c EBF1_EBF_1 22 0 - 0 0 . chr9 124320769 124320770 chr9:124320770:G:A chr9:124320770:G:A G A g EBF1_EBF_1 20 0 - 0 0 . chr9 124322654 124322655 chr9:124322655:C:T rs12335737 C T c EBF1_EBF_1 11 1 + 6.28602573482316 6.604073176576824 TGTCCCCAGGGCCC chr9 124328888 124328889 chr9:124328889:G:A rs3780205 G A g EBF1_EBF_1 -15 0 + 0 0 . chr9 124328911 124328912 chr9:124328912:G:C rs79058442 G C g EBF1_EBF_1 8 1 + 10.052306960537573 4.733648099622886 ACCCCCCAGGGAGT chr9 124331908 124331909 chr9:124331909:G:A rs150345000 G A g EBF1_EBF_1 -13 0 + 0 0 . chr9 124333423 124333424 chr9:124333424:G:A rs748659 G A g EBF1_EBF_1 8 1 + 5.282079771903494 1.055789683017234 CCTCCCCTGGGTCA chr9 124345410 124345411 chr9:124345411:C:T rs143351731 C T C EBF1_EBF_1 -3 0 - 0 0 . chr9 124346177 124346178 chr9:124346178:G:T rs117875182 G T G EBF1_EBF_1 -11 0 - 0 0 . chr9 124378243 124378244 chr9:124378244:G:A rs7875898 G A G EBF1_EBF_1 0 1 - 6.261538290532936 6.543740351263514 CGTCCCATGAGAGC chr9 124452170 124452171 chr9:124452171:C:T rs75828435 C T C EBF1_EBF_1 2 1 + 5.746685401630618 7.635508813072767 TCCCCCCAGGGAGG chr9 124471078 124471079 chr9:124471079:G:A rs117245161 G A G EBF1_EBF_1 23 0 - 0 0 . chr9 124476052 124476053 chr9:124476053:T:C rs4838186 T C C EBF1_EBF_1 2 1 - 5.379703943622471 2.3158458410208804 GAACCCCAGGGAAG chr9 124483402 124483403 chr9:124483403:G:C rs915032 G C G EBF1_EBF_1 -14 0 - 0 0 . chr9 124483904 124483905 chr9:124483905:G:A rs7865700 G A A EBF1_EBF_1 -9 0 - 0 0 . chr9 124505409 124505410 chr9:124505410:A:G rs3814134 A G G EBF1_EBF_1 15 0 + 0 0 . chr9 124573474 124573475 chr9:124573475:C:T rs4590537 C T C EBF1_EBF_1 2 1 + 8.405519253062332 10.294342664504482 GGCCCCATGGGACA chr9 124779868 124779869 chr9:124779869:C:T rs73585462 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 124780496 124780497 chr9:124780497:C:T rs554267101 C T C EBF1_EBF_1 25 0 - 0 0 . chr9 124790807 124790808 chr9:124790808:A:G rs75175061 A G A EBF1_EBF_1 -6 0 + 0 0 . chr9 124835088 124835089 chr9:124835089:A:C rs78271564 A C A EBF1_EBF_1 22 0 + 0 0 . chr9 124835396 124835397 chr9:124835397:T:C rs4838224 T C C EBF1_EBF_1 -2 0 - 0 0 . chr9 124835719 124835720 chr9:124835720:G:T rs74309911 G T G EBF1_EBF_1 -6 0 + 0 0 . chr9 124843867 124843868 chr9:124843868:C:T rs77250673 C T C EBF1_EBF_1 22 0 + 0 0 . chr9 124852952 124852953 chr9:124852953:T:C rs10760379 T C C EBF1_EBF_1 -5 0 - 0 0 . chr9 124856725 124856726 chr9:124856726:G:C rs74942099 G C G EBF1_EBF_1 27 0 + 0 0 . chr9 124857595 124857596 chr9:124857596:G:A rs368166491 G A G EBF1_EBF_1 -10 0 + 0 0 . chr9 124863676 124863677 chr9:124863677:A:C rs76458638 A C A EBF1_EBF_1 1 1 - 5.357567100567598 4.6519053147380705 CTTCCTCTGGGAAC chr9 124888041 124888042 chr9:124888042:G:C rs754508 G C G EBF1_EBF_1 4 1 - 6.960518646176858 1.3347535450273151 ACCCCCTGGAGAAC chr9 124940612 124940613 chr9:124940613:T:G chr9:124940613:T:G T G T EBF1_EBF_1 22 0 + 0 0 . chr9 124940623 124940624 chr9:124940624:G:C rs987952871 G C G EBF1_EBF_1 33 0 + 0 0 . chr9 125145637 125145638 chr9:125145638:T:C rs4838247 T C C EBF1_EBF_1 -16 0 - 0 0 . chr9 125146137 125146138 chr9:125146138:T:C rs12237075 T C T EBF1_EBF_1 -17 0 - 0 0 . chr9 125189422 125189423 chr9:125189423:G:A chr9:125189423:G:A G A G EBF1_EBF_1 -4 0 + 0 0 . chr9 125189930 125189931 chr9:125189931:G:T rs459311 G T g EBF1_EBF_1 12 1 + 5.21196373331011 5.574333106437412 GGCCCCAAGAGAGC chr9 125189947 125189948 chr9:125189948:C:G rs139459287 C G C EBF1_EBF_1 29 0 + 0 0 . chr9 125225475 125225476 chr9:125225476:G:C rs620757 G C g EBF1_EBF_1 -18 0 - 0 0 . chr9 125234192 125234193 chr9:125234193:G:A rs938729 G A G EBF1_EBF_1 -20 0 - 0 0 . chr9 125241674 125241675 chr9:125241675:T:G rs187716379 T G T EBF1_EBF_1 16 0 - 0 0 . chr9 125241685 125241686 chr9:125241686:G:A chr9:125241686:G:A G A G EBF1_EBF_1 5 1 - 4.993702199284157 -0.32808501625651254 ACCCCCTAGGGCCG chr9 125241686 125241687 chr9:125241687:G:T rs865910889 G T G EBF1_EBF_1 4 1 - 4.993702199284157 -0.8308574241693096 ACCCCCTAGGGCCG chr9 125241691 125241692 chr9:125241692:C:A rs1056916448 C A C EBF1_EBF_1 -1 0 - 0 0 . chr9 125241699 125241700 chr9:125241700:G:A rs17840761 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 125241707 125241708 chr9:125241708:G:A rs17840762 G A C EBF1_EBF_1 -17 0 - 0 0 . chr9 125241744 125241745 chr9:125241745:T:C rs391957 T C C EBF1_EBF_1 32 0 + 0 0 . chr9 125262156 125262157 chr9:125262157:T:C rs538896370 T C T EBF1_EBF_1 -3 0 - 0 0 . chr9 125408807 125408808 chr9:125408808:G:C rs1349029321 G C G EBF1_EBF_1 7 1 + 5.681284417618269 4.699963836536913 CCTCCCCGGGGGAA chr9 125410611 125410612 chr9:125410612:C:T rs115218787 C T C EBF1_EBF_1 16 0 - 0 0 . chr9 125410632 125410633 chr9:125410633:G:A rs143963921 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 125411558 125411559 chr9:125411559:C:A rs2133386 C A A EBF1_EBF_1 -3 0 - 0 0 . chr9 125427689 125427690 chr9:125427690:A:G rs2841335 A G A EBF1_EBF_1 7 1 - 4.938935016681908 3.121430270399284 ACTTCCATGGGATC chr9 125430230 125430231 chr9:125430231:T:C rs139496217 T C C EBF1_EBF_1 -10 0 + 0 0 . chr9 125447802 125447803 chr9:125447803:A:G rs185763806 A G a EBF1_EBF_1 27 0 + 0 0 . chr9 125746461 125746462 chr9:125746462:G:T rs568907889 G T G EBF1_EBF_1 2 1 - 7.092429437992417 5.296785261835689 AGCCCCCCGGGATA chr9 125746966 125746967 chr9:125746967:C:T rs1439764904 C T - EBF1_EBF_1 -5 0 + 0 0 . chr9 125746967 125746968 chr9:125746968:G:C rs1200358156 G C - EBF1_EBF_1 -4 0 + 0 0 . chr9 125746987 125746988 chr9:125746988:C:T rs567804439 C T - EBF1_EBF_1 16 0 + 0 0 . chr9 125748074 125748075 chr9:125748075:C:T rs144979191 C T C EBF1_EBF_1 29 0 - 0 0 . chr9 125958444 125958445 chr9:125958445:T:C rs10819090 T C T EBF1_EBF_1 7 1 - 11.147822812000697 9.835364406711872 AGCCCCAAGGGACC chr9 125969995 125969996 chr9:125969996:C:T rs12336219 C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 125983030 125983031 chr9:125983031:C:G rs56039020 C G G EBF1_EBF_1 6 1 - 6.333708961434629 8.138901024907828 ATCCCCGTGGGAGG chr9 125986350 125986351 chr9:125986351:G:A rs11791170 G A G EBF1_EBF_1 9 1 + 12.485272201072354 9.83033293562475 ACTCCCCAGGGACC chr9 125994117 125994118 chr9:125994118:C:T rs4837026 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 125994887 125994888 chr9:125994888:G:A rs1571569 G A G EBF1_EBF_1 -5 0 + 0 0 . chr9 125999501 125999502 chr9:125999502:G:A rs13284237 G A A EBF1_EBF_1 8 1 + 3.8792814578924553 -0.34700863099380597 GCCCCCACGGGGCC chr9 125999526 125999527 chr9:125999527:G:T rs13284251 G T G EBF1_EBF_1 33 0 + 0 0 . chr9 126038006 126038007 chr9:126038007:C:T rs1001084 C T C EBF1_EBF_1 16 0 - 0 0 . chr9 126050010 126050011 chr9:126050011:G:A rs1330490929 G A G EBF1_EBF_1 1 1 + 8.455440110502 8.194741278580697 CGTCCCCTGGGACG chr9 126066271 126066272 chr9:126066272:T:A rs12552810 T A A EBF1_EBF_1 -11 0 + 0 0 . chr9 126066283 126066284 chr9:126066284:G:C rs78088457 G C G EBF1_EBF_1 1 1 + 3.9452183228168027 3.7554466682475853 GGCCCCCCGAGACC chr9 126091637 126091638 chr9:126091638:C:A rs10760412 C A C EBF1_EBF_1 -5 0 + 0 0 . chr9 126111840 126111841 chr9:126111841:C:T rs17270056 C T G EBF1_EBF_1 28 0 + 0 0 . chr9 126113495 126113496 chr9:126113496:C:T rs12551977 C T C EBF1_EBF_1 25 0 - 0 0 . chr9 126114236 126114237 chr9:126114237:G:A rs6478724 G A G EBF1_EBF_1 -16 0 + 0 0 . chr9 126117654 126117655 chr9:126117655:A:G rs4595229 A G A EBF1_EBF_1 7 1 + 5.392985597361093 4.080527192072269 ATTCCCAATGGAAG chr9 126122784 126122785 chr9:126122785:C:A rs2417004 C A A EBF1_EBF_1 26 0 - 0 0 . chr9 126145544 126145545 chr9:126145545:G:A rs61100210 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 126146459 126146460 chr9:126146460:C:T rs181462216 C T C EBF1_EBF_1 5 1 + 8.050607359521548 2.7288201439808777 CTTCCCCGGGGAGA chr9 126146461 126146462 chr9:126146462:G:A rs773520598 G A G EBF1_EBF_1 7 1 + 8.050607359521548 9.363065764810374 CTTCCCCGGGGAGA chr9 126150883 126150884 chr9:126150884:G:A rs1345448 G A A EBF1_EBF_1 12 1 - 9.03261788646953 8.006351315918682 CATCCCAAGGGACG chr9 126150886 126150887 chr9:126150887:C:T rs974920 C T C EBF1_EBF_1 9 1 - 9.03261788646953 6.3776786210219285 CATCCCAAGGGACG chr9 126151607 126151608 chr9:126151608:T:C rs1035226 T C C EBF1_EBF_1 27 0 + 0 0 . chr9 126154865 126154866 chr9:126154866:G:A rs117676238 G A G EBF1_EBF_1 -6 0 - 0 0 . chr9 126157441 126157442 chr9:126157442:C:A rs4838351 C A C EBF1_EBF_1 6 1 + 5.627979038770271 5.989581406571548 TGTCCCCTGGGCTT chr9 126164166 126164167 chr9:126164167:G:A rs888222 G A G EBF1_EBF_1 18 0 - 0 0 . chr9 126205783 126205784 chr9:126205784:C:T rs1360288 C T C EBF1_EBF_1 -19 0 - 0 0 . chr9 126232708 126232709 chr9:126232709:A:T rs2039202 A T T EBF1_EBF_1 -13 0 + 0 0 . chr9 126266859 126266860 chr9:126266860:G:A rs2491650 G A G EBF1_EBF_1 30 0 - 0 0 . chr9 126305137 126305138 chr9:126305138:C:T rs544772 C T C EBF1_EBF_1 -5 0 + 0 0 . chr9 126326520 126326521 chr9:126326521:C:T rs148744220 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 126342716 126342717 chr9:126342717:G:A rs75914726 G A G EBF1_EBF_1 0 1 + 4.914509092303803 6.806792961868634 GTTCCCCAGAGGTC chr9 126389103 126389104 chr9:126389104:C:T rs146862810 C T C EBF1_EBF_1 10 1 - 5.449705207025255 0.13167674775828958 AGTCACAAGGGAGA chr9 126399114 126399115 chr9:126399115:C:G rs140889692 C G C EBF1_EBF_1 10 1 - 9.501043501679264 2.6102411143497894 TCTCCCTGGGGACC chr9 126463148 126463149 chr9:126463149:C:T rs1222885984 C T C EBF1_EBF_1 15 0 - 0 0 . chr9 126481038 126481039 chr9:126481039:G:C chr9:126481039:G:C G C G EBF1_EBF_1 5 1 - 4.802271503169612 -2.102609649465556 AGTCACCAGGGGCT chr9 126499532 126499533 chr9:126499533:C:T rs561889170 C T C EBF1_EBF_1 32 0 - 0 0 . chr9 126500942 126500943 chr9:126500943:G:A rs894868096 G A G EBF1_EBF_1 25 0 - 0 0 . chr9 126502729 126502730 chr9:126502730:G:T rs10122983 G T G EBF1_EBF_1 1 1 + 4.857639266224021 5.563301052053549 GGTCCCTAGAGCCT chr9 126503462 126503463 chr9:126503463:A:G rs3814127 A G G EBF1_EBF_1 13 1 + 8.845095991662712 7.730770419593273 CCTCCCTGGGGACA chr9 126508298 126508299 chr9:126508299:T:G rs138826799 T G T EBF1_EBF_1 -12 0 + 0 0 . chr9 126516928 126516929 chr9:126516929:A:G rs115196335 A G G EBF1_EBF_1 15 0 - 0 0 . chr9 126527972 126527973 chr9:126527973:G:C rs118048319 G C G EBF1_EBF_1 -20 0 + 0 0 . chr9 126531858 126531859 chr9:126531859:C:A rs10819177 C A A EBF1_EBF_1 -20 0 - 0 0 . chr9 126546105 126546106 chr9:126546106:G:A rs79441650 G A G EBF1_EBF_1 -3 0 - 0 0 . chr9 126586506 126586507 chr9:126586507:G:A rs79660236 G A G EBF1_EBF_1 8 1 + 6.911168801085822 2.6848787121995605 TGCCCCACGGGAAC chr9 126616684 126616685 chr9:126616685:G:A rs77740450 G A G EBF1_EBF_1 -10 0 - 0 0 . chr9 126639289 126639290 chr9:126639290:A:C rs10987377 A C A EBF1_EBF_1 24 0 - 0 0 . chr9 126639577 126639578 chr9:126639578:C:T rs147356984 C T C EBF1_EBF_1 -14 0 + 0 0 . chr9 126650929 126650930 chr9:126650930:G:A rs10987385 G A G EBF1_EBF_1 21 0 + 0 0 . chr9 126658868 126658869 chr9:126658869:A:G rs7857462 A G G EBF1_EBF_1 -9 0 + 0 0 . chr9 126663926 126663927 chr9:126663927:G:C rs73596759 G C G EBF1_EBF_1 31 0 + 0 0 . chr9 126673561 126673562 chr9:126673562:C:G rs7867986 C G G EBF1_EBF_1 -19 0 + 0 0 . chr9 126673800 126673801 chr9:126673801:G:A rs563310809 G A G EBF1_EBF_1 -4 0 + 0 0 . chr9 126694555 126694556 chr9:126694556:C:T rs148786340 C T C EBF1_EBF_1 -19 0 + 0 0 . chr9 126698442 126698443 chr9:126698443:T:C rs34776870 T C C EBF1_EBF_1 11 1 - 5.314285560304199 2.4215787167001883 ATTGCCCTGGGAGA chr9 126698447 126698448 chr9:126698448:G:A rs35632228 G A G EBF1_EBF_1 6 1 - 5.314285560304199 5.615571689165957 ATTGCCCTGGGAGA chr9 126709366 126709367 chr9:126709367:G:A rs79876962 G A G EBF1_EBF_1 18 0 - 0 0 . chr9 126722645 126722646 chr9:126722646:A:G rs539290709 A G A EBF1_EBF_1 -18 0 + 0 0 . chr9 126722677 126722678 chr9:126722678:C:G rs188429721 C G C EBF1_EBF_1 14 0 + 0 0 . chr9 126722686 126722687 chr9:126722687:A:G rs555086613 A G A EBF1_EBF_1 23 0 + 0 0 . chr9 126745791 126745792 chr9:126745792:A:G rs7853493 A G g EBF1_EBF_1 -10 0 + 0 0 . chr9 126781731 126781732 chr9:126781732:G:A rs113827386 G A A EBF1_EBF_1 21 0 - 0 0 . chr9 126781731 126781732 chr9:126781732:G:T chr9:126781732:G:T G T A EBF1_EBF_1 21 0 - 0 0 . chr9 126781742 126781743 chr9:126781743:C:G chr9:126781743:C:G C G C EBF1_EBF_1 10 1 - 4.833406942737049 -2.057395444592426 ACACCCCGGAGACC chr9 126782163 126782164 chr9:126782164:C:T rs6478755 C T T EBF1_EBF_1 8 1 - 7.101554150078286 2.875264061192025 GGTCCCCAGAGATC chr9 126791895 126791896 chr9:126791896:A:C rs139212692 A C A EBF1_EBF_1 22 0 + 0 0 . chr9 126806157 126806158 chr9:126806158:A:T rs778653301 A T A EBF1_EBF_1 2 1 - 6.855949612280765 3.171482024681888 GATCCCTGGAGACC chr9 126838432 126838433 chr9:126838433:C:T rs999563 C T T EBF1_EBF_1 11 1 + 3.920928083293451 4.238975525047116 TGCCCCCTGGGCCC chr9 126847105 126847106 chr9:126847106:G:A rs4992925 G A G EBF1_EBF_1 4 1 - 5.479962131124199 1.1105751861792754 AGTCCCATGGAAGA chr9 126859733 126859734 chr9:126859734:A:G rs72762582 A G A EBF1_EBF_1 7 1 + 6.707780586752187 5.395322181463363 GTCCCCAAGGGTCT chr9 126860972 126860973 chr9:126860973:G:A chr9:126860973:G:A G A G EBF1_EBF_1 6 1 + 4.901699453386908 7.068493884661383 GTCCCCGAGGGGCC chr9 126861176 126861177 chr9:126861177:G:C rs928984907 G C G EBF1_EBF_1 26 0 + 0 0 . chr9 126883803 126883804 chr9:126883804:G:A rs114633740 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 126883805 126883806 chr9:126883806:A:G rs187448137 A G A EBF1_EBF_1 22 0 - 0 0 . chr9 126888809 126888810 chr9:126888810:C:T rs4837111 C T C EBF1_EBF_1 1 1 + 11.436110199762549 12.331543640161295 CCTCCCAAGGGACT chr9 126915563 126915564 chr9:126915564:T:C rs7039000 T C T EBF1_EBF_1 18 0 - 0 0 . chr9 126945845 126945846 chr9:126945846:C:T rs489601 C T C EBF1_EBF_1 -5 0 - 0 0 . chr9 126957370 126957371 chr9:126957371:A:G rs1979791 A G A EBF1_EBF_1 -9 0 + 0 0 . chr9 127060240 127060241 chr9:127060241:G:A rs11795097 G A G EBF1_EBF_1 18 0 + 0 0 . chr9 127112060 127112061 chr9:127112061:T:C rs184943052 T C T EBF1_EBF_1 -17 0 + 0 0 . chr9 127133243 127133244 chr9:127133244:G:A rs187844870 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 127175036 127175037 chr9:127175037:G:A rs140786887 G A G EBF1_EBF_1 13 1 + 5.616176581519531 6.7305021535889695 AGCCCCAAGAGAGG chr9 127175988 127175989 chr9:127175989:A:G rs11788964 A G A EBF1_EBF_1 26 0 - 0 0 . chr9 127183592 127183593 chr9:127183593:C:T rs139383823 C T C EBF1_EBF_1 1 1 + 6.863293949906756 7.758727390305501 GCTCCCCTGGGTCT chr9 127226512 127226513 chr9:127226513:G:T rs1207676284 G T G EBF1_EBF_1 -14 0 - 0 0 . chr9 127259107 127259108 chr9:127259108:G:A rs57633425 G A G EBF1_EBF_1 20 0 - 0 0 . chr9 127322667 127322668 chr9:127322668:G:C rs10122532 G C C EBF1_EBF_1 0 1 - 5.821698259170458 6.184672873302224 CTTCCTAAGGGAAA chr9 127336993 127336994 chr9:127336994:T:C rs528891817 T C T EBF1_EBF_1 -12 0 - 0 0 . chr9 127354078 127354079 chr9:127354079:A:G rs3934537 A G A EBF1_EBF_1 32 0 - 0 0 . chr9 127354117 127354118 chr9:127354118:G:A rs10987605 G A G EBF1_EBF_1 -7 0 - 0 0 . chr9 127397626 127397627 chr9:127397627:C:T rs549895476 C T c EBF1_EBF_1 4 1 + 7.152587500268529 2.7832005553236043 TTCCCCTCGGGACA chr9 127397694 127397695 chr9:127397695:C:T rs191613897 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 127397744 127397745 chr9:127397745:T:A rs567235798 T A t EBF1_EBF_1 1 1 + 5.756059867468512 4.789699249717681 CTCCCCTCGGGACG chr9 127406371 127406372 chr9:127406372:C:G rs12115375 C G C EBF1_EBF_1 6 1 + 3.9564134039901613 2.151221340516964 ACTGCCCGGGGAGC chr9 127406593 127406594 chr9:127406594:C:T rs72767909 C T C EBF1_EBF_1 29 0 + 0 0 . chr9 127418185 127418186 chr9:127418186:C:T rs117665682 C T C EBF1_EBF_1 6 1 + 7.391706514776546 7.692992643638304 GCCCCCCGGGGACC chr9 127454671 127454672 chr9:127454672:G:T rs2244218 G T G EBF1_EBF_1 -14 0 - 0 0 . chr9 127479829 127479830 chr9:127479830:C:T rs1539568 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 127491391 127491392 chr9:127491392:A:G rs115087590 A G A EBF1_EBF_1 2 1 - 4.604116873826152 2.715293462384003 ACTCCCAGGGGCTG chr9 127495441 127495442 chr9:127495442:C:T rs371872032 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 127496100 127496101 chr9:127496101:C:T rs75171318 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 127524741 127524742 chr9:127524742:A:G rs4836565 A G A EBF1_EBF_1 27 0 + 0 0 . chr9 127545420 127545421 chr9:127545421:G:A rs75542608 G A G EBF1_EBF_1 2 1 - 6.548706966275775 8.437530377717925 ACCCCCCTGGGCCT chr9 127558003 127558004 chr9:127558004:T:C rs2491105 T C T EBF1_EBF_1 11 1 - 4.775167528324986 1.8824606847209744 GACCCCCTAGGACT chr9 127561205 127561206 chr9:127561206:G:T rs2252730 G T T EBF1_EBF_1 10 1 + 5.777264639717362 1.5945124534900976 ATACCCCAGGGGTC chr9 127569943 127569944 chr9:127569944:G:A rs73601547 G A G EBF1_EBF_1 23 0 - 0 0 . chr9 127608693 127608694 chr9:127608694:G:C chr9:127608694:G:C G C G EBF1_EBF_1 -17 0 + 0 0 . chr9 127716050 127716051 chr9:127716051:G:A chr9:127716051:G:A G A G EBF1_EBF_1 -16 0 - 0 0 . chr9 127720686 127720687 chr9:127720687:C:G rs2988580 C G G EBF1_EBF_1 10 1 - 4.594567661140991 -2.2962347261884837 CTCCCCCAGGGTGT chr9 127766268 127766269 chr9:127766269:C:T rs4836579 C T c EBF1_EBF_1 29 0 + 0 0 . chr9 127771214 127771215 chr9:127771215:G:A rs34219208 G A G EBF1_EBF_1 33 0 + 0 0 . chr9 127783020 127783021 chr9:127783021:C:T rs61088356 C T C EBF1_EBF_1 0 1 + 4.801153921324448 5.083355982055026 CGACCCCTGGGAAG chr9 127783231 127783232 chr9:127783232:G:A rs10123739 G A G EBF1_EBF_1 -6 0 - 0 0 . chr9 127785969 127785970 chr9:127785970:G:A chr9:127785970:G:A G A G EBF1_EBF_1 6 1 - 9.262156449132549 9.563442577994307 GGCCCCCTGGGACT chr9 127788539 127788540 chr9:127788540:C:T rs140058017 C T C EBF1_EBF_1 4 1 + 8.7859551766088 4.416568231663877 CTTCCCAGGGGAGC chr9 127828411 127828412 chr9:127828412:T:C rs41328850 T C T EBF1_EBF_1 27 0 - 0 0 . chr9 127828437 127828438 chr9:127828438:G:A rs918742031 G A G EBF1_EBF_1 1 1 - 3.6777833358726486 4.573216776271393 GCTCCCTGGGGCCG chr9 127840128 127840129 chr9:127840129:G:A rs10121110 G A G EBF1_EBF_1 7 1 - 9.131908549071525 10.949413295354146 TTTCCCACGGGAAA chr9 127853819 127853820 chr9:127853820:G:A rs41421744 G A G EBF1_EBF_1 -18 0 + 0 0 . chr9 127853859 127853860 chr9:127853860:A:G rs41328849 A G A EBF1_EBF_1 22 0 + 0 0 . chr9 127854034 127854035 chr9:127854035:T:C rs143016113 T C T EBF1_EBF_1 -14 0 - 0 0 . chr9 127860348 127860349 chr9:127860349:C:T rs10987761 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 127860666 127860667 chr9:127860667:T:C rs4837197 T C T EBF1_EBF_1 13 1 + 4.961156527187637 4.116662412602119 GATTCCCAGGGACT chr9 127866385 127866386 chr9:127866386:T:C rs67496792 T C C EBF1_EBF_1 2 1 + 5.229853770145504 3.3410303587033527 ACTTCCCTGGGACA chr9 127882728 127882729 chr9:127882729:G:A rs192056547 G A G EBF1_EBF_1 3 1 - 4.8222903063634135 -1.583108435189903 GCTCCCTGGGGCAA chr9 127883193 127883194 chr9:127883194:C:A rs149005125 C A C EBF1_EBF_1 2 1 + 7.642401488658441 5.846757312501714 TCCCCCTGGGGAAC chr9 127897511 127897512 chr9:127897512:G:C rs879446196 G C G EBF1_EBF_1 14 0 + 0 0 . chr9 127931259 127931260 chr9:127931260:G:A rs989712572 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 127938680 127938681 chr9:127938681:T:C rs3758326 T C T EBF1_EBF_1 -18 0 - 0 0 . chr9 127943913 127943914 chr9:127943914:A:G rs569060575 A G A EBF1_EBF_1 31 0 - 0 0 . chr9 127943945 127943946 chr9:127943946:T:G chr9:127943946:T:G T G T EBF1_EBF_1 -1 0 - 0 0 . chr9 127950211 127950212 chr9:127950212:T:C rs10819322 T C C EBF1_EBF_1 28 0 + 0 0 . chr9 127951028 127951029 chr9:127951029:A:C rs3802355 A C C EBF1_EBF_1 -16 0 - 0 0 . chr9 127965806 127965807 chr9:127965807:G:T rs370341025 G T G EBF1_EBF_1 -2 0 - 0 0 . chr9 127968277 127968278 chr9:127968278:C:T rs62585315 C T T EBF1_EBF_1 17 0 + 0 0 . chr9 127968879 127968880 chr9:127968880:C:G rs75450106 C G C EBF1_EBF_1 -19 0 + 0 0 . chr9 127979754 127979755 chr9:127979755:G:A rs1193022758 G A G EBF1_EBF_1 -8 0 + 0 0 . chr9 128006130 128006131 chr9:128006131:C:T rs56956620 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 128007566 128007567 chr9:128007567:C:A rs562257039 C A C EBF1_EBF_1 6 1 + 4.828867030574324 5.190469398375601 GCTCCCCTGGAAAC chr9 128066258 128066259 chr9:128066259:C:T rs115098628 C T C EBF1_EBF_1 27 0 - 0 0 . chr9 128072363 128072364 chr9:128072364:A:G rs75950089 A G A EBF1_EBF_1 25 0 + 0 0 . chr9 128088303 128088304 chr9:128088304:T:A rs10987870 T A T EBF1_EBF_1 28 0 - 0 0 . chr9 128099462 128099463 chr9:128099463:G:A rs138087463 G A G EBF1_EBF_1 18 0 - 0 0 . chr9 128099477 128099478 chr9:128099478:G:A rs7033835 G A G EBF1_EBF_1 3 1 - 4.988158988571194 -1.4172397529821217 AGCCCTCTGGGACC chr9 128105989 128105990 chr9:128105990:C:A rs111944785 C A C EBF1_EBF_1 3 1 + 7.430208735021414 0.5240347055556078 GTACCCCAGGGACA chr9 128106011 128106012 chr9:128106012:C:G rs11792369 C G C EBF1_EBF_1 25 0 + 0 0 . chr9 128115624 128115625 chr9:128115625:T:C rs575298035 T C T EBF1_EBF_1 23 0 + 0 0 . chr9 128124626 128124627 chr9:128124627:A:G rs4837241 A G G EBF1_EBF_1 26 0 + 0 0 . chr9 128125227 128125228 chr9:128125228:G:A rs148357420 G A G EBF1_EBF_1 0 1 + 5.0350212270759584 6.927305096640792 GTTCCCTGGGGCTC chr9 128126118 128126119 chr9:128126119:C:A rs575035574 C A C EBF1_EBF_1 22 0 + 0 0 . chr9 128128856 128128857 chr9:128128857:C:G rs73669645 C G C EBF1_EBF_1 -16 0 + 0 0 . chr9 128130031 128130032 chr9:128130032:G:A rs10760541 G A G EBF1_EBF_1 15 0 + 0 0 . chr9 128135648 128135649 chr9:128135649:T:G rs1539352 T G T EBF1_EBF_1 28 0 - 0 0 . chr9 128145917 128145918 chr9:128145918:A:G rs77835952 A G A EBF1_EBF_1 28 0 - 0 0 . chr9 128145960 128145961 chr9:128145961:G:A rs76905799 G A A EBF1_EBF_1 -15 0 - 0 0 . chr9 128160672 128160673 chr9:128160673:G:C rs1539351 G C G EBF1_EBF_1 12 1 + 4.461178931512912 5.849814875191063 AGCCCCGTGAGAGC chr9 128169306 128169307 chr9:128169307:C:A rs10987905 C A C EBF1_EBF_1 33 0 + 0 0 . chr9 128181769 128181770 chr9:128181770:G:C rs7467855 G C G EBF1_EBF_1 31 0 - 0 0 . chr9 128203794 128203795 chr9:128203795:G:C rs112665081 G C G EBF1_EBF_1 -3 0 + 0 0 . chr9 128204155 128204156 chr9:128204156:G:C rs10760549 G C C EBF1_EBF_1 22 0 + 0 0 . chr9 128216901 128216902 chr9:128216902:A:G rs3003599 A G G EBF1_EBF_1 -2 0 - 0 0 . chr9 128244095 128244096 chr9:128244096:T:G chr9:128244096:T:G T G T EBF1_EBF_1 -6 0 - 0 0 . chr9 128244100 128244101 chr9:128244101:A:C chr9:128244101:A:C A C A EBF1_EBF_1 -11 0 - 0 0 . chr9 128260022 128260023 chr9:128260023:T:A rs145670991 T A T EBF1_EBF_1 2 1 + 5.526861722909658 1.8423941353107802 CCTCCCCAGAGGCT chr9 128406086 128406087 chr9:128406087:G:A rs149628884 G A G EBF1_EBF_1 20 0 + 0 0 . chr9 128456072 128456073 chr9:128456073:G:C rs763132512 G C G EBF1_EBF_1 6 1 - 6.914033859581872 5.108841796108674 ACCCCCCCGGGAGC chr9 128470795 128470796 chr9:128470796:A:G rs80068582 A G G EBF1_EBF_1 -9 0 + 0 0 . chr9 128504128 128504129 chr9:128504129:G:A rs73628753 G A G EBF1_EBF_1 31 0 + 0 0 . chr9 128558259 128558260 chr9:128558260:C:G rs945834 C G C EBF1_EBF_1 14 0 + 0 0 . chr9 128713956 128713957 chr9:128713957:G:A rs72758842 G A G EBF1_EBF_1 2 1 - 6.314560738814721 8.20338415025687 AGCCCCCAGGGTCA chr9 128722130 128722131 chr9:128722131:A:G rs41275916 A G A EBF1_EBF_1 -2 0 - 0 0 . chr9 128724940 128724941 chr9:128724941:T:G rs577764034 T G T EBF1_EBF_1 16 0 + 0 0 . chr9 128787297 128787298 chr9:128787298:G:A chr9:128787298:G:A G A G EBF1_EBF_1 -19 0 - 0 0 . chr9 128793086 128793087 chr9:128793087:G:A rs12236573 G A G EBF1_EBF_1 12 1 - 5.101526808635328 4.0752602380844785 CTTCCCCTGAGGCC chr9 128877587 128877588 chr9:128877588:C:G rs2181259 C G C EBF1_EBF_1 17 0 - 0 0 . chr9 128882402 128882403 chr9:128882403:C:T rs180935939 C T C EBF1_EBF_1 30 0 + 0 0 . chr9 128890595 128890596 chr9:128890596:C:A rs12000036 C A C EBF1_EBF_1 9 1 - 7.526198618761248 0.6892092106724375 TACCCCCAGGGATC chr9 128912375 128912376 chr9:128912376:C:T rs10988152 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 128913417 128913418 chr9:128913418:A:C rs117460230 A C A EBF1_EBF_1 -15 0 - 0 0 . chr9 128914270 128914271 chr9:128914271:G:A rs16930764 G A G EBF1_EBF_1 -13 0 + 0 0 . chr9 128915204 128915205 chr9:128915205:T:A rs72758882 T A T EBF1_EBF_1 -12 0 - 0 0 . chr9 128916573 128916574 chr9:128916574:T:G rs146478409 T G C EBF1_EBF_1 1 1 + 4.840072611747077 4.1344108259175485 GTCCCCCAGGGCAA chr9 128917266 128917267 chr9:128917267:C:T rs111561406 C T C EBF1_EBF_1 27 0 - 0 0 . chr9 128920619 128920620 chr9:128920620:T:G chr9:128920620:T:G T G T EBF1_EBF_1 23 0 - 0 0 . chr9 128920620 128920621 chr9:128920621:T:C chr9:128920621:T:C T C T EBF1_EBF_1 22 0 - 0 0 . chr9 128948126 128948127 chr9:128948127:C:A rs74353084 C A C EBF1_EBF_1 -5 0 - 0 0 . chr9 129011956 129011957 chr9:129011957:G:C rs553695209 G C G EBF1_EBF_1 -17 0 - 0 0 . chr9 129032643 129032644 chr9:129032644:C:T rs79174286 C T C EBF1_EBF_1 15 0 - 0 0 . chr9 129033234 129033235 chr9:129033235:C:T rs76503180 C T T EBF1_EBF_1 -8 0 + 0 0 . chr9 129038392 129038393 chr9:129038393:G:A rs182191586 G A G EBF1_EBF_1 6 1 + 5.0101702518671125 7.176964683141587 GGTCCCGGGAGACC chr9 129069160 129069161 chr9:129069161:G:A rs12551404 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 129072302 129072303 chr9:129072303:G:A rs75498374 G A G EBF1_EBF_1 -11 0 - 0 0 . chr9 129076541 129076542 chr9:129076542:T:C rs4295766 T C C EBF1_EBF_1 14 0 + 0 0 . chr9 129081459 129081460 chr9:129081460:C:T rs1050601019 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 129081474 129081475 chr9:129081475:C:A chr9:129081475:C:A C A C EBF1_EBF_1 29 0 + 0 0 . chr9 129093730 129093731 chr9:129093731:C:G rs3124495 C G C EBF1_EBF_1 11 1 - 6.312240943851992 4.788986089603614 TGCCCCAAGGGGAC chr9 129105916 129105917 chr9:129105917:C:T rs1977447 C T C EBF1_EBF_1 -5 0 + 0 0 . chr9 129139004 129139005 chr9:129139005:G:A rs3118632 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 129140199 129140200 chr9:129140200:C:T rs141192684 C T C EBF1_EBF_1 6 1 + 8.98545798722868 9.286744116090436 ATTCCCCTGGGGTC chr9 129142056 129142057 chr9:129142057:C:T rs10988226 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 129167088 129167089 chr9:129167089:A:T rs184636767 A T A EBF1_EBF_1 -10 0 - 0 0 . chr9 129174320 129174321 chr9:129174321:C:A rs181509137 C A C EBF1_EBF_1 10 1 - 6.839947475872763 2.6571952896454976 CCTCCCACGGGAAG chr9 129174866 129174867 chr9:129174867:C:T rs551482138 C T C EBF1_EBF_1 19 0 + 0 0 . chr9 129175963 129175964 chr9:129175964:C:T rs565528696 C T C EBF1_EBF_1 7 1 + 5.043527887401563 6.861032633684185 CCTCCCCCGGGGCC chr9 129176794 129176795 chr9:129176795:C:T rs201443650 C T C EBF1_EBF_1 -3 0 + 0 0 . chr9 129176846 129176847 chr9:129176847:G:C rs2274722 G C G EBF1_EBF_1 -12 0 - 0 0 . chr9 129178465 129178466 chr9:129178466:G:C rs78741089 G C G EBF1_EBF_1 17 0 - 0 0 . chr9 129203049 129203050 chr9:129203050:G:A rs192351508 G A G EBF1_EBF_1 -13 0 + 0 0 . chr9 129237460 129237461 chr9:129237461:C:A rs28365598 C A C EBF1_EBF_1 10 1 - 6.60654819812326 2.4237960118959943 AACCCCCAGGGGTC chr9 129237488 129237489 chr9:129237489:G:A rs11794903 G A G EBF1_EBF_1 -18 0 - 0 0 . chr9 129237596 129237597 chr9:129237597:C:T rs913775 C T C EBF1_EBF_1 33 0 - 0 0 . chr9 129237597 129237598 chr9:129237598:G:A rs559911308 G A G EBF1_EBF_1 32 0 - 0 0 . chr9 129243323 129243324 chr9:129243324:T:C rs17510037 T C T EBF1_EBF_1 6 1 + 5.101936671041582 4.800650542179824 CCTGCCTTGGGAAT chr9 129243346 129243347 chr9:129243347:A:G rs913270 A G G EBF1_EBF_1 29 0 + 0 0 . chr9 129246816 129246817 chr9:129246817:A:G rs927926 A G a EBF1_EBF_1 15 0 + 0 0 . chr9 129246955 129246956 chr9:129246956:G:A rs10116285 G A g EBF1_EBF_1 18 0 - 0 0 . chr9 129246978 129246979 chr9:129246979:G:C rs927925 G C g EBF1_EBF_1 -5 0 - 0 0 . chr9 129254180 129254181 chr9:129254181:C:G rs17516592 C G C EBF1_EBF_1 -8 0 - 0 0 . chr9 129279159 129279160 chr9:129279160:C:T rs10988295 C T C EBF1_EBF_1 18 0 + 0 0 . chr9 129279167 129279168 chr9:129279168:T:C rs10988296 T C T EBF1_EBF_1 26 0 + 0 0 . chr9 129283754 129283755 chr9:129283755:C:T rs17440798 C T C EBF1_EBF_1 17 0 - 0 0 . chr9 129283763 129283764 chr9:129283764:C:A rs17440805 C A C EBF1_EBF_1 8 1 - 4.3716129538801685 -2.5198198350970262 GGCCCCAGGGGCCT chr9 129288655 129288656 chr9:129288656:G:A rs28365630 G A G EBF1_EBF_1 21 0 - 0 0 . chr9 129291131 129291132 chr9:129291132:C:T rs116607529 C T C EBF1_EBF_1 24 0 + 0 0 . chr9 129309164 129309165 chr9:129309165:G:A rs1034056710 G A G EBF1_EBF_1 17 0 + 0 0 . chr9 129312028 129312029 chr9:129312029:C:T rs10988314 C T C EBF1_EBF_1 -11 0 + 0 0 . chr9 129312034 129312035 chr9:129312035:T:C rs117246248 T C T EBF1_EBF_1 -5 0 + 0 0 . chr9 129312868 129312869 chr9:129312869:T:A rs10988316 T A T EBF1_EBF_1 -1 0 - 0 0 . chr9 129313734 129313735 chr9:129313735:G:C rs6478885 G C C EBF1_EBF_1 -16 0 + 0 0 . chr9 129318959 129318960 chr9:129318960:T:C rs17517630 T C T EBF1_EBF_1 28 0 - 0 0 . chr9 129322341 129322342 chr9:129322342:G:A rs913770 G A G EBF1_EBF_1 4 1 - 4.866922261459823 0.49753531651490024 TGTCCCCCGGGCAT chr9 129322858 129322859 chr9:129322859:C:T rs4489411 C T C EBF1_EBF_1 -9 0 - 0 0 . chr9 129328191 129328192 chr9:129328192:C:G rs2282178 C G C EBF1_EBF_1 -4 0 - 0 0 . chr9 129334967 129334968 chr9:129334968:C:G rs17457334 C G C EBF1_EBF_1 -11 0 + 0 0 . chr9 129334982 129334983 chr9:129334983:C:T rs12004148 C T C EBF1_EBF_1 4 1 + 9.16092488220706 4.791537937262139 AATCCCCAGGGTCT chr9 129341562 129341563 chr9:129341563:T:C rs17460566 T C C EBF1_EBF_1 14 0 + 0 0 . chr9 129345910 129345911 chr9:129345911:C:T rs10988335 C T C EBF1_EBF_1 -18 0 - 0 0 . chr9 129382019 129382020 chr9:129382020:A:C rs28361626 A C C EBF1_EBF_1 16 0 - 0 0 . chr9 129398078 129398079 chr9:129398079:G:A rs10988351 G A G EBF1_EBF_1 4 1 - 5.1823014738653255 0.8129145289204001 AGTCCCCAAGGGCA chr9 129398081 129398082 chr9:129398082:C:A rs10819512 C A C EBF1_EBF_1 1 1 - 5.1823014738653255 5.887963259694852 AGTCCCCAAGGGCA chr9 129398976 129398977 chr9:129398977:G:T rs10988352 G T T EBF1_EBF_1 31 0 - 0 0 . chr9 129398981 129398982 chr9:129398982:G:C rs10988353 G C C EBF1_EBF_1 26 0 - 0 0 . chr9 129404376 129404377 chr9:129404377:C:T rs17457829 C T A EBF1_EBF_1 7 1 - 3.985224304370458 5.297682709659284 CTTGCCCGGGGACC chr9 129410166 129410167 chr9:129410167:C:A rs75922329 C A C EBF1_EBF_1 11 1 + 6.241521169218803 10.657482867071192 CTTCCCCGGGGCCT chr9 129413432 129413433 chr9:129413433:C:A rs151073305 C A c EBF1_EBF_1 0 1 - 5.211521644586256 5.130749091185067 GGCCCCCCGGGAGC chr9 129414723 129414724 chr9:129414724:C:T rs17441968 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 129428719 129428720 chr9:129428720:G:A rs72764421 G A g EBF1_EBF_1 13 1 + 5.801201229220363 6.915526801289802 CACCCCTGGGGAAG chr9 129437752 129437753 chr9:129437753:T:C rs72766025 T C T EBF1_EBF_1 -3 0 + 0 0 . chr9 129437764 129437765 chr9:129437765:G:T rs72766026 G T G EBF1_EBF_1 9 1 + 6.942657563107862 0.10566815501905226 AGCCCCAGGGGGCC chr9 129439487 129439488 chr9:129439488:T:C rs74654561 T C T EBF1_EBF_1 -13 0 + 0 0 . chr9 129439493 129439494 chr9:129439494:G:A rs74603198 G A G EBF1_EBF_1 -7 0 + 0 0 . chr9 129441157 129441158 chr9:129441158:C:T rs79218717 C T C EBF1_EBF_1 3 1 + 4.675057231292614 -1.730341510260704 ACCCCCCAGAGGCA chr9 129451379 129451380 chr9:129451380:C:T rs1880247 C T C EBF1_EBF_1 30 0 - 0 0 . chr9 129451424 129451425 chr9:129451425:C:G rs75263992 C G C EBF1_EBF_1 -15 0 - 0 0 . chr9 129459403 129459404 chr9:129459404:G:A rs575957820 G A G EBF1_EBF_1 -18 0 + 0 0 . chr9 129459437 129459438 chr9:129459438:G:A rs377183633 G A G EBF1_EBF_1 16 0 + 0 0 . chr9 129459439 129459440 chr9:129459440:G:T rs547938991 G T G EBF1_EBF_1 18 0 + 0 0 . chr9 129461022 129461023 chr9:129461023:G:T rs532799227 G T G EBF1_EBF_1 22 0 - 0 0 . chr9 129467512 129467513 chr9:129467513:G:A rs11794518 G A G EBF1_EBF_1 27 0 - 0 0 . chr9 129472956 129472957 chr9:129472957:A:G rs55859579 A G A EBF1_EBF_1 -1 0 - 0 0 . chr9 129483246 129483247 chr9:129483247:C:T rs78042240 C T C EBF1_EBF_1 -7 0 + 0 0 . chr9 129488814 129488815 chr9:129488815:G:C rs74490288 G C G EBF1_EBF_1 -6 0 - 0 0 . chr9 129495217 129495218 chr9:129495218:A:G rs369574884 A G A EBF1_EBF_1 18 0 + 0 0 . chr9 129495219 129495220 chr9:129495220:G:C rs112265288 G C G EBF1_EBF_1 20 0 + 0 0 . chr9 129495503 129495504 chr9:129495504:C:T rs1220789 C T C EBF1_EBF_1 9 1 - 5.470487968658715 2.8155487032111117 CCTCCCCAGGGCAA chr9 129496508 129496509 chr9:129496509:G:C chr9:129496509:G:C G C G EBF1_EBF_1 20 0 + 0 0 . chr9 129497274 129497275 chr9:129497275:G:A rs112534222 G A G EBF1_EBF_1 13 1 - 5.767631549034474 6.612125663619992 AGCCCCAGGGGTAC chr9 129497799 129497800 chr9:129497800:C:T rs56061361 C T C EBF1_EBF_1 3 1 + 6.7350181627223105 0.3296194211689922 GGTCCCCTGGGCCT chr9 129525027 129525028 chr9:129525028:G:A rs187233196 G A G EBF1_EBF_1 -12 0 + 0 0 . chr9 129549987 129549988 chr9:129549988:C:G rs7032122 C G G EBF1_EBF_1 8 1 - 5.593678924709874 0.27502006379518834 TCTCCCCCGAGAAC chr9 129550088 129550089 chr9:129550089:C:G rs112974735 C G C EBF1_EBF_1 -2 0 + 0 0 . chr9 129558242 129558243 chr9:129558243:C:T rs11792174 C T C EBF1_EBF_1 3 1 + 8.054687720415263 1.6492889788619467 CGCCCCCTGGGACC chr9 129596508 129596509 chr9:129596509:A:G rs144800141 A G A EBF1_EBF_1 -14 0 - 0 0 . chr9 129606732 129606733 chr9:129606733:C:T rs147121185 C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 129606749 129606750 chr9:129606750:G:A rs72768084 G A G EBF1_EBF_1 0 1 + 6.9748404934378385 8.867124363002672 GTCCCCCAGAGAAC chr9 129609641 129609642 chr9:129609642:G:A rs56326212 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 129609685 129609686 chr9:129609686:C:A rs55700157 C A C EBF1_EBF_1 7 1 - 6.920281908881663 7.756466074082932 CTTCCCCGGGGGCC chr9 129620419 129620420 chr9:129620420:C:G rs146618124 C G g EBF1_EBF_1 17 0 + 0 0 . chr9 129620422 129620423 chr9:129620423:T:C rs141348351 T C c EBF1_EBF_1 2 1 + 4.291684487052754 2.402861075610603 AGTCCCCGGAGCCC chr9 129627946 129627947 chr9:129627947:T:C rs1861783 T C C EBF1_EBF_1 7 1 - 6.013920564202227 4.701462158913401 AGACCCAAGGTACT chr9 129627959 129627960 chr9:129627960:C:T rs1861782 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 129670067 129670068 chr9:129670068:G:C rs28700131 G C G EBF1_EBF_1 16 0 + 0 0 . chr9 129671269 129671270 chr9:129671270:G:T rs57168043 G T G EBF1_EBF_1 -11 0 - 0 0 . chr9 129675181 129675182 chr9:129675182:G:A rs913413106 G A G EBF1_EBF_1 -18 0 + 0 0 . chr9 129697753 129697754 chr9:129697754:G:T rs13301001 G T G EBF1_EBF_1 -9 0 - 0 0 . chr9 129712023 129712024 chr9:129712024:A:T rs10988481 A T A EBF1_EBF_1 -13 0 - 0 0 . chr9 129713457 129713458 chr9:129713458:T:C rs368432013 T C T EBF1_EBF_1 -14 0 + 0 0 . chr9 129713498 129713499 chr9:129713499:T:C rs73627665 T C C EBF1_EBF_1 27 0 + 0 0 . chr9 129726853 129726854 chr9:129726854:C:T rs75128232 C T C EBF1_EBF_1 15 0 - 0 0 . chr9 129726872 129726873 chr9:129726873:C:T rs376130729 C T C EBF1_EBF_1 -4 0 - 0 0 . chr9 129746691 129746692 chr9:129746692:G:A rs73670202 G A G EBF1_EBF_1 10 1 + 5.847219681022835 0.5291912217558692 AGACCCATGAGACA chr9 129751860 129751861 chr9:129751861:C:T rs4837408 C T C EBF1_EBF_1 25 0 - 0 0 . chr9 129752869 129752870 chr9:129752870:C:A rs75774469 C A C EBF1_EBF_1 4 1 + 5.756530777679721 -0.0680288457737479 GACCCCCAGGGAGG chr9 129755221 129755222 chr9:129755222:A:G rs10988496 A G G EBF1_EBF_1 31 0 - 0 0 . chr9 129774814 129774815 chr9:129774815:G:A rs531518642 G A G EBF1_EBF_1 31 0 - 0 0 . chr9 129775155 129775156 chr9:129775156:A:G rs4837411 A G A EBF1_EBF_1 -3 0 - 0 0 . chr9 129803078 129803079 chr9:129803079:C:T rs76154399 C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 129803081 129803082 chr9:129803082:T:C rs3816260 T C T EBF1_EBF_1 -14 0 + 0 0 . chr9 129803103 129803104 chr9:129803104:G:T rs540150293 G T G EBF1_EBF_1 8 1 + 8.521625458774016 1.6301926697968208 GCCCCCAAGGGAGT chr9 129812197 129812198 chr9:129812198:G:A rs13283293 G A G EBF1_EBF_1 -14 0 + 0 0 . chr9 129859147 129859148 chr9:129859148:G:A rs4240442 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 129859176 129859177 chr9:129859177:A:G rs527624979 A G A EBF1_EBF_1 -5 0 - 0 0 . chr9 129865223 129865224 chr9:129865224:C:T rs750466 C T C EBF1_EBF_1 28 0 - 0 0 . chr9 129868309 129868310 chr9:129868310:C:T rs41279150 C T C EBF1_EBF_1 10 1 - 5.318322670120763 0.00029421085379673084 GGCCCCAGGAGAAC chr9 129868542 129868543 chr9:129868543:C:T rs4258092 C T C EBF1_EBF_1 13 1 + 6.611236593727912 7.45573070831343 AGCCCCCGGGGGAC chr9 129870204 129870205 chr9:129870205:G:T rs145273462 G T G EBF1_EBF_1 0 1 - 4.747181735563987 7.002440219260586 CCTCCCTGGGGTCA chr9 129887104 129887105 chr9:129887105:C:A rs78840000 C A C EBF1_EBF_1 25 0 + 0 0 . chr9 129904348 129904349 chr9:129904349:T:C rs7044285 T C C EBF1_EBF_1 16 0 + 0 0 . chr9 130073607 130073608 chr9:130073608:T:C rs534978466 T C T EBF1_EBF_1 -16 0 + 0 0 . chr9 130157563 130157564 chr9:130157564:C:T rs12351312 C T C EBF1_EBF_1 -18 0 + 0 0 . chr9 130171789 130171790 chr9:130171790:C:T rs77914968 C T C EBF1_EBF_1 -16 0 + 0 0 . chr9 130171790 130171791 chr9:130171791:C:T rs79210068 C T C EBF1_EBF_1 -15 0 + 0 0 . chr9 130171812 130171813 chr9:130171813:C:T rs917689 C T C EBF1_EBF_1 7 1 + 4.978436735752363 6.795941482034986 TCTCCCTCGGGCAT chr9 130171830 130171831 chr9:130171831:C:T rs7869396 C T C EBF1_EBF_1 25 0 + 0 0 . chr9 130173577 130173578 chr9:130173578:T:A rs186245617 T A T EBF1_EBF_1 -6 0 + 0 0 . chr9 130180772 130180773 chr9:130180773:G:C rs71501109 G C G EBF1_EBF_1 -17 0 + 0 0 . chr9 130180802 130180803 chr9:130180803:A:G rs3780712 A G G EBF1_EBF_1 13 1 + 7.249472212267757 6.135146640198318 ACTCCCCTGGGCAA chr9 130199844 130199845 chr9:130199845:T:C rs34619770 T C T EBF1_EBF_1 23 0 + 0 0 . chr9 130200536 130200537 chr9:130200537:T:C rs7022964 T C C EBF1_EBF_1 24 0 - 0 0 . chr9 130200589 130200590 chr9:130200590:A:G rs73545547 A G A EBF1_EBF_1 7 1 + 10.23801291597964 8.925554510690816 CGTCCCCAGGGATT chr9 130204916 130204917 chr9:130204917:A:G rs7024495 A G A EBF1_EBF_1 -16 0 - 0 0 . chr9 130206804 130206805 chr9:130206805:C:A rs870811 C A A EBF1_EBF_1 -20 0 - 0 0 . chr9 130207690 130207691 chr9:130207691:C:T rs885345 C T C EBF1_EBF_1 3 1 + 4.605167113850814 -1.8002316277025032 GTCCCCAGGAGAGC chr9 130241232 130241233 chr9:130241233:A:G rs10988652 A G A EBF1_EBF_1 15 0 - 0 0 . chr9 130241245 130241246 chr9:130241246:A:T rs112386532 A T A EBF1_EBF_1 2 1 - 5.127868652254022 1.4434010646551445 AGTCCCCTGAGCCC chr9 130268471 130268472 chr9:130268472:C:T rs10819628 C T C EBF1_EBF_1 -10 0 + 0 0 . chr9 130276107 130276108 chr9:130276108:G:A rs9299329 G A g EBF1_EBF_1 5 1 - 3.97180336098868 -1.3499838545519893 CCCCTCCAGGGACC chr9 130276219 130276220 chr9:130276220:C:G rs145995858 C G c EBF1_EBF_1 17 0 - 0 0 . chr9 130283909 130283910 chr9:130283910:C:T rs12376708 C T C EBF1_EBF_1 15 0 - 0 0 . chr9 130288830 130288831 chr9:130288831:T:C rs947510 T C C EBF1_EBF_1 -10 0 - 0 0 . chr9 130289461 130289462 chr9:130289462:G:A rs55845553 G A G EBF1_EBF_1 -14 0 - 0 0 . chr9 130295477 130295478 chr9:130295478:T:A rs947506 T A T EBF1_EBF_1 15 0 - 0 0 . chr9 130319409 130319410 chr9:130319410:A:G rs984141662 A G g EBF1_EBF_1 30 0 - 0 0 . chr9 130344190 130344191 chr9:130344191:C:G rs942856720 C G g EBF1_EBF_1 32 0 - 0 0 . chr9 130347522 130347523 chr9:130347523:G:C rs993655349 G C G EBF1_EBF_1 10 1 + 6.60449578335057 -0.28630660397890395 GTTCCCCGGGGCCT chr9 130353802 130353803 chr9:130353803:G:C rs2039463 G C G EBF1_EBF_1 -8 0 - 0 0 . chr9 130359901 130359902 chr9:130359902:T:G rs73549576 T G T EBF1_EBF_1 -12 0 - 0 0 . chr9 130365598 130365599 chr9:130365599:C:T rs117015073 C T C EBF1_EBF_1 14 0 - 0 0 . chr9 130386251 130386252 chr9:130386252:G:A rs35565324 G A G EBF1_EBF_1 30 0 + 0 0 . chr9 130393420 130393421 chr9:130393421:G:A rs553757837 G A A EBF1_EBF_1 -3 0 - 0 0 . chr9 130394088 130394089 chr9:130394089:G:A rs75691725 G A G EBF1_EBF_1 -9 0 + 0 0 . chr9 130402253 130402254 chr9:130402254:C:T rs914978 C T T EBF1_EBF_1 12 1 + 6.001229731030577 4.974963160479727 GGCCCCCAGGGGCC chr9 130405088 130405089 chr9:130405089:G:A rs75527174 G A G EBF1_EBF_1 20 0 + 0 0 . chr9 130422416 130422417 chr9:130422417:G:A rs113673250 G A G EBF1_EBF_1 12 1 + 5.085683387122856 6.504500729222333 GATCCCCTGGGCGT chr9 130428098 130428099 chr9:130428099:C:T rs77303941 C T C EBF1_EBF_1 16 0 - 0 0 . chr9 130431493 130431494 chr9:130431494:G:A rs41302663 G A G EBF1_EBF_1 -20 0 + 0 0 . chr9 130445258 130445259 chr9:130445259:T:A rs970697974 T A t EBF1_EBF_1 -13 0 - 0 0 . chr9 130451347 130451348 chr9:130451348:G:A rs12685110 G A G EBF1_EBF_1 18 0 + 0 0 . chr9 130452051 130452052 chr9:130452052:T:C rs1760275 T C C EBF1_EBF_1 11 1 - 4.281948541828022 1.3892416982240106 CCACCCCCGGGAAC chr9 130452054 130452055 chr9:130452055:C:T rs565811780 C T C EBF1_EBF_1 8 1 - 4.281948541828022 0.05565845294176171 CCACCCCCGGGAAC chr9 130465649 130465650 chr9:130465650:C:T rs12343078 C T C EBF1_EBF_1 1 1 + 7.017554558848156 7.912987999246901 GCCCCCAAGGGGCT chr9 130471532 130471533 chr9:130471533:A:G rs652313 A G G EBF1_EBF_1 26 0 + 0 0 . chr9 130524050 130524051 chr9:130524051:A:C rs1864709 A C C EBF1_EBF_1 25 0 - 0 0 . chr9 130527078 130527079 chr9:130527079:C:G rs7849642 C G C EBF1_EBF_1 7 1 - 7.8517060206193054 6.870385439537951 CTCCCCTGGGGACA chr9 130532737 130532738 chr9:130532738:G:A rs11243665 G A G EBF1_EBF_1 18 0 + 0 0 . chr9 130532793 130532794 chr9:130532794:A:G rs73658024 A G A EBF1_EBF_1 -4 0 - 0 0 . chr9 130532795 130532796 chr9:130532796:G:T rs73658025 G T G EBF1_EBF_1 -6 0 - 0 0 . chr9 130561342 130561343 chr9:130561343:C:T rs10793932 C T t EBF1_EBF_1 -9 0 - 0 0 . chr9 130588943 130588944 chr9:130588944:C:T rs73547971 C T C EBF1_EBF_1 18 0 - 0 0 . chr9 130588946 130588947 chr9:130588947:G:A rs531106048 G A G EBF1_EBF_1 15 0 - 0 0 . chr9 130606175 130606176 chr9:130606176:C:G rs9657746 C G C EBF1_EBF_1 9 1 - 9.145080357776953 4.069391211431489 TTCCCCCAGGGACA chr9 130632501 130632502 chr9:130632502:G:A rs41309960 G A G EBF1_EBF_1 31 0 + 0 0 . chr9 130694016 130694017 chr9:130694017:C:T rs118171791 C T C EBF1_EBF_1 13 1 + 5.137652696488971 5.982146811074489 CTCCCCGCGGGACC chr9 130712658 130712659 chr9:130712659:A:G rs35865782 A G G EBF1_EBF_1 -15 0 + 0 0 . chr9 130712689 130712690 chr9:130712690:A:G rs988126468 A G A EBF1_EBF_1 16 0 + 0 0 . chr9 130732728 130732729 chr9:130732729:C:T rs10751508 C T C EBF1_EBF_1 -8 0 - 0 0 . chr9 130732733 130732734 chr9:130732734:G:T rs2855183 G T T EBF1_EBF_1 -13 0 - 0 0 . chr9 130765266 130765267 chr9:130765267:A:T rs7872882 A T A EBF1_EBF_1 -15 0 - 0 0 . chr9 130766651 130766652 chr9:130766652:A:G rs12002822 A G A EBF1_EBF_1 25 0 + 0 0 . chr9 130892836 130892837 chr9:130892837:C:T rs190168418 C T C EBF1_EBF_1 20 0 + 0 0 . chr9 130898049 130898050 chr9:130898050:G:T rs7046625 G T G EBF1_EBF_1 17 0 - 0 0 . chr9 130913779 130913780 chr9:130913780:A:T rs73656072 A T A EBF1_EBF_1 26 0 + 0 0 . chr9 130913827 130913828 chr9:130913828:C:T rs10901303 C T C EBF1_EBF_1 -3 0 + 0 0 . chr9 130934163 130934164 chr9:130934164:C:T rs78639606 C T C EBF1_EBF_1 -2 0 - 0 0 . chr9 130936313 130936314 chr9:130936314:T:G rs572153595 T G T EBF1_EBF_1 -13 0 - 0 0 . chr9 130936658 130936659 chr9:130936659:C:G chr9:130936659:C:G C G C EBF1_EBF_1 31 0 + 0 0 . chr9 130939283 130939284 chr9:130939284:C:A rs569119379 C A C EBF1_EBF_1 -3 0 - 0 0 . chr9 130939457 130939458 chr9:130939458:T:G rs534700783 T G T EBF1_EBF_1 18 0 + 0 0 . chr9 130951028 130951029 chr9:130951029:G:T rs7048274 G T G EBF1_EBF_1 -11 0 - 0 0 . chr9 130957081 130957082 chr9:130957082:A:G rs10901310 A G G EBF1_EBF_1 11 1 + 11.63071455878494 8.73800771518093 AGCCCCCAGGGACT chr9 130962928 130962929 chr9:130962929:C:T rs73543014 C T C EBF1_EBF_1 13 1 - 4.1116635928698155 5.2259891649392545 AGCCCCCCGGGGCG chr9 130973049 130973050 chr9:130973050:A:G rs12346096 A G G EBF1_EBF_1 29 0 - 0 0 . chr9 130974159 130974160 chr9:130974160:C:T rs9886826 C T C EBF1_EBF_1 4 1 + 8.280106952179517 3.9107200072345947 ACTCCCCGGGGGCC chr9 130985193 130985194 chr9:130985194:T:A rs10901315 T A T EBF1_EBF_1 -16 0 + 0 0 . chr9 130990524 130990525 chr9:130990525:G:A rs7034255 G A G EBF1_EBF_1 -20 0 + 0 0 . chr9 130993549 130993550 chr9:130993550:C:G rs80263615 C G C EBF1_EBF_1 15 0 - 0 0 . chr9 130995384 130995385 chr9:130995385:G:C rs13291423 G C G EBF1_EBF_1 8 1 + 5.986770054533192 0.6681111936185056 ACTCCCAGGAGGCC chr9 131038046 131038047 chr9:131038047:G:A rs3780275 G A A EBF1_EBF_1 -16 0 + 0 0 . chr9 131080670 131080671 chr9:131080671:C:T rs10901346 C T T EBF1_EBF_1 7 1 - 5.532171986622177 6.844630391911003 ACTCCCCGGGTAAG chr9 131091619 131091620 chr9:131091620:T:G rs117361076 T G T EBF1_EBF_1 2 1 + 5.182615670119972 -1.5657100200804979 GCTCCCCGGGGTCC chr9 131114432 131114433 chr9:131114433:G:T rs182567080 G T G EBF1_EBF_1 32 0 + 0 0 . chr9 131117651 131117652 chr9:131117652:C:T rs6597677 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 131137886 131137887 chr9:131137887:A:G rs353542 A G A EBF1_EBF_1 -20 0 + 0 0 . chr9 131228371 131228372 chr9:131228372:G:A rs11244329 G A G EBF1_EBF_1 -11 0 - 0 0 . chr9 131252716 131252717 chr9:131252717:G:A chr9:131252717:G:A G A G EBF1_EBF_1 31 0 + 0 0 . chr9 131256566 131256567 chr9:131256567:C:T rs1015617996 C T C EBF1_EBF_1 8 1 - 5.8017467258786155 1.5754566369923537 ACCCCCACGGGGAC chr9 131256567 131256568 chr9:131256568:G:T rs149692874 G T G EBF1_EBF_1 7 1 - 5.8017467258786155 8.095525712248797 ACCCCCACGGGGAC chr9 131260675 131260676 chr9:131260676:C:A chr9:131260676:C:A C A C EBF1_EBF_1 0 1 + 4.466510504166933 6.721768987863531 CTTCCCGGGGGCAT chr9 131273252 131273253 chr9:131273253:C:T rs962325087 C T C EBF1_EBF_1 15 0 - 0 0 . chr9 131278137 131278138 chr9:131278138:G:C rs373537824 G C G EBF1_EBF_1 9 1 + 5.452272833024372 0.3765836866789084 CTCCCCCTGGTAAT chr9 131290500 131290501 chr9:131290501:T:C rs11244356 T C C EBF1_EBF_1 -6 0 - 0 0 . chr9 131290511 131290512 chr9:131290512:A:G rs11244357 A G G EBF1_EBF_1 -17 0 - 0 0 . chr9 131304152 131304153 chr9:131304153:T:C rs532700 T C C EBF1_EBF_1 25 0 + 0 0 . chr9 131320387 131320388 chr9:131320388:G:T rs73547684 G T T EBF1_EBF_1 -7 0 - 0 0 . chr9 131334833 131334834 chr9:131334834:G:A rs544551575 G A G EBF1_EBF_1 12 1 - 5.594469813742881 4.568203243192031 ACTCCCCAGGCACC chr9 131350149 131350150 chr9:131350150:A:G rs2050242 A G A EBF1_EBF_1 -5 0 - 0 0 . chr9 131350308 131350309 chr9:131350309:T:G chr9:131350309:T:G T G T EBF1_EBF_1 12 1 - 4.546886944218514 4.516705545797188 AGCCCCAGCGGAAC chr9 131350313 131350314 chr9:131350314:C:T rs111341529 C T T EBF1_EBF_1 7 1 - 4.546886944218514 5.85934534950734 AGCCCCAGCGGAAC chr9 131353083 131353084 chr9:131353084:C:A rs11243362 C A C EBF1_EBF_1 23 0 + 0 0 . chr9 131361426 131361427 chr9:131361427:G:A rs143637290 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 131361432 131361433 chr9:131361433:G:A rs148092572 G A G EBF1_EBF_1 -15 0 - 0 0 . chr9 131378532 131378533 chr9:131378533:C:T rs541332 C T c EBF1_EBF_1 3 1 + 5.213918954001513 -1.1914797875518048 GTTCCCCAAGGGCT chr9 131382454 131382455 chr9:131382455:A:G rs746065 A G G EBF1_EBF_1 -8 0 + 0 0 . chr9 131393748 131393749 chr9:131393749:T:C rs533152175 T C T EBF1_EBF_1 26 0 + 0 0 . chr9 131394988 131394989 chr9:131394989:C:G rs7039362 C G C EBF1_EBF_1 -7 0 + 0 0 . chr9 131394992 131394993 chr9:131394993:T:C rs2210599 T C C EBF1_EBF_1 -3 0 + 0 0 . chr9 131433053 131433054 chr9:131433054:G:A rs2994050 G A A EBF1_EBF_1 8 1 + 3.8649980245867397 -0.3612920642995213 TGTCCCCAGTGACC chr9 131433060 131433061 chr9:131433061:C:G rs2966347 C G G EBF1_EBF_1 15 0 + 0 0 . chr9 131441804 131441805 chr9:131441805:G:A rs79305525 G A G EBF1_EBF_1 23 0 + 0 0 . chr9 131470180 131470181 chr9:131470181:A:G rs150578739 A G A EBF1_EBF_1 -9 0 + 0 0 . chr9 131475259 131475260 chr9:131475260:T:C rs10793873 T C C EBF1_EBF_1 32 0 + 0 0 . chr9 131484003 131484004 chr9:131484004:G:A rs17147913 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 131491627 131491628 chr9:131491628:C:A rs45445092 C A C EBF1_EBF_1 -12 0 - 0 0 . chr9 131530743 131530744 chr9:131530744:G:T rs3904960 G T G EBF1_EBF_1 1 1 - 6.315106002348043 6.244178824995958 ACCCCCCAGGGGGC chr9 131530755 131530756 chr9:131530756:G:A rs72757672 G A G EBF1_EBF_1 -11 0 - 0 0 . chr9 131544635 131544636 chr9:131544636:C:T rs11243418 C T C EBF1_EBF_1 -11 0 - 0 0 . chr9 131546454 131546455 chr9:131546455:C:G rs10120697 C G C EBF1_EBF_1 -3 0 - 0 0 . chr9 131582936 131582937 chr9:131582937:G:A rs3765543 G A G EBF1_EBF_1 -8 0 - 0 0 . chr9 131584112 131584113 chr9:131584113:G:T rs60792490 G T T EBF1_EBF_1 6 1 + 3.9038702794786264 6.010348471813582 GCTCCCGGGGGCCT chr9 131584938 131584939 chr9:131584939:G:A rs744313 G A G EBF1_EBF_1 -3 0 - 0 0 . chr9 131590350 131590351 chr9:131590351:C:A rs4740290 C A A EBF1_EBF_1 22 0 - 0 0 . chr9 131620100 131620101 chr9:131620101:G:A rs60071610 G A G EBF1_EBF_1 4 1 - 3.926115929858981 -0.4432710150859426 AGCCCCCGGAGGCC chr9 131628342 131628343 chr9:131628343:C:G rs2296954 C G C EBF1_EBF_1 24 0 + 0 0 . chr9 131636069 131636070 chr9:131636070:G:A rs76664321 G A G EBF1_EBF_1 -2 0 - 0 0 . chr9 131650376 131650377 chr9:131650377:G:A rs755004276 G A G EBF1_EBF_1 28 0 - 0 0 . chr9 131669097 131669098 chr9:131669098:G:C rs117156575 G C G EBF1_EBF_1 -7 0 + 0 0 . chr9 131715386 131715387 chr9:131715387:A:C rs1536733 A C C EBF1_EBF_1 33 0 - 0 0 . chr9 131715427 131715428 chr9:131715428:G:A rs150349429 G A G EBF1_EBF_1 -8 0 - 0 0 . chr9 131718841 131718842 chr9:131718842:T:A rs11243485 T A T EBF1_EBF_1 13 1 + 5.75959690354297 4.541357339671476 AGTGCCACGGGACT chr9 131745071 131745072 chr9:131745072:C:T rs67679347 C T C EBF1_EBF_1 27 0 + 0 0 . chr9 131755707 131755708 chr9:131755708:A:G rs3012771 A G G EBF1_EBF_1 -7 0 + 0 0 . chr9 131766039 131766040 chr9:131766040:C:T rs3012719 C T C EBF1_EBF_1 5 1 + 9.172453869521467 3.850666653980797 ATTCCCAAAGGAAA chr9 131772901 131772902 chr9:131772902:G:C rs3012728 G C C EBF1_EBF_1 -11 0 - 0 0 . chr9 131774709 131774710 chr9:131774710:C:T rs3012729 C T C EBF1_EBF_1 6 1 + 4.956937034268293 5.258223163130051 CTCCCCCAGGGTTT chr9 131776833 131776834 chr9:131776834:C:T rs9695498 C T C EBF1_EBF_1 -14 0 + 0 0 . chr9 131801723 131801724 chr9:131801724:G:A rs34104772 G A G EBF1_EBF_1 1 1 + 7.143397625160403 6.8826987932391 AGTCCCCAGAGAGG chr9 131810852 131810853 chr9:131810853:A:G rs11243539 A G G EBF1_EBF_1 4 1 - 6.406891756521227 10.77627870146615 ACCCTCAAGGGATT chr9 131810857 131810858 chr9:131810858:A:G rs112333616 A G G EBF1_EBF_1 -1 0 - 0 0 . chr9 131827982 131827983 chr9:131827983:C:T rs7023660 C T C EBF1_EBF_1 21 0 + 0 0 . chr9 131834671 131834672 chr9:131834672:C:T rs2987389 C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 131834816 131834817 chr9:131834817:C:A rs114506792 C A C EBF1_EBF_1 12 1 + 4.284257839537576 4.314439237958902 GGTCCCAGGAGGCC chr9 131838871 131838872 chr9:131838872:C:T rs114071644 C T C EBF1_EBF_1 20 0 - 0 0 . chr9 131841561 131841562 chr9:131841562:G:A rs36092834 G A G EBF1_EBF_1 -3 0 - 0 0 . chr9 131887328 131887329 chr9:131887329:G:A rs4288426 G A A EBF1_EBF_1 23 0 - 0 0 . chr9 132004089 132004090 chr9:132004090:G:A rs148490175 G A G EBF1_EBF_1 -5 0 + 0 0 . chr9 132032852 132032853 chr9:132032853:C:T rs4145637 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 132137431 132137432 chr9:132137432:A:G rs59210554 A G A EBF1_EBF_1 -17 0 + 0 0 . chr9 132141615 132141616 chr9:132141616:G:A rs117406075 G A G EBF1_EBF_1 8 1 + 4.9386797311802555 0.7123896422939944 GACCCCACGGGAGA chr9 132158669 132158670 chr9:132158670:C:T rs1331632 C T T EBF1_EBF_1 -9 0 + 0 0 . chr9 132163666 132163667 chr9:132163667:C:G rs869497 C G C EBF1_EBF_1 -2 0 + 0 0 . chr9 132168647 132168648 chr9:132168648:A:G rs914424 A G G EBF1_EBF_1 22 0 + 0 0 . chr9 132213784 132213785 chr9:132213785:A:C rs7018926 A C G EBF1_EBF_1 -17 0 + 0 0 . chr9 132227420 132227421 chr9:132227421:C:A rs4962058 C A C EBF1_EBF_1 -19 0 + 0 0 . chr9 132229128 132229129 chr9:132229129:C:T rs12378553 C T C EBF1_EBF_1 -20 0 - 0 0 . chr9 132230556 132230557 chr9:132230557:G:A rs2274855 G A g EBF1_EBF_1 28 0 + 0 0 . chr9 132232972 132232973 chr9:132232973:C:T rs4413904 C T C EBF1_EBF_1 -10 0 - 0 0 . chr9 132233122 132233123 chr9:132233123:G:A rs2854926 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 132234445 132234446 chr9:132234446:A:G rs17148812 A G A EBF1_EBF_1 22 0 - 0 0 . chr9 132234481 132234482 chr9:132234482:T:C rs1999391 T C T EBF1_EBF_1 -14 0 - 0 0 . chr9 132235068 132235069 chr9:132235069:C:T rs1810887 C T T EBF1_EBF_1 27 0 - 0 0 . chr9 132245313 132245314 chr9:132245314:A:C chr9:132245314:A:C A C A EBF1_EBF_1 0 1 + 10.98884000106677 8.73358151737017 AGTCCCCGGGGACA chr9 132246130 132246131 chr9:132246131:C:A rs7042830 C A A EBF1_EBF_1 -9 0 - 0 0 . chr9 132246321 132246322 chr9:132246322:C:T rs1290109247 C T C EBF1_EBF_1 -18 0 + 0 0 . chr9 132250949 132250950 chr9:132250950:G:A rs77485150 G A G EBF1_EBF_1 1 1 + 5.52495549094302 5.264256659021718 GGCCCCCTGGGGCC chr9 132259259 132259260 chr9:132259260:G:C rs17148845 G C g EBF1_EBF_1 21 0 - 0 0 . chr9 132259288 132259289 chr9:132259289:G:C rs191973176 G C g EBF1_EBF_1 -8 0 - 0 0 . chr9 132267292 132267293 chr9:132267293:C:T rs78247179 C T C EBF1_EBF_1 1 1 - 4.559813595484948 4.2991147635636455 AGCCCCCAGAGCCT chr9 132355107 132355108 chr9:132355108:G:A rs60064758 G A G EBF1_EBF_1 9 1 + 4.4228221327832085 1.7678828673356042 GCCCCCCAGGGGGC chr9 132410066 132410067 chr9:132410067:T:G rs11243767 T G G EBF1_EBF_1 -7 0 - 0 0 . chr9 132410067 132410068 chr9:132410068:T:G rs11243768 T G G EBF1_EBF_1 -8 0 - 0 0 . chr9 132462203 132462204 chr9:132462204:C:A chr9:132462204:C:A C A C EBF1_EBF_1 12 1 + 9.524076510463292 9.554257908884619 GTTCCCCTGGGACG chr9 132462203 132462204 chr9:132462204:C:T rs530467 C T C EBF1_EBF_1 12 1 + 9.524076510463292 8.497809939912443 GTTCCCCTGGGACG chr9 132509872 132509873 chr9:132509873:G:C rs577243323 G C G EBF1_EBF_1 -1 0 + 0 0 . chr9 132510130 132510131 chr9:132510131:C:A rs60003034 C A C EBF1_EBF_1 5 1 + 5.665156163065478 -1.2397249895696898 CTCCCCCAGGGCCT chr9 132521729 132521730 chr9:132521730:T:C rs2151337 T C C EBF1_EBF_1 25 0 + 0 0 . chr9 132560816 132560817 chr9:132560817:T:C rs112982198 T C C EBF1_EBF_1 -7 0 - 0 0 . chr9 132581206 132581207 chr9:132581207:A:C rs386888 A C C EBF1_EBF_1 -7 0 - 0 0 . chr9 132581215 132581216 chr9:132581216:G:A rs74553076 G A G EBF1_EBF_1 -16 0 - 0 0 . chr9 132581217 132581218 chr9:132581218:G:A rs12115801 G A G EBF1_EBF_1 -18 0 - 0 0 . chr9 132670095 132670096 chr9:132670096:G:C rs994571641 G C G EBF1_EBF_1 -13 0 - 0 0 . chr9 132670993 132670994 chr9:132670994:C:T rs139221189 C T C EBF1_EBF_1 -9 0 - 0 0 . chr9 132671017 132671018 chr9:132671018:G:T rs561564488 G T G EBF1_EBF_1 12 1 - 11.416360380028127 11.446541778449454 ATTCCCCTGGGACG chr9 132671175 132671176 chr9:132671176:G:T rs116093131 G T G EBF1_EBF_1 -14 0 + 0 0 . chr9 132671190 132671191 chr9:132671191:C:T rs571971626 C T C EBF1_EBF_1 1 1 + 5.801735846967437 6.697169287366184 ACACCCAGGGGGCT chr9 132727068 132727069 chr9:132727069:A:G rs10901205 A G A EBF1_EBF_1 18 0 - 0 0 . chr9 132732637 132732638 chr9:132732638:C:T rs76260611 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 132732638 132732639 chr9:132732639:G:A rs73545188 G A G EBF1_EBF_1 -3 0 + 0 0 . chr9 132732670 132732671 chr9:132732671:G:A rs17149697 G A G EBF1_EBF_1 29 0 + 0 0 . chr9 132800457 132800458 chr9:132800458:T:C rs186712790 T C t EBF1_EBF_1 8 1 - 6.703411030270537 10.929701119156798 CCTCCCTTAGGAAT chr9 132811299 132811300 chr9:132811300:G:A rs420496 G A G EBF1_EBF_1 -13 0 + 0 0 . chr9 132823869 132823870 chr9:132823870:G:A rs78331374 G A G EBF1_EBF_1 20 0 + 0 0 . chr9 132888693 132888694 chr9:132888694:A:G rs1062218 A G A EBF1_EBF_1 -19 0 + 0 0 . chr9 132892177 132892178 chr9:132892178:C:T rs17149898 C T C EBF1_EBF_1 26 0 + 0 0 . chr9 132892505 132892506 chr9:132892506:C:A rs543396172 C A C EBF1_EBF_1 2 1 + 5.654857967543727 3.859213791387 AGCCCCCTGGGGGA chr9 132892537 132892538 chr9:132892538:G:A rs111450858 G A G EBF1_EBF_1 -20 0 - 0 0 . chr9 132904142 132904143 chr9:132904143:T:C rs12345576 T C C EBF1_EBF_1 27 0 - 0 0 . chr9 132987091 132987092 chr9:132987092:G:A rs606141 G A A EBF1_EBF_1 7 1 + 6.559568610972467 7.872027016261293 CTTCCCCGGGGTCT chr9 132987107 132987108 chr9:132987108:C:T rs8193001 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 132987524 132987525 chr9:132987525:C:A chr9:132987525:C:A C A C EBF1_EBF_1 -18 0 - 0 0 . chr9 132991579 132991580 chr9:132991580:T:A rs15906 T A A EBF1_EBF_1 9 1 - 6.866698914668654 2.6846487720274483 AATCCCCAGAGGCC chr9 132992037 132992038 chr9:132992038:A:G rs10751499 A G A EBF1_EBF_1 7 1 - 8.861103421051055 7.0435986747684325 AGCCCCATGAGACT chr9 132999287 132999288 chr9:132999288:G:A rs375343791 G A G EBF1_EBF_1 -20 0 - 0 0 . chr9 133003821 133003822 chr9:133003822:G:T rs4962085 G T G EBF1_EBF_1 21 0 - 0 0 . chr9 133003826 133003827 chr9:133003827:A:G rs1755629 A G G EBF1_EBF_1 16 0 - 0 0 . chr9 133008835 133008836 chr9:133008836:G:T rs2905172 G T T EBF1_EBF_1 3 1 - 4.735395002998453 -2.170779026467356 CCCCCCAGGAGACC chr9 133030387 133030388 chr9:133030388:G:C rs545424891 G C G EBF1_EBF_1 3 1 - 7.556166177142466 1.72840172902725 GCCCCCTCGGGACT chr9 133041642 133041643 chr9:133041643:A:G rs603098 A G G EBF1_EBF_1 -14 0 + 0 0 . chr9 133049066 133049067 chr9:133049067:G:T rs77684750 G T G EBF1_EBF_1 26 0 - 0 0 . chr9 133060006 133060007 chr9:133060007:A:G rs642440 A G A EBF1_EBF_1 31 0 + 0 0 . chr9 133086743 133086744 chr9:133086744:T:G rs1000963392 T G T EBF1_EBF_1 7 1 + 9.765804375019233 8.929620209817966 AGCCCCCTGGGAGT chr9 133088763 133088764 chr9:133088764:C:G rs12344686 C G C EBF1_EBF_1 4 1 + 8.253603196033835 2.6278380948842903 AAACCCCTGGGACC chr9 133088780 133088781 chr9:133088781:T:C rs658883 T C C EBF1_EBF_1 21 0 + 0 0 . chr9 133092698 133092699 chr9:133092699:T:C rs669791 T C T EBF1_EBF_1 6 1 - 6.868522549124733 4.701728117850258 AGTCACAAGGGAAA chr9 133098053 133098054 chr9:133098054:G:A rs72769420 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 133102195 133102196 chr9:133102196:C:T rs2519091 C T c EBF1_EBF_1 27 0 + 0 0 . chr9 133102198 133102199 chr9:133102199:C:T rs76832424 C T C EBF1_EBF_1 30 0 + 0 0 . chr9 133107003 133107004 chr9:133107004:T:C rs2301577 T C T EBF1_EBF_1 -15 0 - 0 0 . chr9 133111937 133111938 chr9:133111938:T:A chr9:133111938:T:A T A T EBF1_EBF_1 22 0 - 0 0 . chr9 133114166 133114167 chr9:133114167:G:T rs149517227 G T G EBF1_EBF_1 33 0 + 0 0 . chr9 133114790 133114791 chr9:133114791:C:T chr9:133114791:C:T C T C EBF1_EBF_1 32 0 + 0 0 . chr9 133119572 133119573 chr9:133119573:G:A rs7019098 G A G EBF1_EBF_1 -19 0 - 0 0 . chr9 133119679 133119680 chr9:133119680:C:G rs78125397 C G C EBF1_EBF_1 -3 0 + 0 0 . chr9 133126221 133126222 chr9:133126222:A:G rs549542 A G A EBF1_EBF_1 -2 0 + 0 0 . chr9 133126606 133126607 chr9:133126607:A:C rs573738 A C A EBF1_EBF_1 28 0 - 0 0 . chr9 133134668 133134669 chr9:133134669:G:A rs76716166 G A G EBF1_EBF_1 1 1 - 6.171800680490901 7.067234120889647 CCACCCCAGGGACA chr9 133137302 133137303 chr9:133137303:C:T rs13296482 C T C EBF1_EBF_1 -19 0 + 0 0 . chr9 133138254 133138255 chr9:133138255:C:T rs611983 C T C EBF1_EBF_1 3 1 + 13.083851027079985 6.678452285526671 AATCCCTTGGGACT chr9 133139931 133139932 chr9:133139932:C:T rs640122 C T C EBF1_EBF_1 12 1 + 4.758839636937567 3.7325730663867165 GGTCCCCTGAGGCC chr9 133143519 133143520 chr9:133143520:C:T rs115668969 C T C EBF1_EBF_1 -12 0 + 0 0 . chr9 133158835 133158836 chr9:133158836:G:A rs35869433 G A G EBF1_EBF_1 -14 0 + 0 0 . chr9 133167804 133167805 chr9:133167805:A:G rs11244020 A G G EBF1_EBF_1 13 1 - 5.064932488732979 4.220438374147461 AGCCCCAGAGGAGT chr9 133171107 133171108 chr9:133171108:T:C rs10117255 T C T EBF1_EBF_1 29 0 - 0 0 . chr9 133171490 133171491 chr9:133171491:C:T rs114889777 C T C EBF1_EBF_1 -7 0 + 0 0 . chr9 133171751 133171752 chr9:133171752:T:C rs4284147 T C C EBF1_EBF_1 -4 0 + 0 0 . chr9 133180028 133180029 chr9:133180029:A:G rs78339529 A G A EBF1_EBF_1 -7 0 + 0 0 . chr9 133223532 133223533 chr9:133223533:G:A rs4486266 G A G EBF1_EBF_1 0 1 - 4.356162396589276 4.638364457319854 CCTCCCCAGGGCAG chr9 133225819 133225820 chr9:133225820:A:G rs11789398 A G G EBF1_EBF_1 1 1 - 5.565215878514333 4.669782438115587 CTTCCCCCGGGGCA chr9 133234352 133234353 chr9:133234353:C:A rs117876422 C A . EBF1_EBF_1 -2 0 + 0 0 . chr9 133238951 133238952 chr9:133238952:C:T chr9:133238952:C:T C T . EBF1_EBF_1 0 1 + 5.413400352261985 5.695602412992564 CTCCCCGAGGGGAT chr9 133239123 133239124 chr9:133239124:G:T rs60585338 G T . EBF1_EBF_1 29 0 + 0 0 . chr9 133246264 133246265 chr9:133246265:A:G rs7043853 A G . EBF1_EBF_1 -15 0 + 0 0 . chr9 133252423 133252424 chr9:133252424:G:A rs62574564 G A g EBF1_EBF_1 12 1 + 8.615175264995383 10.03399260709486 ATCCCCCAGGGAGG chr9 133253215 133253216 chr9:133253216:T:C rs12554580 T C N EBF1_EBF_1 21 0 - 0 0 . chr9 133260348 133260349 chr9:133260349:A:G rs1034241731 A G N EBF1_EBF_1 25 0 - 0 0 . chr9 133274731 133274732 chr9:133274732:G:A rs924250702 G A g EBF1_EBF_1 13 1 - 4.747174000835013 5.591668115420531 CTTCCCTCGGGCAC chr9 133275392 133275393 chr9:133275393:C:T rs1001332580 C T c EBF1_EBF_1 4 1 + 11.853484597830224 7.484097652885304 ATCCCCAAGGGACC chr9 133275413 133275414 chr9:133275414:C:A rs1027799751 C A c EBF1_EBF_1 25 0 + 0 0 . chr9 133275511 133275512 chr9:133275512:C:A rs932623372 C A c EBF1_EBF_1 22 0 + 0 0 . chr9 133278801 133278802 chr9:133278802:G:T rs9411488 G T g EBF1_EBF_1 -9 0 + 0 0 . chr9 133284269 133284270 chr9:133284270:A:G rs535993 A G N EBF1_EBF_1 -3 0 + 0 0 . chr9 133301514 133301515 chr9:133301515:C:T rs4962126 C T N EBF1_EBF_1 -16 0 + 0 0 . chr9 133301883 133301884 chr9:133301884:C:T rs62574647 C T c EBF1_EBF_1 18 0 - 0 0 . chr9 133303823 133303824 chr9:133303824:C:G rs62574651 C G c EBF1_EBF_1 -4 0 + 0 0 . chr9 133306669 133306670 chr9:133306670:G:C rs76020372 G C g EBF1_EBF_1 27 0 - 0 0 . chr9 133306762 133306763 chr9:133306763:G:A rs79158370 G A g EBF1_EBF_1 17 0 - 0 0 . chr9 133318090 133318091 chr9:133318091:G:A rs115005555 G A G EBF1_EBF_1 30 0 - 0 0 . chr9 133336717 133336718 chr9:133336718:G:T rs150577347 G T G EBF1_EBF_1 -6 0 - 0 0 . chr9 133342691 133342692 chr9:133342692:C:T rs185829132 C T C EBF1_EBF_1 3 1 + 4.4228221327832085 -1.9825766087701095 GCCCCCCAGGGGGC chr9 133351734 133351735 chr9:133351735:A:C rs484248 A C C EBF1_EBF_1 21 0 + 0 0 . chr9 133351735 133351736 chr9:133351736:G:A rs599924 G A A EBF1_EBF_1 22 0 + 0 0 . chr9 133352033 133352034 chr9:133352034:G:A rs2021976 G A G EBF1_EBF_1 23 0 - 0 0 . chr9 133362795 133362796 chr9:133362796:T:C rs181331016 T C T EBF1_EBF_1 23 0 + 0 0 . chr9 133403837 133403838 chr9:133403838:G:A rs28714280 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 133404945 133404946 chr9:133404946:A:G rs35348753 A G G EBF1_EBF_1 -7 0 + 0 0 . chr9 133428783 133428784 chr9:133428784:C:T rs149586801 C T c EBF1_EBF_1 9 1 - 3.917059233847425 1.2621199683998205 CCTCCCACGGGGCG chr9 133454366 133454367 chr9:133454367:T:C rs36023469 T C C EBF1_EBF_1 13 1 - 7.483531063372753 6.3692054913033145 TCTCCCCAGAGACA chr9 133460270 133460271 chr9:133460271:C:G rs587665941 C G C EBF1_EBF_1 9 1 - 8.03866813481351 2.962978988468045 TTTCCCCTGGGGCC chr9 133465263 133465264 chr9:133465264:C:T rs183039213 C T C EBF1_EBF_1 20 0 - 0 0 . chr9 133478464 133478465 chr9:133478465:C:T rs34008151 C T C EBF1_EBF_1 6 1 + 4.867236801387426 5.168522930249184 CTCCTCCAGGGACC chr9 133487467 133487468 chr9:133487468:C:T rs117767504 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 133490060 133490061 chr9:133490061:G:C rs6597631 G C G EBF1_EBF_1 16 0 - 0 0 . chr9 133493158 133493159 chr9:133493159:G:T chr9:133493159:G:T G T G EBF1_EBF_1 3 1 - 7.756879007938781 0.8507049784729758 ATTCCCAGGAGGAT chr9 133499952 133499953 chr9:133499953:G:A rs17810852 G A G EBF1_EBF_1 7 1 - 4.935598346408982 6.753103092691606 GAACCCACGGGAAC chr9 133506145 133506146 chr9:133506146:G:A rs117816992 G A G EBF1_EBF_1 -3 0 - 0 0 . chr9 133531483 133531484 chr9:133531484:G:A rs114148078 G A g EBF1_EBF_1 21 0 - 0 0 . chr9 133533264 133533265 chr9:133533265:T:G rs182063287 T G T EBF1_EBF_1 -14 0 - 0 0 . chr9 133533952 133533953 chr9:133533953:A:G rs9696672 A G G EBF1_EBF_1 15 0 - 0 0 . chr9 133535145 133535146 chr9:133535146:C:T rs1471700063 C T C EBF1_EBF_1 28 0 - 0 0 . chr9 133537222 133537223 chr9:133537223:T:A rs11516156 T A T EBF1_EBF_1 0 1 - 7.528846109606667 5.555789686640645 ACTCCCAAGGAACC chr9 133545582 133545583 chr9:133545583:A:G rs9696835 A G G EBF1_EBF_1 -1 0 - 0 0 . chr9 133546191 133546192 chr9:133546192:T:C rs11497295 T C C EBF1_EBF_1 -12 0 - 0 0 . chr9 133547331 133547332 chr9:133547332:C:T rs2073880 C T C EBF1_EBF_1 29 0 + 0 0 . chr9 133554677 133554678 chr9:133554678:G:A rs752071055 G A G EBF1_EBF_1 10 1 + 5.437712627175384 0.11968416790841735 GCCCCCCAGGGGCA chr9 133561926 133561927 chr9:133561927:T:G rs111767709 T G T EBF1_EBF_1 7 1 - 7.141285763490848 4.847506777120669 ATCCCCCAGGGGAG chr9 133572320 133572321 chr9:133572321:G:C rs112074690 G C G EBF1_EBF_1 16 0 - 0 0 . chr9 133574680 133574681 chr9:133574681:C:T rs7874404 C T T EBF1_EBF_1 8 1 - 8.729877436121393 4.503587347235133 AAACCCCAGGGACC chr9 133577873 133577874 chr9:133577874:C:T rs62574250 C T C EBF1_EBF_1 28 0 + 0 0 . chr9 133578004 133578005 chr9:133578005:A:G rs10125652 A G G EBF1_EBF_1 19 0 - 0 0 . chr9 133578042 133578043 chr9:133578043:C:T rs10122343 C T C EBF1_EBF_1 -19 0 - 0 0 . chr9 133578136 133578137 chr9:133578137:C:T rs10122364 C T C EBF1_EBF_1 6 1 - 5.426914352640087 7.593708783914562 TTCCCCGTGAGACT chr9 133578346 133578347 chr9:133578347:G:A rs10115651 G A G EBF1_EBF_1 -4 0 + 0 0 . chr9 133579093 133579094 chr9:133579094:C:A rs113641726 C A C EBF1_EBF_1 -17 0 + 0 0 . chr9 133579108 133579109 chr9:133579109:G:A rs75377207 G A G EBF1_EBF_1 -2 0 + 0 0 . chr9 133582747 133582748 chr9:133582748:C:G rs872605 C G C EBF1_EBF_1 10 1 - 6.039472522605799 -0.851329864723676 TTTCCCAGGAGAGA chr9 133584268 133584269 chr9:133584269:T:G rs6422851 T G T EBF1_EBF_1 21 0 + 0 0 . chr9 133585282 133585283 chr9:133585283:C:T rs907459680 C T C EBF1_EBF_1 7 1 + 6.547598787327423 8.365103533610045 CCTCCCCCGGGAGC chr9 133585283 133585284 chr9:133585284:G:A rs7862286 G A G EBF1_EBF_1 8 1 + 6.547598787327423 2.3213086984411606 CCTCCCCCGGGAGC chr9 133588275 133588276 chr9:133588276:C:T rs78585787 C T C EBF1_EBF_1 9 1 - 4.435468644599967 1.7805293791523635 ACCCCCATGGCACT chr9 133588284 133588285 chr9:133588285:T:G rs76543852 T G G EBF1_EBF_1 0 1 - 4.435468644599967 2.180210160903367 ACCCCCATGGCACT chr9 133590682 133590683 chr9:133590683:C:G rs739445 C G C EBF1_EBF_1 -5 0 + 0 0 . chr9 133592196 133592197 chr9:133592197:C:T rs9409835 C T T EBF1_EBF_1 32 0 - 0 0 . chr9 133598734 133598735 chr9:133598735:A:G rs12380304 A G A EBF1_EBF_1 7 1 + 6.094895119694602 4.782436714405776 TCTCCCCAGAGAGA chr9 133615601 133615602 chr9:133615602:C:G rs77167297 C G C EBF1_EBF_1 -13 0 + 0 0 . chr9 133616945 133616946 chr9:133616946:G:A rs3025363 G A G EBF1_EBF_1 6 1 - 7.3939072369672845 7.6951933658290415 GCTCCCCAGAGAGT chr9 133618001 133618002 chr9:133618002:A:G rs3025367 A G a EBF1_EBF_1 -19 0 - 0 0 . chr9 133632950 133632951 chr9:133632951:G:A rs145321781 G A G EBF1_EBF_1 15 0 - 0 0 . chr9 133658546 133658547 chr9:133658547:C:T rs129882 C T C EBF1_EBF_1 22 0 + 0 0 . chr9 133662103 133662104 chr9:133662104:C:A rs129885 C A C EBF1_EBF_1 13 1 + 4.538724839255141 4.164979389969165 CTCCCCGAGGGGCC chr9 133662294 133662295 chr9:133662295:C:T rs3025439 C T C EBF1_EBF_1 -8 0 + 0 0 . chr9 133670913 133670914 chr9:133670914:A:G rs2519124 A G A EBF1_EBF_1 16 0 - 0 0 . chr9 133670934 133670935 chr9:133670935:T:C rs9409843 T C C EBF1_EBF_1 -5 0 - 0 0 . chr9 133671029 133671030 chr9:133671030:C:T rs2073834 C T C EBF1_EBF_1 -15 0 + 0 0 . chr9 133679135 133679136 chr9:133679136:G:A rs129891 G A A EBF1_EBF_1 32 0 + 0 0 . chr9 133685353 133685354 chr9:133685354:C:T rs9409845 C T C EBF1_EBF_1 7 1 - 5.898833997521042 7.2112924028098675 GGTCCCCGGGGCCT chr9 133708127 133708128 chr9:133708128:C:A chr9:133708128:C:A C A C EBF1_EBF_1 32 0 - 0 0 . chr9 133711312 133711313 chr9:133711313:A:G rs611111 A G A EBF1_EBF_1 25 0 + 0 0 . chr9 133712725 133712726 chr9:133712726:C:T rs45581441 C T C EBF1_EBF_1 -16 0 + 0 0 . chr9 133716597 133716598 chr9:133716598:C:T rs10117852 C T c EBF1_EBF_1 22 0 + 0 0 . chr9 133716598 133716599 chr9:133716599:A:G rs2427979 A G g EBF1_EBF_1 23 0 + 0 0 . chr9 133730317 133730318 chr9:133730318:G:A rs12236251 G A G EBF1_EBF_1 10 1 + 4.857051150357742 -0.46097730890922417 GGTCCCCTGCGACA chr9 133730335 133730336 chr9:133730336:G:A rs72761174 G A G EBF1_EBF_1 28 0 + 0 0 . chr9 133741855 133741856 chr9:133741856:G:A rs73662189 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 133763411 133763412 chr9:133763412:C:T rs59679106 C T T EBF1_EBF_1 32 0 + 0 0 . chr9 133770266 133770267 chr9:133770267:A:G rs55642490 A G G EBF1_EBF_1 6 1 - 5.564555251771136 5.263269122909378 CCACCCTGGGGAAC chr9 133770286 133770287 chr9:133770287:G:A rs590614 G A G EBF1_EBF_1 -14 0 - 0 0 . chr9 133776112 133776113 chr9:133776113:C:T rs667183 C T C EBF1_EBF_1 4 1 + 3.9144577729270056 -0.45492917201791827 GCCCCCCAGGGCCA chr9 133776355 133776356 chr9:133776356:C:T rs2873805 C T C EBF1_EBF_1 22 0 + 0 0 . chr9 133783412 133783413 chr9:133783413:T:C rs2488563 T C C EBF1_EBF_1 -1 0 - 0 0 . chr9 133785617 133785618 chr9:133785618:G:A rs2023665 G A G EBF1_EBF_1 12 1 - 4.863402929064483 3.837136358513633 TCACCCCTGGGACG chr9 133789430 133789431 chr9:133789431:A:C rs10821524 A C A EBF1_EBF_1 6 1 + 7.300304510131248 6.93870214232997 GCACCCAAGGGAAC chr9 133789457 133789458 chr9:133789458:C:T rs45577039 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 133793237 133793238 chr9:133793238:G:A rs6479623 G A G EBF1_EBF_1 8 1 + 5.810559990679686 1.5842699017934245 GAACCCAAGGGAGC chr9 133793239 133793240 chr9:133793240:G:A rs139048080 G A G EBF1_EBF_1 10 1 + 5.810559990679686 0.49253153141272016 GAACCCAAGGGAGC chr9 133793244 133793245 chr9:133793245:G:A rs114148217 G A g EBF1_EBF_1 15 0 + 0 0 . chr9 133793829 133793830 chr9:133793830:C:T rs2073890 C T T EBF1_EBF_1 17 0 - 0 0 . chr9 133793864 133793865 chr9:133793865:C:T rs10993803 C T T EBF1_EBF_1 -18 0 - 0 0 . chr9 133794889 133794890 chr9:133794890:A:G rs7025087 A G G EBF1_EBF_1 13 1 + 5.877213957306961 4.762888385237522 GTTCCCTGAGGACA chr9 133807406 133807407 chr9:133807407:A:G rs2428089 A G G EBF1_EBF_1 7 1 - 4.288949643304747 2.471444897022123 GCTGCCCTGGGACC chr9 133822837 133822838 chr9:133822838:A:G rs2502751 A G G EBF1_EBF_1 13 1 - 9.332963818116669 8.48846970353115 ATTCCCCTGGGCCT chr9 133826434 133826435 chr9:133826435:C:T rs2428096 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 133846442 133846443 chr9:133846443:T:C rs10821526 T C C EBF1_EBF_1 7 1 + 5.063586223922007 3.2460814776393825 AGCCCCTTGAGGCC chr9 133876445 133876446 chr9:133876446:G:A chr9:133876446:G:A G A G EBF1_EBF_1 16 0 + 0 0 . chr9 133887198 133887199 chr9:133887199:C:G rs62576555 C G C EBF1_EBF_1 -1 0 + 0 0 . chr9 133888123 133888124 chr9:133888124:C:T rs2789853 C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 133889013 133889014 chr9:133889014:T:C rs2486353 T C C EBF1_EBF_1 7 1 - 9.637376136419086 8.32491773113026 CTTCCCCAGGGACG chr9 133889706 133889707 chr9:133889707:C:T rs72762878 C T C EBF1_EBF_1 11 1 + 8.099491901641292 8.417539343394955 ACTCCCCAGGGCAC chr9 133906767 133906768 chr9:133906768:T:C rs688828 T C T EBF1_EBF_1 25 0 - 0 0 . chr9 133907532 133907533 chr9:133907533:C:T rs114507203 C T C EBF1_EBF_1 21 0 + 0 0 . chr9 133907564 133907565 chr9:133907565:A:G rs2810501 A G G EBF1_EBF_1 -2 0 + 0 0 . chr9 133907579 133907580 chr9:133907580:A:C rs116767350 A C C EBF1_EBF_1 13 1 + 8.547564811147739 8.921310260433714 AGCCCCCTGGGAGA chr9 133907870 133907871 chr9:133907871:T:C rs2519991 T C c EBF1_EBF_1 -7 0 + 0 0 . chr9 133907956 133907957 chr9:133907957:T:C rs2519992 T C t EBF1_EBF_1 13 1 + 6.037542651792112 5.193048537206594 CTCCCCCAGAGAGT chr9 133914053 133914054 chr9:133914054:C:T rs598184 C T C EBF1_EBF_1 -13 0 + 0 0 . chr9 133916136 133916137 chr9:133916137:G:A rs10993855 G A G EBF1_EBF_1 -5 0 + 0 0 . chr9 133919758 133919759 chr9:133919759:G:A rs10125207 G A A EBF1_EBF_1 -13 0 - 0 0 . chr9 133935859 133935860 chr9:133935860:T:G rs2810520 T G G EBF1_EBF_1 33 0 - 0 0 . chr9 133946624 133946625 chr9:133946625:A:G rs2519112 A G G EBF1_EBF_1 -16 0 + 0 0 . chr9 133946649 133946650 chr9:133946650:G:A rs557327 G A G EBF1_EBF_1 9 1 + 6.684392777506922 4.029453512059319 ACTCCCTGGGGCCA chr9 133972559 133972560 chr9:133972560:G:C rs3780794 G C G EBF1_EBF_1 0 1 + 5.22938736366556 4.866412749533793 GCCCCCCTGAGAAA chr9 133976722 133976723 chr9:133976723:A:G rs2506685 A G g EBF1_EBF_1 -2 0 + 0 0 . chr9 133980972 133980973 chr9:133980973:G:A rs28417338 G A g EBF1_EBF_1 -10 0 + 0 0 . chr9 133981117 133981118 chr9:133981118:G:A rs187404672 G A g EBF1_EBF_1 -12 0 - 0 0 . chr9 133993022 133993023 chr9:133993023:C:A rs377605754 C A C EBF1_EBF_1 17 0 - 0 0 . chr9 133993885 133993886 chr9:133993886:T:C rs34161542 T C T EBF1_EBF_1 31 0 + 0 0 . chr9 133997287 133997288 chr9:133997288:G:A rs459732 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 134026247 134026248 chr9:134026248:G:T rs417142 G T G EBF1_EBF_1 18 0 - 0 0 . chr9 134029261 134029262 chr9:134029262:C:T rs3739932 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 134041985 134041986 chr9:134041986:G:A rs467317 G A A EBF1_EBF_1 13 1 - 6.285768272482079 7.130262387067597 AGCCCCATGGGCAC chr9 134045782 134045783 chr9:134045783:C:T rs465535 C T t EBF1_EBF_1 23 0 - 0 0 . chr9 134050572 134050573 chr9:134050573:G:A rs34609592 G A G EBF1_EBF_1 -15 0 - 0 0 . chr9 134053633 134053634 chr9:134053634:G:A rs114473646 G A G EBF1_EBF_1 7 1 + 5.51528978521863 6.827748190507456 TCACCCCGGGGACC chr9 134057995 134057996 chr9:134057996:G:A rs2810490 G A G EBF1_EBF_1 31 0 + 0 0 . chr9 134060059 134060060 chr9:134060060:C:T rs188523263 C T C EBF1_EBF_1 21 0 + 0 0 . chr9 134062204 134062205 chr9:134062205:A:G rs2506714 A G G EBF1_EBF_1 12 1 + 9.249001306603693 7.830183964504217 ACTCCCCAGGGGAA chr9 134063923 134063924 chr9:134063924:A:G rs736902 A G G EBF1_EBF_1 32 0 - 0 0 . chr9 134069462 134069463 chr9:134069463:G:A rs73564618 G A G EBF1_EBF_1 9 1 + 5.677133911673629 3.022194646226025 AGCCCCTGGGGTCC chr9 134087833 134087834 chr9:134087834:C:G rs35808122 C G c EBF1_EBF_1 14 0 - 0 0 . chr9 134087837 134087838 chr9:134087838:C:A rs5901033 C A c EBF1_EBF_1 10 1 - 6.581944265198666 2.399192078971402 AGCCCCAGGGGTCT chr9 134089663 134089664 chr9:134089664:G:A rs56079337 G A g EBF1_EBF_1 30 0 - 0 0 . chr9 134089677 134089678 chr9:134089678:T:C rs55946537 T C t EBF1_EBF_1 16 0 - 0 0 . chr9 134131318 134131319 chr9:134131319:G:A rs75984371 G A g EBF1_EBF_1 -3 0 + 0 0 . chr9 134134889 134134890 chr9:134134890:T:C chr9:134134890:T:C T C t EBF1_EBF_1 1 1 - 5.557365895791271 5.8180647277125725 GATCCCTTGGGCCA chr9 134147293 134147294 chr9:134147294:C:T rs34350861 C T C EBF1_EBF_1 -5 0 - 0 0 . chr9 134159315 134159316 chr9:134159316:G:C rs117980798 G C G EBF1_EBF_1 -9 0 - 0 0 . chr9 134162424 134162425 chr9:134162425:T:C rs28684479 T C C EBF1_EBF_1 12 1 - 5.443708549619915 4.0248912075204375 TCCCCCAAGGGCAT chr9 134164860 134164861 chr9:134164861:A:C rs1476107166 A C A EBF1_EBF_1 19 0 + 0 0 . chr9 134182077 134182078 chr9:134182078:G:A rs982176234 G A - EBF1_EBF_1 -9 0 + 0 0 . chr9 134188499 134188500 chr9:134188500:C:T rs1032059514 C T c EBF1_EBF_1 -8 0 - 0 0 . chr9 134191784 134191785 chr9:134191785:G:A rs951609713 G A g EBF1_EBF_1 -4 0 + 0 0 . chr9 134204217 134204218 chr9:134204218:G:A rs10123094 G A A EBF1_EBF_1 15 0 - 0 0 . chr9 134205444 134205445 chr9:134205445:C:G rs75678577 C G C EBF1_EBF_1 10 1 - 7.165502743730095 0.27470035640061985 TCCCCCCAGGGAAG chr9 134209601 134209602 chr9:134209602:C:T rs60376287 C T C EBF1_EBF_1 10 1 - 5.594723929228027 0.2766954699610621 CTTTCCCAGGGAAT chr9 134211191 134211192 chr9:134211192:T:G chr9:134211192:T:G T G T EBF1_EBF_1 -18 0 + 0 0 . chr9 134211214 134211215 chr9:134211215:C:T rs76514613 C T C EBF1_EBF_1 5 1 + 6.898972856592174 1.5771856410515028 GCCCCCGAGGGACC chr9 134213026 134213027 chr9:134213027:G:A chr9:134213027:G:A G A G EBF1_EBF_1 -18 0 - 0 0 . chr9 134220574 134220575 chr9:134220575:T:C rs10881585 T C C EBF1_EBF_1 26 0 - 0 0 . chr9 134222216 134222217 chr9:134222217:G:A rs11185669 G A G EBF1_EBF_1 5 1 - 5.516569310120009 0.19478209457933854 ACTGCCATGGGATC chr9 134235058 134235059 chr9:134235059:C:T rs12380499 C T T EBF1_EBF_1 6 1 + 5.2776533484456625 5.5789394773074195 AGCCCCCGAGGAAC chr9 134235085 134235086 chr9:134235086:T:C rs12375886 T C T EBF1_EBF_1 33 0 + 0 0 . chr9 134250661 134250662 chr9:134250662:G:A rs11185690 G A g EBF1_EBF_1 -2 0 - 0 0 . chr9 134263251 134263252 chr9:134263252:C:T rs77236565 C T C EBF1_EBF_1 -8 0 - 0 0 . chr9 134267600 134267601 chr9:134267601:G:A rs7851206 G A G EBF1_EBF_1 -15 0 - 0 0 . chr9 134274452 134274453 chr9:134274453:C:T rs7861167 C T C EBF1_EBF_1 -9 0 + 0 0 . chr9 134281880 134281881 chr9:134281881:G:A rs75811722 G A G EBF1_EBF_1 0 1 - 6.545546129776877 6.827748190507456 CCACCCCAGGGACC chr9 134282209 134282210 chr9:134282210:C:A rs875465 C A C EBF1_EBF_1 30 0 - 0 0 . chr9 134282232 134282233 chr9:134282233:G:A rs11185709 G A G EBF1_EBF_1 7 1 - 4.7958396992064 6.613344445489024 ACTCTCCCGGGATC chr9 134283422 134283423 chr9:134283423:C:G rs72619337 C G C EBF1_EBF_1 -7 0 + 0 0 . chr9 134283434 134283435 chr9:134283435:C:T rs73565422 C T C EBF1_EBF_1 5 1 + 5.166328791506514 -0.15545842403415522 CCTCCCAGGAGAAG chr9 134283461 134283462 chr9:134283462:G:A rs192958060 G A G EBF1_EBF_1 32 0 + 0 0 . chr9 134288178 134288179 chr9:134288179:C:T rs146674746 C T C EBF1_EBF_1 33 0 - 0 0 . chr9 134288220 134288221 chr9:134288221:A:G rs4917346 A G G EBF1_EBF_1 -9 0 - 0 0 . chr9 134291155 134291156 chr9:134291156:C:T rs57933350 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 134295555 134295556 chr9:134295556:C:T rs781906645 C T C EBF1_EBF_1 10 1 - 5.970957061275978 0.652928602009012 GTTCCCCAGAGGAC chr9 134302714 134302715 chr9:134302715:C:G rs55716764 C G . EBF1_EBF_1 -5 0 + 0 0 . chr9 134319016 134319017 chr9:134319017:T:C rs11185644 T C C EBF1_EBF_1 0 1 - 4.881831199318618 2.989547329753783 ACACCCCAGGGGTC chr9 134329590 134329591 chr9:134329591:G:A rs62576290 G A G EBF1_EBF_1 22 0 - 0 0 . chr9 134331851 134331852 chr9:134331852:C:T rs62576293 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 134344538 134344539 chr9:134344539:T:G rs528317787 T G T EBF1_EBF_1 7 1 - 7.78771712788717 5.4939381415169875 AGCCCCCAGAGAAA chr9 134344651 134344652 chr9:134344652:G:A rs1177667464 G A G EBF1_EBF_1 23 0 - 0 0 . chr9 134348281 134348282 chr9:134348282:T:C rs66670744 T C T EBF1_EBF_1 15 0 + 0 0 . chr9 134348551 134348552 chr9:134348552:G:C rs763554732 G C G EBF1_EBF_1 7 1 - 7.099739815023941 8.081060396105297 CGTCCCCCGGGATC chr9 134358878 134358879 chr9:134358879:G:A rs111944682 G A G EBF1_EBF_1 7 1 + 7.791117867085875 9.103576272374701 AGTCCCCGGGGCCT chr9 134359855 134359856 chr9:134359856:C:T rs28588705 C T C EBF1_EBF_1 21 0 + 0 0 . chr9 134361907 134361908 chr9:134361908:G:T rs182550551 G T G EBF1_EBF_1 17 0 - 0 0 . chr9 134366525 134366526 chr9:134366526:C:T rs116137470 C T C EBF1_EBF_1 30 0 - 0 0 . chr9 134378526 134378527 chr9:134378527:G:C rs62576350 G C C EBF1_EBF_1 19 0 - 0 0 . chr9 134379974 134379975 chr9:134379975:C:T rs34532248 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 134393630 134393631 chr9:134393631:G:A rs12003871 G A G EBF1_EBF_1 18 0 - 0 0 . chr9 134393656 134393657 chr9:134393657:A:G rs11102986 A G G EBF1_EBF_1 -8 0 - 0 0 . chr9 134403836 134403837 chr9:134403837:C:A rs56271536 C A C EBF1_EBF_1 10 1 - 5.233087724488052 1.0503355382607868 CCACCCCGGGGACC chr9 134404689 134404690 chr9:134404690:G:A rs185697442 G A G EBF1_EBF_1 -4 0 + 0 0 . chr9 134405581 134405582 chr9:134405582:G:T rs34662264 G T G EBF1_EBF_1 4 1 - 8.9285881611489 3.1040285376954335 AGTCCCTTGGGCCT chr9 134412389 134412390 chr9:134412390:C:T rs34259335 C T c EBF1_EBF_1 19 0 - 0 0 . chr9 134418542 134418543 chr9:134418543:C:A rs10776896 C A C EBF1_EBF_1 1 1 + 7.024981206363334 6.95405402901125 ACCCCCCAGGGCCT chr9 134436369 134436370 chr9:134436370:G:A rs1805347 G A G EBF1_EBF_1 -17 0 + 0 0 . chr9 134442716 134442717 chr9:134442717:C:T rs536276774 C T C EBF1_EBF_1 3 1 + 3.791118209155953 -2.6142805323973635 AGTCCCTCTGGACC chr9 134442742 134442743 chr9:134442743:G:C chr9:134442743:G:C G C G EBF1_EBF_1 29 0 + 0 0 . chr9 134474259 134474260 chr9:134474260:A:G rs3118563 A G A EBF1_EBF_1 -6 0 - 0 0 . chr9 134475395 134475396 chr9:134475396:G:A rs529547306 G A G EBF1_EBF_1 6 1 - 8.786722181934824 9.088008310796582 CTTCCCCGGGGATC chr9 134475421 134475422 chr9:134475422:A:G rs3118569 A G G EBF1_EBF_1 -20 0 - 0 0 . chr9 134487369 134487370 chr9:134487370:T:G rs563729310 T G T EBF1_EBF_1 19 0 + 0 0 . chr9 134502311 134502312 chr9:134502312:A:G rs534455256 A G A EBF1_EBF_1 -8 0 - 0 0 . chr9 134517864 134517865 chr9:134517865:C:T rs544404726 C T C EBF1_EBF_1 -7 0 - 0 0 . chr9 134523974 134523975 chr9:134523975:G:T rs112654053 G T - EBF1_EBF_1 24 0 + 0 0 . chr9 134524085 134524086 chr9:134524086:A:G rs3132325 A G - EBF1_EBF_1 15 0 + 0 0 . chr9 134524404 134524405 chr9:134524405:C:T rs28666477 C T - EBF1_EBF_1 14 0 + 0 0 . chr9 134524824 134524825 chr9:134524825:T:C rs9409975 T C t EBF1_EBF_1 -16 0 + 0 0 . chr9 134524829 134524830 chr9:134524830:T:C rs13292126 T C t EBF1_EBF_1 -11 0 + 0 0 . chr9 134531650 134531651 chr9:134531651:C:G rs3118591 C G G EBF1_EBF_1 -8 0 + 0 0 . chr9 134535920 134535921 chr9:134535921:G:A rs3118595 G A G EBF1_EBF_1 -17 0 + 0 0 . chr9 134536191 134536192 chr9:134536192:G:C rs10125078 G C C EBF1_EBF_1 -18 0 - 0 0 . chr9 134536225 134536226 chr9:134536226:T:A rs139137778 T A T EBF1_EBF_1 4 1 - 4.802271503169612 6.257444181678156 AGTCACCAGGGGCT chr9 134537549 134537550 chr9:134537550:A:G rs4370607 A G G EBF1_EBF_1 -2 0 - 0 0 . chr9 134538494 134538495 chr9:134538495:G:C rs77732368 G C G EBF1_EBF_1 19 0 - 0 0 . chr9 134540135 134540136 chr9:134540136:G:T rs34979894 G T G EBF1_EBF_1 -4 0 + 0 0 . chr9 134548058 134548059 chr9:134548059:C:T rs3118517 C T C EBF1_EBF_1 20 0 - 0 0 . chr9 134551215 134551216 chr9:134551216:G:A rs148144877 G A G EBF1_EBF_1 -5 0 + 0 0 . chr9 134572105 134572106 chr9:134572106:C:G rs1455895784 C G C EBF1_EBF_1 10 1 - 8.876544742702341 1.9857423553728693 TTTCCCAAGGGGCC chr9 134575069 134575070 chr9:134575070:G:T rs7029248 G T G EBF1_EBF_1 7 1 + 5.226170596167584 6.062354761368851 GCTCCCAGGGGCCC chr9 134575688 134575689 chr9:134575689:G:C rs139769872 G C G EBF1_EBF_1 6 1 + 4.115265114156191 5.920457177629389 TGCCCCGAGGGGCC chr9 134594221 134594222 chr9:134594222:G:A rs10776893 G A G EBF1_EBF_1 26 0 + 0 0 . chr9 134594511 134594512 chr9:134594512:G:A rs111700571 G A G EBF1_EBF_1 -12 0 - 0 0 . chr9 134594649 134594650 chr9:134594650:C:A rs10858255 C A C EBF1_EBF_1 -19 0 - 0 0 . chr9 134617220 134617221 chr9:134617221:C:T rs73555159 C T C EBF1_EBF_1 5 1 + 5.202064759811997 -0.11972245572867224 TCTCCCGTGAGACA chr9 134620794 134620795 chr9:134620795:C:T rs73555170 C T T EBF1_EBF_1 -8 0 - 0 0 . chr9 134628530 134628531 chr9:134628531:C:G rs10124941 C G C EBF1_EBF_1 11 1 - 6.024848468482919 4.50159361423454 CCTCCCCGGGGGCC chr9 134629223 134629224 chr9:134629224:G:A rs4077267 G A G EBF1_EBF_1 33 0 - 0 0 . chr9 134643747 134643748 chr9:134643748:T:G rs114073395 T G T EBF1_EBF_1 -14 0 + 0 0 . chr9 134650616 134650617 chr9:134650617:T:G rs12005599 T G G EBF1_EBF_1 29 0 - 0 0 . chr9 134651739 134651740 chr9:134651740:A:G rs56381603 A G G EBF1_EBF_1 31 0 - 0 0 . chr9 134652126 134652127 chr9:134652127:T:A rs73556962 T A t EBF1_EBF_1 -3 0 - 0 0 . chr9 134658063 134658064 chr9:134658064:G:A rs114397441 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 134664626 134664627 chr9:134664627:A:G rs528243629 A G A EBF1_EBF_1 7 1 + 10.651963630756143 9.339505225467319 AATCCCCAGGGAGA chr9 134684313 134684314 chr9:134684314:C:G rs376747831 C G C EBF1_EBF_1 26 0 - 0 0 . chr9 134698737 134698738 chr9:134698738:C:T rs11103468 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 134698762 134698763 chr9:134698763:T:C rs1267126387 T C T EBF1_EBF_1 6 1 - 5.25211357753702 3.085319146262544 GACCCCATGGGGCA chr9 134698772 134698773 chr9:134698773:G:A rs376478277 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 134698980 134698981 chr9:134698981:C:G rs4401948 C G G EBF1_EBF_1 29 0 + 0 0 . chr9 134702152 134702153 chr9:134702153:T:C rs7038395 T C C EBF1_EBF_1 30 0 + 0 0 . chr9 134705892 134705893 chr9:134705893:G:A rs3128586 G A A EBF1_EBF_1 13 1 - 4.516216429527884 5.360710544113402 TTTCCCCATGGACC chr9 134707181 134707182 chr9:134707182:G:A rs184520214 G A G EBF1_EBF_1 6 1 + 5.3217681031854 7.488562534459875 TGCCCCGGGGGACA chr9 134707192 134707193 chr9:134707193:G:A rs3922912 G A G EBF1_EBF_1 17 0 + 0 0 . chr9 134707198 134707199 chr9:134707199:C:T rs1299925134 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 134707597 134707598 chr9:134707598:C:T rs4548258 C T C EBF1_EBF_1 11 1 + 4.639494833519094 4.9575422752727585 GTCCCCCAGGGCGT chr9 134715368 134715369 chr9:134715369:G:A rs12683240 G A G EBF1_EBF_1 -8 0 + 0 0 . chr9 134724680 134724681 chr9:134724681:G:C rs9409918 G C C EBF1_EBF_1 1 1 - 4.458957133753532 4.648728788322749 ACTCCCAGGGTGCC chr9 134724692 134724693 chr9:134724693:C:T rs112576944 C T C EBF1_EBF_1 -11 0 - 0 0 . chr9 134734342 134734343 chr9:134734343:G:A rs3109680 G A A EBF1_EBF_1 -19 0 + 0 0 . chr9 134734368 134734369 chr9:134734369:C:T rs116269329 C T C EBF1_EBF_1 7 1 + 3.5202730331531837 5.337777779435807 CCTCCCCCGGGCCC chr9 134752351 134752352 chr9:134752352:G:A rs4842150 G A G EBF1_EBF_1 21 0 + 0 0 . chr9 134753333 134753334 chr9:134753334:C:T rs73558063 C T C EBF1_EBF_1 16 0 - 0 0 . chr9 134758690 134758691 chr9:134758691:T:C rs116908900 T C T EBF1_EBF_1 14 0 - 0 0 . chr9 134763326 134763327 chr9:134763327:G:A rs12379066 G A g EBF1_EBF_1 12 1 + 5.396633833762019 6.815451175861496 GTTCCCCAGAGGGT chr9 134766165 134766166 chr9:134766166:C:T rs79878246 C T C EBF1_EBF_1 24 0 + 0 0 . chr9 134781136 134781137 chr9:134781137:C:T rs147115492 C T C EBF1_EBF_1 5 1 + 8.712988132815214 3.3912009172745474 ATTCCCGAGGGGAC chr9 134782494 134782495 chr9:134782495:T:C rs59126004 T C C EBF1_EBF_1 23 0 - 0 0 . chr9 134782915 134782916 chr9:134782916:T:C rs35361637 T C C EBF1_EBF_1 23 0 - 0 0 . chr9 134805751 134805752 chr9:134805752:T:A rs56013225 T A T EBF1_EBF_1 7 1 + 5.157205964101574 5.633480204189132 TGCCCCATGGGCAT chr9 134810353 134810354 chr9:134810354:G:C rs3827850 G C G EBF1_EBF_1 3 1 - 6.600157588264407 0.7723931401491906 GGCCCCCCGGGACC chr9 134810362 134810363 chr9:134810363:G:A rs3827849 G A A EBF1_EBF_1 -6 0 - 0 0 . chr9 134850277 134850278 chr9:134850278:G:A rs62571442 G A T EBF1_EBF_1 27 0 + 0 0 . chr9 134855499 134855500 chr9:134855500:A:G rs3124946 A G G EBF1_EBF_1 1 1 - 4.899040309060026 4.003606868661279 CTTCCCCAGAGCCT chr9 134868589 134868590 chr9:134868590:G:A rs183476448 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 134869183 134869184 chr9:134869184:T:C rs4073506 T C C EBF1_EBF_1 7 1 - 13.036646223442848 11.724187818154022 AGTCCCAAGGGACC chr9 134869446 134869447 chr9:134869447:C:A rs66954623 C A C EBF1_EBF_1 13 1 + 5.384352532343273 5.010607083057297 CCTCTCCTGGGACC chr9 134881502 134881503 chr9:134881503:C:T rs72776336 C T C EBF1_EBF_1 -16 0 - 0 0 . chr9 134885096 134885097 chr9:134885097:G:A rs144803479 G A G EBF1_EBF_1 -1 0 - 0 0 . chr9 134887760 134887761 chr9:134887761:T:C rs73664188 T C T EBF1_EBF_1 -14 0 - 0 0 . chr9 134890094 134890095 chr9:134890095:T:G rs4545169 T G T EBF1_EBF_1 -7 0 - 0 0 . chr9 134892125 134892126 chr9:134892126:G:A rs11103568 G A G EBF1_EBF_1 23 0 - 0 0 . chr9 134895378 134895379 chr9:134895379:G:A rs577716227 G A g EBF1_EBF_1 -9 0 + 0 0 . chr9 134895401 134895402 chr9:134895402:G:A rs11103569 G A A EBF1_EBF_1 14 0 + 0 0 . chr9 134896287 134896288 chr9:134896288:C:T rs4842188 C T T EBF1_EBF_1 17 0 + 0 0 . chr9 134908543 134908544 chr9:134908544:A:G rs3012786 A G A EBF1_EBF_1 9 1 + 6.391313744473179 9.04625300992078 AATCCCATGAGTCT chr9 134908570 134908571 chr9:134908571:T:C rs150429548 T C T EBF1_EBF_1 6 1 - 10.297803122627165 8.13100869135269 AGCCCCATGGGACA chr9 134908575 134908576 chr9:134908576:C:G rs535531812 C G C EBF1_EBF_1 1 1 - 10.297803122627165 10.108031468057947 AGCCCCATGGGACA chr9 134917952 134917953 chr9:134917953:T:C chr9:134917953:T:C T C T EBF1_EBF_1 16 0 - 0 0 . chr9 134919050 134919051 chr9:134919051:C:A rs7022634 C A C EBF1_EBF_1 -1 0 + 0 0 . chr9 134944507 134944508 chr9:134944508:T:C rs12552795 T C T EBF1_EBF_1 -7 0 + 0 0 . chr9 134948395 134948396 chr9:134948396:G:A rs12340938 G A G EBF1_EBF_1 5 1 - 5.870454908476301 0.5486676929356308 CACCCCTGGGGAGC chr9 134959196 134959197 chr9:134959197:C:T rs11103600 C T C EBF1_EBF_1 -10 0 + 0 0 . chr9 134966632 134966633 chr9:134966633:T:C rs10776917 T C C EBF1_EBF_1 -6 0 - 0 0 . chr9 134967185 134967186 chr9:134967186:G:A rs11794589 G A G EBF1_EBF_1 -11 0 - 0 0 . chr9 134992123 134992124 chr9:134992124:G:A rs11103622 G A G EBF1_EBF_1 -7 0 + 0 0 . chr9 134994697 134994698 chr9:134994698:C:T rs1328477106 C T C EBF1_EBF_1 -14 0 + 0 0 . chr9 135024092 135024093 chr9:135024093:A:G rs10776926 A G G EBF1_EBF_1 6 1 - 5.205961009939021 4.904674881077264 ACCCCCTAGGAACA chr9 135028345 135028346 chr9:135028346:A:C rs62571553 A C A EBF1_EBF_1 32 0 - 0 0 . chr9 135028383 135028384 chr9:135028384:G:T rs62571554 G T G EBF1_EBF_1 -6 0 - 0 0 . chr9 135030743 135030744 chr9:135030744:C:A rs10114049 C A C EBF1_EBF_1 24 0 - 0 0 . chr9 135032492 135032493 chr9:135032493:C:T rs11999520 C T C EBF1_EBF_1 -17 0 - 0 0 . chr9 135039023 135039024 chr9:135039024:G:A rs140249578 G A G EBF1_EBF_1 12 1 - 6.5954480691299535 5.569181498579104 ATTCACGAGGGACT chr9 135060893 135060894 chr9:135060894:G:C rs684373 G C C EBF1_EBF_1 11 1 - 5.450746213046816 6.974001067295195 AACCCCATGGGCGT chr9 135071520 135071521 chr9:135071521:C:T rs76117058 C T C EBF1_EBF_1 -12 0 + 0 0 . chr9 135073563 135073564 chr9:135073564:C:T rs9308281 C T C EBF1_EBF_1 -2 0 + 0 0 . chr9 135075854 135075855 chr9:135075855:T:C rs730539 T C c EBF1_EBF_1 7 1 - 5.895089424430313 4.582631019141487 GCTCCCGAGGGGCC chr9 135083538 135083539 chr9:135083539:A:C rs7022098 A C A EBF1_EBF_1 13 1 + 5.752690029389293 6.126435478675269 TCTCCCCAGGGCAA chr9 135111457 135111458 chr9:135111458:T:C rs591155 T C C EBF1_EBF_1 -6 0 + 0 0 . chr9 135111466 135111467 chr9:135111467:C:T rs520371 C T C EBF1_EBF_1 3 1 + 4.097946643091042 -2.3074520984622766 ACCCCCAGGAGGCC chr9 135121917 135121918 chr9:135121918:C:A rs12379449 C A C EBF1_EBF_1 29 0 + 0 0 . chr9 135122720 135122721 chr9:135122721:T:C rs10121023 T C C EBF1_EBF_1 2 1 + 8.232740314170488 6.343916902728338 CTTCCCCAGGGGCC chr9 135122734 135122735 chr9:135122735:C:T rs72760826 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 135128466 135128467 chr9:135128467:C:T rs72760836 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 135129560 135129561 chr9:135129561:G:T rs11103703 G T G EBF1_EBF_1 24 0 - 0 0 . chr9 135134397 135134398 chr9:135134398:G:A rs142506423 G A G EBF1_EBF_1 12 1 - 6.212210466548096 5.185943895997246 GCTCCCCAGGGGCG chr9 135145560 135145561 chr9:135145561:A:G rs80154121 A G A EBF1_EBF_1 -9 0 + 0 0 . chr9 135147488 135147489 chr9:135147489:C:T rs546548838 C T C EBF1_EBF_1 9 1 - 4.754213689126782 2.0992744236791787 GCTCCCCGGGTAAA chr9 135155505 135155506 chr9:135155506:C:A rs111649253 C A C EBF1_EBF_1 -2 0 - 0 0 . chr9 135171125 135171126 chr9:135171126:C:T rs59278587 C T C EBF1_EBF_1 8 1 - 4.894999398477292 0.6687093095910315 TCTCCCTTGGGCTC chr9 135202440 135202441 chr9:135202441:A:G rs2382857 A G G EBF1_EBF_1 -11 0 - 0 0 . chr9 135213261 135213262 chr9:135213262:C:T rs72762717 C T C EBF1_EBF_1 -14 0 + 0 0 . chr9 135215522 135215523 chr9:135215523:T:C rs116908739 T C T EBF1_EBF_1 -19 0 - 0 0 . chr9 135220234 135220235 chr9:135220235:T:G rs11103758 T G T EBF1_EBF_1 -16 0 - 0 0 . chr9 135224615 135224616 chr9:135224616:G:A rs7036736 G A A EBF1_EBF_1 -17 0 + 0 0 . chr9 135249642 135249643 chr9:135249643:G:C rs533192570 G C G EBF1_EBF_1 -16 0 - 0 0 . chr9 135254562 135254563 chr9:135254563:A:G rs11103769 A G A EBF1_EBF_1 2 1 - 6.402224947011869 4.513401535569719 CGTCCCCTGGGCAT chr9 135254565 135254566 chr9:135254566:C:T rs79940297 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 135267328 135267329 chr9:135267329:G:T rs117310802 G T G EBF1_EBF_1 -10 0 - 0 0 . chr9 135281454 135281455 chr9:135281455:G:C rs75725939 G C G EBF1_EBF_1 15 0 - 0 0 . chr9 135281462 135281463 chr9:135281463:G:A rs28428798 G A G EBF1_EBF_1 7 1 - 6.41038593369519 8.227890679977811 GCCCCCCCGGGACC chr9 135281463 135281464 chr9:135281464:G:C rs11103790 G C G EBF1_EBF_1 6 1 - 6.41038593369519 4.605193870221992 GCCCCCCCGGGACC chr9 135284822 135284823 chr9:135284823:C:T rs914406 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 135295096 135295097 chr9:135295097:G:A rs1757352 G A A EBF1_EBF_1 1 1 + 9.685031647563434 9.424332815642131 AGTCCCAAAGGAAT chr9 135298991 135298992 chr9:135298992:G:A rs376453439 G A G EBF1_EBF_1 31 0 - 0 0 . chr9 135305882 135305883 chr9:135305883:T:C rs11103806 T C C EBF1_EBF_1 22 0 - 0 0 . chr9 135322704 135322705 chr9:135322705:C:A rs932957 C A C EBF1_EBF_1 2 1 + 4.442700126060406 2.647055949903679 CACCCCAGGGGAGG chr9 135326130 135326131 chr9:135326131:G:T rs872667 G T G EBF1_EBF_1 -20 0 - 0 0 . chr9 135328623 135328624 chr9:135328624:G:A rs576498843 G A G EBF1_EBF_1 -1 0 + 0 0 . chr9 135329398 135329399 chr9:135329399:T:C rs12353212 T C T EBF1_EBF_1 -13 0 + 0 0 . chr9 135329439 135329440 chr9:135329440:C:A rs12350363 C A C EBF1_EBF_1 28 0 + 0 0 . chr9 135329955 135329956 chr9:135329956:T:C rs11103821 T C C EBF1_EBF_1 -11 0 - 0 0 . chr9 135335216 135335217 chr9:135335217:G:A rs114525160 G A G EBF1_EBF_1 8 1 + 3.8497067580803916 -0.37658333080586925 CCTCCCCTGGGCCG chr9 135347269 135347270 chr9:135347270:C:T rs7866556 C T T EBF1_EBF_1 18 0 + 0 0 . chr9 135356630 135356631 chr9:135356631:C:A rs774106584 C A C EBF1_EBF_1 32 0 + 0 0 . chr9 135375875 135375876 chr9:135375876:C:G rs12552143 C G C EBF1_EBF_1 26 0 - 0 0 . chr9 135376858 135376859 chr9:135376859:G:A rs114962467 G A G EBF1_EBF_1 10 1 + 5.121676360834313 -0.19635209843265233 AATCCCCAGGGCGG chr9 135377317 135377318 chr9:135377318:G:A rs1011471 G A g EBF1_EBF_1 14 0 - 0 0 . chr9 135422180 135422181 chr9:135422181:A:G rs7855244 A G G EBF1_EBF_1 33 0 - 0 0 . chr9 135422253 135422254 chr9:135422254:G:A rs7868551 G A A EBF1_EBF_1 13 1 - 5.538960680294238 6.383454794879756 AACCCCTAGGAAAC chr9 135422275 135422276 chr9:135422276:G:C rs7868561 G C C EBF1_EBF_1 -9 0 - 0 0 . chr9 135441432 135441433 chr9:135441433:T:C rs2084054 T C C EBF1_EBF_1 7 1 - 8.56221496725988 7.249756561971055 AGTCCCCAGAGAAG chr9 135456260 135456261 chr9:135456261:C:G rs4841988 C G G EBF1_EBF_1 26 0 + 0 0 . chr9 135463503 135463504 chr9:135463504:G:A rs111247418 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 135479952 135479953 chr9:135479953:C:T chr9:135479953:C:T C T c EBF1_EBF_1 -2 0 + 0 0 . chr9 135490880 135490881 chr9:135490881:G:A rs945933454 G A G EBF1_EBF_1 14 0 - 0 0 . chr9 135497775 135497776 chr9:135497776:C:T rs10124932 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 135500150 135500151 chr9:135500151:A:C chr9:135500151:A:C A C A EBF1_EBF_1 17 0 + 0 0 . chr9 135501379 135501380 chr9:135501380:G:C rs549328877 G C G EBF1_EBF_1 0 1 - 7.253840491117211 7.616815105248978 CCCCCCTAGGGAGC chr9 135503275 135503276 chr9:135503276:G:A rs79342731 G A G EBF1_EBF_1 31 0 - 0 0 . chr9 135507813 135507814 chr9:135507814:T:C rs35416618 T C T EBF1_EBF_1 7 1 - 8.590998494332734 7.27854008904391 ATTCCCCAGGGCCA chr9 135515700 135515701 chr9:135515701:A:G rs10776817 A G G EBF1_EBF_1 -14 0 + 0 0 . chr9 135516717 135516718 chr9:135516718:G:A rs143692285 G A G EBF1_EBF_1 7 1 + 5.151389711981758 6.463848117270584 GAACCCCGGGGACA chr9 135516741 135516742 chr9:135516742:C:T rs55905551 C T C EBF1_EBF_1 31 0 + 0 0 . chr9 135521816 135521817 chr9:135521817:A:G rs55790166 A G a EBF1_EBF_1 17 0 - 0 0 . chr9 135521831 135521832 chr9:135521832:A:G rs55678722 A G G EBF1_EBF_1 2 1 - 8.701196917089579 6.8123735056474315 CATCCCCAGGGAAG chr9 135524542 135524543 chr9:135524543:G:A rs61214391 G A G EBF1_EBF_1 -3 0 - 0 0 . chr9 135534464 135534465 chr9:135534465:A:T rs210075 A T - EBF1_EBF_1 28 0 + 0 0 . chr9 135545744 135545745 chr9:135545745:G:A rs3762081 G A - EBF1_EBF_1 -12 0 + 0 0 . chr9 135545781 135545782 chr9:135545782:C:T rs7044860 C T - EBF1_EBF_1 25 0 + 0 0 . chr9 135565362 135565363 chr9:135565363:C:G rs2070909 C G C EBF1_EBF_1 -8 0 + 0 0 . chr9 135566707 135566708 chr9:135566708:G:T rs71508803 G T G EBF1_EBF_1 28 0 - 0 0 . chr9 135566723 135566724 chr9:135566724:C:T rs3748212 C T c EBF1_EBF_1 12 1 - 7.42452807755525 8.843345419654726 TGCCCCCAGGGAGC chr9 135575970 135575971 chr9:135575971:C:T rs705667 C T t EBF1_EBF_1 18 0 + 0 0 . chr9 135576164 135576165 chr9:135576165:C:T chr9:135576165:C:T C T g EBF1_EBF_1 5 1 + 4.050137916358158 -1.2716492991825137 CTCCCCCCGGGGCC chr9 135576324 135576325 chr9:135576325:A:G rs705668 A G a EBF1_EBF_1 27 0 - 0 0 . chr9 135576339 135576340 chr9:135576340:G:A rs10776821 G A g EBF1_EBF_1 12 1 - 4.469040328695813 3.4427737581449636 CCTCCCTTGGGTCG chr9 135586835 135586836 chr9:135586836:T:C rs2780278 T C - EBF1_EBF_1 11 1 - 6.20002008077971 3.3073132371756992 GCTCCCTGAGGACT chr9 135591349 135591350 chr9:135591350:G:C rs7853276 G C G EBF1_EBF_1 -8 0 - 0 0 . chr9 135603945 135603946 chr9:135603946:G:A rs72768305 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 135607687 135607688 chr9:135607688:G:A rs60747605 G A G EBF1_EBF_1 24 0 - 0 0 . chr9 135607712 135607713 chr9:135607713:C:T rs57709842 C T T EBF1_EBF_1 -1 0 - 0 0 . chr9 135616450 135616451 chr9:135616451:G:A rs10858136 G A G EBF1_EBF_1 0 1 - 3.957451785141907 4.239653845872485 CCTCCCCGGGGCGT chr9 135616600 135616601 chr9:135616601:G:A rs4841887 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 135655529 135655530 chr9:135655530:A:G rs497127 A G N EBF1_EBF_1 -18 0 - 0 0 . chr9 135665378 135665379 chr9:135665379:C:A rs151041662 C A C EBF1_EBF_1 1 1 + 5.7414304869390955 5.670503309587011 ACCCCCTCGGGGAC chr9 135665409 135665410 chr9:135665410:C:T rs492708 C T T EBF1_EBF_1 32 0 + 0 0 . chr9 135666596 135666597 chr9:135666597:C:T rs571756 C T C EBF1_EBF_1 4 1 + 6.1095599144257315 1.7401729694808066 AACCCCCAGGGCCC chr9 135671695 135671696 chr9:135671696:A:G rs34663856 A G a EBF1_EBF_1 16 0 - 0 0 . chr9 135686651 135686652 chr9:135686652:G:C rs574840 G C C EBF1_EBF_1 3 1 - 9.721445363930275 3.893680915815061 TTTCCCCGGGGACA chr9 135689824 135689825 chr9:135689825:C:T rs13284789 C T c EBF1_EBF_1 -16 0 - 0 0 . chr9 135712855 135712856 chr9:135712856:G:A rs534229 G A A EBF1_EBF_1 -18 0 + 0 0 . chr9 135743864 135743865 chr9:135743865:C:A rs138052891 C A C EBF1_EBF_1 9 1 - 5.204350706221699 -1.6326387018671118 GTCCCCCTGGGGTC chr9 135749742 135749743 chr9:135749743:A:G rs79870263 A G A EBF1_EBF_1 27 0 + 0 0 . chr9 135758119 135758120 chr9:135758120:G:A rs114615738 G A g EBF1_EBF_1 19 0 + 0 0 . chr9 135781470 135781471 chr9:135781471:T:C rs2182805 T C T EBF1_EBF_1 -11 0 + 0 0 . chr9 135825602 135825603 chr9:135825603:G:C rs1018920875 G C G EBF1_EBF_1 -5 0 + 0 0 . chr9 135825620 135825621 chr9:135825621:C:A rs187155948 C A C EBF1_EBF_1 13 1 + 6.031193475739594 5.657448026453618 ACACCCATGAGACC chr9 135882801 135882802 chr9:135882802:C:T rs371452664 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 135885465 135885466 chr9:135885466:T:C rs1009473 T C C EBF1_EBF_1 25 0 + 0 0 . chr9 135887123 135887124 chr9:135887124:G:A rs755067879 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 135888104 135888105 chr9:135888105:A:T rs117697719 A T A EBF1_EBF_1 28 0 + 0 0 . chr9 135888984 135888985 chr9:135888985:C:A rs73561606 C A C EBF1_EBF_1 -18 0 + 0 0 . chr9 135888996 135888997 chr9:135888997:C:G rs73561609 C G G EBF1_EBF_1 -6 0 + 0 0 . chr9 135894603 135894604 chr9:135894604:A:G rs12005097 A G G EBF1_EBF_1 31 0 + 0 0 . chr9 135911943 135911944 chr9:135911944:G:A rs7044417 G A A EBF1_EBF_1 23 0 - 0 0 . chr9 135930192 135930193 chr9:135930193:C:T rs11103228 C T C EBF1_EBF_1 30 0 - 0 0 . chr9 135942815 135942816 chr9:135942816:C:A rs11103235 C A C EBF1_EBF_1 28 0 - 0 0 . chr9 135971556 135971557 chr9:135971557:C:A rs113309579 C A C EBF1_EBF_1 29 0 - 0 0 . chr9 135979430 135979431 chr9:135979431:G:A rs117169300 G A G EBF1_EBF_1 7 1 + 6.0126345945891595 7.3250929998779855 TGCCCCCGGGGACG chr9 135979694 135979695 chr9:135979695:T:G rs111740892 T G T EBF1_EBF_1 33 0 - 0 0 . chr9 135979708 135979709 chr9:135979709:G:A rs142505537 G A G EBF1_EBF_1 19 0 - 0 0 . chr9 135991135 135991136 chr9:135991136:A:G rs10858195 A G G EBF1_EBF_1 -17 0 + 0 0 . chr9 135994380 135994381 chr9:135994381:C:T rs72771973 C T C EBF1_EBF_1 32 0 - 0 0 . chr9 135994505 135994506 chr9:135994506:C:T rs66659574 C T C EBF1_EBF_1 -6 0 - 0 0 . chr9 136012519 136012520 chr9:136012520:T:G chr9:136012520:T:G T G T EBF1_EBF_1 2 1 + 5.0421968014508245 -1.7061288887496435 GGTCCCAGGGGCCA chr9 136013543 136013544 chr9:136013544:C:T rs151219465 C T C EBF1_EBF_1 -2 0 - 0 0 . chr9 136015267 136015268 chr9:136015268:G:A rs10858201 G A G EBF1_EBF_1 -12 0 + 0 0 . chr9 136017313 136017314 chr9:136017314:G:A rs75536718 G A G EBF1_EBF_1 15 0 - 0 0 . chr9 136043687 136043688 chr9:136043688:G:A rs10481726 G A G EBF1_EBF_1 -7 0 - 0 0 . chr9 136043726 136043727 chr9:136043727:C:G rs1521444 C G C EBF1_EBF_1 17 0 + 0 0 . chr9 136046086 136046087 chr9:136046087:G:A rs4841907 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 136048314 136048315 chr9:136048315:G:A rs976756481 G A G EBF1_EBF_1 -1 0 + 0 0 . chr9 136056131 136056132 chr9:136056132:G:T rs116282806 G T G EBF1_EBF_1 5 1 - 5.098522513694654 -1.8063586389405146 ACCCCCCAGGGTTA chr9 136058458 136058459 chr9:136058459:C:T rs80154253 C T C EBF1_EBF_1 17 0 + 0 0 . chr9 136059166 136059167 chr9:136059167:T:C rs11103294 T C T EBF1_EBF_1 -20 0 - 0 0 . chr9 136075753 136075754 chr9:136075754:C:T rs72773711 C T C EBF1_EBF_1 -16 0 - 0 0 . chr9 136075754 136075755 chr9:136075755:C:A rs7037873 C A C EBF1_EBF_1 -17 0 - 0 0 . chr9 136081045 136081046 chr9:136081046:C:T rs74690390 C T C EBF1_EBF_1 7 1 + 4.177383295856827 5.99488804213945 AACCCCACGGGCCC chr9 136081153 136081154 chr9:136081154:C:T rs12003954 C T G EBF1_EBF_1 -15 0 - 0 0 . chr9 136081233 136081234 chr9:136081234:T:G rs12006463 T G G EBF1_EBF_1 -18 0 - 0 0 . chr9 136087948 136087949 chr9:136087949:G:A rs118111405 G A G EBF1_EBF_1 19 0 + 0 0 . chr9 136088207 136088208 chr9:136088208:C:T rs73668072 C T C EBF1_EBF_1 30 0 - 0 0 . chr9 136088373 136088374 chr9:136088374:A:C rs11103322 A C C EBF1_EBF_1 13 1 + 4.778384126477068 5.152129575763044 CTCCCCTAGGGCAA chr9 136088828 136088829 chr9:136088829:C:T rs60426293 C T C EBF1_EBF_1 30 0 - 0 0 . chr9 136088872 136088873 chr9:136088873:C:T rs755534705 C T C EBF1_EBF_1 -14 0 - 0 0 . chr9 136093684 136093685 chr9:136093685:T:A rs72773737 T A T EBF1_EBF_1 -14 0 + 0 0 . chr9 136093714 136093715 chr9:136093715:G:A rs1717452 G A G EBF1_EBF_1 16 0 + 0 0 . chr9 136100619 136100620 chr9:136100620:A:G rs7030214 A G A EBF1_EBF_1 -11 0 - 0 0 . chr9 136108565 136108566 chr9:136108566:C:G rs3811128 C G C EBF1_EBF_1 8 1 - 5.723183267961066 0.40452440704638004 CCTCCCTCGGGATG chr9 136109662 136109663 chr9:136109663:G:C rs967759139 G C G EBF1_EBF_1 11 1 + 5.40513025520284 3.8818754009544607 CCTCCCACGGGGCC chr9 136115837 136115838 chr9:136115838:C:T rs11103339 C T C EBF1_EBF_1 -19 0 + 0 0 . chr9 136143426 136143427 chr9:136143427:T:C rs7043600 T C T EBF1_EBF_1 23 0 + 0 0 . chr9 136143762 136143763 chr9:136143763:T:G rs11103350 T G T EBF1_EBF_1 33 0 + 0 0 . chr9 136145871 136145872 chr9:136145872:G:A rs1123661 G A G EBF1_EBF_1 4 1 - 5.299670244422545 0.9302832994776219 AGCCCCCAGGGTGC chr9 136147471 136147472 chr9:136147472:G:A rs114360110 G A G EBF1_EBF_1 25 0 + 0 0 . chr9 136147542 136147543 chr9:136147543:A:G rs1123721 A G G EBF1_EBF_1 8 1 - 4.459009579890854 6.031783507953362 AGTCCCAGTGGACA chr9 136148434 136148435 chr9:136148435:C:A rs753038 C A C EBF1_EBF_1 -13 0 - 0 0 . chr9 136149365 136149366 chr9:136149366:G:C rs1747869 G C C EBF1_EBF_1 18 0 - 0 0 . chr9 136149925 136149926 chr9:136149926:G:A rs78152747 G A G EBF1_EBF_1 16 0 - 0 0 . chr9 136170295 136170296 chr9:136170296:G:A rs532772371 G A G EBF1_EBF_1 26 0 + 0 0 . chr9 136179243 136179244 chr9:136179244:C:T rs4842124 C T C EBF1_EBF_1 1 1 + 4.868028686488991 5.7634621268877355 ACCCCCCGGGGCCC chr9 136200551 136200552 chr9:136200552:C:T rs75290716 C T C EBF1_EBF_1 -15 0 - 0 0 . chr9 136207780 136207781 chr9:136207781:G:A rs112262550 G A G EBF1_EBF_1 0 1 + 5.0807393785223205 6.973023248087153 GCTCCCAAGGGCAG chr9 136222777 136222778 chr9:136222778:G:A rs148403821 G A g EBF1_EBF_1 17 0 - 0 0 . chr9 136225510 136225511 chr9:136225511:G:A rs540069267 G A G EBF1_EBF_1 -8 0 + 0 0 . chr9 136226423 136226424 chr9:136226424:C:T rs78371706 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 136247731 136247732 chr9:136247732:C:A rs62579866 C A A EBF1_EBF_1 30 0 - 0 0 . chr9 136247756 136247757 chr9:136247757:G:C rs35302005 G C G EBF1_EBF_1 5 1 - 6.118973277570326 -0.7859078750648412 CTCCCCGGGGGACC chr9 136261528 136261529 chr9:136261529:G:A rs34775390 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 136261745 136261746 chr9:136261746:C:T rs72775719 C T C EBF1_EBF_1 -8 0 + 0 0 . chr9 136261761 136261762 chr9:136261762:G:A rs77222745 G A G EBF1_EBF_1 8 1 + 5.045789203624133 0.8194991147378716 GCTCCCCCGGGTCT chr9 136261778 136261779 chr9:136261779:C:T rs35851148 C T C EBF1_EBF_1 25 0 + 0 0 . chr9 136262230 136262231 chr9:136262231:A:G rs62579903 A G g EBF1_EBF_1 30 0 - 0 0 . chr9 136268006 136268007 chr9:136268007:T:C rs34984083 T C C EBF1_EBF_1 -17 0 + 0 0 . chr9 136270216 136270217 chr9:136270217:G:T rs62579928 G T T EBF1_EBF_1 29 0 - 0 0 . chr9 136281182 136281183 chr9:136281183:G:A rs1022870253 G A G EBF1_EBF_1 33 0 + 0 0 . chr9 136282068 136282069 chr9:136282069:G:A rs1052165847 G A G EBF1_EBF_1 9 1 + 5.9930528389383735 3.33811357349077 GGTCCCCAGGGCCA chr9 136283763 136283764 chr9:136283764:C:T rs1038232305 C T C EBF1_EBF_1 6 1 - 7.475337862745498 9.642132294019973 GCTCCCGGGGGACC chr9 136284903 136284904 chr9:136284904:A:G rs879128871 A G G EBF1_EBF_1 14 0 + 0 0 . chr9 136284904 136284905 chr9:136284905:A:G rs960030190 A G A EBF1_EBF_1 15 0 + 0 0 . chr9 136284956 136284957 chr9:136284957:G:C rs878975207 G C G EBF1_EBF_1 -13 0 + 0 0 . chr9 136285000 136285001 chr9:136285001:G:A rs879053082 G A G EBF1_EBF_1 31 0 + 0 0 . chr9 136286508 136286509 chr9:136286509:T:C rs878921187 T C C EBF1_EBF_1 -19 0 + 0 0 . chr9 136290314 136290315 chr9:136290315:T:G rs74204453 T G G EBF1_EBF_1 27 0 + 0 0 . chr9 136303169 136303170 chr9:136303170:T:G rs937125618 T G G EBF1_EBF_1 11 1 + 5.420911550964204 6.626118963458918 TTCCCCCAGGGTCC chr9 136304734 136304735 chr9:136304735:A:C rs36145994 A C C EBF1_EBF_1 28 0 - 0 0 . chr9 136304770 136304771 chr9:136304771:C:T rs36178093 C T T EBF1_EBF_1 5 1 + 4.516204215152005 -0.8055830003886647 ATCCCCGCGGGAGG chr9 136305014 136305015 chr9:136305015:C:T rs979232321 C T C EBF1_EBF_1 15 0 - 0 0 . chr9 136306980 136306981 chr9:136306981:G:A rs933723619 G A G EBF1_EBF_1 3 1 - 4.463515200284814 -1.9418835412685036 ACTCCCAGGAGCCC chr9 136306981 136306982 chr9:136306982:A:G rs985251753 A G G EBF1_EBF_1 2 1 - 4.463515200284814 2.574691788842663 ACTCCCAGGAGCCC chr9 136310564 136310565 chr9:136310565:C:T rs892219516 C T c EBF1_EBF_1 -8 0 - 0 0 . chr9 136313269 136313270 chr9:136313270:T:C rs931586071 T C T EBF1_EBF_1 23 0 + 0 0 . chr9 136327341 136327342 chr9:136327342:T:C rs76115134 T C T EBF1_EBF_1 -8 0 - 0 0 . chr9 136327386 136327387 chr9:136327387:C:G rs28676496 C G G EBF1_EBF_1 17 0 + 0 0 . chr9 136327536 136327537 chr9:136327537:T:A rs868961557 T A T EBF1_EBF_1 27 0 - 0 0 . chr9 136327557 136327558 chr9:136327558:G:A rs575324588 G A G EBF1_EBF_1 6 1 - 5.047458376009958 5.348744504871716 CTCCCCCGGGGAGG chr9 136328363 136328364 chr9:136328364:G:T rs34242208 G T G EBF1_EBF_1 11 1 + 6.57517963764569 5.369972225150977 TCCCCCCAGGGGCT chr9 136329287 136329288 chr9:136329288:C:G rs28687338 C G T EBF1_EBF_1 -4 0 + 0 0 . chr9 136335333 136335334 chr9:136335334:T:C rs112364771 T C C EBF1_EBF_1 23 0 - 0 0 . chr9 136336412 136336413 chr9:136336413:A:C rs28480288 A C A EBF1_EBF_1 -13 0 - 0 0 . chr9 136341948 136341949 chr9:136341949:C:G rs74312704 C G C EBF1_EBF_1 -1 0 + 0 0 . chr9 136347995 136347996 chr9:136347996:G:A rs117299085 G A g EBF1_EBF_1 14 0 + 0 0 . chr9 136357664 136357665 chr9:136357665:G:A rs7848555 G A G EBF1_EBF_1 12 1 - 9.033990132800021 8.007723562249172 GATCCCCAGGGACG chr9 136357695 136357696 chr9:136357696:G:A rs11787792 G A G EBF1_EBF_1 -19 0 - 0 0 . chr9 136360094 136360095 chr9:136360095:C:T rs73565156 C T C EBF1_EBF_1 16 0 + 0 0 . chr9 136363378 136363379 chr9:136363379:G:A rs1018729401 G A G EBF1_EBF_1 -16 0 - 0 0 . chr9 136365585 136365586 chr9:136365586:T:C rs73670236 T C T EBF1_EBF_1 7 1 - 4.608183058898528 3.295724653609703 TCTCCCCAGGGCCG chr9 136372260 136372261 chr9:136372261:C:G rs12684674 C G C EBF1_EBF_1 -4 0 + 0 0 . chr9 136376653 136376654 chr9:136376654:A:G rs3812563 A G T EBF1_EBF_1 17 0 - 0 0 . chr9 136376737 136376738 chr9:136376738:A:G rs3812564 A G G EBF1_EBF_1 26 0 + 0 0 . chr9 136380553 136380554 chr9:136380554:C:T rs72775756 C T C EBF1_EBF_1 -18 0 + 0 0 . chr9 136380579 136380580 chr9:136380580:G:A rs11145797 G A G EBF1_EBF_1 8 1 + 7.553979574507629 3.3276894856213683 CTTCCCCAGGGCCT chr9 136382470 136382471 chr9:136382471:A:G rs76313736 A G A EBF1_EBF_1 7 1 - 5.4523583412823955 3.634853594999772 GTTCCCATGAGGCA chr9 136413973 136413974 chr9:136413974:G:A rs13295852 G A G EBF1_EBF_1 -5 0 + 0 0 . chr9 136413984 136413985 chr9:136413985:A:G rs11145939 A G A EBF1_EBF_1 6 1 + 5.797608413250904 3.630813981976429 TGTCCCATGGGCCA chr9 136429346 136429347 chr9:136429347:A:G rs35763810 A G G EBF1_EBF_1 14 0 + 0 0 . chr9 136430227 136430228 chr9:136430228:C:T rs4451431 C T C EBF1_EBF_1 26 0 - 0 0 . chr9 136436584 136436585 chr9:136436585:C:T rs559007927 C T C EBF1_EBF_1 -18 0 + 0 0 . chr9 136438816 136438817 chr9:136438817:G:C rs36064831 G C G EBF1_EBF_1 -8 0 - 0 0 . chr9 136438993 136438994 chr9:136438994:C:T rs1406204770 C T C EBF1_EBF_1 12 1 + 5.378760807455426 4.352494236904576 GACCCCGCGGGACT chr9 136439592 136439593 chr9:136439593:C:T rs544247720 C T C EBF1_EBF_1 24 0 - 0 0 . chr9 136439991 136439992 chr9:136439992:C:T chr9:136439992:C:T C T C EBF1_EBF_1 21 0 - 0 0 . chr9 136440016 136440017 chr9:136440017:T:C chr9:136440017:T:C T C T EBF1_EBF_1 -4 0 - 0 0 . chr9 136441673 136441674 chr9:136441674:G:A rs541098675 G A G EBF1_EBF_1 -5 0 - 0 0 . chr9 136472424 136472425 chr9:136472425:T:C rs188809247 T C T EBF1_EBF_1 -11 0 + 0 0 . chr9 136473113 136473114 chr9:136473114:G:A rs36101132 G A G EBF1_EBF_1 3 1 - 4.937252911111031 -1.468145830442287 TCCCCCATGGGCCT chr9 136473157 136473158 chr9:136473158:G:A rs9657744 G A G EBF1_EBF_1 29 0 + 0 0 . chr9 136502973 136502974 chr9:136502974:G:A rs11574905 G A G EBF1_EBF_1 13 1 - 4.515567979079723 5.360062093665241 AGCCACCTGGGAAC chr9 136526911 136526912 chr9:136526912:C:T rs1001103489 C T C EBF1_EBF_1 8 1 - 5.302579804417127 1.076289715530866 TCCCCCACGGGAGC chr9 136530733 136530734 chr9:136530734:A:G rs541659729 A G A EBF1_EBF_1 21 0 + 0 0 . chr9 136532671 136532672 chr9:136532672:G:T chr9:136532672:G:T G T G EBF1_EBF_1 30 0 - 0 0 . chr9 136546575 136546576 chr9:136546576:G:T rs369855937 G T G EBF1_EBF_1 24 0 + 0 0 . chr9 136547936 136547937 chr9:136547937:A:T rs192843679 A T A EBF1_EBF_1 13 1 - 5.561486716999205 4.343247153127711 CTTCCCTCGGGCCT chr9 136552203 136552204 chr9:136552204:C:T chr9:136552204:C:T C T C EBF1_EBF_1 -3 0 - 0 0 . chr9 136555572 136555573 chr9:136555573:A:G rs7854561 A G g EBF1_EBF_1 -11 0 + 0 0 . chr9 136562820 136562821 chr9:136562821:A:G rs79574665 A G A EBF1_EBF_1 14 0 - 0 0 . chr9 136564903 136564904 chr9:136564904:C:T rs538329456 C T C EBF1_EBF_1 -11 0 + 0 0 . chr9 136583242 136583243 chr9:136583243:C:T chr9:136583243:C:T C T C EBF1_EBF_1 18 0 + 0 0 . chr9 136591968 136591969 chr9:136591969:A:G chr9:136591969:A:G A G A EBF1_EBF_1 21 0 + 0 0 . chr9 136606709 136606710 chr9:136606710:C:T rs7035254 C T T EBF1_EBF_1 24 0 - 0 0 . chr9 136615492 136615493 chr9:136615493:G:A rs935660473 G A g EBF1_EBF_1 0 1 - 4.21143230512336 4.4936343658539375 CGCCCCGAGGGATG chr9 136617867 136617868 chr9:136617868:G:A rs1018756201 G A G EBF1_EBF_1 26 0 - 0 0 . chr9 136617908 136617909 chr9:136617909:C:T rs11145798 C T C EBF1_EBF_1 -15 0 - 0 0 . chr9 136618988 136618989 chr9:136618989:C:T rs55694468 C T C EBF1_EBF_1 -4 0 - 0 0 . chr9 136620847 136620848 chr9:136620848:G:C rs12347940 G C G EBF1_EBF_1 -15 0 - 0 0 . chr9 136621224 136621225 chr9:136621225:G:A rs371959825 G A G EBF1_EBF_1 22 0 - 0 0 . chr9 136621250 136621251 chr9:136621251:G:A rs1012402543 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 136623805 136623806 chr9:136623806:G:A rs55796009 G A G EBF1_EBF_1 6 1 + 4.850760127573681 7.017554558848156 GCCCCCGAGGGGCT chr9 136624192 136624193 chr9:136624193:C:T chr9:136624193:C:T C T C EBF1_EBF_1 22 0 + 0 0 . chr9 136625294 136625295 chr9:136625295:G:A rs57027830 G A G EBF1_EBF_1 -9 0 - 0 0 . chr9 136625344 136625345 chr9:136625345:T:C rs116387016 T C T EBF1_EBF_1 -17 0 + 0 0 . chr9 136625366 136625367 chr9:136625367:C:T rs12379849 C T c EBF1_EBF_1 5 1 + 9.100126982912126 3.778339767371457 CTCCCCTGGGGAAT chr9 136629503 136629504 chr9:136629504:C:T rs35710027 C T C EBF1_EBF_1 23 0 - 0 0 . chr9 136644301 136644302 chr9:136644302:T:C rs914746 T C C EBF1_EBF_1 -15 0 - 0 0 . chr9 136645296 136645297 chr9:136645297:C:T rs62581550 C T C EBF1_EBF_1 14 0 + 0 0 . chr9 136646159 136646160 chr9:136646160:C:T rs114957650 C T C EBF1_EBF_1 -6 0 + 0 0 . chr9 136646193 136646194 chr9:136646194:T:C rs10870108 T C T EBF1_EBF_1 28 0 + 0 0 . chr9 136661753 136661754 chr9:136661754:G:T rs78431904 G T G EBF1_EBF_1 7 1 - 8.431143303079542 10.724922289449722 TTCCCCACGGGACT chr9 136664291 136664292 chr9:136664292:A:G chr9:136664292:A:G A G A EBF1_EBF_1 7 1 + 5.860626772430831 4.548168367142005 CCTCTCCAGGGACC chr9 136668455 136668456 chr9:136668456:C:T rs527911567 C T C EBF1_EBF_1 9 1 - 10.24207861510679 7.587139349659186 AGCCCCCAGGGAGT chr9 136671567 136671568 chr9:136671568:C:T rs117729430 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 136671835 136671836 chr9:136671836:G:A rs62580378 G A G EBF1_EBF_1 -8 0 + 0 0 . chr9 136671868 136671869 chr9:136671869:C:T rs554187285 C T C EBF1_EBF_1 25 0 + 0 0 . chr9 136685770 136685771 chr9:136685771:G:A rs62580420 G A G EBF1_EBF_1 11 1 + 4.860308014890151 7.753014858494162 GCACCCCAGGGGCT chr9 136687886 136687887 chr9:136687887:T:C rs7038079 T C T EBF1_EBF_1 28 0 + 0 0 . chr9 136691081 136691082 chr9:136691082:G:A rs60975651 G A G EBF1_EBF_1 -13 0 - 0 0 . chr9 136696093 136696094 chr9:136696094:T:C rs4880125 T C C EBF1_EBF_1 14 0 - 0 0 . chr9 136698742 136698743 chr9:136698743:T:C rs10116492 T C C EBF1_EBF_1 16 0 - 0 0 . chr9 136712145 136712146 chr9:136712146:T:C rs560230131 T C T EBF1_EBF_1 16 0 - 0 0 . chr9 136718342 136718343 chr9:136718343:T:C rs11145843 T C t EBF1_EBF_1 24 0 + 0 0 . chr9 136719373 136719374 chr9:136719374:G:A rs73554033 G A G EBF1_EBF_1 8 1 + 3.652839286172865 -0.5734508027133958 CCACCCCAGGGGCC chr9 136719717 136719718 chr9:136719718:A:G rs9411219 A G A EBF1_EBF_1 -7 0 + 0 0 . chr9 136720709 136720710 chr9:136720710:C:A rs4880135 C A A EBF1_EBF_1 21 0 + 0 0 . chr9 136725814 136725815 chr9:136725815:G:A rs7855405 G A A EBF1_EBF_1 18 0 + 0 0 . chr9 136726890 136726891 chr9:136726891:G:A rs115595451 G A G EBF1_EBF_1 -20 0 + 0 0 . chr9 136728413 136728414 chr9:136728414:A:G rs9411222 A G g EBF1_EBF_1 21 0 + 0 0 . chr9 136744287 136744288 chr9:136744288:C:A rs2811724 C A - EBF1_EBF_1 -8 0 + 0 0 . chr9 136753845 136753846 chr9:136753846:G:A rs7041806 G A N EBF1_EBF_1 -5 0 + 0 0 . chr9 136753879 136753880 chr9:136753880:C:T rs2811732 C T C EBF1_EBF_1 29 0 + 0 0 . chr9 136757240 136757241 chr9:136757241:C:T rs113523961 C T C EBF1_EBF_1 26 0 + 0 0 . chr9 136757432 136757433 chr9:136757433:A:G rs2811735 A G G EBF1_EBF_1 7 1 + 8.37859583068561 7.066137425396783 AGTCCCTAGGGCTT chr9 136759380 136759381 chr9:136759381:C:A rs67562167 C A c EBF1_EBF_1 19 0 + 0 0 . chr9 136759382 136759383 chr9:136759383:C:T rs4880068 C T C EBF1_EBF_1 21 0 + 0 0 . chr9 136759446 136759447 chr9:136759447:A:T rs4880069 A T A EBF1_EBF_1 -16 0 + 0 0 . chr9 136760757 136760758 chr9:136760758:A:C rs10870121 A C C EBF1_EBF_1 26 0 - 0 0 . chr9 136762217 136762218 chr9:136762218:T:C rs2297722 T C C EBF1_EBF_1 7 1 - 5.992952793335284 4.680494388046459 GCTCCCCAAGGACA chr9 136766753 136766754 chr9:136766754:A:G rs62582257 A G A EBF1_EBF_1 -4 0 - 0 0 . chr9 136773749 136773750 chr9:136773750:C:T rs72772799 C T C EBF1_EBF_1 -1 0 - 0 0 . chr9 136786139 136786140 chr9:136786140:G:A rs2811782 G A A EBF1_EBF_1 -20 0 + 0 0 . chr9 136791690 136791691 chr9:136791691:A:C rs199687387 A C A EBF1_EBF_1 -11 0 + 0 0 . chr9 136796387 136796388 chr9:136796388:G:A rs1055995 G A G EBF1_EBF_1 -4 0 - 0 0 . chr9 136800237 136800238 chr9:136800238:A:C chr9:136800238:A:C A C A EBF1_EBF_1 33 0 - 0 0 . chr9 136800277 136800278 chr9:136800278:C:T rs1428160529 C T C EBF1_EBF_1 -7 0 - 0 0 . chr9 136807470 136807471 chr9:136807471:G:T rs556613136 G T G EBF1_EBF_1 15 0 + 0 0 . chr9 136854220 136854221 chr9:136854221:C:T rs1326427597 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 136859344 136859345 chr9:136859345:G:A rs140154586 G A G EBF1_EBF_1 19 0 - 0 0 . chr9 136882196 136882197 chr9:136882197:T:C rs4880153 T C t EBF1_EBF_1 21 0 - 0 0 . chr9 136925595 136925596 chr9:136925596:G:A rs17250673 G A A EBF1_EBF_1 -19 0 + 0 0 . chr9 136925622 136925623 chr9:136925623:G:A rs543917455 G A G EBF1_EBF_1 8 1 + 5.609447466856121 1.3831573779698594 AGACCCTCGGGAGC chr9 136934171 136934172 chr9:136934172:C:T rs73574328 C T C EBF1_EBF_1 32 0 + 0 0 . chr9 136937291 136937292 chr9:136937292:T:C rs116915239 T C T EBF1_EBF_1 18 0 + 0 0 . chr9 136947248 136947249 chr9:136947249:C:T rs550079663 C T C EBF1_EBF_1 11 1 - 4.0164943115246885 6.9092011551287 CCTCCCACGGGGGC chr9 136947272 136947273 chr9:136947273:C:T rs4880077 C T C EBF1_EBF_1 -13 0 - 0 0 . chr9 136949766 136949767 chr9:136949767:G:A rs1167966741 G A G EBF1_EBF_1 -18 0 + 0 0 . chr9 136950008 136950009 chr9:136950009:C:T chr9:136950009:C:T C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 136950024 136950025 chr9:136950025:G:T chr9:136950025:G:T G T G EBF1_EBF_1 -1 0 + 0 0 . chr9 136950051 136950052 chr9:136950052:T:C rs10870148 T C C EBF1_EBF_1 26 0 + 0 0 . chr9 136963377 136963378 chr9:136963378:C:A rs35692357 C A C EBF1_EBF_1 -9 0 + 0 0 . chr9 136977798 136977799 chr9:136977799:T:A rs34352781 T A T EBF1_EBF_1 33 0 + 0 0 . chr9 136979303 136979304 chr9:136979304:G:C chr9:136979304:G:C G C G EBF1_EBF_1 -14 0 + 0 0 . chr9 136983242 136983243 chr9:136983243:A:C rs529472432 A C A EBF1_EBF_1 -2 0 + 0 0 . chr9 136983245 136983246 chr9:136983246:A:C rs968516 A C C EBF1_EBF_1 1 1 + 7.99838332586788 8.069310503219965 AATCCCCAGGGCCC chr9 136992589 136992590 chr9:136992590:G:C rs10870159 G C G EBF1_EBF_1 -5 0 - 0 0 . chr9 136994245 136994246 chr9:136994246:C:T rs74857106 C T C EBF1_EBF_1 33 0 - 0 0 . chr9 137006453 137006454 chr9:137006454:C:T rs28564792 C T C EBF1_EBF_1 24 0 + 0 0 . chr9 137025951 137025952 chr9:137025952:G:A rs193039975 G A G EBF1_EBF_1 1 1 + 5.772353248988944 5.511654417067642 CGTCCCTCGAGACC chr9 137034592 137034593 chr9:137034593:G:A rs879897594 G A - EBF1_EBF_1 6 1 - 6.387823082614686 6.689109211476442 GCTCCCCGGGGGCC chr9 137035328 137035329 chr9:137035329:C:G rs557789124 C G - EBF1_EBF_1 27 0 + 0 0 . chr9 137056144 137056145 chr9:137056145:G:A rs3763663 G A A EBF1_EBF_1 -8 0 - 0 0 . chr9 137106631 137106632 chr9:137106632:C:T rs183958420 C T C EBF1_EBF_1 2 1 + 5.492351458986344 7.381174870428495 TGCCCCACGGGAGC chr9 137109375 137109376 chr9:137109376:C:T rs144828047 C T C EBF1_EBF_1 23 0 + 0 0 . chr9 137109776 137109777 chr9:137109777:C:G rs6560658 C G C EBF1_EBF_1 16 0 + 0 0 . chr9 137116756 137116757 chr9:137116757:C:T rs11791362 C T C EBF1_EBF_1 9 1 - 6.996279549573173 4.341340284125571 GTTCCCAGGGGCAT chr9 137118986 137118987 chr9:137118987:T:C rs9411309 T C C EBF1_EBF_1 19 0 - 0 0 . chr9 137139978 137139979 chr9:137139979:C:T rs2301363 C T T EBF1_EBF_1 10 1 - 5.419402708859484 0.10137424959251796 AGCCCCAGGGGCCC chr9 137168484 137168485 chr9:137168485:G:T rs909921605 G T G EBF1_EBF_1 11 1 + 4.998581897932069 3.793374485437355 CCTCCCCGGGGGTC chr9 137176958 137176959 chr9:137176959:A:G rs55956196 A G G EBF1_EBF_1 -10 0 - 0 0 . chr9 137183276 137183277 chr9:137183277:G:A rs28490558 G A G EBF1_EBF_1 -14 0 + 0 0 . chr9 137192291 137192292 chr9:137192292:C:T rs758513567 C T C EBF1_EBF_1 7 1 + 5.34481401626332 7.162318762545943 CCTCCCTCGGGGCC chr9 137201084 137201085 chr9:137201085:C:T rs184892561 C T . EBF1_EBF_1 -9 0 - 0 0 . chr9 137222741 137222742 chr9:137222742:T:A chr9:137222742:T:A T A T EBF1_EBF_1 23 0 - 0 0 . chr9 137225658 137225659 chr9:137225659:C:T rs200002597 C T C EBF1_EBF_1 33 0 + 0 0 . chr9 137234997 137234998 chr9:137234998:C:G rs80295920 C G C EBF1_EBF_1 -3 0 - 0 0 . chr9 137241559 137241560 chr9:137241560:G:C rs527358039 G C G EBF1_EBF_1 -8 0 + 0 0 . chr9 137250855 137250856 chr9:137250856:G:A rs9696356 G A A EBF1_EBF_1 -13 0 + 0 0 . chr9 137253312 137253313 chr9:137253313:C:T rs1134511 C T C EBF1_EBF_1 -4 0 + 0 0 . chr9 137262271 137262272 chr9:137262272:G:C rs546421372 G C G EBF1_EBF_1 32 0 + 0 0 . chr9 137263704 137263705 chr9:137263705:G:A rs78297524 G A G EBF1_EBF_1 12 1 - 4.556485969730366 3.530219399179516 AGTCCCCGGGAACG chr9 137268087 137268088 chr9:137268088:A:G rs67211234 A G A EBF1_EBF_1 27 0 - 0 0 . chr9 137276502 137276503 chr9:137276503:G:A rs1442400240 G A G EBF1_EBF_1 -17 0 + 0 0 . chr9 137276541 137276542 chr9:137276542:C:T rs768814537 C T C EBF1_EBF_1 22 0 + 0 0 . chr9 137281062 137281063 chr9:137281063:C:A rs527305773 C A C EBF1_EBF_1 7 1 - 4.398072892565133 5.234257057766401 AGCCCCCGGCGACC chr9 137294125 137294126 chr9:137294126:G:A rs112481292 G A G EBF1_EBF_1 7 1 - 6.95936566324456 8.776870409527184 TGTCCCACGAGACT chr9 137296985 137296986 chr9:137296986:A:G rs374544220 A G A EBF1_EBF_1 -3 0 + 0 0 . chr9 137299567 137299568 chr9:137299568:G:A rs7032003 G A A EBF1_EBF_1 -18 0 + 0 0 . chr9 137303688 137303689 chr9:137303689:C:G rs543115031 C G C EBF1_EBF_1 10 1 - 4.485601599713816 -2.4052007876156587 GCCCCCTTGAGAGC chr9 137310706 137310707 chr9:137310707:T:G rs9695629 T G G EBF1_EBF_1 7 1 + 5.992871086662166 5.156686921460899 ACTCCCCTGGCAAT chr9 137310816 137310817 chr9:137310817:C:T rs28374197 C T C EBF1_EBF_1 12 1 - 4.743463517598878 6.162280859698355 CCTCCCCAGGGTGC chr9 137314412 137314413 chr9:137314413:T:A rs9414733 T A A EBF1_EBF_1 14 0 - 0 0 . chr9 137315226 137315227 chr9:137315227:T:C rs79260902 T C T EBF1_EBF_1 28 0 - 0 0 . chr9 137316388 137316389 chr9:137316389:T:C rs115331188 T C T EBF1_EBF_1 1 1 + 4.270558401079147 3.3751249606804024 CTTCCCAGGGGCCG chr9 137319038 137319039 chr9:137319039:C:G rs539677802 C G C EBF1_EBF_1 24 0 - 0 0 . chr9 137352534 137352535 chr9:137352535:G:A rs182317439 G A G EBF1_EBF_1 30 0 - 0 0 . chr9 137352552 137352553 chr9:137352553:G:A rs28480070 G A G EBF1_EBF_1 12 1 - 5.777920437594408 4.751653867043558 CTACCCTTGGGACG chr9 137352559 137352560 chr9:137352560:G:A rs35287538 G A G EBF1_EBF_1 5 1 - 5.777920437594408 0.4561332220537375 CTACCCTTGGGACG chr9 137355222 137355223 chr9:137355223:C:G rs73581571 C G C EBF1_EBF_1 33 0 - 0 0 . chr9 137358391 137358392 chr9:137358392:C:T rs78969423 C T C EBF1_EBF_1 -11 0 + 0 0 . chr9 137358408 137358409 chr9:137358409:T:C rs11533156 T C T EBF1_EBF_1 6 1 + 6.930337247755532 6.629051118893776 ACCCCCTGGAGACC chr9 137383934 137383935 chr9:137383935:C:G rs183993825 C G C EBF1_EBF_1 8 1 - 4.9841007183541235 -0.3345581425605624 CCTCCCTCGGGTCT chr9 137384432 137384433 chr9:137384433:C:A rs7468804 C A C EBF1_EBF_1 -14 0 + 0 0 . chr9 137384450 137384451 chr9:137384451:A:G rs6606580 A G G EBF1_EBF_1 4 1 + 4.696367316175915 4.895161838479838 ACTCACCTGGGACG chr9 137391008 137391009 chr9:137391009:T:C rs11524284 T C - EBF1_EBF_1 31 0 + 0 0 . chr9 137393303 137393304 chr9:137393304:C:T rs116570331 C T C EBF1_EBF_1 14 0 - 0 0 . chr9 137396257 137396258 chr9:137396258:G:A rs13301273 G A G EBF1_EBF_1 18 0 - 0 0 . chr9 137399279 137399280 chr9:137399280:C:T rs11516135 C T T EBF1_EBF_1 25 0 - 0 0 . chr9 137405318 137405319 chr9:137405319:C:T rs7467360 C T C EBF1_EBF_1 -17 0 + 0 0 . chr9 137410958 137410959 chr9:137410959:C:T rs11507667 C T G EBF1_EBF_1 13 1 - 8.055759328750995 9.170084900820434 AGTCCCCTGAGACG chr9 137410959 137410960 chr9:137410960:G:C chr9:137410960:G:C G C G EBF1_EBF_1 12 1 - 8.055759328750995 6.667123385072846 AGTCCCCTGAGACG chr9 137411894 137411895 chr9:137411895:G:A rs78556166 G A G EBF1_EBF_1 -15 0 + 0 0 . chr9 137412597 137412598 chr9:137412598:C:T rs117972844 C T c EBF1_EBF_1 7 1 + 7.103805514151091 8.921310260433714 AGCCCCCCGGGAGC chr9 137417164 137417165 chr9:137417165:G:C rs191098368 G C G EBF1_EBF_1 31 0 + 0 0 . chr9 137423973 137423974 chr9:137423974:A:G rs11497278 A G G EBF1_EBF_1 2 1 - 5.218799545301444 3.3299761338592937 GGTCCCTCGGGCCT chr9 137426164 137426165 chr9:137426165:C:T rs9414734 C T C EBF1_EBF_1 -16 0 - 0 0 . chr9 137429910 137429911 chr9:137429911:G:C rs9694983 G C - EBF1_EBF_1 31 0 - 0 0 . chr9 137430074 137430075 chr9:137430075:T:C rs9802473 T C - EBF1_EBF_1 -11 0 - 0 0 . chr9 137431445 137431446 chr9:137431446:G:A rs7467896 G A A EBF1_EBF_1 9 1 - 4.1321236996065895 2.3708234378632436 ACCCCCCAGCGAGC chr9 137435165 137435166 chr9:137435166:A:G rs28589413 A G N EBF1_EBF_1 30 0 - 0 0 . chr9 137436523 137436524 chr9:137436524:T:C rs13297080 T C C EBF1_EBF_1 23 0 + 0 0 . chr9 137438383 137438384 chr9:137438384:C:T rs148254618 C T C EBF1_EBF_1 -3 0 + 0 0 . chr9 137438715 137438716 chr9:137438716:C:T rs114227953 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 137449557 137449558 chr9:137449558:C:T rs41297241 C T C EBF1_EBF_1 -18 0 - 0 0 . chr9 137462050 137462051 chr9:137462051:C:A rs41307436 C A c EBF1_EBF_1 2 1 + 3.8543014307291292 2.0586572545724025 CACCCCCAGGGCCC chr9 137478720 137478721 chr9:137478721:A:G rs45627442 A G A EBF1_EBF_1 0 1 + 6.367701403379374 4.475417533814542 ATCCCCCAGGGTTC chr9 137602759 137602760 chr9:137602760:C:A rs11137148 C A C EBF1_EBF_1 18 0 + 0 0 . chr9 137612298 137612299 chr9:137612299:C:T rs115922725 C T C EBF1_EBF_1 15 0 + 0 0 . chr9 137613027 137613028 chr9:137613028:C:T rs62622789 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 137664729 137664730 chr9:137664730:C:G rs57912169 C G C EBF1_EBF_1 29 0 - 0 0 . chr9 137670737 137670738 chr9:137670738:C:T rs79068821 C T C EBF1_EBF_1 31 0 - 0 0 . chr9 137670743 137670744 chr9:137670744:T:C rs2501559 T C C EBF1_EBF_1 25 0 - 0 0 . chr9 137676734 137676735 chr9:137676735:A:T rs775257424 A T A EBF1_EBF_1 16 0 + 0 0 . chr9 137676749 137676750 chr9:137676750:T:G rs887436839 T G T EBF1_EBF_1 31 0 + 0 0 . chr9 137682705 137682706 chr9:137682706:G:A rs74806505 G A G EBF1_EBF_1 20 0 - 0 0 . chr9 137785775 137785776 chr9:137785776:G:A rs117211698 G A G EBF1_EBF_1 -2 0 + 0 0 . chr9 137787707 137787708 chr9:137787708:G:A rs370760201 G A G EBF1_EBF_1 17 0 - 0 0 . chr9 137789126 137789127 chr9:137789127:G:A rs182476869 G A G EBF1_EBF_1 17 0 - 0 0 . chr9 137878730 137878731 chr9:137878731:G:A rs866136220 G A G EBF1_EBF_1 -16 0 + 0 0 . chr9 137974138 137974139 chr9:137974139:G:A rs72769059 G A G EBF1_EBF_1 19 0 + 0 0 . chr9 137990243 137990244 chr9:137990244:A:T rs113242172 A T A EBF1_EBF_1 12 1 + 6.676402443417794 5.619954474445619 ATCCTCCTGGGAAC chr9 138007620 138007621 chr9:138007621:T:A rs73669836 T A T EBF1_EBF_1 28 0 + 0 0 . chr9 138008536 138008537 chr9:138008537:G:T rs7035007 G T G EBF1_EBF_1 -14 0 + 0 0 . chr9 138011854 138011855 chr9:138011855:G:A rs2124655 G A G EBF1_EBF_1 21 0 - 0 0 . chr9 138015064 138015065 chr9:138015065:G:A rs111759894 G A G EBF1_EBF_1 10 1 + 8.289998749743054 2.9719702904760883 TTTCCCGGGGGACC chr9 138017792 138017793 chr9:138017793:C:A rs77464707 C A C EBF1_EBF_1 -16 0 - 0 0 . chr9 138022938 138022939 chr9:138022939:G:C rs7042567 G C C EBF1_EBF_1 -9 0 + 0 0 . chr9 138043104 138043105 chr9:138043105:G:A rs56372698 G A G EBF1_EBF_1 21 0 - 0 0 . chr9 138048362 138048363 chr9:138048363:A:G rs7020939 A G G EBF1_EBF_1 19 0 + 0 0 . chr9 138059307 138059308 chr9:138059308:A:G rs13298580 A G A EBF1_EBF_1 27 0 - 0 0 . chr9 138078680 138078681 chr9:138078681:C:T rs12000233 C T G EBF1_EBF_1 -7 0 - 0 0 . chr9 138083811 138083812 chr9:138083812:G:C rs2872223 G C C EBF1_EBF_1 20 0 + 0 0 . chr9 138084123 138084124 chr9:138084124:T:C rs4066674 T C T EBF1_EBF_1 28 0 + 0 0 . chr9 138097651 138097652 chr9:138097652:C:T rs4876925 C T C EBF1_EBF_1 -10 0 - 0 0 . chr9 138099059 138099060 chr9:138099060:T:C rs73575886 T C T EBF1_EBF_1 33 0 + 0 0 . chr9 138104747 138104748 chr9:138104748:G:A rs62579480 G A G EBF1_EBF_1 -8 0 - 0 0 . chr9 138123970 138123971 chr9:138123971:C:T rs9777369 C T C EBF1_EBF_1 21 0 - 0 0 . chr9 138137197 138137198 chr9:138137198:G:A rs9410080 G A G EBF1_EBF_1 5 1 - 6.654127585202533 1.3323403696618623 CATCCCCTGAGACA chr9 138178638 138178639 chr9:138178639:T:G rs73668514 T G . EBF1_EBF_1 23 0 - 0 0 . chr9 138204647 138204648 chr9:138204648:A:G rs12682973 A G a EBF1_EBF_1 7 1 + 6.715587650869365 5.403129245580541 TCTCCCTAGGGTCC